From b7befb010bee066acb5019d600b0aca71bf14334 Mon Sep 17 00:00:00 2001 From: Jeremiah KOPP <j24kopp@fl-tp-br-544.imta.fr> Date: Wed, 21 May 2025 12:55:34 +0200 Subject: [PATCH] Version finale avec filtre fonctionnel --- proj/AudioProc.cache/sim/ssm.db | 11 + proj/AudioProc.cache/wt/project.wpc | 3 + proj/AudioProc.cache/wt/synthesis.wdf | 52 + proj/AudioProc.cache/wt/synthesis_details.wdf | 3 + proj/AudioProc.cache/wt/webtalk_pa.xml | 21 + proj/AudioProc.cache/wt/xsim.wdf | 4 + proj/AudioProc.hw/AudioProc.lpr | 9 + proj/AudioProc.hw/hw_1/hw.xml | 18 + proj/AudioProc.ip_user_files/README.txt | 1 + .../ip/clk_wiz_0/clk_wiz_0.vho | 103 + proj/AudioProc.runs/.jobs/vrs_config_1.xml | 12 + proj/AudioProc.runs/.jobs/vrs_config_2.xml | 15 + .../impl_1/.Vivado_Implementation.queue.rst | 0 .../impl_1/.init_design.begin.rst | 5 + .../impl_1/.init_design.end.rst | 0 .../impl_1/.opt_design.begin.rst | 5 + .../AudioProc.runs/impl_1/.opt_design.end.rst | 0 .../impl_1/.place_design.begin.rst | 5 + .../impl_1/.place_design.end.rst | 0 .../impl_1/.route_design.begin.rst | 5 + .../impl_1/.route_design.end.rst | 0 proj/AudioProc.runs/impl_1/.vivado.begin.rst | 5 + proj/AudioProc.runs/impl_1/.vivado.end.rst | 0 .../impl_1/.write_bitstream.begin.rst | 5 + .../impl_1/.write_bitstream.end.rst | 0 proj/AudioProc.runs/impl_1/ISEWrap.js | 270 ++ proj/AudioProc.runs/impl_1/ISEWrap.sh | 85 + proj/AudioProc.runs/impl_1/audioProc.bin | Bin 0 -> 9730652 bytes proj/AudioProc.runs/impl_1/audioProc.bit | Bin 0 -> 9730758 bytes proj/AudioProc.runs/impl_1/audioProc.tcl | 284 ++ proj/AudioProc.runs/impl_1/audioProc.vdi | 753 +++ .../impl_1/audioProc_bus_skew_routed.pb | Bin 0 -> 30 bytes .../impl_1/audioProc_bus_skew_routed.rpt | 16 + .../impl_1/audioProc_bus_skew_routed.rpx | Bin 0 -> 1091 bytes .../audioProc_clock_utilization_routed.rpt | 252 + .../impl_1/audioProc_control_sets_placed.rpt | 108 + .../impl_1/audioProc_drc_opted.pb | Bin 0 -> 37 bytes .../impl_1/audioProc_drc_opted.rpt | 102 + .../impl_1/audioProc_drc_opted.rpx | Bin 0 -> 9906 bytes .../impl_1/audioProc_drc_routed.pb | Bin 0 -> 37 bytes .../impl_1/audioProc_drc_routed.rpt | 113 + .../impl_1/audioProc_drc_routed.rpx | Bin 0 -> 11893 bytes .../impl_1/audioProc_io_placed.rpt | 526 +++ .../audioProc_methodology_drc_routed.pb | Bin 0 -> 52 bytes .../audioProc_methodology_drc_routed.rpt | 628 +++ .../audioProc_methodology_drc_routed.rpx | Bin 0 -> 115699 bytes proj/AudioProc.runs/impl_1/audioProc_opt.dcp | Bin 0 -> 333352 bytes .../impl_1/audioProc_placed.dcp | Bin 0 -> 541642 bytes .../impl_1/audioProc_power_routed.rpt | 161 + .../impl_1/audioProc_power_routed.rpx | Bin 0 -> 527512 bytes .../impl_1/audioProc_power_summary_routed.pb | Bin 0 -> 867 bytes .../impl_1/audioProc_route_status.pb | Bin 0 -> 44 bytes .../impl_1/audioProc_route_status.rpt | 11 + .../impl_1/audioProc_routed.dcp | Bin 0 -> 617621 bytes .../impl_1/audioProc_timing_summary_routed.pb | Bin 0 -> 109 bytes .../audioProc_timing_summary_routed.rpt | 2951 ++++++++++++ .../audioProc_timing_summary_routed.rpx | Bin 0 -> 248762 bytes .../impl_1/audioProc_utilization_placed.pb | Bin 0 -> 276 bytes .../impl_1/audioProc_utilization_placed.rpt | 229 + proj/AudioProc.runs/impl_1/clockInfo.txt | 10 + proj/AudioProc.runs/impl_1/gen_run.xml | 200 + proj/AudioProc.runs/impl_1/htr.txt | 10 + proj/AudioProc.runs/impl_1/init_design.pb | Bin 0 -> 4822 bytes proj/AudioProc.runs/impl_1/opt_design.pb | Bin 0 -> 15253 bytes proj/AudioProc.runs/impl_1/place_design.pb | Bin 0 -> 23010 bytes proj/AudioProc.runs/impl_1/project.wdf | 32 + proj/AudioProc.runs/impl_1/route_design.pb | Bin 0 -> 16818 bytes proj/AudioProc.runs/impl_1/rundef.js | 45 + proj/AudioProc.runs/impl_1/runme.bat | 12 + proj/AudioProc.runs/impl_1/runme.log | 743 +++ proj/AudioProc.runs/impl_1/runme.sh | 44 + proj/AudioProc.runs/impl_1/vivado.jou | 24 + proj/AudioProc.runs/impl_1/vivado.pb | Bin 0 -> 112 bytes proj/AudioProc.runs/impl_1/write_bitstream.pb | Bin 0 -> 10106 bytes .../synth_1/.Vivado_Synthesis.queue.rst | 0 .../synth_1/.Xil/audioProc_propImpl.xdc | 55 + .../synth_1/.nfs0000000000db377400000226 | Bin 0 -> 7813 bytes proj/AudioProc.runs/synth_1/.vivado.begin.rst | 5 + proj/AudioProc.runs/synth_1/.vivado.end.rst | 0 proj/AudioProc.runs/synth_1/ISEWrap.js | 270 ++ proj/AudioProc.runs/synth_1/ISEWrap.sh | 85 + .../synth_1/__synthesis_is_complete__ | 0 proj/AudioProc.runs/synth_1/audioProc.dcp | Bin 0 -> 159231 bytes proj/AudioProc.runs/synth_1/audioProc.tcl | 129 + proj/AudioProc.runs/synth_1/audioProc.vds | 465 ++ .../synth_1/audioProc_utilization_synth.pb | Bin 0 -> 276 bytes .../synth_1/audioProc_utilization_synth.rpt | 194 + proj/AudioProc.runs/synth_1/dont_touch.xdc | 7 + proj/AudioProc.runs/synth_1/gen_run.xml | 122 + proj/AudioProc.runs/synth_1/htr.txt | 10 + proj/AudioProc.runs/synth_1/rundef.js | 41 + proj/AudioProc.runs/synth_1/runme.bat | 12 + proj/AudioProc.runs/synth_1/runme.log | 455 ++ proj/AudioProc.runs/synth_1/runme.sh | 40 + proj/AudioProc.runs/synth_1/vivado.jou | 24 + proj/AudioProc.runs/synth_1/vivado.pb | Bin 0 -> 51588 bytes .../sim_1/behav/xsim/compile.log | 6 + .../AudioProc.sim/sim_1/behav/xsim/compile.sh | 24 + .../sim_1/behav/xsim/elaborate.log | 19 + .../sim_1/behav/xsim/elaborate.sh | 22 + .../sim_1/behav/xsim/simulate.log | 0 .../sim_1/behav/xsim/simulate.sh | 22 + .../sim_1/behav/xsim/tb_firUnit.tcl | 11 + .../sim_1/behav/xsim/tb_firUnit_behav.wdb | Bin 0 -> 22081 bytes .../sim_1/behav/xsim/tb_firUnit_vhdl.prj | 9 + proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb | Bin 0 -> 1988 bytes .../tb_firUnit_behav/Compile_Options.txt | 1 + .../tb_firUnit_behav/TempBreakPointFile.txt | 1 + .../tb_firUnit_behav/obj/xsim_0.lnx64.o | Bin 0 -> 19816 bytes .../xsim.dir/tb_firUnit_behav/obj/xsim_1.c | 132 + .../tb_firUnit_behav/obj/xsim_1.lnx64.o | Bin 0 -> 5704 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.dbg | Bin 0 -> 10928 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.mem | Bin 0 -> 3741 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.reloc | Bin 0 -> 1165 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.rlx | 12 + .../xsim/xsim.dir/tb_firUnit_behav/xsim.rtti | Bin 0 -> 603 bytes .../xsim.dir/tb_firUnit_behav/xsim.svtype | Bin 0 -> 16 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.type | Bin 0 -> 7048 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg | Bin 0 -> 23408 bytes .../xsim.dir/tb_firUnit_behav/xsimcrash.log | 0 .../xsim/xsim.dir/tb_firUnit_behav/xsimk | Bin 0 -> 31968 bytes .../xsim.dir/tb_firUnit_behav/xsimkernel.log | 4 + .../xsim.dir/xil_defaultlib/controlunit.vdb | Bin 0 -> 8556 bytes .../xsim/xsim.dir/xil_defaultlib/firunit.vdb | Bin 0 -> 10477 bytes .../xsim.dir/xil_defaultlib/operativeunit.vdb | Bin 0 -> 14641 bytes .../xsim.dir/xil_defaultlib/tb_firunit.vdb | Bin 0 -> 7608 bytes .../xil_defaultlib/xil_defaultlib.rlx | 8 + proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini | 490 ++ .../sim_1/behav/xsim/xsim.ini.bak | 490 ++ proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log | 6 + proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb | Bin 0 -> 802 bytes proj/AudioProc.xpr | 299 ++ src/hdl/operativeUnit.v | 4199 ----------------- src/hdl/operativeUnit.vhd | 53 +- 134 files changed, 11398 insertions(+), 4215 deletions(-) create mode 100644 proj/AudioProc.cache/sim/ssm.db create mode 100644 proj/AudioProc.cache/wt/project.wpc create mode 100644 proj/AudioProc.cache/wt/synthesis.wdf create mode 100644 proj/AudioProc.cache/wt/synthesis_details.wdf create mode 100644 proj/AudioProc.cache/wt/webtalk_pa.xml create mode 100644 proj/AudioProc.cache/wt/xsim.wdf create mode 100644 proj/AudioProc.hw/AudioProc.lpr create mode 100644 proj/AudioProc.hw/hw_1/hw.xml create mode 100644 proj/AudioProc.ip_user_files/README.txt create mode 100755 proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho create mode 100644 proj/AudioProc.runs/.jobs/vrs_config_1.xml create mode 100644 proj/AudioProc.runs/.jobs/vrs_config_2.xml create mode 100644 proj/AudioProc.runs/impl_1/.Vivado_Implementation.queue.rst create mode 100644 proj/AudioProc.runs/impl_1/.init_design.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.init_design.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.opt_design.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.opt_design.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.place_design.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.place_design.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.route_design.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.route_design.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.vivado.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.vivado.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.write_bitstream.end.rst create mode 100755 proj/AudioProc.runs/impl_1/ISEWrap.js create mode 100755 proj/AudioProc.runs/impl_1/ISEWrap.sh create mode 100644 proj/AudioProc.runs/impl_1/audioProc.bin create mode 100644 proj/AudioProc.runs/impl_1/audioProc.bit create mode 100644 proj/AudioProc.runs/impl_1/audioProc.tcl create mode 100644 proj/AudioProc.runs/impl_1/audioProc.vdi create mode 100644 proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_opted.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_opt.dcp create mode 100644 proj/AudioProc.runs/impl_1/audioProc_placed.dcp create mode 100644 proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_power_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_power_summary_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_route_status.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_route_status.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_routed.dcp create mode 100644 proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_utilization_placed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt create mode 100644 proj/AudioProc.runs/impl_1/clockInfo.txt create mode 100644 proj/AudioProc.runs/impl_1/gen_run.xml create mode 100644 proj/AudioProc.runs/impl_1/htr.txt create mode 100644 proj/AudioProc.runs/impl_1/init_design.pb create mode 100644 proj/AudioProc.runs/impl_1/opt_design.pb create mode 100644 proj/AudioProc.runs/impl_1/place_design.pb create mode 100644 proj/AudioProc.runs/impl_1/project.wdf create mode 100644 proj/AudioProc.runs/impl_1/route_design.pb create mode 100644 proj/AudioProc.runs/impl_1/rundef.js create mode 100644 proj/AudioProc.runs/impl_1/runme.bat create mode 100644 proj/AudioProc.runs/impl_1/runme.log create mode 100755 proj/AudioProc.runs/impl_1/runme.sh create mode 100644 proj/AudioProc.runs/impl_1/vivado.jou create mode 100644 proj/AudioProc.runs/impl_1/vivado.pb create mode 100644 proj/AudioProc.runs/impl_1/write_bitstream.pb create mode 100644 proj/AudioProc.runs/synth_1/.Vivado_Synthesis.queue.rst create mode 100644 proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc create mode 100644 proj/AudioProc.runs/synth_1/.nfs0000000000db377400000226 create mode 100644 proj/AudioProc.runs/synth_1/.vivado.begin.rst create mode 100644 proj/AudioProc.runs/synth_1/.vivado.end.rst create mode 100755 proj/AudioProc.runs/synth_1/ISEWrap.js create mode 100755 proj/AudioProc.runs/synth_1/ISEWrap.sh create mode 100644 proj/AudioProc.runs/synth_1/__synthesis_is_complete__ create mode 100644 proj/AudioProc.runs/synth_1/audioProc.dcp create mode 100644 proj/AudioProc.runs/synth_1/audioProc.tcl create mode 100644 proj/AudioProc.runs/synth_1/audioProc.vds create mode 100644 proj/AudioProc.runs/synth_1/audioProc_utilization_synth.pb create mode 100644 proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt create mode 100644 proj/AudioProc.runs/synth_1/dont_touch.xdc create mode 100644 proj/AudioProc.runs/synth_1/gen_run.xml create mode 100644 proj/AudioProc.runs/synth_1/htr.txt create mode 100644 proj/AudioProc.runs/synth_1/rundef.js create mode 100644 proj/AudioProc.runs/synth_1/runme.bat create mode 100644 proj/AudioProc.runs/synth_1/runme.log create mode 100755 proj/AudioProc.runs/synth_1/runme.sh create mode 100644 proj/AudioProc.runs/synth_1/vivado.jou create mode 100644 proj/AudioProc.runs/synth_1/vivado.pb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/compile.log create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/compile.sh create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/simulate.log create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb create mode 100644 proj/AudioProc.xpr delete mode 100644 src/hdl/operativeUnit.v diff --git a/proj/AudioProc.cache/sim/ssm.db b/proj/AudioProc.cache/sim/ssm.db new file mode 100644 index 0000000..fc0cdb2 --- /dev/null +++ b/proj/AudioProc.cache/sim/ssm.db @@ -0,0 +1,11 @@ +################################################################################ +# DONOT REMOVE THIS FILE +# Unified simulation database file for selected simulation model for IP +# +# File: ssm.db (Wed May 21 12:08:24 2025) +# +# This file is generated by the unified simulation automation and contains the +# selected simulation model information for the IP/BD instances. +# DONOT REMOVE THIS FILE +################################################################################ +clk_wiz_0, diff --git a/proj/AudioProc.cache/wt/project.wpc b/proj/AudioProc.cache/wt/project.wpc new file mode 100644 index 0000000..9b34209 --- /dev/null +++ b/proj/AudioProc.cache/wt/project.wpc @@ -0,0 +1,3 @@ +version:1 +6d6f64655f636f756e7465727c4755494d6f6465:1 +eof: diff --git a/proj/AudioProc.cache/wt/synthesis.wdf b/proj/AudioProc.cache/wt/synthesis.wdf new file mode 100644 index 0000000..35f7ee2 --- /dev/null +++ b/proj/AudioProc.cache/wt/synthesis.wdf @@ -0,0 +1,52 @@ +version:1 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761323030747362673438342d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:617564696f50726f63:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7668646c5f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e6372656d656e74616c5f6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c696e74:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66696c65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f77:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f775f73657474696e6773:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:5b7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c75745f63617363616465:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6f73:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:343030:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:35:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:6f6e655f686f74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:5b7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:6f6666:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d676c6f62616c5f726574696d696e67:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d657374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a343273:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:323735302e3237374d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:313034372e3931304d42:00:00 +eof:3779393723 diff --git a/proj/AudioProc.cache/wt/synthesis_details.wdf b/proj/AudioProc.cache/wt/synthesis_details.wdf new file mode 100644 index 0000000..78f8d66 --- /dev/null +++ b/proj/AudioProc.cache/wt/synthesis_details.wdf @@ -0,0 +1,3 @@ +version:1 +73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 +eof:2511430288 diff --git a/proj/AudioProc.cache/wt/webtalk_pa.xml b/proj/AudioProc.cache/wt/webtalk_pa.xml new file mode 100644 index 0000000..f557948 --- /dev/null +++ b/proj/AudioProc.cache/wt/webtalk_pa.xml @@ -0,0 +1,21 @@ +<?xml version="1.0" encoding="UTF-8" ?> +<document> +<!--The data in this file is primarily intended for consumption by Xilinx tools. +The structure and the elements are likely to change over the next few releases. +This means code written to parse this file will need to be revisited each subsequent release.--> +<application name="pa" timeStamp="Wed May 21 12:32:05 2025"> +<section name="Project Information" visible="false"> +<property name="ProjectID" value="e15922c4b630418a92c4b5a93814a163" type="ProjectID"/> +<property name="ProjectIteration" value="1" type="ProjectIteration"/> +</section> +<section name="PlanAhead Usage" visible="true"> +<item name="Project Data"> +<property name="SrcSetCount" value="1" type="SrcSetCount"/> +<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/> +<property name="DesignMode" value="RTL" type="DesignMode"/> +<property name="SynthesisStrategy" value="Flow_PerfOptimized_High" type="SynthesisStrategy"/> +<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/> +</item> +</section> +</application> +</document> diff --git a/proj/AudioProc.cache/wt/xsim.wdf b/proj/AudioProc.cache/wt/xsim.wdf new file mode 100644 index 0000000..50afb2c --- /dev/null +++ b/proj/AudioProc.cache/wt/xsim.wdf @@ -0,0 +1,4 @@ +version:1 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:64656661756c743a3a6265686176696f72616c:00:00 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 +eof:241934075 diff --git a/proj/AudioProc.hw/AudioProc.lpr b/proj/AudioProc.hw/AudioProc.lpr new file mode 100644 index 0000000..aa18adc --- /dev/null +++ b/proj/AudioProc.hw/AudioProc.lpr @@ -0,0 +1,9 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<labtools version="1" minor="0"> + <HWSession Dir="hw_1" File="hw.xml"/> +</labtools> diff --git a/proj/AudioProc.hw/hw_1/hw.xml b/proj/AudioProc.hw/hw_1/hw.xml new file mode 100644 index 0000000..2cc8b5c --- /dev/null +++ b/proj/AudioProc.hw/hw_1/hw.xml @@ -0,0 +1,18 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<hwsession version="1" minor="2"> + <device name="xc7a200t_0" gui_info=""/> + <ObjectList object_type="hw_device" gui_info=""> + <Object name="xc7a200t_0" gui_info=""> + <Properties Property="FULL_PROBES.FILE" value=""/> + <Properties Property="PROBES.FILE" value=""/> + <Properties Property="PROGRAM.HW_BITSTREAM" value="$_project_name_.runs/impl_1/audioProc.bit"/> + <Properties Property="SLR.COUNT" value="1"/> + </Object> + </ObjectList> + <probeset name="hw project" active="false"/> +</hwsession> diff --git a/proj/AudioProc.ip_user_files/README.txt b/proj/AudioProc.ip_user_files/README.txt new file mode 100644 index 0000000..023052c --- /dev/null +++ b/proj/AudioProc.ip_user_files/README.txt @@ -0,0 +1 @@ +The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho b/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho new file mode 100755 index 0000000..c6b126b --- /dev/null +++ b/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho @@ -0,0 +1,103 @@ +-- +-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-- +------------------------------------------------------------------------------ +-- User entered comments +------------------------------------------------------------------------------ +-- None +-- +------------------------------------------------------------------------------ +-- Output Output Phase Duty Cycle Pk-to-Pk Phase +-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) +------------------------------------------------------------------------------ +-- CLK_OUT1___100.000______0.000______50.0______151.366____132.063 +-- CLK_OUT2___200.000______0.000______50.0______132.221____132.063 +-- CLK_OUT3____12.000______0.000______50.0______231.952____132.063 +-- CLK_OUT4____50.000______0.000______50.0______174.353____132.063 +-- +------------------------------------------------------------------------------ +-- Input Clock Freq (MHz) Input Jitter (UI) +------------------------------------------------------------------------------ +-- __primary_________100.000____________0.010 + + +-- The following code must appear in the VHDL architecture header: +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +component clk_wiz_0 +port + (-- Clock in ports + clk_in1 : in std_logic; + -- Clock out ports + clk_out1 : out std_logic; + clk_out2 : out std_logic; + clk_out3 : out std_logic; + clk_out4 : out std_logic; + -- Status and control signals + reset : in std_logic; + locked : out std_logic + ); +end component; + +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : clk_wiz_0 + port map ( + + -- Clock in ports + clk_in1 => clk_in1, + -- Clock out ports + clk_out1 => clk_out1, + clk_out2 => clk_out2, + clk_out3 => clk_out3, + clk_out4 => clk_out4, + -- Status and control signals + reset => reset, + locked => locked + ); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ diff --git a/proj/AudioProc.runs/.jobs/vrs_config_1.xml b/proj/AudioProc.runs/.jobs/vrs_config_1.xml new file mode 100644 index 0000000..be8c33f --- /dev/null +++ b/proj/AudioProc.runs/.jobs/vrs_config_1.xml @@ -0,0 +1,12 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="synth_1" LaunchDir="/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/proj/AudioProc.runs/.jobs/vrs_config_2.xml b/proj/AudioProc.runs/.jobs/vrs_config_2.xml new file mode 100644 index 0000000..4f2a328 --- /dev/null +++ b/proj/AudioProc.runs/.jobs/vrs_config_2.xml @@ -0,0 +1,15 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="synth_1" LaunchDir="/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> + <Run Id="impl_1" LaunchDir="/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream"> + <Parent Id="synth_1"/> + </Run> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/proj/AudioProc.runs/impl_1/.Vivado_Implementation.queue.rst b/proj/AudioProc.runs/impl_1/.Vivado_Implementation.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.init_design.begin.rst b/proj/AudioProc.runs/impl_1/.init_design.begin.rst new file mode 100644 index 0000000..2659029 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.init_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="j24kopp" Host="" Pid="1258769"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.init_design.end.rst b/proj/AudioProc.runs/impl_1/.init_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.opt_design.begin.rst b/proj/AudioProc.runs/impl_1/.opt_design.begin.rst new file mode 100644 index 0000000..2659029 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.opt_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="j24kopp" Host="" Pid="1258769"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.opt_design.end.rst b/proj/AudioProc.runs/impl_1/.opt_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.place_design.begin.rst b/proj/AudioProc.runs/impl_1/.place_design.begin.rst new file mode 100644 index 0000000..2659029 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.place_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="j24kopp" Host="" Pid="1258769"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.place_design.end.rst b/proj/AudioProc.runs/impl_1/.place_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.route_design.begin.rst b/proj/AudioProc.runs/impl_1/.route_design.begin.rst new file mode 100644 index 0000000..2659029 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.route_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="j24kopp" Host="" Pid="1258769"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.route_design.end.rst b/proj/AudioProc.runs/impl_1/.route_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.vivado.begin.rst b/proj/AudioProc.runs/impl_1/.vivado.begin.rst new file mode 100644 index 0000000..f9822f7 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.vivado.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="j24kopp" Host="fl-tp-br-544" Pid="1258698" HostCore="4" HostMemory="16296780"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.vivado.end.rst b/proj/AudioProc.runs/impl_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst b/proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst new file mode 100644 index 0000000..2659029 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="j24kopp" Host="" Pid="1258769"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.write_bitstream.end.rst b/proj/AudioProc.runs/impl_1/.write_bitstream.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/ISEWrap.js b/proj/AudioProc.runs/impl_1/ISEWrap.js new file mode 100755 index 0000000..61806d0 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/ISEWrap.js @@ -0,0 +1,270 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) { + if ( ISEScriptArgs(loopi) == "-quiet" ) { + ISELogEcho = false; + break; + } + } + + // 4. WSH version check + var ISEOptimalVersionWSH = 5.6; + var ISECurrentVersionWSH = WScript.Version; + if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) { + + ISEStdErr( "" ); + ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " + + ISEOptimalVersionWSH + " or higher. Downloads" ); + ISEStdErr( " for upgrading your Windows Scripting Host can be found here: " ); + ISEStdErr( " http://msdn.microsoft.com/downloads/list/webdev.asp" ); + ISEStdErr( "" ); + + ISEOldVersionWSH = true; + } + +} + +function ISEStep( ISEProg, ISEArgs ) { + + // CHECK for a STOP FILE + if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) { + ISEStdErr( "" ); + ISEStdErr( "*** Halting run - EA reset detected ***" ); + ISEStdErr( "" ); + WScript.Quit( 1 ); + } + + // WRITE STEP HEADER to LOG + ISEStdOut( "" ); + ISEStdOut( "*** Running " + ISEProg ); + ISEStdOut( " with args " + ISEArgs ); + ISEStdOut( "" ); + + // LAUNCH! + var ISEExitCode = ISEExec( ISEProg, ISEArgs ); + if ( ISEExitCode != 0 ) { + WScript.Quit( ISEExitCode ); + } + +} + +function ISEExec( ISEProg, ISEArgs ) { + + var ISEStep = ISEProg; + if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") { + ISEProg += ".bat"; + } + + var ISECmdLine = ISEProg + " " + ISEArgs; + var ISEExitCode = 1; + + if ( ISEOldVersionWSH ) { // WSH 5.1 + + // BEGIN file creation + ISETouchFile( ISEStep, "begin" ); + + // LAUNCH! + ISELogFileStr.Close(); + ISECmdLine = + "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var wbemFlagReturnImmediately = 0x10; + var wbemFlagForwardOnly = 0x20; + var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); + var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); + var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); + var NOC = 0; + var NOLP = 0; + var TPM = 0; + var cpuInfos = new Enumerator(processor); + for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { + var cpuInfo = cpuInfos.item(); + NOC += cpuInfo.NumberOfCores; + NOLP += cpuInfo.NumberOfLogicalProcessors; + } + var csInfos = new Enumerator(computerSystem); + for(;!csInfos.atEnd(); csInfos.moveNext()) { + var csInfo = csInfos.item(); + TPM += csInfo.TotalPhysicalMemory; + } + + var ISEHOSTCORE = NOLP + var ISEMEMTOTAL = TPM + + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" ); + ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" ); + ISEBeginFile.WriteLine( " <Process Command=\"" + ISEProg + + "\" Owner=\"" + ISEUser + + "\" Host=\"" + ISEHost + + "\" Pid=\"" + ISEPid + + "\" HostCore=\"" + ISEHOSTCORE + + "\" HostMemory=\"" + ISEMEMTOTAL + + "\">" ); + ISEBeginFile.WriteLine( " </Process>" ); + ISEBeginFile.WriteLine( "</ProcessHandle>" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/proj/AudioProc.runs/impl_1/ISEWrap.sh b/proj/AudioProc.runs/impl_1/ISEWrap.sh new file mode 100755 index 0000000..05d5381 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/ISEWrap.sh @@ -0,0 +1,85 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +# + +cmd_exists() +{ + command -v "$1" >/dev/null 2>&1 +} + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! + +HostNameFile=/proc/sys/kernel/hostname +if cmd_exists hostname +then +ISE_HOST=$(hostname) +elif cmd_exists uname +then +ISE_HOST=$(uname -n) +elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] +then +ISE_HOST=$(cat $HostNameFile) +elif [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi + +ISE_USER=$USER + +ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) +ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) + +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "<?xml version=\"1.0\"?>" >> $ISE_BEGINFILE +echo "<ProcessHandle Version=\"1\" Minor=\"0\">" >> $ISE_BEGINFILE +echo " <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE +echo " </Process>" >> $ISE_BEGINFILE +echo "</ProcessHandle>" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/proj/AudioProc.runs/impl_1/audioProc.bin b/proj/AudioProc.runs/impl_1/audioProc.bin new file mode 100644 index 0000000000000000000000000000000000000000..215328cfb557f34aaf5c8617f323111e8e188d82 GIT binary patch literal 9730652 zcmexQ05C8x>=smFaDgdXH8V6#fq{X+fJuRo0ZcPMXodzb4VHtj*+Fa&HegBs%NZ~# zFfuXNKQ&-H0OdC@GAAcYHh`$-1gm8L$+0jRFf3qXU|?l50P`6b445JIfYji_1`JSl zLCj<XsWo75U<go^2>`iw6c4!&V5QK-%sBMJ#L;OS$_WVzFcDILB#+BaFmWom3CYG0 z$>cpX@ZM$_cqfdyfJPzUKqGVMZLG`#dfP~S`{)%Hq!ja%_>!Am9%5i%ptYZdOVf-0 z93?S2IP?lYozVcLX+?3+%3w5eMVFun(2$GEPq@Ts=#){TM?+vV1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qSO~~p8uA`SSw;z3)lEwu(b{~F+a-8GB>gZw{6s(BjM_9B0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UoltzyY+A286LmQbCN?<|CXaegna#EsJ)3a%5p(U}a!f zz`&r;L_<H&+I+av=@%!o3p3FEFD4KH_thw4Gz3ONU^E0qLtr!nMnhmU1V%$(Gz18T zfZSQaY6e=x=seg!$H1uld}juK7{Jbn82m0Bb>V0TjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDKtf=!tQ8mSg}8?rBrZSU5~qfpqh<{6 z5Ev|L#aVl(7tXl+giD-yHjkP#8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1O_Gq_`L?kUP^3b zp+xhj-q8>k4S~@R7!85Z5EvmLAn!f&JjyZjoIL8{(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_ z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Au!@YKyDD; ze=>T%$%s#uqX94)0;3@?8UmvsK!p(C8-(|tjNWfTg@_$BVl)IsLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb23l z5Re^!`%gyiHyLD!VAMIIAut*OqaiRF0>d%{cn9GAlhON4hGkM3_0(txjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Ev36z(a%k8AtD591>AK>d4U$ z7!85Z5Eu=CVIBf9G`OE}^!~+Ro@hqBHyQ$?Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z3PwX<Gz3ONU^E0qLtr!nhHwZ-lW{-e=>3aBIKoGrJ{kg}Aut*OqaiS2LV%l$`x!^? zUmP*XW7MOgAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Awabd;KFkM$>{wiR14lwgGNJOGz3ONU^E0qLtwx{ zKnlzKC!_b93|MrGT09y8qaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O3=9k`XraKVg(fh{ z9Swoe5Eu=C(GVC7fzc2ck|Dr>=4wf8G=Wj>Xb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4FRfz0Qx;ZgbbA!y(frJ5RJ-@hQMeDjE2By2#kinpa=o<dw>WzievPiAVNVjDnA+m zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFn}RI>ivs^ zoXXBcNX4l9Xb6mkz-S1JhQMeDjD`R`LV(o!7YVsZoSTq}QTfpj7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85p7y{J3--J*YiH+WWLMVtv<wrwcGz3ONU^E0qLx3tFK<)cY2sw&v^!^h<K{P5q z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1cqb?(EEN9LV+YYdjAQbAR3h)4S~@R7!85Z5Eu;sqC$Y)_nQ!M z6zk~yCxn7%RDLuBMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kgRo)8#p_b=jcDp-p76_^?&MnhmU1V%$(Gz3ONU^D~>gur0Cf02N58H8UGQZXt& z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(z zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^D~<Gz2&pAaFn(F>3i} z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOP zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_ z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Fii&%mn1=EzL^6!olWV0?r+k9u0xf5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C!4?9N zCm9%+2AiWs-7^{jgDeC%PctxZ3^F&3I%hNlMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E1% z9RiY<7+9!n=BRn2Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmwhX5m*>xK}& zOOb)Wk%fVQWeB+$9NHM}Z2$`+h#|{oyvYyQr^UoHWc`4MhEdjN2#kinXb6mkz-S22 zA_TaghQeqlca$0pfzc2c4S~@R7!85Z5Ez*uFwke6uy&5ja3A&c&<cTpKI=rZduW9h zQLc4>s)Er_?vSAl3>lY>I&?GyMnhmU1V%$(Gz3ONV5EltY|0cy54G?ZZFdc|7#nr( zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz`%w8rDv5; zs-I%ti#?#&h(T?D!k~5?cE>TF#V$T7IvN6_Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqai?f2!PHiVP$Xtt*QrM3<E*(3=Ci?FoCWBB+rUR9$h`P`Fvs2HW8P3VDEzoTq?*F z2ZbTBUeG=(CMJdj3=9fQ$l@?INFH+WG;Vn&up;d8Fmq@{OM>mDmFei_(#DTqFMtVj z`$qYrAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nXb}Pfe((?hPl<F9P%tVz8UmvsFd71* zAut*OqalDb1P1#4MXZiO5@Z>U_cJ2-Z<IM20;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Awb;_80_~i67sEZ79ka*@}nUz8UmvsFbYOPU}T2C zV84HnP^>U#6H+lMKN<p~Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAK zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl} zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_ z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85J8v+hcr@?3_7fJ~p zfHJ_8Lj#z?AaIFeC>&S;W*pYz5=U2#OC7p6Iv?E}7$1i^MiwTh3K$LLLMg`6PzH#? zVFm+C9GwQ~!G~e$@u|Tlhf6<99Gylp3wtU=Q-s7FEb66@9D>9|aw%@+U{jCVrK2*V zAut*OqaiRF0;3^7ehA=bMB+4Xu&76_;|GhIV1Y)edtmxT>Cq4v4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC70sJ8#&xK!pFv+qECdZ7rWi$jvLtr!nMnhmU1O|Bs z@N*Ax2O>F-1BpG#91Vfd5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O!z~2(2GKo1qxS#}x70Q2 zpV1H)4S~@R7!84e3<0@8bWhOeJwOAQ5=QMF4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd70QBLsNqct7Ll{fi?bO^y0;Gz3ONU^E0qLtt=(fGi#F zXB@qMad5=>s4GT8U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinK!kt{74JV8z29UYVsO;X(GVC7fzc2c4S~@R zz#alTRJ{LW^nMfUVLU228UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtyZP05=KuGmhTBIC$c1)Fq=KFd71*Aut*Oqai>j1f)s0pK<j5MM9xD zDnA+mqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(IE4TgJCbi2kl3Tl0Sf^x<V&&y2Fw|w z7LSI&Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1Jh5(I1U;)%z7!BoOrEsaiC63jQQNhs=7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GZ}12rv_GBq#AoM^%o7z-S1JhQMeDjE2By z2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2cfDqtfN3yg5i9O03un^#4L9$u^i9O03 z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFgQYhnRsV#60dYr<!A_uhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMniyV zfQuc;s0JkVD09F<fQtpmY5^qnD04IfMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(aD)Ie@y_5RUg@aH(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*AwcyIkV3jZgNf><j~X}{0;3@?8UnNl0WJ=N zxr_+rC~GtXMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)mo zz-S1JhQMeDjE2By2n>@DSOE10jD~WtQn=LM631%DsNiS_jE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVE1At1$x@H!KMIm#Lhfzc2^3IQ$-1cwp99A%A$z-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC70h)w>{3)6kOIJf#=xW=jWuqZ5 z8UmvsFd71*Auu#TfdBN+a3?-ja^RC3l^YF#(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsKv4)taU!%cA(*4A z(GVC70i+P%LfYiSh$KGB91Vfd5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Ez^xz&8Z$XB@qMad4)c zQCE$Iz-S1JhQMeD4DJw+8v^$;B5h3`+<`gjy3r6A4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71bJ_L9N!TpS*_b(3mWHRc&(GVC7fzc2c4S^vT z0<wePe#X)J7l&ZN8Flh#2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kgR%|k$n z6JZ4tf;q|>4S~@RKnejaq(z8~NaCZ+(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsK${R)05ujyL%CQfTxxKM zV>M({a5MylT?oj~;r^4+`%Q*jvKjTzXb6mkz-S1JhQJUA0UkQse}c5NcnE~Zs1rv+ zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU=)moz{m&z?vs@JlZkR8MokzEfzc2c4FQruK>7qp%CRbD#40)} zI2r<@Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*AwY`|km5v`I=U|r5f-DY(GWlm0WJ;%Z*&hFA}mH( zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhnvgaG$R%6-a2 zxe=o#jE2CV4gu*Clsk`+awA4f7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0wjlk6emK<=(=A-Sd6kpLjXAhxHu5J(RIIwuoz{HhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu;sT89AlNy=?tqTGm46GlT|P=|o@3Cf+vNVyTCCX9x_Xb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz>p0ADNcmbNB1Qn!eW#)8Un~6z{P>!jqXcCgvBUpGz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jh5)TXU;)%% z7!BoOrEsaiC63jQQNhs=7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c0wEy8z`&ry zz{J1+##kM!h*fkD3NkW4;D9-e2@0v=009OD1_dOOG&&F*0VD|tByofaa#;;r5J;|m zbbS|iArM`Bc<@1S1n~)DkFY<41tO862gDu4bPNFwcz$5)VfI5<j1WhNjj~2VU^E0q zLtr!nMniy@5a2#Zj4J$UKy7XODn?~TLtto!fb<E<-Oot55u+xIhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2CF4FM@mgwsd&B_hINlr<Ux$RWVRf#8kqOGJdlC~GtXMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb8|c1h`L9ZUYnLMvR&;8Ulkl1f)+;?mR}yjTkjyGz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAK zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb2415Rl?TIDK?qA|fnCS)(C<90FV%2;S(vL_}DOvPMH-Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinNC^S%V^r{|0~O2|HDWXb25|_C=957jIipS+ z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(Gb8J0#cj^X(j}7 zlr<UxqalD40$dyj4kLm&${G!U(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_ z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Ui#5fdx==VKkJBmBOV4mpE2KMg>Ph zU^E0qLxAQXAV7u9S<Ezd<){UtAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qVhBiaB7Dt+V2-jz zLtr!nkV1fq1HoZLFh^OVAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFz7>o`y}N~99?Hjc^HnGFt|fN`UK_f8(n8ic^HnGFd71*Aut*OqaiRF z0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb22c2uN`vY-U0*M_HpGFd70#A;86f z;4mVXqpZ;o7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu;sB13@tB#{d7C>z}ei6?MIrG`Za zNS~nG8>9OmDG$R@6GlT|Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q z!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinKmjREgw0F{<|u141V%#uDFnDU5FADXbCfk20;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsKx7DTpCnQt9%ZBZAn^naRw)*!D2#@3p_Cw$hEUkmFfiZ}hv*t5NeuzmE=3rP zs-NowG9SAd1_oT>5WV<iA!<g+(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0)sOIq&N}oVnQ%SS)(B^8Ujcmz{P>!Fd~?vtkDn{4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UpYTfbCL*(QpX};{$}kPvX!E)r4Obs%VrN4S_)&0<c|*FdEIV zMCB74dZ9+)mxU@CrA9+wGz3ONU^E0qLtr!nMnhnPhk%qBN&q;Z@J2bKAut*O;1C$i zC*WWhB}PMFGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( z6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jh5$wg2%uaV$BZFADli%XqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71shJX|&!UQG+bCfk20;3^-6ariv2oA~? zV1)1}YcvE#Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqevfYdKy9K%A4qER(OhX8DsB8*1Wh`gT> zRfc#Tc9V(MKdKTn1h{^q^0D)fHrQcTFe*w?2*7qJ!e~^@$om;lWr*itH<@_-qbf&3 zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz91t0u3OWIH!ZiQ9K#~qalDb z1fT~Ea3*4v9Tgl6fzc2c4S_)&0s;as=Yh`e0AU6O4h9GflNqJy76J|+n<S@!$Wc5R z0;3@?8UjNk1fXqU$wHWWL3IcSkJcx!5E-RMLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz5lE z2zag=K7JYX$!G}BC<Fu~x6sJsQDeyr0VW2{on&eq)kdojaNyiVE2BrvB{KvXB)5~P zb5tAcLLdj(Xz+qn1!R#?HmyPcbcZqv1Bhf`bYTFoSQr_=e8D=9ogf(q29Xdo3=A;Z zff2kd0;F&h(<TH2!Nv+O2r>vTFbK3VFo0+<2C+c7L;%VI@fjFE>LELaKqLr*4HzY; z8v@`m3Tz~{b{FjaUKkBlI7-kq1d!6Yqzi4W8a1782tan2AgQwii7<jnEC%o)2JqdC zh+T~!6-YWpnKTXoVaWb?aGXG`5`dLiFd8a8O3?^#Ff}NFjb>s{Vo+dU5X=JQ0!9W9 zyMX~hb1*e1fOl&#fkYXYI3P4w4Os6eLH!Vb=L7}@1{>-dKWZW|Appt?u=)W+b1-m# za{>c{a0&whg91dJfq_E-Bn#q$L>L%4Ks)6X8lW`|*e2vs4lFQAjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kgRECje9iybCHXqK%E3{sX{ zjtmTp3<49myd4-A8AL>(a>7u0E0-5Y6G$!0lu??RA)v0o(7@2pv=U4+G@oH$kc?E< zaOq%RU|OlJkOCSEKBKPT0-A6<r4AkeW<H~?q0qnpR!dEnjG8qX0z)YTm>)u13!3Le ztY(3Tjgq4wFd71o5D<P0p+Qv%D6c?xqa@8k06d|KumxOsGeAqK-C*$s2oF@bgZUlc z)e&GO0|N&WTLVlvRA`i<UI;h{K$ZzJ2!fZKGB7ZSvM?|@KywIqu`mOJ0HXs7XbB4g z17ty703#?DK^y^U7eiR1B!wXW3Q9(BI5P<_H3%>`FfcJNHZ(FYa4lqFa1h{NU<Bm^ zkSYcyNS0w>n7{;DT;vF{*@3|Ud94dbXcSW^1UMKN7!?>8K?M<`0%W1Ko&dO*5@2y* zU}2JAU{KKD@L&MRaWR6_3V^eS0D}aTTrg@3S_m+L77Bwi14M#_fr0BBn90cCzyMk? z1S!Q>92i(w7+B!73s}i0L8TBt4p!JQEhycx08~f`-D7EDVQ6G(VPI-tU}|V!XlVeg z=>n-?QUHlDXh59;5`r>EDau0tv<V1q3Ti%)d;*nlU;*V51_lNeHV}cV1yuEeFjVCz zMV$};<(CGACXitY4GapP;9mgdOU(gS7z_-cI);O#fuVs36e}RP1}0F}0O@36U}#VP z<tmW=QB2hk04>pGV&G~7Ek|Wy14Rr2gB)8E%YtsEwgv_!mZk=9OF4m|frEjCflYy- zi-CbbgJA(gB~_g=f(-+ua+vp-7#Ktv7#IZ@1R59_m>30>gcukYn8O4ZCNVHL3bHsf zFfax<FbYgy;9v-F5D;KgY+w{%5&)HCjDijVjG#;dZU?~38>Oie0typASwV?GU;%>y zxDm`@3F$9FB9Eydgn<E+FC2Ij7~#3Yg@M6A1!O-&DTp<SsTl%H42%pN3JNSt4WOwk z2H^`J!yA|xnieoH91sC@Oj;N?IanDQ8(<kj1r$plRil^|As}#|frTN1fr}N?Pvj8r zU|`@n&2RzK5(8xc2c`xF2POxGCPoHECQgw34h#%TZVMO~SvVQNe2~~Erfvv0a!4=) zG>9-boM3QZIRr9W0Mwjf0*|#^W;6hC7#DCb2qb{wiGhL1fq_BLLBWL~0o11isUOAE z4gpUF&?qmc_;TQ2U}9%rV34X{U;wv~Sy(wZK%EdaMsP(AiXCLzIbls^WWiB3H9|lD zREdDv!XUbXi-AG%C}^OOk%5IFfPsObfs^?FhXQB>2DEjH5fVKN3m6y~8x*Nw{iqq3 zA>jA{6z~ffm=Ay&RSTIJ7#J)Y8yFZF8JJqOE@W_R;0S7A-Xh4=!NjT92oh#!U|{~T zfr)_`(k{a^aa4rT5Kv;c!qgDP$IhT|fQjKc-x3A}=01jm1`uFoaA0Z(ZD0}VU^u{_ zaAg@EXoX8-14Bat14F}tEBb1b+C8ctdk8%K@TIHq+WO_)4-Pb~yuN-p0|S#`<AcWL z#)h``tq+^7UhTX-t^3Q@?iJV8uWxK%Y;0_3XjuJaL)Xgd>z9Gf#KCUrs3;{Nkp1Nf z(~9fs`IWzX>0-FPemw(&uvFuNhQ`L`ruMI|xt?BM5q7<M%SEmg*VpSeHZ+3bq_O$S zm!<{R*XvVa@2FnfA;5Bk;REw$2o|`&13Gbti{Za91H*p=G-hBp!?@7Y6lRJngTMub z4~z$4{85^^Az<=_|3CBR;9%y@{Qre7^W0@%VBli-&wvsq{Gsmdfgh3L#8~Ezsj1;d z>bhjqG*}3je>XM#`ZYNC>sM1#`TO=b<0Sg`2T)9bwaNaMzi(=4`xnd^C8!<(?8i+_ zSy}o2v$C3+%G|NXUUvOA{?EOdfgfhM>>1(9{Qr5+!uX>!bwePrMWlnvh2s#2R$$>c z#K0iAII%@!hXM<en8E=D0|gc)7Kj{!kPCwVlL8Ba7=#Z}OI^2&ng$60fdmE*9Udko zFwFv9HMFVlNJoWC3Cpy^mJS1%5|$|tIR>T*h6X7e76vy6AFLK)$S6tO5I|~QfopaK z24--Ji-Cc`lwWYS;YX7%Fh1O3kRDJg04^}fplS$!!WV`i;f#or|LfP={x$zT8Yff@ zal(ed;sZpZ#|eYrZpMQwM@Hj>a4=F;1t>0H7(Grtu(I-=Wj{U|CsYk_f`-BND1zpy z7#J9)GB5;a!q^ZwwvP~+VJcMoB8*Sa@KFV1g@8JEWf$`q$T_Lt<F!}8*wFopCm=La z4_q9w2eOAO3r97P9s&Z)pD{I|Pbo4%HmqPO92KEK2rw~lz}BW>nhu(7#1zFMf<8}- zMaifT@gd;A`4XpMc<umA)8kY%DnacKXyAa4$s>#=H4Z@QkPx~@S>%KOJOeN=FbKE7 zO@J3c2zhAD!Zdo^Gdz^2&4BJ^WQCXuUcx2i%OJoA+5qIhz`)7~k^%8RmvFE!IIw^< z2r#NZF5Q4=8zm_Z0T%F1IMA9o@cKFi4h97W1~yOyF6h9(AoQ67)aV5jKa30l3<3g7 z5}=jDOo9vypa=o&a|CaLVBmmfBg&mLY64OSFfxD!gE?WzUV(u@U^67F1sE6{7!*J| z9T}OJ8c=pGa&UAY88OPFZU``fb~h{ln+e+H3t~w8Wnd5xXb=D|egp}C7Jh;D2f>%A z2!OH_C?A1k!34O}1G7d6azX&KSgrwFS358$FmOSG8?<K;Y?@$B69Wg60tbTwq-V&% z;n2ha%15A>P-0+WP-x&#Pynrp1e*cY12PiK7$wLI0Yq4W_s26VL@`J39jF>%5CE4} zhz1uZLqU|Is2=4|F9Z}oMUw+;OCm=DxNQsCugD-|+{D1av4R6skux!HC@63+fi}#6 z_Cho$a5XT2)<HsQ90*B0H;tME2>}Bx1}+8$(4{o6+#`6KLjhWzDRMA@77%+d$RvnC z?%z;gQD9Ku&`{7)-~g3g5HlD+tpPZ5ltD%afbs;SK4D;3z|6qH$iX1Wz#s*x<3S7P zxo$ErDG4wzF&t=c0v#;D$+VDBkwJlh30mtjF$jpTxPo>;!eSAW<;ZZys1{ry&;Tl< z7@9yu6L^a+1D7?Z98+N6f!F#B3@QvB3LKC-H9;*bP?Zj9B;zu3RGgv^0QF8F27sDX zAQoo>xF}+R_6Y@8xD*(iKoTGftwLaGK|-UL`XK;H_~5((HJX_pdV!e$7brh~TEQMH zpxT6y37nBQ7{E<12SmvQ)i_E~DFm1p7#x^Dr$KRm(mtpo$oUFnGz;i7FHrx50d!QC zf&#n~slWodfDWVtnrA@FQB2zq5b#1XAGBc(MaThk+y^+%pa_q0s1yQ_;t4`Rjr;?p zQ7N>dije`b2NG4$D32N;z}f(612-^3+Ffj*E3v15st^VSHU@?RATApdV+$9kDaOpm zz`_NxGZ7SpjiYe_3JY3bP`{j!fw2KplR(U8wgR)6G(aOMph|{8fk8xoA%F$k9AgOJ z0c}bJ)g_>f+Yl|IBvnJ8wW+CrnW3?nQ2{ix1<og$j0^@08ccc`+y_AOCv4h^Ep`GL z6)en*4lG=tI7w`1U}9`+9gP#J#stE!)}{tXoTz}Z1Sn3p@)$uo<C*jrSRrx3rq~iE z;8380h?ABHObm^Uqj7==M%u7GKzGbB2r%+9F#O-`vU@kfDiGx2V)($o!0_h-Kf@Uw z9x(gEnKQI;#Hgt#ApnUJkPs_qL)QP$Gv%NdVORx;lQV}u+l<BuD0t|IL3sge9XOvb z+*P`J7ZE2PJ}}txe}KfvhY#Sq0#-Xp&@==faRS;$3d$${|F1HBha4xyhtEUv$!MI= z8n`fsfYv*RfqEjK(@_~17+yfx433b=MiwXy8i)in1XvtfL}q~YK+@W6Bh~y0hK48x z1_rl82GFVq1`!4ZDRmH=nSqm`kfA|Bf`vf@L^CsRGJ(Xwe20bz7LL*VjI>KLkXr!| z<_o|VqJrvrkT?$y!x<YJ21Hv6v4;pGJ&NfV0;6$4$G}FhDino>%mMXNK>X2s0t$t} zfk)$HaKr-x1G6jxmj|c`*1-mzk!R_E@EJN7m|z3;FnX}KdAPenn3sVe0d!h@A)^9l zUYMy6!UqY0#6kWCiG%1-OrH?omL=96&`2_|YDd*k5(0vVvz$RaL_9`~#tELlp{f*@ zDBQTwK!*p#z%sb~NVk8qejz=~aOmIwtttggt|QBHZiOsM0f~WDdShl5&{?*i3sXQU zkZ5#+pwgoht`GnXn1F6yVqsul1ks?Kb0D>d6wboH0-lJMVg`vbI6xp&UVs5~*BK*Z zN}dHQ1!gmX%mu|PSkEXyeh3IKFmN(}LQ?>g3BZTagA5a30PSxSuH<lIVwtGG$-u$F z$s(l4Ai}}Hz#*U@puobR!lcQfD8L}W%D^PR0$M}Cz#s%!@dDBhn!N|pqXhXO0L=v8 z>;YvnFbIOycR|Y`2L=Yl1_i|Ox&{Ww(qXt_aIcbl2aM{3hXDBA04NWXU7$=*=beE; z3KS<ypj{52jw5()3HTOAaK?hGgsv=t^T7-Os4g&PlprevK-+p?!z2w3(7YkM7Hk$w z9K8M40~#UdM-_k+aWH@;#lU(-3Gzb#mQO%=1XSrGOak3w8VIh>Cx8SU7#JKuo8mzV zVSI4ijwrk!izcCxAZiqo8Uo;LiVC2^w!vv!!GXhp1H@uz;Al_)SJy%<pz;d51doA( zp#ie3P66CLW>RA40JjR9!27eoWt#$r0yKk>>XcFS*g^m~wL8E#93MD1m^hjkxEMg~ zEhPa44-W<h4iyCj6%G#29&H8Cjz$I+1rE@aHPA8*YWQe<0u2b_C{SMDfVPsrG$?T^ zfDgHY8^FN?+FL5j!O+CO1j-@|4MI%}3<3%ajNpCQV09oR91Ng!-=GVgIKZ2^KxTs_ zAsG$K93`k30#dIV6cj*HC7|M~0kjgLgAufkivxTWvlEj72dMaB0N)}{O?yYp!W{y_ zj0~I{4h~8j;CdzlTBLb!GB8220c2MyXk|TaLq=t&8Un&0;2t`tYy%I%2naATfKONf zjob?efW*NkufW=3RJDB6FsvaUCC<p;09{ZdBETR5I?4+p`~@bAb`P<J9&H2#K=);W zTg6OFpxOj9^2-E14hPC(fDBU7#`aNDv4wzCi~<9wYp%fHkN|BUGdXyGY7;Qegn_|h z#RAY?I(Tynn<=AW)C>UuI}T7&7~HsG&~Qj#P~Za9=bRi$pabzhQVc5?6c`wx4Qpyz zJ!%%N5RhO{;CKNFe+>=|1|}v2js^|}4bUb=P`gWkL7_pBVG#oZSO}L9qvF&J0byGW zg;g)KG&B^nw6wImk`y$$w3)QDI5ie2aVaP$Fe!9suUMqOq^vPoc2PIr@t7w0wkwnC zg+~^HMutbmi=-?KjV`W?3<qwGMF}1X3Q1)O9f>OzDI_?K#tEK4q_Py}IiWn3o;S|Q z4#F)GY7EZOstjt}o2YEbsBt8Pfbcq%4jZS*d2SAG98d09a_Ees%SoX`l8hKtOi~Cy z?k-^w<^qpbi3r0qLn;S01qOzQ6fS2FPwXR?6NqMm(k!AdJ_pzk76vfI!1fVj79)ee zWSCi_G-)9Kxyyv%l)3`AEqe;48*<4tQwIYBw}!ex0tW*F^9dvxr0k5k0%*N3^9eO@ zkCLGiVirgp$SlaIksyVmnCuV$9nyiRSwI+kRusAfq`LwW1r2(kioj$>Y3hdn69e;h zY^Fknhan_L9>D_fMlnr8z=3lLVe>&l#G|o7IGm`YqCxT)Hj_ZdMu7&oU~;1+7c3+w zra=uUWJA#T3JeTVM?nfe5};ZGG$0I`3I>URYI5+wgB+j}iQ(&t!1Iq_`B8$T5P;8s zI52=N)Np_-EQJ{XmIW_|WME*}<iOy<z{ubTTJa#@=)l0h!oniJ2w4pwz`())9v)_3 z0Po>ubYWltU5^4YXp|;31Q@}o9HdKtL4lD`fWbk5NdS5PDk!9xK(p+Ui$QCN8ni$I z_6`gJ91Ng?(-{Pqm;@Xg8bAl5GcYi)DKH2z2!NZ!0w5vK8B-3RopzuiO#l|TAd5yZ z;Sd1T&I&C;9H1InKmrtm&@%)VIe-|f3=ER8E)0xJ0s;(7TntSN3=NJ73=<R>92yiH zI2vbwa*G28gNq~s2LmUlw*s;ev>smoxv~KXj$$%G090czFoKrSgIORGz$><-E5YmI zSy%+1xyk_)b0BH(8avR$2`FMA0^mZAfq|hB#DH#v0L|7TX@MvlC2@p6188BE254ax zNI^qG1_P+z0TB(LMJgPSn@P`r)=F|PFmNe#g32VO1#AtVrI8CdI2brMxD*&T6gV0j zK<mF68Z;Uhni@be^Gx9O0LWAZP)ve&P|hetC<H*s8LEZ@6qYOu42PgwdK?@;0t^fS z1)vqeph61N4q#+(U|0>+0m?cJ46F<cEDj8eEa2@xAoD=QAXEixrxCcOfr*XM_(A}b zPe2XY0}P-kL-5og6Zo8M1_ln$i3CibWx$e4K`Fh7i-}=HLj%Kt2BsAb4GjzmOiBz5 z4Go|QAGCE2w5hJ40kke3ltUo5ohdZqvwKtycL+2ofbL&vU|?cuS`Y#|sSDK7Vqjo6 z1Ij4^-#Hi<nm7~~lpGaU6&NHK7+5%16`U9ZIv5x@G#E4(I2a@tLIgmS&;*4hP-!T_ zz`z8KZn&+ZEiZUb;9-D*5VRT?wDNZXiy#ZQz|jz3Y*+xw6&(!>g5V>D*%=rl;#wFQ z1w{l}B-uE;7?>Ow0~i7%7#JKn5;z=PnAjK?9M&-KF)}D|D1w><9SR%`9jqLUB9J`~ zpt@aw3zXgPxMfrdYX~qpFf=i^C?GG@;s9Mp!@$5Gcsqdsv{IV|5^tbt9&7{`ys}_u zfGimX3p0Rf9R`Oc4VTf(0SypRsYYmxuE@o)KnQ$K7K#as3=EPhK@|;16twjO)UZti zHH$%&K8OabD*{~>2x<U>@<RgygJJ{M5>Q7Hq-PY97XsjN3#=8Cv>}1q04l=3V$$YL z0$>$POd1-X1@#`_1~U_g3ECyc35p4@N;o0nz`z08s|^<zWsnvE4h&3A3=WDB3>pp$ zp!r0YZbne2f`fs9161XJsvZXh1}0aCEU1&n#K15CL^E)MHUoq554dH(<iM~3ocUnA zdWeoulJpP&bu1VdT)=^hs+(yYI3qNGn!Iq_(9qDp%FqD1aR3x`s5+pnH!Nmhs2df) z76RZP1-D!s7#SHM(;_J9I6(y(sEG@X8U_Z?l}%s;pkaG314=ML6)=EWYyw!#f~p*) z@P+`W-v_GZk1Xg&U{qi`0%<mZ_VRLp+OeScI0j;X=S)~Y9ZUu`M{u{Ck(n_;fq{XE zjn#pH3)Dtq0%abMWiAR#0uwku0|Fr4C?+)o7#SEE8XFlJjky?FE;R%QBIySWsjx6G z2(Lb<04lgx8V?AtHi6q<E&&Y<415d@ECHYf0SJS-CJ?QS4Gaxl91ENpj*XUGkPso6 zY;0&~Xl!g~{JyH=%k_of*OBr`iU0#>FpDAW;ew`y=7zS$hf`X+7@8Z~9FKfxXlU$b zc6{=MiJ_^D-JyZ2KmgQt2W7g(28J)Mxi(x|dHgcTjv3Ve4gq+atm}GmdDXS6NO6(? zi4*3Z4;303ni$wx4~d9&F|aVSC%P0gG%)b9C#DoIF)*>QJ1~Ibgc)QOLt_Ku(X6g7 zmpZOp16wdkkRJk|+X5KCcb=e|!N~wRXPbfH{{enJKCt8msK^InV<-zO3@1S9K^QJD z${;HQaK{NKra-d)jrn;X>+(Qx0<z?Tu?>jAz@Yd5VGM~;0g^%h6zZVR$Iv9e2+a%s znLohe1fmLZ9|weuOoHMAgptKY*`$ZS|5YG8keC6nkTE2D|DQpQlmGw!gYTk&$RVo+ z#Vkk^yIH6zM|oI6z=c78Nr8nyOyK~7fdUH?q&v^R0J`ghgM$ThVj5F)5`%z=01K0v z6N7<?01E?%7D1*R83e#;p=Jr8n}x;NQ6W4bP{Gh3rNhGDme|r^pu@rfUxx}>5WxZ( zW@M1EDs1Vn&|zVjR$<U#!NS1c2D<-5hJ`^4M#I#C%mV2FnI%(#Fbj|Mqf&T6!0@Bt zM`PK~h`!$$9v+7O{QL}{W2F8=+gFeh34;XP^8i%~wt+uXY8UhQc;x;kSbUTqEd*@; z+W!47`#B!23lt|14j04!GiSi{JVtClM8R<aQ41!G|I6<$FOT01=8O_#hXCV2#)Ay9 z%v8!J0vnjmbB*Q`vSS0Hk@qa`*)y`t)X66dqFY^DxJL5{Bxp${A$t&E`xynM!VG|l zZ)ISR)C28LWMB}w2%$x`g4b6turR^YK*U*uk@<`ah`o_8Ge>FSLO@*uH0#j--OtDb zS^3DozyRHwc!q(2TLrS$k*NbhGoOL%bz}hX85kIt&p^Z(PC?8<@QHK5s4CJ!fSHX0 zd`moJbudW30+RxCxdbG~fW$^IJwrga88jJ>Y$J;cWLXii*eIKxAt2lZUa^I2B@1YC z3bMc`o4z3+><=Gsfmy5onpy;LU^Iw5im4g`D61Vn%dwfDyRkr}mP8q7zMg@BNdsyp z6AKHI1E^&HnwIYXZDoW?fK)dyFet$e?}Q1D(u6_)bkHU=<%9Mng6=;N0GCeSy$!68 zb-oM?4g!n}4ve71cHN-4M;1o#0k#1Q3?d8)435ko3CLbX76ArE2L=%a7tl;GBW$T1 z)CLBSZG>DjDvulj;AIRA42%K`Bp5gu8CXEwc+fUPCIRq@Iq=Dx($^ds92h6CL@+2a z2yiwsG=Y}ZGbl1Rg7!xUFo6aj9azAth#5gqE8qw^uG0auT-A{QbjB+95(v=J7Z66a ze3XqN1Ozx3gcul@I6&C|v`dr`d?+^qLkA-Z2LmH$2ZQuB0nj)k_~MO52?hoZjt(sm zQ5MiGD2y5$42lXY;A01w7&w?j9M~8b7BDz~VwnMSVkEMqpk+zO{82V(Aus`y;-Ol& zzd}Nj#eu<vje$XtAwU4UqMn680lfX1fkDB6K|lbs^d6)GvIdNU1#$*4k~tvBQA`AA z0Bz-EXaHSq*1*ugz@*f`(8a+3S{BL0u%Lm7g^7VdU?&d)3j^Z<hRz0#4hGOxtR~QI z#|DOmt|kr!jwXf%CKiU47SOirh6Mtk1(OXN3``A7phe&f3=H5~9>9w&iEz%S5_kwO zf@=a0R{&Be3o@{<fHMJTuY(OJpMWq63#g^VA_!^{umrGxs(S_&0S3_cFSuf20<l1* zfExgi#sWwm0|V&3CkP89J&N&!04P6zldyt<Mngvf2g4#zJ-h;Zw+UREKwAfc0|STF zaux-SB@>u57BOgn^GQPk=<os#25{D40&i{vX<X361U^EQNr3^hodv0x4Kf>U>L>$u z2yielG;u8AXkuVsVrr0JU}6MqVRT?%VQf-pYG~pCtwn8OVPIie(7+JM;K0bV0K7Q$ z5V*6*;>6N$poyV@!HuN_v<Zl@NkQNM6GJ0|0K?=4NH)Q3@u&<^2!I+^u(3l01_2gO z*#o`EPl17<ivhHLrD4GXP6ibQMIjaj2B}p74Na^J3>*zj3|>48j2td5q9US;7?>0m zX-cRtDN3*?H*|1FFmtdq@HnwDumo5fWMJTsVGvMcU|68Q!O%6DKafJ7C?>e<0wrqj z)g~ecJ)l||lpUmP85vvzm>3ioS_Bys6qpzs1i*W=5h@iJ8WdO<m|9wx7(lmfftH0U z2r#e&B2<jB$O!?LReT1}_AInA2CYD0Xk=gzxXi>gYrz2p1_7l7tPu<hOo~wRnHX5W zy>-R_28II+3<u!*PZXUPj)1!}P=%uuX(0e=A~`UC_xo~mAolx!?gaw(LKqmNs{|w% zniM=3h1psfJRdl`fR#lYOrQ(t92gy#SlAd2fTD-V4V1AMI~+hQV9-IVATDX{8C4Am z0nj!@1_$tVYX{JX3M3psyRn#@K=MpG6%H_P2rwpaEC3zfAtMB<j6iYb09N9_$f4k% z0Gj9moo@s>B!r160CL9`$b?Z$S_ps&f6y=_n1+Wo%nVR5#yA_41z0>mIf{vajS+N{ z19;*QBncXx1ntUZU}9haM-Nyh2L}Ti2k5R~FmIF~F$6#b5hLgv6$S=}MnxC+e#Qn+ z-vvA%FT?{9WMlyCb!-5Ql|Wks;6^c+XaL<js|blAkiY~6CWZz^@V;RZT{Eg26at|5 zU|?uu*vQo2)TqF80VD>(OsyaibczkfYZnzJM#U!$N9H;AFfcX+E&$DwfMgjOSQr=> zn;1-(7zG$O!U7l?IKlDtMS+Q-Re|9OI1_;tjuNDV0Jsm>$k5Kv$k!OuAi&PR03IO* z4S6*+HiI&Xpv?p>fhla<NkWP%91bjEZD13CE}Cd$XaF_L7!GtWw6rZ?Xqy7k1)2bJ zVrUd<n8pOpIixsfR4v28h6aYl#>V!I-F@G$FTMua&j=36rq+h0#^&Y*1_oitukR*p zRe%5a`v2c&t}I@Dd7A(i7brilG&Zy}G%_|Ze(mbsa&6`H>r<LLr!+7yw0uo!S~z{- z^y~0Zw9#-TDFhaRHc&S<wtrpRclh!OA$XiLx3+-dq>+I^y7Zb}+|}>zAAQ^Z`uwZ! z{a>F8aDnT1=Ejy5rpCsmhA&-=SK3yDU7Om_+1S9q(EQ~U*VXGQu3a0A6Oy6=Q}KUe zkQnkl9?+V4kQf_ibv<)8Xs;tbC^C@L@YsVCfLC&Z8BhYY)*H$lrN|6{{{|q9NZ}3Q zf-o01h=hbYAJ-~Sc!LE%#Auv=LV;!&BToK9;|CEZs~GsWj8WnQl!F)`d*zTF22umU z$YP^x(nA2F>}u$T8p6ZR|Nj>=GsFK?9sFFzpcsHkFffe92|OsM$Z%{C=}=(dIEKCl z5xO@~jDbO5E=&$agZ3|ioB&e;5ocflnFShqgzyo2BSC6MG4UZ#;m{DJ!@`8IpRt0W z3B1pdfq`K$Xn!JTuOo~GEgu5e0NTHZ*bB+v0y0a3g~0`+Zgf8*D1^wwkaGWj&?jbQ zR(s~pn5GDD^PV;M%KzWqo|V<ql;7B%m4QJCbif^4sf&x@NB;lJpN$Pd!D0sStgQUT z{LuOcEHp}x5(3aT3IG22^H=+L#6B&!83Nq4f9<~p2M5G|{c39ZKh*v!dYqJ(+x|5* z{rVLaC-(7Qzy1#m4u%^s${;NSpmD;qi1jP00oHuN!FbSsmEnVdfq^~42YzD%*3dN6 ze8PO5@gTzo=Fi5)p`eA73<d$rpZSf!CmN9EmQmG^5P-%B`*PN=Ukw7lVG5Cekpdis zAMIH|`NY7U|35>h!B@;U;XP|=%E}6h6Z-(>&kUpa1Qviq(~!M~3=_G$K=UFDQz3ll zo<v55so*_|i~<)K7@U;Ba*QknaN64eH05Fl*2~Bs2o`66>V@CWC^8#l1|x&mN3c2u zhKWQwZiFg?>{n!3sjdK;L1F4y4x$(sPC;nK12CF_L24?54O7F^vs^==gMoqRoVo&N zRV2d$$Ua8!e#Q<4hUOEH{f$hwAT;Q{Mv&o5pmT~xXlPTz+u-?MFu@=o0YC2pZZar{ z;T%i`0clJPqaq}Q022e}PLec?DyC%!fTrOY7#xIPWe#{vFxWy+6$Ft5a~Z(Px}Z!n z3ZxWGXp~E72s8-2Ak_%agcqrLM%5#Q0C=qmXeBmS49o+w;Dm%cl!;nRfM+AY7c+sS zK}`h>EccorG8b6cD1k2o7#SQK92lVYGJ*;sMpn?G6wnfG@UdN>!{-@6``|gn96&cN z3Nkc`u&{u3(+Myzf;LBhZZHGs1MgUL0F_Y=ptav%eGrR5_s@Y=y@IR(ogxhp9VH2c z04!rn;$#5rC1GG-NB|x1+`u5vAjZ@HI$oR80(AN|qXUxzgM$Do6KIJeD96lbVB}<A zoWLLm-iOM-$j|`lZ#FPE1U4`@FgP?cFoISSIWRJSZ`mZ|j8S=T2nZ-BFu<1nf(1a! zv>}UD7#Ug=7(v_N7=#!YxCI%wK<n%n85kA7%j-Z<<ix-rz~bNvVlr?ss4xgJvIv3} zbg>992q_AHPppTmI1*rBQgC2kY*2t?K(Ixl1mO?>l{?_Fg@uhlpaopFC@?UAHztD4 zM&Hb!0NUNlz`?)*4p>lurNAt}pa8lN5WI#9ly5*QRUuY^<iQFd+)<KX2!I+zpf!%5 z<-APbk_&WG9BB6fScU^M6(!Ul01jqw#ljJ+(9qDrzzH&$iGg7ilLS{o!;}t2#t95e z4IB*<8JvW;7??B~7+4sX7JznYfi^NSftGnOFf@S7W?*6hA&~x2OneBifU05!1`!rU z29^cjqz~HP&Io1+S~V~-h=Q3c450lf;C#RUx`i3E<xv1!+%YnUI5LDlEM$e=PXpnB zNpReQS)&A&5CG=}gg7j;n3|ZF7?c<q7#6UARVXktFmW_!C@?Sx{bW#JVqjXt(4f)5 z#KG01)WEfXfr+URv}vA+gP{q$!B+^hViIDi0w|w=?m}e(Wj;_P1rZ-535GxuLsLT& zM*{;J1E_pqVqkFS1kI%jfXXw02GH$g;7yJU3{qJN3=AC%oJ>Lt3>=`dBMcarn5K4U zHMM~+idSW55)fl(Sj5o4+Q77+p{c2<fq}`P2^6s$AUi;tvzb79z(67dT{V&wfVO}N zK(<kXYIhEX1)!U=7?{A-MuQSV0fRD&0s|An6b1$XZic=N1_l-e4n_e5CI$`$4i<)n z1V#n{1Bd2@B@GN5E}+|MBpC!2Ff=g82rvi=OaPe<+O7(|#EE&r0R~0~(B<<ZIlzhb zJ1FUbas#A72k{sVb}_IpF)=W*B(ZIQT>Q?+&C$TX!okGBz~sQdz#+t-zzEvF$e_W% z)sn!$Ajl-pkT3x>h74P8&&0^k;2^>Qy0Er^k%6NHQr#2ns1dCYyqUy-3AFhg5e^(I z0uLM*KxGkV=N97y1_thFpcWN_01G1{ivWDPJGh6)Ai=@_J~hgLL&JfAxdmJdGB7H* zuz?PsaA444Sl|R|-+?a=MASG4+eTTaApq%>2skkWC^8&S0@d}9AuJEj&Qb8XlqLZN zE(Qk9V(>Oc4n_tBfd&UB21cd;h5!Z?76orB1`Y;KMgcY^1_1{L1rbpEfU*+k%qfEg z0R<-o1~v{RP*VWXeFWttR40t`P(#20RF5z@DKM}oa4;}+FfoGzj+qfuGRZKofa({= zh6Ria48n()1rk^oKqFcX5ey6s4lJN*n*nrW*9Jxb@Hsdj6C6OX%D@0Rz^ehYJCcEs zq2mA}1BU>(NCb6JQ0*V(VT1rEPrxvEmnl?*2m>f-GYBv?a4<lIQn)_BhkFG;V=3UU zS72ah0re;wG#F%*z#}efOe~Pi*o+J!9H29G7{ow1i-AEP0Avq%^AOaIQ3`tqfKx9+ z11Mx!7#cu{8MLVvqLzihm5G7lfCCHoYGuhLP@@YZ1CEUr7I2*n+G+|q&WMqPkpa9z zh@k;=Kn`dulBI!}p`nqH6*TJQFoBU7G^PpK<_I!k6ypg2P}&8hYgNXE76AqZ7ZxUP zoG`fwFeNZ)F|bV2XenS&ND*aV5Hw~09VXS#z`)6Hq=~bkiGjgEfl-5jp+#gCg9gI| z1}P2$hXxLY2DVmkXTOp00}}(oL`8-WMFqxyiyA=(7{QqYbdx3?2aQUBjuC2TXkcu( zz{ODDu;Sok2I!`$&K`+*EDSCU3Qb-u>5c+U3=9%Fj4B2!9AbP73`ZC^8r*mo8WkEA zOz1FST<GA@(#9ax(BQCutFcY5LjknstAT-u)rIXL3xh!Ol|u{**cw`dK-=@6#*c<P zo)7@t+t=98*!Y#Z>r2pzgV$M^8CjVa7?{t`ll$kU<$Cqox^v&kvrEDm7`U{Umu%(X znAXS0{p3nl=e0h~g$WB5?(EpIbo)QQHSHJecXgIL=vsMgUH{UihQ{WG=Jv10*}hIy zyng-bYsQb)S6;scvWKy;5tKFYxM)-g94C#94PUz(zJ#o}c%6lr5w!c7>HeHq|M>J= z`nJD1_vLwF>2(GMfecQy4SXEa`WQH`Jn33_tdF7b!GeW5ZrJtjy;ZSh-#xL}T_3)5 zb+)Zrx3r<Dv7w=n`D<R^!|N*ru77>h{pI?KYnQ=s!qC__8tUYQfHE_~F9wG4a)$qc zpFuYTfsSJN^5w$^28KU>cJF5R|GxmTFYCh&WB$-k&>qLoP{xA-7YrclS|MxcK_qC+ zD-4t8lu=#K5J1F<@#jB(Kw&Pq?~j4QD+UIhKZ1fhdgmP&ctCp_KkP6zmX&4rwZ7lg z)$pUtoq%}o`fpHdKz4G$;sZoO%^s!53xUs{KST40@%KNV&}Wc*{l_2_64s%z_U9co z?+3-nA4r_6`hTDGtL<O;`>1j9A9SlGC^jINJg1E6f`$MyGc#J8Fh@eluK)jk{YR8t ze|9MGhYB+M4+voW%6nG$vOQ$)3MB6SkH!f!I7y;ldl5mWp(%iu1A)$WhTO}@z`)>^ z$N=IqxiK(E2)i&y1SzmE2)Qr_2!ZIt7LgsGI~^GU1S~*vE{WhhkqknPU~$CWNZ7tc zs9i!NIdB9jhV4bnU}yjx`sl*I&>#g0h6;u@5bajj(k7+B!ZMA4f$@g`LxThh0|yi6 zK1R^#cZEkfDnR=fGZ;ERapEG7z~G_7!oXDF01}7njRfsygzakt*#*)EGJg~k4gur; z_V&`N7$6%F85kJ8e`4ha?~G?<5M(fr=3-zF;A3E5Mcq>eswEg2E`;6%wE=z^gX$Ns zP5(nd=KMGP!2h4;uAm@;G4EM>L3`M#!(b(&1mO^HcNe@X{r^8GEWwJynM}dwb^QPO z|1Q71bUf}j>AxTPUsjfZ;Xf#bp!G;7Xw}4j)BmQX_IK~zJ@eo8ul-&7yI_My3F1TG z3=fYq1HUnNngf(izOyp?`NP1#%*@QpU?2@TbA<<2K4Adeq{+a5nNJuPKJW-KpO=+o zJjf%+Gn!9`j}5R&a6SnI#R-_p5YA+35c9{_`19xU=ll1A;smrr7+cxZf1lwD+IcIm zvWwr;)E*osh97zE@{HyaNYD}_K@)<YB@CcFhYXA&!r(oJj0^%0n#~ZrN0E_bD+2>_ zK17T`03rsHWBUl<GYCSqL^4d}1KBFTz`y~PV1vx^gDwmPO=*sfc7Z|%FK&c}*m4EX zJQc&K<r<(17?^t0L3<S&nn3q8g702rVBpGF4%*1Tz|a8Mx7Y-_hmnB+vTqS&$01da zSsaWG>I$GacP1V+@JtF5c>Wlq0J0wvBnRS+Vgexmnc8At;DM<_Oq#=39On^o5HS!5 z(FkH877D}EjnY&N0Z=J}W+2lEGyyWWSd1mZlo6r@eH#@LAF?c%fq^3i5f(5p$O3!V zoG?OultrHq5U0YqDWD1m)3u|y2Qy4yA{?O3324<YXwAw32GCu;;EF;)3ADaQU<!j0 zsP+J_kN`CZ6u`?)K`P)u4L-LJq5!;t0%r0kO+pC3lPDu-eFSLVf&--35(4dT1n)~^ zbYx%<WMN?7{|9cyGBPkQF*<;*WMp7sV1!icEP|k$whk~bDu9#-fDZfsFOy&p0GSW! z(}NBH0XYjK528^pD5g;aMmgXR5a4iNSkS;A1X`oT$jHRP#lgU$0J`YU0kU+JfkFC6 zg9GTab0!7>4*?Da7lsB#7KH`|mIf|RRsqE%Bj^@Q@XjnyD1+7%fuaB;1F`}}Gs1Hf zNOTlq2?0>BL4_gL_<@QZ0R{%}HaQ0u@E%}rmCpDBq)dW=i9>@Uf<u9^p^<?DbUzad zC+H$Z@ctjrq9g_efrU&=pnK>*CWE32qz!~Yd=Nc~i3kBuss@KWs5=J^e+Cv21}7E< zmPQ5zKG5n%(A^A7x!_$s(2#{JVP#=p0IkwyU|>1`*#U%X8^k1Jkx@4BA<)3EqM?C< ziGhQIL4m0Q6f>aRSs)s;cF_SGXAC@d7&yQy9XXg7xP%(m7<gKm7z7xbpp`l3n!gSQ z28N~y3|!Ejdj}}XK~_G3SWwIXGM9L_jbN3a+8K0BENDeHXn!?>KoF<`aA9C&H~_l+ zjDdl54TGQqg8=B<0-gpI&}KyjRy6?zLD2Gha3<kkU;u562WbNbBr9kkF_?u$K;jrp zaFmN00-()r91IK{9SlqypvxASm>8@e0oMQ?x&m!vWDx$es9_PPt;He0kOXQRgEwh2 zfbJ^g0A0(-z{mvJmBPfJzzABy$^a_!KqVr$O6Oo;0B@rJ<rP%BM|rqHK%t4Dp@~6( zNs)nF0d&nQV}mybXooci0}~U|1{Tm1y3jHPXtATlz$4MXe}Dm`kfEu8gFzqxeCZQI zBLfqtuw&|E0^f%My-*frC#WU@)%oB^f(ee&7$E>|=r(|=ga!@{R)$Ung$B?tBnt-% z0|T!@OCn<v=p;J^hE4_sDRIzU*epy;3=B;IOcD)DH`^E(4mPqdF)}%T?!Hz4<u(T< zh6N%K42%pc4h#;^`lJJFKHO^1^#gFuC<AK<s4#%8yaE+f4WL~Kph^JL83dJA2N*b& zKq*@ZR8KJUF^LJNF))FyXk=k<U{V1sRbgQQ?d)}H5O8n;9mK-K!UAqXW3^~hkdzRB zwOSilK=lMfCrb$E`~npo1||;&5Q{<L@d0oHz|q0M#lb;=g~2HWn&cZe6uek?K)p>K zkbclMNKn=Q#U{ioD9H?>LHP_sk7Ar5zycbgV&q`}ZAAnta_|6A9Lx$14lE3g3{4CQ z9108`O$-dow*^=j6%;r)Gz1hJ7+4N4aH%qYHq0|Li3qd^h!`+5G59!uu0+F#3y^^z z45{Ej!!01*D8>~6%p9OrFtq2#z~BHnssL1UfliTN;^1IZaA8p31V<AmyBcIro{1eq z8?cHnakwymmgIFQFnWN5xEUA(6dV{8z=L28;HVjm0P+AN`J(CtPtz}8)Bsg83ZOw? z1_pt%EDSsh4jSOF2Gu+bpsELiK|Agk7$!hECZN;0P|ZT(v4QT_2DQJC#73DoLjV-| zp!*a-3K|+gC#Hdiuoy%@%_h)(I>xymDK-$%02=iLRT<z;B1nWmh(SRERGC1c5iA7h z!Gn~-xZsV#aLy<LM+h(}fQ}eq;s9Mr)&M$F030{X3|U7Em>3!sbBIjg&~RyC6kuTB zdeADt6aeltf-cWg=ulJQ>0w|{U~yQ%p&;hM(ZJ%s!l%H(Z~&5Dz?<n&EoEh30iRre zDmuzT4*?F)zG@aw@dc`$85kN^8kiWF7+4t@S{fKxSd_V#gj^Ujj)1mPt1&UjurRQQ zu{bdta8L+fU<_ema$#y}Vqn?gp<v*^;K0Jv;K1A<=m^>*35s5HyBNTS7lDc|Oqo#; zL<n#hm~e5had9**XlQ6?Y-wm{X<%Y(YG%*A@})`f=z8tAd9!j{)?5u`U=Yk{my(MS z(i3CNdT_)+v$!X{jIS@mX;GWwntiXzYN|fexV7~qgs;9F2#J$M=y_@gyVx1pni!Zy z;{*{R*jd~rA{-1Nd>o*CjSZmdF<V-g7@3+`vyW_P>YTECz5O()KVj>R3NkQAc>R}? zD|2BB5BI)uz~jM}RqN~f`dru+wK@F#ld-SNr^DTquP=qY;o?O^oPdXb;dMGILt7IQ zQxlwz-9@9K<)KQS7#J8<LD$4X)?kBzWt9@cyZ<P`caeeN+&_?1s7olg_ZSM<9mfzF zYHX}z%&;CLM*s^GkRO!>t=0Ytijxn&Fydr^62lYFW`{GdU=pllU^owsvry2Mh)@O) z`3ocqij#6<hJNtcdXNBaY>iuLR0b9TpFe=&<j+4uoG6(?<P;cO{{Lq%2FC(OMBwuI zcxLb=r=g%GaOk^Np`iT5z`)?*!oW~!9B`k3;XgQzK&o-z(Kx{oFv!BjpnW3@XWk*j zi4j<Sl>&p`f5wK*n^&y@^BDvdG6XPyMq(Ji`NSU_C*T+Z<r4+t05BV(7AHAccHs;f z6bVp&f+dAPz(|3GNzAcDWCm!jB4|${mkY-s*d9g(27xk11_22U76vDf8bub4W1cOb z{f!)lK;jGx3{H*#Aikoo3j<3B$P5Ms&^$h}T@pdayiqpx5J+Tb0L6(&VM~XF4hzdP z0f&YN(4NCWhmHzX4sIsM9!5|Pog)FpE(EJ#5-W57?QdiP?{8!PuXJeuZBha031R^q zasZwZMz*&>0+~0;CNcy-JK#V{LG*uy|AK;{O8(%Tjm*b>{W^1off;;i#DCBov;TQn zmH3Sr{xb#|SaI<i|5p<H|NsC0!w}sd5(5)cykTe>6#&HrC=@_6I8Od6goc6|V9biY zR`vJ)`S0!yu6sax<n{kE{5NL!zxubSy)nPB`YKSI+&2K_I~;+32HuJTSuu)<3;|GF zfRuu0P@FJ?DmgH`d&0oL$@sze%o$czXg)d5@SlN~!5F-YF@WJeKff`9l3;ykXeemz z7_<)<WC%LO5mo5QM){~A0E!Ec2#EgA@Sl}6ULiEp&xL`3+2DgQ!~d^eVY!6iKZ8Dl zF-UAZD7!%NNoZ&&zOu_0+?ECzKZ>al0+Gg$Dx0N)4LqU3(gEQ!fL0(uEdY&WLYbo! zJwl)tJfOnBRLH0Rnm%MIgzy;>7(fW@0+2YEF-lM`1W*$?Z0!Wdd;tm2^gD<(iU%?T zkm7`ifqM(7PJ!kcW>WQysz(U{l!0Ir83)EP6#gh@;6tE+=_RR-faViYwT-Gr4gsW< zyx?hh(0~cNhT)tIQL4beu%HQav48@@0?<Nj@U9e)&IZu(Z<s!i4EV?buqGr?Fn^RF zHUtpW2WY<{xK$;<!UEaWz`(#<0$RfbHVdo}tOiupv4AcO0Ih;#5CE_20<BqPbYNg$ zaR93W>wpu`yaSSli;XfcLjW`w0y?(C0hHQRAp1$cVa&h+n!FVN5ey8xXP6io6$BDo z6k0&{p9wMuIeBm}Ffej7C^)b%a0r0zc7Wc;r2#s@1)NJjSI~e=1zXAi8p?$6K$e0< zM+xFWK+%B}wYX7WVBlH=*2}^G-lPp?GqA9!fN}~$11KWFq0GSmi4b^^2T?dmk`V&n zEB+Y3cgG=ggRYbXRSe*zkAkM0Obkq*m0lnP6F>y$rU20TZb*T|!oUJvlmw0&urvdM z5NI0(ls!rj8v@|61XOZ??$iJ+Lj_%51`-6NbPx?%00uIQ^*K^tgJTJF^D<Kd3j-7Q zS`N^F3nX(jfcI%}fCNAqkub<i5En*+*rOO%2!M+q$Q_H|HK~wF*nt7GDixwf@-;Xl zK{uj-Bd3vp!2xvMiU0%no*M9GMp&gT0I80^6$mJvAQ=f_&L~MR1VC8<R2?gDFf0IN z7Yz;$a3hz4gQ0;1l-4<zKy6&ed5|E}K$o8~fUjHR0*5(6gBGL_z|aLg`vY_%2k0Uj z@TDmN44`ZW(gw;@pv`w+`#6|D+k~OQqZCmgAm9KxkOQI=oGAnt7z9d%KwIQgI21S- zJU}z;3JeV#tSrntph#fhQQ%-;ao}KZ0k1y>>jbsAz>HCX^bk;B04<7#jJ$(t6_5$w za*9Dgp@D-zLjh8I9A;$dU{VAXMPA_BWrP|87&)3IFi0@)Fo7x^9tIW$(9U=P1_ch# zNje-I;H|$P(_k245R5lUQyKzX(?K!E#J~Y6;TV*dG#I%U6co5XH92%A3fKm4#RO)J z60{8g(2b;C4V(-N4jK#`42%pM9tjNKt@93$3PXXxQ313Wo&iGA)-j`|!$LqnpOt}; zL8O6!0n|YR4WKZvfLV}pgBd{`6$S=IMnp{n(>Y4hDg>lJ3%45_z-1HY>>F^C8FW=S zcpzm0Y%mPGT#Qz37&R9)1SDNxBaBQ;&<)iJ5E?>)b~b{?Ey1yds&|w}n-JjKqrkwh z0@RdpNJvP4*x}#+s^Y=hw@nxrJXR=ydLxh~8$`h<NxKkeU|`?^U4#OP5^y!n1UavO zLxDj9)D&ZIaAM$KSfQYxz@RW1C$x(PG?SUrniQB$fJS{4G&mGIzytdl;9fbHU{GM- z1kH3YLPj&uG>>wr9|8>w48oUJDXco7rKO;trOl<4<)y*EutG~KfkQ({iA#aODOrJo zdxerhlJd&YNTGiCV>1y{cKz<kWP0%;fkPu9Gb6z(OF@&1DI-IRNrNfjgn~jsm;y)U z3MB<4N6pc)3tI@%M2z#CP##Oq8)sz);T8!s24`ti1~u+YG_h*bP$EM>c%4dzjnm{j zH-|TlC-*ElbjH!;q);M}nn#t95CY(WhLM2*d{qe}gCLi?0|O(Yz*I1wfkhZXGfZV* zklfAX1X9hi6+$yih0rX*a2k983X3rKE)@n5LC8HQEKCqHp!yhDggHP5?J%+^z-SVj zJ0g^-L44V;9Mqs<U^=I+0BXxJI;d+ffR6fr-haZ>!@wYHuC4&;mN1`z&`doLn&A|J z2DiXKdO(r)QXR}?;DFG~XO@HYGn`TbuP<imfzc2rjFPxR06d-!3R5&CjCarkK&w#D zg+R0S;Mprw39y-{LZdtiLIAehS85KbAuw_9a2JXc=%{;S0T%`r(241=iD6`^Q8q;( zz<3#5JMpQ1G&<13jwC+LfR@aMnFHP(2jhTf(A*=4hGGT86;NOi@Z>$1HA)a40u7Lf zUyue+O~L_Qb^^Xq08&kZB*cz@NDyuWt*KyO056>Y-QEC>5|mSj9GD=deL8^61+QXd z03F^1(hVB51kpGnA0#=#F{oStU*Et8S{cO1!3-X72hWbMfTj)w8A8Bk)k)oBU}14# z6kuTCVPORyr3%t1zzVu=fQ2;#QmwEEFsOpA;b8G}0IeTtWdIrGAiy91ja$$-K4|s} zM1#Ve5o|PwHHuL}0DO8dDD(uF7&s;<I0!PaC^|AWurM$-FgSr!Ix+<?FvwqTWN;AR zU}F?;U;yO|2L=ZQB?b@);xS0VL>VL)7(oZJfb#+DE*eA|4XhYsC~P(c%pN6BLI9MW zK?kOSXeZFkKMJ7LL*Ni)U=(3s<YZ!CVc=q5;Ih?X5McnVlvHGJVweCvH$p-HbO@v( zg8-8NLjr>egM@@Cg9L*U11BitnZT1Ppc{l7!1qBwZ3Wo_WsXu<LjV*)Ak4tP(7?pl zz;FbV2f!n{po#@lI)P}AR#9QdA+;bj9K*^WhyX}Ac%mM}1#>_L*Mm4HxCs*BC}N`= zEFr+u;Gn?4(7+%78fIYzrE^g1G$=GRG(eKQDERyu&^92p1{N*_CMFIgPz~Oo#=zF0 z!obv^1eyYF0xio^;(**T)Wsygz{CVH1|-D*njCIm-~!z;0O?kOEE$a+EO7y<M?kd# z3v&4mPW~LA6)FM^Y@ncHU|^8xV+A+ISQrjKY6n)(6=)?40t^8R0-y^v7#vsx7+4rs z7{GU<fSLzr)<N?lI0KE=?P!66#s#H)2GGqJ3JXA?&B4IHp#WYL%)rn9+H}&u(6oSo zK`OY3;{XRJBXBdwFo4e5giQZ7F?2B~FbOPB0$;tcfQdmvi-Dn$p#hWwI3RfgoJF9P zHZU|m%PweKL&ZlacnCn!ZUX}oQv<^y(4K_`r6z?gh9)K^2Brp%MkWR(MFs`Xq%MP; z6j+lI0|yHSBNqes9D4={hAtHjRSp&o&}pa&44}wTU})fC^lD;gVCVubaA{y@Pyk(4 z1CE#`1};#vD=>k2DWK((;7$<O;86k*0-(d_L3`jF7&sUm8_t>>5C~!gomkJo$iU1X zz`)qS$k7HWj+nX(7$g>0a4;|kG#qFsU|?XFz+l7xxj==3k%Q|3lL!M#lOTf>g98JL zf&c>xqXTG#9t%4IqXR3rNe!_RbYL`uHA><L0S?gp0RloU3=9np3<8ayt4u-5=M(}C zG%y?h-6R0IT?<qWaXw~HnZUropa|;zfh!zH9z&{#6u?yls430Rz`)`Rs@*{aA-LP` z09x{b<Oc8|>qz`jCL#owz-!_e6&V;DgcKM8K(i(c3{DIzOe$O)j7pFyLkV>EgR}sH zfCH$OXwYC}U{HiNofH@}z>%ZCzyZo~4lEuD9pGI;EDWG~Z4fpgSPBeG93TV{0Y@Z+ zIZA>;Kmy#B0<jzfKsm-skO8!jgpnZt+#6wP3SeSjXz^iSU=Xyt1R8N?U;y7+%EH6} zrWq7ixR^jEcPcP~PS^%7E&}B$1{Ow8%gY6n=NKBmhvtCW03e%MKsgP>01doA=ur|W z1VF)~0qSQsFfcGVfty00?V}B@3``7+pgJE^34;TfIfk==gEc^rm4#sjv>yq*Fbh;) zgN)>W3Uh$2L=ym+<-p(oZhC<;5=aTSqGw>>U~+;rhQVe-rA8@iA;1E<Cw2-WgMcGw z#t>XHfKxgP0|U1@sD5BL(!v0`4G45cfC8xd2g8jGm^B1QzYs$MhX`nF2DC|-sfnSX zffb||L_^I1*G<?QGh)R+7bk-URzL|Bl(rcdoD>)uK}8xPQwQiGGf?A|iGe}<GbkG% z1t3TRDA>R>k~kyyW)w!oh6WZ92FAulkQ$IQ2W(%q5-TVZ@j~~iFoN}i6pdm?2pDiO zun2K5Fdb=PTENiQ(8vJV>%+*<=xCC_z;IxR0(c233mX#y18XQ#2t$Xa12Y3h0;pPM zVd4ZG-XXxi!eIa^h?p2SIl!lTa44(<na$MP&?3^%$jZRM-~ejR!A@RdWc4s*VQOFk z^>IMaHyS6P&_c#63|s~*3=ATQ3=Iy98iEcj3=Ay}3~UT~4UAk}!rYt;j0}tdSt}SA z7`UBQDr{kBVq;NsU}0d?U|?VcM-77pBPePZ7!}xAQdmHvD*>$x3<nNyxG*pYG;lEp zaWrr;G;o1NZWuwGe+JMw6atN$EPRkl%RxB^*+HXh1`|#$6EO|}v8PQ<513b8yx97P zYsnSGW0T*1d&t#&ef@d|MFvKZ{B>*$48mUTzijDPeQf#Kj3+IO8jUUNnMYa}7$&S? z&-l{7z&LRcyKfGQh=2o2#L|@v2M%buw>M4M($zSfvxC!NLN|j014m**Qxii&BWu>y zDb1a2{oDx+jSUQqpnFnABLX=DOt?XD!XOTclfab|kG3Q<JaOV=JD9(vv}@Jn^_q?g z85xE0)-f|MFv_nk`O>vIj9<|+m4#80frUNOg{6T(K!erOgn@yPQHh-w5+{-1IN@+R z+%#oMSEqPK2WJU5P8bux`Gk=*%T%PflZ~HW0W@jG$jFZDo>4ZI5P+UxYY<?-%FGHn zWgB#MFzCEsaSw(M!NIZ&Jc10X`1u*mFq{F?|M~eDK=+{h=Vt)%K_vLJTL#c^(Dk99 z<0Znv&Ya=@z_5ye0dnT^|NsB@6LBvG7RQZHAy}Nm8+>K{$`3vdn4cdMCk)J{roq9o z#`eU=3IBiaEh$*y<o{@#kdVHhabh2D|Mm0NP^5#ixsy#zKm3>dZ+{n*;vxA2VlL!1 z4sZ@(0FxjyK!FZE=bj7HOJP9HC-MJ5XRYr>G8<yfD2XEkK;ikH;lF)=J?m#y@a;^{ zuoGbC|IffLdxnSTIDy=)0=Yf~IZpnM#tDwVhX^}@E{6r(UD6`qq`<-;rVt=t!6=~2 zlGq~B0lNPLbhily3xgX216N8Cg8+*F3xkpqgMo+u3xgUu4O5%Q05XHg&4q!131lV% zg8*o>g~f^C0!SZ7Kg=934ROgR2@3%M&{!7(15<@VgA@w`gIi)thlNB5%M^hG1`iz` z$lWF~EDT}{4BYJk3Je@NEDYfLPC#OX3=I-k>6Q))4HlMZg$8X*IxL*xi3|;(drw4Q z_osmD1l_R$qhW3tr6D13|L*;}3=A$`82+zX_5Z&is7~kS2dyprzY5%%0=J>g@PIDP zj$i<lInc5VERM$Jf{g5gZ`tB1XTZEy<3IQo2sB;b_5;K*qa-*4&YwAd1{x>t{{J^N zK4Z)vXWzlV0}d%r=z&y$<3yqjE(Q|Ef|287)o7f6LXIpP9~vJDjgwW7x|e|;T&aTy zSh$1ZgrkLUoPb)*{QRKX9=VK1^9flI!oa}54{E)F?w<SqZq>j4|NoycX5jx1I)w`s zCm@3%adLxj*@cLcRikkN3OR}}e9xgbXh)COO$eW1Di?^)C@_(MA-EYL#<CTaW`OQ} zWS9t6%OEfnvX7BX0j!RZ0kn{tBDalH&9FU(ko}BIH^BQD89;j$LHiq-Rx&U!Z(0u8 z<H*o_2CTLLN`usZ#2cDcLiP5jgHQHi>Hw=7sR2q4e+zJmp;`l);ev^PrlpYA2qCj! zYDQ`5ga9b4K_)RWFflM=xi=PkrW$<JHb~_treg>=Fx%j^4K(kFTXs~23LyZ?9-!4g zVB<hj>0JI`CY%_J2Y67>ngLr71hNNo-UEXIXpIB|1B1j2kPy;pM)10Oh!`w>(CZi& zA4Wq|kCH@&0H_HJTIv8=iUnFe%)kITk`uhG(SZSUjW2_)Blz@30S3@|ItI{W6*wO; zGC&S@hE1%4hUOhXdlo?!3xJFSF-9?|At1mY0Z!@y3=B+6pq0H$pw+`5Q{2F3sDM~p zOcD$X90CjsUQ7-NOb#s*AgdTT8W<cI8kht@i@%t_8%sef=-N7LF#?J+ka?q+U<iOO z(STg^Bf!YO02;_;U=S1l-6g=npaQz)`Z{R8j{<0E5QD%Z1_p%&1_tN>^$Z-Kb;K+T z5)48D;QLP)IG7kDKuhaDH4|jf7(oY&Dj*yJpx^|rfn;Rh0F_J3rx+L<7-2mRP!Y!j zSs(<Kg)Ak8#3{^dHfT)*S?&!J9i<6|0BA!N2s0@(Fn~7lFfcGRF!VHlR+B;!I13X4 zLsJ6-gG4by7bu<_7$$&=H#Y_kjSeO!CKd*c2CfAS3@V@-owynp9Kd@RL3@Nc!S|pL zbit?sLLmU^27<B$SRH78A}Dl08%wwySr|Y^41+2j(D@JoE}*;uRt6zJ5&{ei;N`5~ zL!qGxK-Zl?RE(0ug#aisfH0`J3d#oH@`j}ezE-|N1hkqMwAUdDT6s5tn#c_eOyE&1 z(2_0CGHz(4-T|ukLB=sbj<W}yq)MDSMpa>kK$8Nf<O1z%Xlh{SU=RWAX9Sg6PN02_ zpvaO^Y~li)kgCAJ(8S=xpm3ytfuV!RiGzhhi(%EGCWd7UENm>GJ2pUjBbypPdnFiJ zz$dj~S~e;|AOspfZ72<JyUU=#0d#`{sGr!-#KFMG3TlEevNDKvFfecyYcMb{PGAUN zxWKSU5OkjdmqswSSHsA_;-Cm>;tEV)3;<X5p#6}7pzWlvQV^62q0Rv9c!4rUDWXC^ zg@GY}iNVE@!BqitvIMiB5`zFk1E{KyJOgUnf(kMa4`djl02Aok4>m}^cQ7a_Fo8Oh zpe8f){0LAf22weS$q4~y=rKBgZqHI^VB$~!<pi)v4&cp;4AQY+9*BUPlFkso!Q&&u z#psX(5@Tg#a9{%MN_Bu98UYd<#gv2qsKx-dqgX&YcNiHM5|Th0NkNAVff`>93=C3E zOrUZ}fq{*Q!GnRpfrCkap&;OZ0E-H!UI(q4XE?wh(I5aa1$-P4$Vf_@G^*FZ!2#6m zP`UuxfykiX%)sHy)C5|E1?rQ7f`>Z{L^3h4Fc`QpYJe*e4h97#rGt>mXc#ydIT#!m zm_g@JFmNz1If3ur1Vs=?+bE_a1VEJo2YAepp@W5mhoPg3!GYmG00V>2e+~wQF3<!P zETBR43JU|M831lTGcthg4@9cXDRIWAURVe~I`mT-L3`RjJ(L9s3m6z18yJKc85o3P z!RN9xK=<%4Ha0YXx|5)ar~wp_pmQY{7z7xFCqVi!APJbcqck}o0NNJ{iV=}T3=S6> z1g3FKU~FJuTBg9j%%I57Ak@IXAZ-V}2atiWA%ueuwB4$Kp+jpGBbTGQBWTRNrIAr! zK|_Fm0@DPB1{Nl08;u;NjOu}fKtls)*AznoC&v~h1{Vef#Rg8rs|;-uPIO&i)M#N~ zVBk950Xp4AkbzgR0n|8VVl-f2aG7E%CBOtegoul+#ffPFd&3bY(2-q?%%G|Tl4D?2 zjMAiqKtn@ALt{e&!<N+@UoJI<PwU#av|I7|x@8+*cYi&&;`#*!2Idzl8ydJ6Cvx_e zcJ;9|G_p5t?OOHj>i*l|$qJ233@zR4TOT!jxxVoFWu}G}CdTGwW`@zsLRuuCscwYC z3FFn*TwkuOxN@EQ>&4EM*O#rIaJuU&<H~8EP3~qZ8y0ji3O4qZ&gc_qXl!pRm}B>E zx_xxKGpLSf?PtI8s_TRJieuN9T0n8q06M69v{FF}XR^4kHNqd5KeK*i{R&?{&mh2< zmIm67`2Q;dcs(lv!+%hyGyGy;_@4%{3a0Zv14C#iNMICGDFmP)%m1JGGc&V+0U}Nq z7$9-->lZ9enE!wl6)z2CU_g%((B3#Ixo6ZE_@0yC;Q08@pY822<K+MP|FC>=;12@> zLp%dCPT+b$TNy`#l8PY!%_qUZ0RgCG7dJB`pTx(*;^crmsPJ043R-p{#Yt!&6<su9 zjdEcS0PQ^#QaHe1puoZe-p{DO!XO0Oo5;W*aN4m&WQGC@$03&hi6GEkM}`9o0-za4 zkT{nM$1#{*7Y2|z1|bH}E%PHbL@D=p1p|0LBU55ahk*=iKO<=GB4mH#GzJFgC4~+R z5iAVc;Qfp&9863M1`Pr%44e#LaSkRixLyX3I#B<l0dlS<<<1+?CKwn51e|v<4h7dE z3=9lmVP<Ck!R;t1E~walXWL0<Ky3Eo!k78~^FvEJhW`ffyl451K?h2JcXW;DaHOW^ z?d{{^&zGaciC}0N0|OTyC>}uPc);Ss{JZ@9P~+fWusCQ>eZ1}8|DmCveX5`a88w|X zqRnFc%KVwxg&$I1Gk|&;W@h!^d?Gvv9QF(h{}1i)GzPI*jtE@fXJGgMVuP?j0OLV^ zV{oHvG)}0PiokJF4lR$MaRMp3xOhR~4$3F6I5GJma|b1#1Q>o~7|kbCjEzyFMnhmU z1gH=Kpm9j7#();8ph}<)JvM;Or9f3M%A-mMa7n_98D0DU3yA@zL1#e|=?KsQJcLqI z9zuMSMQI2~NyAKl%)WzpB*YU~{U||U2%xSaWm*Sas|{K_0X7+Ws|l(yunameTH2w9 z2#gO}`vczP13F|ICWuZ$7796lme)blGBCI>fHpvgW`XuPfa-I`0LUsk7Y7#55$X&a z;4O8C+yhb#u?w_#0?ZmMzR<%Noev2i2#F>K+A{|VMG?^5GoX92z?YYR!j|<TRH=dj zXjdtC&9?x9fPjDi2ZIPC3PC#};4B8nX>9@wprK=^)=`So5CFAc8^B9VAejZEA9M^i zWTz-IXtD$rkC1CNIT)D0+vh-=vp|Yrn26F0rgW4hJ_L|z08mshFfd4gCwM_7fT99K zgD|MX5&|!Sgysv7AR2}of{rFQ$|WWQK&wij;SaCIIXK`<;YkofKzWE$0bDSFbb#*r z(O?9#Ao5^R08-?E*`ovnApi<(j|LFw0FDVrK?T}#4ayBts~n&;zn+4E0=Re*VPIf% zU=ZL=&;oODT8&>8r?ycEa0oPjf)9Kz8D!TR2L}TuBx)GAmP7N50z(6500V<Y11E<@ z6N7@1h5!en2kZn8uqhw{Vi0IsBj_?NkjN;eQV4)@2*fBsKL`!VM-UeH;14(xi2*t6 z14#g33X<R`6J7;#fUdg)g$F1lgJ>8=t`i!RKzBDWXn?DQ4h9Y`1_sWFpxr`{yaHJv z4C+Io7{UR%+e87h<pEswK@0}#Kv4z}A0<aaU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E1VQwV_9m@qO3Fe!pYb6KD?n*l_e%>d?CE+_DT&w*Uv zqi|Sea)HkmX4%T15Xi;l2vWuN5qz%+1MD6YhKV3iWDJ&PWZ4Q*&&VJEyB}pLvYJsg z__AwIFf_D4hT1z@z|&St9S}Yf=w=|0JcGJI28ec0S8xLz@%U3+0W_z@e1?HTph_Kd zxG)3r3CO)B%xBc0_nv?>AmioWQDA1!Jt-Uvp!-q4e5M{`HKS}&LI5%o4_do|qDz2T z4uwx72VxqLibs{<4FU8xVG`gp$E#sfl0G4T95D_IPv~Rms4YZ?K!fCVqScNnq#y)9 zl`m?E4_R}^zyPlFq0{IP72L+)iC~Zxu#F&5&~fUZ^HsqD*a@f^*d<4{D2pQtBj^qQ z5Xs=c;NT$O0BYF=uz(gh3V;Ym_^>dFv4GYDGcYhRIxw&>I509WunK_Irpla!6=f_8 z3=R$sAf5ndJtTBp3q(JJ1j#{I&_h%i85ls;LBvK$)DQq27svoQ?Vka3GXM){B`PRP z!Ka;qRk|=REKmU5wE<o-!N?>4k_IW`z6d^-6SNo=yzY@nfq{_~lvx-UCol>y39y2+ zgZPlvwg3Zz0@G+jfC2><2Colg16>{tx}yYiRI`Er2Lp=$Bhm^JuwFzGR{%?KGPrUu z2x%}da9tGuozd-}09t3KsKAl{>U}aWuyBGh5hxxS!0JKz!O;j_dk-q^6d1s#)<Xrs zmW&dpA;1Aj^eD9gsysRml=MMjyvsqP1N0CTCI*HE7mxrX=wWMGK`{amWq=-W3+Ih8 zu!I2USpNo4n&nVv5aMd$Vqj2eWMBauP!HOV0t;2pBCZC8CMHlJ*TB%g;n2|3&;*JX zv5Vm1u7RzgL8(KDL4m;ml=nc|K&2c9{G371@%Ny@jb#DoJ~R%HjZ7e0vAAed2pj^S zW)P?pVFB+G0kOcsaKZsxUw{%n=vq0Duo43!10xFq1NVFeP>l{Mr9i?A;1&Q#4%7?) ziGl=R+las_MhW~O0A7vA1Zum2(mZ%oJSayfbTBk1Fn}OTJ9xz~Ljw~NlK?{l2NQ!r zg98INpNRT_+sB}rvluu)WglpXFob4cQeXmCCJbz#I|jf;DS(>_AUnX^(VPMe1|*8H zi2+m^HF1D0lv&ids9{k@Q<D<-ZZb$D!PGJ_bSzL{U}9+i-QmQ*z~IEt)T98?D+=0U z+SI_%!oZQl(A1#7>A<L<(9{4b_dvM>rXNHraDdGeU{YuTm1|5);Om?~GNTwd1VF_U zsJSY@AlLz}r<nv;7+M8b9YBIC;2X0*$~kyA7&sa@Ah*XcFf??rGO+S6fEvUyZ2}BT z3;_ZH6Pg*C1ch3JCOR;1F*vXYFmNqkXy5=v56A#e3j$;psBZ(Q=LJB$AJ8q1VChi; z9s(Q!OkxTyTnr2X7A_763QcMZ4xr8hFH6G$&_w}4Fooa*4yw3S1e!P)cr-xu4@8dt zs{#Y)mVp-7)pHFD4FU`d%qpPe-Vi0IBnJbiTm)qlh&aM12!F(r0Uiz>42lj58Jd_B z3^{lh9TXWr7sD$su`qCfXR%-*)C5lC44}(2K$mTRl0Br3;8^9*)B!n*9y9<0iZ=mJ zbpllZ(+{V?asiMXrXa;|iBSd=2r&o<D6ncMwoP$RVBuiuPy*GyEes4Ipav}qc%THV zl1YOD)W~9RVh~^m&|qW&XARJ8GYmpoI2ahXnm~60fttFY=}L|Ri~$cAxj>U&V9m$` zsDH`8!r{RHD+ZAzN7<kda0Hbh3PLTQ%^{2opwue>8v9^SU;($GK(ZiZaLfX_!=wq^ zForgdCCfokk-*ZZ0ct5bF)%R&OyEGT-4Xf~7z7$FFgh%9NN@sWIwlYammOt*LV$%q z1k^%e5dk$ynF1KV+kBLi92gk57$AKWkSb8-Vd?<g@c{0=K!g|?7#Nut7+Bds6#^TB z027D?NqK_y8L=Q=qzw{fVCdA)0_7b>R?y5ZgM$-HJw$etgol6<2Ll&F1H&RlhK?2i z28IR^kuC<27|>W1sG$m124yfY9RPK}L2(2XVPNH$z`>vZ(g3L)8bHk{4n_tnM@U@> zVNhV?V3+`EFff9y5&+#91knXEZIotUSf{0>#}K61<#d2eMAb*ZfkniGfzc;Mg^Ozn z69Y$sEIf=@ApJ?ECkr|ju;_w>!GX`f!0}a(ql=M2!C3?n4-E_tk1{kdG&L%Nsuqw! zIKC3Xz~G>uVXDNyAh6<)17pKzoWR2di4hPI62QR05;DVD;1HLAgczfS7gvNalZR=G zf(!>zPGJ-h0@p2_3@%KJ!3--KK${jD8k!jxn2TDP86LDVOgY@pz|hdx0J=-)0f$0} z9_VruB%2^*7l$AN=(=+SX9kvOpgT7hv1BI{O(T%=udc36uS);luiyK=>DSa;ziM0O z6<HsbYu~p;j)!ZKJS<2Wr-^lRFfcT9fBDk2FkBZDC!kw6ni&|l%(r%TeLb#u{d!{q z1U|g_rAzVJdeC?=N|n+1r;bY_P(yR;BZe>GE014eY-ns`Y=mC$1hZ(AX82!MS0@nv z_x}C=V)y>nS%&G`)UArFugkIT+b74x(FBhZW-%cyjs^y%?k7*WR)*`ZYy@3Y)6m$= zz#yx1wY%%#^ab!ZX?*?kLD!1w>(`@~U7$GO2vpGcn$@LndBwHs&^Q^51+)<8=we{t zvEL}H#=y$(-`@WJ>jnm{uU`)^h>9wK%PN?1;}4*#ON<!=E|@X|1TcJni8C;WZ-t&^ z3m0PjDj>xp$Pf${fHFcujg139W5}=*x}oBu6lw@CDK&BUY@cY%0FD#L{Ujh#R8*^j zfx#F$J;lHPixc6?rXQF;o0=j_k;ul36H$4dyZobZf(Rs%SWOxn3`dHkcQY`QhyMQ$ ziVe^$C843BqN|ut;)Ege00V<DgZzEd|EO`o{|7xzK7Zc2-~R4@j5rAkv#|*%X5a>^ z2jvx#Tsm?TcQ7$5I8rRUlSNPo948CnMHv47zZxnkss-vrzyrb<8YeP$O!?#E`H{{z z=YXG=4pYp`ykVEU;0Nq+GFo=Qf(a+>$RHrW!NTB{$RNN0r7bvE7@Qaw1SCLnv@neV zU_Jv&3iR$07Y30I1r`P|1_s6&$o(b^EYQ1B#1dOXI>7g!z%)Z?kX;}qL_HHsJ$&pL zBsYo~7z!C0BxG0^#0p#5q;yyq+zMMdEI@<wi3|-A3=Ev`AWBwf0G%T&BcQ;*p~1qy zP{Gg;1yawz!21t$zljbDgA3@c6p)xe0)vMR4|D|=+ysbSATCHf_%0Rb-6|llQH%(I z&6_zGj2ZYHR<B;Q>chtmA3<#+W8=_JNX=4?R7OEAEJNso-(_OPa)j|9k06&ITn<!M zfG}JD!hqcI#K6E}0=nmc33Nv=L}HXg34ymiLjE%_2n4KNy?ghUuOEIx<0K4J(=#v- z6DQ&;Ouq1*wHJ&hI!=y!;XTU`Dm)v-A)_342z>p@@gG!g?Eb%g{kQL5e}|@>Ib&=V z3W}3|ka&SBg`fKf6@lg<i8%A`wtwyK#_xuTL+X4y`Q-R_&>c15_u=YC87Lv}^(zy@ zZiaq^Rqs~)|MdCmM{t}NhlWB17$GGXR0EDU5$|L_ZupVsE*H^p!ftN(k>A+u9Ms&A zK{2v`Cs;w_=S*4-3=E7cOTivzWP^)C_$&&reTz(>*>gtl8dcC(y8uL-K@i-TX9P{F zfXrcF0Pjg;U=arE2NT@xko}2bH^FKd*c2dY*kI=lgH?eDusp~t5N8Bo7Vr!o6AzT; zY;gcZ1G5j5&jF=5p)?P4-y#P@9TO*n&j8!sct{N#c1%2KpcTFhOgxZ1iwvj0!eByV zwYmmq3k1^*sM-#Q8s-yVX(U1oI_&~g*NG%G%A{%taO2yo0PA<6(^PfAa5W5^z3_St z7ObGzcVvaK705i|*@SH*-mFoTs39QWjLJvh!6FhyqewC^j9$rs79c2GP_2U^fWd)J zPr(@wC9>5JnpAQ$pI{9!q=*2wiJ*$W>$;(AR0?$7EldQqQGw|h^6ENRhJev9)esuH zC`4{Vkf4MJOVcnK)I0`zo)NSY0nCFaV_}6WVPFUVts;W+K?)cc7+6`LyLlJ`AR;U* z;ALLStSq3FUIGj*;B`izm3b@zAQ=`G@X|?;V)&LI*mxsI5PcdF?5+_)K>ZK#Eok{D zXi+?b2O$#}6yQl+sD%Yo$a63-cwmMZESeM;1UMWdm>3!ul^7UAK7mY;a1dc)VQ`or z$iT?Z!~ojID9F&jAj-hP$f&>sicHWM+@LrTU;r<u1aU$7K%F57J*-L4Qbh;`dlOr! z1d;|zGH?ih`j-$jObjdvpkM>Z2*8$(GJ!J_NC=Dt7!(*47z7j%dsDa$f^va?f(HX5 zc=IrW04E~@BZCklBG^%l0GR`eT@ZWZVaSp;2#Ml*R3T^}feU;l2FN086y-QM>{A&S zSOh?eDIqJs;8_kLgscw~d0;-&Hb_zciwt7|v^NprJy4K?ckn=0QiJyPvVeme)WHC) z&SGc)U2w&~0NP;%Qq9HCptusU9g6|9sGbA7uLmRpI-?#$g9^Sbh7Jw}1@P7^h(&Dr z3|tIK4WOGEK)V<~Y8g1VKt~fXLDxb;f*wSI41wr^7K|W4xWKSyfCCiGmykFB3&N8u zI5o3?OBY54@FpJy&>CXM21JA^uqKdNXyFFl9RyD9oVj2*5eEhi6%LR&4eVe6P>m03 z*nt&-jD!h5RE$&-C22A-fRZ|Bxi)%maDX-*HYjv7b#N>Imp&X2m2h<+bD%;T3Je+y z6BvaU8bBkLOg}(sz*`?V8bSF~0JI?<w1l{efti7Wp-BO>a2uR`p!tS@0~AM~=mBlm z1L+>-7*afeJlVj&#KHtBs2G?Snn1feVN#HoX<}#)0dM_m04Zt!uR~=7l|T$k3LFed zpwbIs3Me)h6hL<|fyN=ZKwIMAO#uc52EOAQObm-U7I7>Bh4cbY;BzQ&DGD%fK-$6} zLr^fRyaFjlkr<{NQ22q4MFC-u7aJHDSU_SRHW-6q0VKu*6=e}%5Lm#V(7*x?DHcUg z>SbVH(2xKXJ)j5$wV}W|!5b791R4YyK^U~1fdibO1T0uium}h+fK5<fV&Gz6U}REk zQfy`bS3BT@z@Y%y{RN6Ja4u~G+XJ=}tbk$U0njy9B9O`+Tt$Gw0kjeVN`t~46liV? z91<OT4xm$2KwGGxJ#}aef-BTGw=#ftGO{q3Kx`0ZWMF6nM;E#lkaaLIcwEB-MhFcm z9Y8n1IWRCVB|tW-fx{Uj4vrgW>lIx0gW`-0)C*T&U;&>(z#zZ?>2N?o3!JDyOmLeG z#DHK?9>~5%1||olE&&0K2~d-v84;ogN@5d(N{t{2G)e;XE-0)(7|MlEOrSf<Kyd^; z9)gLHfpY<410xf>&jC>f***<oqYMi$FfcGjGQdtRVquuo5WvI$-sT8WiiW|hGBmM~ z!9@!*Siubod$d4c1l8LN43K?q3JeSr8x|;VG6?W7fVS&E)WS0ehzl~E$qBS355xmu z*&QI#3AB$6Bnd9GKn%?FT_AOkehEk(${Pt(2NMIsf(8bLjwTq*#jv1(gP~&)jE|M> zn9;-pI<BSxRIfm8JA*V~LD!gpG6~2$1_l98mO>3i=JQZ7a3=?Jt{STRC=U_>uxc4Z zJAj8iI6xz{4x$X8jvHu55J?^Azyb)D5p>fs0|SQ!SQSb+2g+^&3<BW8)}Yhs5Iqb+ z)!<s9u@Ovx1mO%2XB0z202CJ>rT_zn0_YHD0S2Z70S*oZ(6Ttt01Ify9MtX-Vqg>y z;R01144^8WMSziyfk{b(sX~&K3)E=`b<08Kz_0)VXlGmlC_}-77z8&#@<~IB0z;D` zgNC3B14CmYYP>-dvw#np0yXkRSgc``U5mIFmb5T%^gLovSkT771S*4&$~G{6Q3FF) z$0jZYM<$LBrxIkCSil9CmJldfIJiKu#lX<f1<ER*aexNYJ&1fJ4G9emjSXx}E}R?= zVGIsJ;4O;a{iBe$81W=H%qKwWlL-tQD;{xiEZL&L_2v_Y#-r&ROdV}Z3=1YPFm$vr zFf5wHz&vLf7sIkij9qg!adBLq#rgkKSzVl7MJ+EEgPsrv3nK$GP87g#A|TKR+Qrz| z01kFYSWC1vK4@rYY;12Y;p|Eb(+CW21m%;4Mo9L7C<2ox`D7&ZPr&&E8Yc@UFmUX6 z#KrMs3Io@lZyXv6rgJd$v@tO(oW#J;1Bnyv8K5|s!q7Em8yADC80WuJS$1M<71q35 zTzWzbEX=St5$Nbr0M#eZI6*3WMBX=o^GRD<N_SUj*ouo`jSY>B420qY97`jUDF1)v zOniL#{|1Km|2*I|+4azMzL0%AUjN-e8x<MiLz&q>eE9G|T3T9~AyiNhv__S<5EJYh z-FHP?NWoP7|9|ETXir=N18D6p2*ct8#AaZ~VORoI>JZAz^x*>ogP`E<-Ty;(?<PJ@ z#9T(>1RR7!6R<detdR%B0|<k(LueHS$hFo1q0G$W#R&uRXq<q;lXwh?6VN`A|Io4v z5*Ls-VqoxND2A0?%pX2{`UEPwNXsYU&7*OGKR%ooF0cr&FeouG7=WfP6qpzcL?B0B z8-T@~K;kS6N=UQ_NWBw-0jSNZ1l@D!2B8%h92o?_V=GYF3ASeu;R-&7#1@eq3M@=w zpz&b^76#CwA|?eE22dvmp$yCtfzBBVAde7(Wri~$z`(!(>J5VCHz59OfOO75b4&~j zOacr{V0oB2(0)dcdJqlT>Hwl8K>HiP`x(JKesG+C)PsiNVS5%KW`jt1!@?sS6*48D z^Hae6f6!h>DUg{USu_k<pac>En+al!1iWgM@tHGcR;^mK>I}&=1H-CS4UDV||GzRY zyn6>~?tcCN+S3jSH%Ofy3NGhBd<5pm2bWz83=9SaQoGoX3tvXaVX#002^fOIU4YU! zIdG5_yub9_J5We{{*M+XQ6N!NEMW_a6MK94{pR20@1x42@J8c=#QXxvCkzY>g8#n@ z$Ux%+kxxR;oMB+VnomMual-mlU<1n$feR?HFv?+|IG-F~5CpZ%pk)^#pWu#@1Vo&O zZZ-KLb7wRfC<_6|zD2f=ptUNXQD6w40k)qJVmQ*s6=bg>!$dA`(5)vz7a0^HDna`h z85q#-XJq>bQ6nM@(ZeD<qAGSs$dN@t_AfG@XmJ4Dy9nRM2;0xt0NMA5FdDK~k!hv6 z0_YfGrVa)Uo@4O)89|F58Ja=&FETKI?`s69VK@c3ud(6O=sre7I8vX5G~FctKTiUS zHQ)s;Sj0z#Xc+=b0s_3WG=J1=VnYC_W^fR&MbU+ng@_VIQ8db-N(hYJ&q$SE#A*oW zA}rA030M+790i{51q)##!0j<+$bnK|l^jeAjIcZeF$1)b0;CnRlL$n^t$=byDJ&rX zYSKc=8_<O(4h)Q-<w76<2S(6o9uDxekl+Xa9Z3&cfWg2J1Tv5LIs+qUQ4EL;YE3%^ zI5>b8V}J!=ia}c*!74@xqC)`M=w)=609yV9TG$9$-OVJx7yt`Z@S<**c}z@9;2;OB z`~tHkFn|{3JAwwuKw^-kbxcq_jG(nDj1Ei!4xoEz;0D4B8>P`g0JOCclr1#C3nD?| z>k5$d+Z;H;PN0K<Nf8tw8VsPzAQ%KrFbIIgn_)Y+!TE`efk8q7d=UX?S0i{`5=b0; zQvsR-M!C2`K!L#l94TxP4B)_p%y4ip@PLvyxURspo>ZO}yn6w5Nh~Pn!In5QFf_1$ zZVZCt9f<ho*>>QtAwYntVi0CvV(0*Go9bEs${-ypz=EJHSCD1%kl85EjdP$V0PWZU zZDDC(U|@9sZHeSyVCVqV=M5koOrVqNLAi~AsRMLi8^{S@<6tZCz*n4sL`N~M5CD~3 zjG*<B42<jopm{9t&10ab0b!^vXrMxQ2uj=)!UpY&02u_~fo2;akqNC+K-E8J4Ig-2 z7cR44dPiwc@w5Q6H;##esbLW)JQP6JHYhYGFg10o(14`$4wSMB5~$#PtO6#WrK%jD z+ym0u0J>Tbw0oEdv~L)^c?%@Wz|h3N#L%z`dTJZ2!3>caB~e2F6jUG)4hGPWa03Iw ziUpu$_#6sdOrRY@;42hC@=(mt1lroj0NP911`2rw1|Dh9Rmuw>ikrZd2k6en21XVJ zCI%(&MY2o`OrUZNYQ!i-C<H(|A3>>Bq(R^SsMz9Ql49UtU|{3|mqVZ>56~hA+ynsK zQ0BtGD9GT{04kF}dnFk^F$gd<3J5S9Xk%z%WME`n(ZHa<%D^Bn!J&bHF#vSL2P^2J zZGi>`1p)Bx6j-f7$Y~>19=bn^1+@8;gTaLbbks)!xYgz4!2s_2fd|}S;RDVG4Gay8 z9t;jF3`ao1@4%<Ebg(!wC>S(>0v&Oq36p~Ws19iXUlqs#+BO8zFpBYq0QgEKCI%*M z2__x}CPpS^2Q~o#2L(?CAq5QqP_Laqp#i#_2x<`cL{(5P5Oh|K3j>2#??Fa}2~)ti ziIIW9K?@XZU_}f}4HH^GSC<PgG(dK5BVr3`*eHb~1V9^01sGHq8aWymmVk>a$kriH zN2NiKK^0V%!L)*QD}vY!42+;G!NkD8_kh8HO@cw7fkPz20d(p;NE(bg92htnm>QHs z7z9`u4zMzV1z~LgC})(y76Kd&3Je;cUZH}50O+F31r7oXEgT#U4jc@cpe?{?fe6|| z%)r245#S)9Bmk;oc$a|AuWw**RA6Y}U}Ir&U<M7dFgY<W2?#I<Fo`fYI5u!FFflqn z8g7sl8#afGia|p_n}G{-vM>t+18Dyi18B=B7lRHHgA@yw0O))Ph8`{k5r#!b{S>H1 z(A}9GpjNc>U+~?R;QJZD!OjEevNJF+dT@X)(Pjc2tjfWn0%}RXG>_7FLO?(aylV~= zjNkxeU}jL@5N1$FWE5#&;Naj>3J76fVsb?bPf$0WfuVs>7*ua80gXN~FfxLhyNyDO z3ZVW9sBHzRa2Obb85k5mBg~*Yh{qwLQt%KE6k(Xdzy)sCaxiH)GBYT%G#H2`F}Vsd zn0c|V1uzAbXfepN!qr1#gCRr%6zWVz7#IX%4Y-&S1Q;)cFdq@^=oDDVzzFJbGBhxR zDu6Z$GqomuIl#ow+6h~(3W|TYd7})>5YS@aQxaidVAPi45@KLu3X$h2vf^lx@ZsWG zbwET&fF+}YOMrnP3$78g$CR;wp|Qb<p@m(cMTmicvF#uuM+4gemSzou1B?vKY|B8I z2ejvLffLh}Rs|NJ1_p(W#vnD&2myFx1#Z|V18WFai}CFf;Sv$lm*bOT(sK@v*Du>; zF}*4;fBwF2adKkuS-0w}MCIN?)`2rHFo3QAWNc_?ypq+lWctGE*BKZj;=Xose-T@G z{kry-uic&3*Dq^q1l_yX$nf=1(-n}KhoCzhudiRmz{muOlhJ&FH6{>(_A-3?#JCv5 zwWZ{ug7loj<@3vSS^Tf^kC$HcFHTG@B<o+@E-sn(a1EgSjEs#9jbC4JTsf}Mc8!67 z?e|CS?g!TvUc0{f%hk@6lh?0rY-j-Ah50p$>&sP5w&}0GJm~5K-`5E0=Yt0$;pUAp zFhf9T734^pRSf@)L9z<}LA!+t7(zqe{AXZz-@w3dA9@=dNEQi$?l%Ep27wK*wW$pJ zj0a^I_z`RGLGu3@{xdC7&=R=7`V~p{C=*WzD6LumItGn_0U9R^AG*LhA45Savl-qq z2rz(lLxK+FLNf#!-V6*Ja<DitH8uPwYs>(STd-=V8usM{RWf%B48UTe1i=t6HU{lt z1nnS#<P(Mu9pE_me;%~H5wt}Zlugi@!XQ(j;myFnFM)^?+rP5^q2?f|3IASNFMr?O z9wao135NhIPF8XJ2j4TJ@V|@U_kRP1P}e`8QVf)TK#_uJ5Ijy8bYXGw;Xm(L*)y1B zSI{R_ec{WaWfx{3Vi$1)A8H4hOc#(~6ku=y?PCPp&j_MHIvg1UKqqQCf!H7n+s_EQ zW08SDloP^cU;*9BsKCN;2&zU1BF+RBhuqf)9&ZL2Gm7zqfFJ{C83O}@fI$POy~_lm zL7hAF`x#+Ifc7)8Ffh2m?pS1C;6DIjgYIVp-^<9t!~|8t1QG||!-%-A5lbNmGkKJT zg#dI&@B?V#@IS*DhIj_!P=+%c91NcsSQtM1{~sS;Uj9Fn=QB(_j5c6pJjl-gx*uEq z4fAJ)56qvLK{XJ#0)ndn-JdNji*yeiXs;Jcc9bR%0s^2(4@8{A#)9L7;s5{n^Y7mM z58mO3YJ$O6-m{^`!NCj+91_f*`Tt{!6Z-)E|Dn>d#^Cw}RR1uH#tCXD;^Jv(F)(}p z4JI;tU_8Sd|NnnzXlQIK!)B0r+;L+6)%GtWPUOFQ{c36o%1_|12jvQoRt5$J`*>5+ z|I)Ic*nr^CIDv)?AxevZf#CzF?CSW>0E-h24hCz610O#8g_d2Qb}_0s_N;~<`Tv8? z(GgE)Wi>So2ylU%yMh!a2Jxn*tE6Smfa3}jE2D9O8i*hs=zd1f=0Xq+=Cgnot1vLK zYy~Y^0gZY<?sH^dVAApcT}>hY=7ZGY#H>{?DUi_&3=9)td>9Q8XW0tlkJ6A3fb2_T z;$Q*a*9fA);?VmTna@D>H8OR!cqo9X7KRoF$hD;nps7T#Qe1@S8JG-guOo~PqaotV zaCI>8QJQ8U@BwBrcwQ1T^#~Jy)6mu(oIlDSHUuyS(J@6Z&5|#`6dM(xRtUhBtRT$8 z6hX+rSfg<Q3yD#BgoFUNc8B?u6IKa;*A2r&!8D}e05cgFL|vc^9MtG=3XXsQ34@mO zK-Q)}cDA8b7LFjU0O$ZN(7r|n1_mJ)(5gm|M$l#FU>fVDL>6ht3Oxn~CPpR}2FOur zkgFTPniyQ*Vi5i)i53E|tbiOjASK{T!NTC+-~ie%+R(-1z{t?x06In(v>Xql0*b*^ z3~ad<C>|L?K|BEl0X7g1gh70eY6u&&c@EUo0b!5`NM;n{2?1~jL(@4-8E7>(0|yha z_W^P}g=vH|gE_#_3l{@1z)=BD1t5`8j2;5Wp$3W-Sd9T<gO++RD1eT31nnr{P+?%; z0AI3&ZU&q$dI`=1Gms4j3yc!DLI751A<Hv?mSICuH)zfTv_6%Iy#chCj*&qEeDxW4 zwLG#GC>yf7k%6IufkEmdc;_NWf<pl`M1xwXgLqsFTxcaANcAYj9s=N;0WZ58SQr>s zL1z{)FgOT6q*y@J0B8>hvVPDiEjXVUv{??s11-Y`(W98e5J1T;7{!bNQxhnJ8yFax zI+(henm}9OKwE;8K&c&+e?a9K=<+ydJ^^hM7KALyX8>Kb40a3$=n5}T4g?tw(hbWa zC{1Xv(h*KHFhD~ae&Puz$QT&dK)Vqc7?_%vK(}Us$||-7h6P*<jNm0k9H2c|5QVtH zk0T#0!=%6kzB>~x0AjE(FmQpy;UyeMa1>(+0Z;^iA^;`@x;%~nbPEs%DD5*evM>k; zVhKf*YzEOUcK~wA0Yd}mQZ6P2aFZBjEF@2X4lV|rJb@%S%0z?!c>6m8gAizUC{$Dk z)T?7)P!Ql@VBu5{IKUvlz`@|i#G(Q^v4f$b2~_kzDi^2*REm8Qj1OAh4Z5@j#)s3O zm;_<Cz$gPP1V9}H5QYhJfLmSy3<?4Q3JM%fEDfM@KEPXqSQvzv7<dR=TP6TG<`H6A z1Nd?$2pgFkjS=L~KxRWyJFJ@xmVwn3Oacll3_L0f4IC_ttSk%$JPa%iEexzJ4WJVX z1Qfw)u@h`!2yx`7Mu<XLFsq>4Q3@6U9FUe1q#Xqk1npZ?fL#6uD*Qp4=NJSP7#JNG zSivy?>4SsHFOX_1Sn3$6AhvrM9Uu(}RE49w4)Ar00uCLpqk$mFwnIY;bm^=n7ia?< zBU1x|255Vu0vCe>BpL)57??!xh8_=SPz<jMaJ1r8F_I<08+921Gy)KT5E8<m!B7EO z#0?e`X=EsI31Dyq7jPEf^P?P`IPf)^WZ?A)u1W(U4k5voj1q_t;1B?9C=Fp?2nc}- zUb(`>pcTL%puoT(!l2f`z^D+yq~M|9BEZDNz#;{%{=r8Vz%^hn+z}$6a0g+82#5vV zNe|iP0^*KhSO`GMF4#SbOrQg5KvJC=S|SV~3>sW4TtXZ&5{6v2!WKzz2rw}6akwxs zFhnzS@i{RlOu|!qK?^zXzB*7#7~CQT84bsv)4WiIW8g9)oB@gx4gmp^j;<00jesx~ z@Xl!lhR#3#<TUC6G^I?mtYl>EHrn0)_ASmTqBc}c&&|tDDmtmFFHA(^5+Yw=1fw8i z(GfUKK%o!1!xU8!c)uN%y8%&EjX)kGP8dQux=I2V0>a?=<j+4jhPnz3X%#6c85z5s zUcc+US!Gmc`Oo9Z6Jm*q7V6?la#Fa2C!YvCf`uk1>=}^m{Y4gMM80MdCNWB54S}gs z4;-lfKQ%NV&A9yk|Nrk87(Q%gV5t8u$Z+-y0|U>01_m>%`VfNRGZ5@i7Bxa3G}PW6 zv}`*K94GdA3=ALkGcc6@SLz@(P6Rxu;f_%=5Frp63fe;&8k&}7yec&G|2x)DhR+NF zpj&A`-45PR!udo1-(5`zi$+;QhXCj{CTKoE#0hwpDX6DMOxeYeL$qb13Q<D95i+LY zzzC&bokT_k1_?$61~-NP2GHI)2FDhW4p3}>_A@dvfJRq1kUE$sMnXBfKcEcQy^KiX zUl1AC{zeFIl!S!<xL*$AAkok`5=dkKos!1DAW+a21&R{}@cu^7^#G9lj!0V2m~vBL z0?>Vo;0uRfVjvnS4jIe=35;TJ2+W)b+P4-O8X9VB3@WP_7>vPZ3rwAQ_;5$Z%$aBY zgXBT=$glsqjrrw4vj128|IZ*%*RU$!KjLr?uxZGIgfcR3ludjH5Q>xk{E#>S%{T5g z=H~~+95_xG{xhr!sISLk6F(lgQ7Jqj0Ev^CGpB|gHU{-a7@+&uVEF`eS2IXEC?A31 zBs7$fVI2>{dj^iW2Ce{5{sGBi!~F5sL`TI4hX8V%K*}yqzF=TLjgy83P@L?y=jR8t zk+s0>um22Ic;iIi1Yz5Uh6*<DQUnGD7)>u%dr-ZmWydq_LN8xY#~wz71h7Fc8qA>s zp+azfBB_pG2Tzufs%um|Eki(XHxZ^|<W9)a03vjbDj_2Tq@WjL!j5#tY6M1{U{y6L zNTm=EHzmg)#3BuBy78`m!KPtU3?&43d{DSl;our|po-z6hKz;)g&_b*pCA&1!G?kE zM*%Z%5#pow#p4PZnv3IVDM?7dt%k%OHig*4;MztR_(A|YA`DUhS#Sqp5ybLq2`U*? zFd70QF9gt<v7Do0^)yWbpm{~mLV5^BwF)gN;F1z{a3*9&BQ}ND#NgUS8AOEuyxB!q zH3xySJqVjHs$w(*hI|M>$|GcNpp`?C;5lSu1*7cI5Eu=C(GVC7fzc2c(IKG3pacQ1 z^Bab0XfV$nsxC)&w-N(rFDGPti3POF7F_{N`4D@lV}<w^>X<VaOaaBoU~mVeZh#(O zM5!@@K>u)w5e9}~6ep0%L`g|$v_2UGSp@8a(fR}&45I{<L%_kIfq_XuvYX1rjv7a1 z2(*AU6>%^yFeyOJDkRgGL8Wc>69$Hc_>12e7_!|MAg7cPaGxtH16u*;OlAfK20;R9 zK>@~4P{B~i0J$%mfx&}e0>cD`27v{v3=G^8Fwc4*Y#<gD;1PY!cUWYGq|hW+1_oit z?|T{;s_zpQCrlg+%%E-0xWf}=z*d1_hD7UJhDi*QCQT5SAh3<Wi(wLj0K<u-2?A`8 zD^4g2w*w3eEZ`|H(c7a7ASeq;Ox>`U1nmX_$-?*`HZfR!Au*~()sPYbuyvrYEekL{ zk!EoC6SIb!NW(^zjfTK*4FS(}!_{Y_{u&K|(GVC)AwX~(A58%xsSUNE6c~fVt>{~- zFnS+o3OE-H5{C~nXAqOr(V_w#0{rm1<>5l3jL{Gnb|HY&N5mYqA!5%1Qw^RVL92W4 zs2r6V4S``10=ViEiI>B|dqc_-gzA4GZ9Lu?ExYgp&ZyK-3IR|Z56uf?)$#J-L&>*8 z+O6m<E_6QJa{`z#36~pXkQ)LRJ#~@{65mCV@=?X3Auvor09w01d;?ww0byb%1@N5T ziCxF2D1i`w)f4D60c{9riBbf6lr<Ux!#4!b>v%99mTw4pn;m}UGeM=J3aAqTu(*NI z7^Vt<Iye}jqXHC!fC6-BB`nOb@WH0T<iQ+df**V_FtW%foAM9<msLo{fcdaEK&O$^ zL73uKA@nFY8UmvsFd71M3;}RKg=8N(A4v+##E@s31Qs7921y8jDjUh(LE@NU<_z>X zL_&OI?~7|9^G4a!2?6ks7E&(*oe#DJNgm9H6B66t%uxn)LI5#43#lmx@iA-_2*MB= z6`(i-K(zwI5XkftAwEPcjAR^*6If7;(xV|T8UmvsFd71*AuyOiz=eU;fq|95fdO=2 zl?wv{Rz3qlp23lY0i+((HU?n^!6$>sJHx^)N(@X53{0R-BGUrUnPOP^3<!A$lZjyg z1A{^nC<NpoZD<g46px0$Xb6mkz-S1JhQMeDjE2By2#mB4K));!oj=6G1KbHg@&h_w z2zqBGk`g!*rWQuSC2%l^Rfj`6L19{(PtXCQ3Px-QNS<e4fQ<1Yf&iV5kU?ePQin?% zRX3)+=<=id(GVCcA;5VKJQ{}L9Ae9DT<UO%qgcX#X)n6`aOK0w5!j?Kj1Tj#lm?82 zNyF5_XiOETBE+gg)kQpy*5(uM5^O3#gNhJwNSZ|FL*$4d<q=cG#3&zCLx&LHLfX~^ z%S&{ycGL<yA;8;*N0P=;kUUOfOXy)dtWZTt-w<nI5)dA-B>U*Osl)~qZgprukH*F5 zYrqUb)HpB^DD4NOM=1(JfQf+tC7*yo96ff3vw|IIe>}bGlVMYK5f{{>szyU#Gz73+ zd`)Jku!oYVaa0?j5FoqfH@csZP|y;T2XACRQiaY(k|K^ddM*)h;Y3wc1R6J@MpU(p z6vHS^Po!8js&+I4@Pq)tdPZU^9^Io-#DoBP7^Cws%^=W~<WR@dGAcq=2%yIWI-h7m zWkrcrN^ON?yP4V!q0l^-KXB3L#w*|)0E4RJZHF@GPr>{^f19amBg`MTXiVD(lwAYZ z?t=LN)6JtIqaiRF0;3@?8UmvsFhWBBG=qigb#y+m9EgoiUcwWkViZ#$1kgvZ(D_7L zAuC3-QfezC+s)K=2!-Y$re`60L(%!@#v|m>WuW{4Yzsq=vV=NhNK=SrJ*4Y_&PVqg zLLOZP#Ahu6kpqVzei%6WM{OPrfzc2c4S~@R7!83z5&|v`ptDmzn2~`IbiN82AKjTy z_2|L~zHA(VO<xx1j2N&V=xZ(YZBuFh4ZedqflLfcN(>C>d~_QT^5`;9z68P6GE^Zg zD2N|uVe+V%qaiRF0;3@?8UmvsFbYOPV9<pCw!PSc&XFU`L6T<}7)BR~j<84{_3mg0 zjE2By2oMnh_-C*%4dBql6dM&84S~@R7!85J9s;t>1L#7OJ^lme4jNlMTA$E3fJcoV z4S~@R7!)BeTAvJxXc%?GXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@y zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Euoc zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+ z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAK zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl} zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL? zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvt zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C zQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{ z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq) zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOP zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_ z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S0i5CR4a z42(C8*YhYaFff3y0Yd{L0|NsW5}O&s9>tLG84Te7jU!f&TMQT!7@7AmFc>f#U}Rum rWi((|0HqliAFr)j3Q_~YP(8dL_9z}aaXA_nqj52s21e7sP)P#-kd-w` literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc.bit b/proj/AudioProc.runs/impl_1/audioProc.bit new file mode 100644 index 0000000000000000000000000000000000000000..facb23dadcff7f57df21f6c74bbc84f53cc21b45 GIT binary patch literal 9730758 zcmZSJ<o^JH3=E8k4BCmMDVg~JMfu6rp~b01o-Vcq5pGam9hO>DoSC0zYh++#qG!mE z#K3EwXk=heQk;}-VqwCN%)o7AU}UOqV5)Cq$dJOoX=r3+Y;I*_$&ku0r7Gq>0l>h( zuv<`x!3Cyl)y&W|1qKEN111GV1~AP4p&1&$G*}M8W(ToB*nlYkEN8%|z{td4|I~o- z0F>Xr$ef%o*#M%R6Reg2B*(&Nz_5Ukfq|9L0L*7#Fkpt*15$$z8!$lK1u>Hmq}G7J zfgwOuCIIB#Q9R^AfR#cQGvm+?6Gx|UC?_N=z(hy|k~}Uy!NjTLCL|k2B$M~lz<Zlz z;GHn)0vd&Y1C7k3x3Mx0=xrnQ?W0#*kW$Q3;!AFNd5D35f!2N+E=@1~bCksB;Ls}o zbw&e}rWM6OD}&L@6<vZRKtnDrKj9Lmp;JbU9u0xf5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c zVId%YX~=sRWf>)CRW~htL~HXwZkON%k@Um#@Du%fGiuXl2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb4~n0SC}d8W6@NNd+-ln~!j!_zeV`wk+ED$&rPDft7(_0Rw|V6Ak@9 zYxChwr(c}VF3dptznDM-+*hNF(GVC7fzc2c4S~@R7!85Z5Eu=C(GVaU0&-^ws~Kn& zqw`<`9Rs8G^PL&|VE{WPV(`0k)P<uVFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8Umvs011J?vQ}KQ7vdgjkhuJWOPm^Zj+!yNLtwD16=&_C zUO40O6E1P;**t2}Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Ez&c;P)CBdnvJ%g%Zu9dPhTG zGz3ONU^E0qLtuo2fV}t6^C-vAbMmN*M?+vV1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQNpq0l7hV|H<h6CL=ys zjt0PJ2#kinXb6mk02M-jZxG&pGJ3xW6(V-jh|v%j4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiTJLO^x^?mrp5 z-(-*_f>Gy;hQMeDjE2By2n@>*;2nVbPe$)I8J0<D)KjA&Fd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtsdR01plBXB@qMaY#h{s3S*1U^E0qLtr!n zhIt6c(BOW?(fb#Nd7>Hh-e?GnhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7 zfzc2c4S~@R7{VbSO~(C<qxUZk;Rqjf`e+D@hQMeDjE2C72?1_0?q?jme{sYlk5P|~ zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1Jh5*$<fD6n0C!_b9P%U^z4H^xB(GVC7fzc2c4S@j*0Vyo^pN!sb zGGNg$YVl|YjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDFfcH%poIdb7Mj2)cQgb>Ltr!n zMnhmU1V%$(NQM9hnyV$X(F8`hqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz6#;0_gVu z5i(R_^qwF>K{P5q8UmvsFd71*Aut*OgCYdb?*Ss@D2~y4f(QlCsQhRMjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkzyJbL?_VV3RCX>xDn{i; zLtr!nMnhmU1V%$(Gz91o0;Jx*NXSj%+=Nt&%8!P?Xb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb23)5TN$` zCWOLBZ1nyULP0btKN<p~Aut*OqaiRF0#peBYTs`{$Wd&g_n#07qEY$L5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFeF2O-uIgj3MA3d`%ef3(Wv}r2#kinXb6mkz-R~%6$13W--M8(SV!+aArwTT z@}nUz8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E2qgur0C ze-V#U!BWhxz|<%)8UmvsFd71*Aut*Oqai>b1P0sviv*m@ApDw;ic$H|5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~ zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiS$A;7@^fdlG@QOid|U^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk0D%x- zCLm95X;uOj4mS4^aPFw|Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-R~zwh)j!$-uxg*c>(L zp3x8(WFf$Lnt_31khy8pIin#k8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*Oqai@;5RkmYz(Q>^ zN6i}zfzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8Ulkl1Q^j=H-z|IiVO^nEDQ`R zL&(kG(8h3Y16UYA3|T(oO@7EeEheTR>jy+MjIu^UU^E0qLtr!nMnixWA;1MS6h=e2 zqts{!jE2By2#kinXb6mkz{m`Nfj;YmwR2>K`>3ynRtOCAStp|1Lo2+9a;*bY6^w>* zhYWRK$hdUWp`#%%8UmvsFd71*Aut*OBRvFQQ>HL_sD;O9yKAV$*r<C)Ltr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n1~vpJJ*$LL{S^CN>;c6_ z3~B=u2DR(3JC6A*cJWcs(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4FSqS0CZLf zD}w`QRXqq}7zmPQU;s;j33LS@c~(5~=<2D>=L@5@iMY%Idml{TQbDdbC=8MHg7#T4 zF)=J)U{Gj67KgDx@{o(CamzD-6=9c$nL{gD5^OiEOh-4DHhu(q0ZgFVH_9Ikfzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG z8UmvsFd71*Aut*OqaiRF0;3^7ix3#_gNF!sN~DW`f>G(w5Eu=C(GVC7fzc2c4FRkn zFwpleVs#XfAj@#PpApG_qs-9|7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC70qTapV84HnkZ*;v2&ovA9}R)g5Eu=CQ7{?;BQpdB`~8cAVud-I zkcv_H(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$ zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb2465O9Dx4Msz`P)hIslmVt38o(3= zflC}i;lK(o<FFo=IJ$CN>d?i}`RL}r_&C%tvM@naz-TBJN->^>GC&j#GZ<jv=rl+V zJ`7WjPYpgfT>4?+=ro#H*i#{zA|&o$Q7?t$5F{p&OK~#?n|j<X9hDgkfzc2c4S~@R z7!3jPLjXr35~qQKMLlvIKUmxZ3p7&Q1JgH3kA}c#2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeD;12<LF8uO?NtR_WIcC%?qaiRF0;3@?8UmvsFvvrIpL>uy5XpHQ zNbFJOXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2cZXv)oi0%m*y$5KxrLIx`jE2By2#kin zXb22s2*?ehdxA#q0UF4ZFlzT`2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVCJA;3$=`x!^?UmO`}YSfpbAut*OqaiRF0)rz2Wa)T6<LLd1gCovI zT`?L0qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^D~<A_Qcpc>l@h{U!qugQIqihQMeDjE2By2#kgR_7LEq;{7M1 z_nTl3<5AJk5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0)r<6xJkI5arFMh!4q$zE*TAh(GVC7fzc2c4FN(SAWg#kjHCB25(>>x`Oy#<4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C zQ7{?;qaiRF0;3@?8UmvsFd72GDFnFKk$lsD#2#f1SO{<-Uy>y-V9pq|cr*k?Ltr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1ZWfj3!vu0Xebveg-Z=Cajb@n3XX=rXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1Jh5+?LfSGtBIf+*~s&X_0MnhmU1V%$(Gz3ONU=)moz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2Afga8*ilBEqu>`~@`g#Z@|lGOr8>`~@u2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z!4U$?#5;qNc%`E%M?+vV1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3aAzWT<l0jH6XD^ znFAIATr5ad3m~yanWG^v8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71bBLtX<cLpc%N=H?WhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC70jh_96w(D6OjI|0)WFdY7!85Z5TH#6aB(2aWkfJXS)(B^ z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$( zGz3ONV3>r!0;oS=G?a^#!lee6I95YO1xG_*Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQNpo0Vz&|*O?H^QPyY(jD`SG2yk&AIE)D9C~GtXMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeD&?E%pPtn9!x*EztSKCG{8x4Wc5Eu=C(GVC7 zfuRus{HKS8JMp=a1E1um+-L}lhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu;sib6n&6QP|6!5n3chQMeDAcX)I z(k3THB=J$^Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz~Br4z9Dcw<LLd1gEQ@nx@t58MnhmU z1V%$(aEE~05V)TaX>0P}4$M*4jfTKz2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVE)A;3Eb?q?jme{s+!lTinbhQMeDjE2By2n@jxkR1f~GmhTB zI0O^UsFO!SU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E119s*LF2rHNn%u&{8 z2#kgRQV4J%Eka~O5+7xbhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu;s+JwLYsIf2_%Ee0IQiDqzt0AL;qaiTt zLO_NN_n(a3Z!+wX&8UY)Ltr!nMnhmU1cpEe@X+D@6Qr%hLm)&(oj4i-qaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqhK@yMn(v5pQPNMOq3fjYQks;jE2By2#^#4(kDn#j#V)uR?$(x(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC70a}EB6eq&e(S3=Cuoz{Hh5&L1aB(1bqkG^GVKK@Y4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UiCF1h`L9?o%epjTkjyGz129 z2uPox+<A<Y8!>9aXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@RAUOo2I1yS#*Zm^GVw5!+0>~l2#ev|BuKPuV#VBhu1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S22Is~{+ zQf>ni<wlH}Fd71bIs~LoQ0_cN%8eK`VKf9rLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nhHMB( zaUz^Px-StC7Ne}u5I_zAE)E25bYCJOEJj(QAut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1ZWik3!nzWXebveg-Z=C zajb@n3XX=rXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2Av2mv7m1_mVtCI$vD#_C{2 ztfGTZkdXlb2h3?q3=l{a2M91QFeo6Iq|t%k2p~yFAc-SXkjrY|f<SWhqwBlC3xVk3 z!-EfsBZyBJdxZTVED(tlJs|EVreg?j!1DuR53?V_VuUzCY?L(`0;3@?8UmvsFd72H zgaG$RVpQQ*18QsIS1~F(8UjN*1f)+;?tVtfjTkjyGz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E1VYzRnkBAh<DFA)(IqpZ;oKn?*e4g_y>Um_wbMp>gFFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnizsA;5i- zavPW^H)7O;(GVEaAs~H%a_2EpZp5ewqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnho8hJX|& z!s(;?5)olB${Gy;<PhNEK=4NQB_hINlr<UxqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMoI{9AESa#9jIW&s1c(fFo;87G@lIO$QgCoXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjD`T-5Rl?TNHZarqpZ;o7!3iW z5a8lKa2OHHQPyY(jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GZ|f2rPh_3!|Z2tQ0OaxWus<GAcM40;3@?8Ui#A z0Rbv(&SIvyD@QFD4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?5<@_W6X9zn1ap)%8UmvsfD{5; z90(30f;q|>4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfk7Vv+$Sk_;^;bK%ENHfguxvG(kCc)-{?AH%ENHfgwYTf4S~@R7!85Z5Eu=C(GVB~ zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhnrLO_ZWVKWngIm#Lhfzc2^3IQ$-1cwp99A%A$ zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeD5E%m8Cy7*uN7?8;NIZcvDm5%ZK>7sb-Wc5n zNqHEKnlKsyqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n1`0@V zB5Y<tFh^OVAut*ONFl(*f#5JAn4_%G5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC70U|?y z`y`PH@hBVJ2Z<+euu8E&MPW3Q3#A01G=##ghJgW>I7HVdNookdb}7PWRQ+5hkonlv zFfiZ}hv>yG3sEylj)uT!2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Ez^xAjOGr7ZZXx${G!U(GWli0WJ;%hY`UXWsQcwXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GY-#0Bn~cjD|}<7#|=MeiDaXs3!cfP(`EEXb24I5P<Dcgwbe@B`Tlb&<iyR zzbsVIC^Z@aqaiRF0;3@?8UmvsFd70QJOrf7Py)aKg*VC>4S~@R0EfV6J^=^AC@~rW zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz2h0Kmg^^IA#p_QGwAA7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc44Gz6qL5hgGpn4_%G5Eu;sq!8fZKyXmD03(D)S)(B^ z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UlkT1f+fu;}{lV6pgAOIs{<56k#-~M&$jBs4~R!u$xT0 z{!x{vA;9$;m5-f=w80L$f>BYDLIAc)5k{kGM&8eeDnmREyUE1sA5}RT0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*Oqai@I5NH6|#5o;Aj^fb}7!3ieApkvSfHM)R z?5N;q2#kinXb24I5D*Z6IS+Jx2M9ATa4<k<n9L|mw-9gu*(5m)M2_Oo5Eu=C(GVCS zApmU)OBTZ13#vmvc(gu&g~%v98UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiSSLcnv~@bSy2 zPewz4Mj;>|xrIh1j~Yv62rw~l?j%#|s5V-KfCJ|?S{XfRE}0?FAi14Pouk@l7XmrR zMuQiuDj<uDvS}3ppgWXV7(gTgqYDFw#lpw{<_p$=>;%a`Fo=YxVPJsK4vgS!5g>)5 zm^L9G2sT!LL6AX!fkB{^fdNE=F^C1qB?3?$h|j<PQV-cV1R_BgY``c%-4FnmQD7sn zwYy;V_rhqf!cl^@A%K+DC0%H1)u`!&LjbbF1WBDGNQ4noVljXZF@W!8MC@t=sX)>( z%A|1!2t)SAgX08hl>n^Fg3(a%QHn+(z`@j@1U8z9L5V?ufk7|}lnWRcK<owv2+hIN zpa9;j#RL*%VB&z#U^QU9qXhLs0G<;V7#M7*Z~Ul<#DoASFTm;t5Y55B0nP~w48kc4 z3=9eoc?Jd!1&}O=4-#Qu=m71MS7?CNG+>*MOF6K>C@~rWqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0<aL^f-H8J2%%ZFGB8M4ayc?EFfs^C z<nnf4U}O*xg~|y->8)H|AWa~(FjGcpYKDNi215fwL(@ty&Cq;?fk84-UBjh=fq`kI zx<U$QF!+qRh6`xI@sv7v1ep1Zx`sjn16VCJT{3FcXb23Y5MX`?aV=<`7qOZJA~s5n zhQMeDKte$HF@y$HC7`?l;f<0s4*~FmF2WXY<;?&ssdj_K8z4MT<qqa|fLBL=nG6gZ zOl%D><xrtfih3d7AOKk=%peF}a>~HKB+A0T=m5<j;KjlW3<8V}ETAPU3=EJ3c>#=| zTm*3hs9g+Ujgl0G04OLK!Qsp#z|<hX;K0Ddz}V2pz`(VTiNQgDgMks06F{mMm>^k( zfnfp@XmODv$Yuuy2jsObAfZuAr4Zm?U|>{WU<4IJj0%v2+Ij-uVoHF;g@J`hf`LIn zgTsRXB*(=FQY!$?A_5E&RC2+nF=!#c2wEr%&I}L<76t~cb6_STg98I-#So+vV{u?$ zVPRl_*DhcsqXd;g06AD;%e0_$%K}g#C3KIaiG`t&sfB^5fq|)^fuW@Vw5AKBhDiY= z#-IUp3P=db9Hl4^0njEOxGAXlMDht#!hr>pPZ$^&SlB=WvKCO)55iEDqZD;Q0F+-E z7@9zaDKs!BfP#Mkm@hR4TwyRUfa(|ymIj6fCQz(^<QkYjSp%e#iGiU(0hFsi`bRNU zLjbfyn~8y|5wskYi47Dn3=DE?O)Lw#nc5l{m{^(`z%Atjh6WA>76vv2hAsvM1`UP< z5S3JQ$_O?Ll*(b=XJTLwX<%R!U=V0vWME<xR1#ufU|<dtV3@?f;3&xA(7?bL;J_#_ zfq{b|z(GKOQL%whfJp#Uk}(Q82rz;&4Y(ZuGjEipP6#MW0A&Rw27v_(3gAXChb5%H z2#GwVh7bk@P`+^BQDB7U4i^Rn2NjV05Tzj2D5hozFflMPbSNmWFg1XtvKWLffDCV7 zW@uW#z;HkW)G=vc;N)OsXl#IG3>8o;fmDrRT7-bWfd&?a3<fS%P(P7Fz=MH->omg! zP)iJy1ss?f7#x@!7@8Ov7@0Uh_B${zFu5&YU}WKB1oJ^+qnNrO;K(7t5YQmP;BbP$ zf#nd$YynVniU~Z{a+%Qp#9>^(!61+TiYEpJCI<!vK?emFh6GTb5~O|<Q#%Aa89<}F zpyJDcgMo>ifq_A)f`I|tMrL8<-~e?(*cibTIVg6JZRdnFnUMuY+0+OD0Z=6ZY72wt z4lV`;$)liwMn(n}h5!Zzh6YaN0~`vV5g5?cEk;Q6Ff3qTWNc8RhV`RnV1|I>2T;H- zWMDo3YE&&`W?*2jY;0g)WMp7!*}9OywSgn3g?Wo0R|gZPVk1bHp@D(<%LXO}W=OjX z)5K8`N<%=2;R;hj7#}->!T~0R>wHTX7?}GQ5*k2&nZbdnA+&)-sDt4EgTj?%e4rIB zjSUP94Gato3$Ey^QEK<7e(WLe_`{d3#%t@BcRx7LwDS7;<qQl=hK&yzn;RS2+P6Mz zx_Y(q`n2vZU%OXaTfe@sfw8f%p`l^*mknJjudiPQIui%GsiUHlgh2L}D@-e{ujg0( z@}-O6`ug<@48l^44;mU9o15CdzUF#*eMQ*y?kyL&R$O1N-`LOyij&6XFJGD#TwkwG ziM^wGafblQ5rz-UpCMS_0uSiKAufjh#taPq5zv@{;SA$KQ&X5JvJ3(j7(Or_gz-md z>V|;H7ykdupM!&$KlA?=zRYu%fq{XG;XeaPobZRby9a(miW6g*JEo?FAF1n-QPW@{ zVE*0I^y}B);ICgzP37;~<BXH&-yc9R1=c3}U;e(SsqJ4dXOy6N2(TYFHDzVx|If;5 zYASQb9(&pK-}pcGY6gCo<+5jlFZ2KBJqzQH($o!s#1@ebE*FkNAX<Ti;}8Rb<l@8@ zksS&wOkxTL7z`9xm{=fk3_>mp0!#`l3}O&ING)~UGHMzm1OyToJal-Nn7}j(c-7FR z!Xq6OG9@h25?eY9WJ*}3K;#&hDi|81bXXYNAbhY|h#{jSbwdEDeFd)B85o$sEiMKI z22*~)-G(1czQFi!i$QuotpK>dD1)jY0196ihJ-UBPX4c7Z~NE$`)Hg{HN*)U28$06 zjUFcqg1Z?HvK$$W6T-nrRTZGPfMN7F`M}D`dzSt9Xq-?r#0eS(+oK4Yt72ean99Hq zpb29`<k&t!Xojg!@ry7%LBmHCkQD;z;FVp>XCUXKf{)i;1!F_^FP?zVOg(UM$R5ZZ zvMe0cM0yAaFn`9>gg&Ln1lh2Hsc=+;1|h)2zyVvEifKA%x)D<piwOEWF%~7GLd1uF z1LsSeis88fG)<3F)u;ruL!f~JJ|>San$$P|twTcS9%Yde0`LsLz`!8f1~&m-1R><1 zH4D?|anJBjqBaA%n~@b_E_ex-lrMt-BWMGV0|NsqBS;3s16{(w!r;IH)*!&B0=aYp zqHUC<JOo(4JK;cU=D_Rg7&sUd92nR@6}X@S1B1|K4p5^PRQxb92rvi;FiC(`5;F-h zFn}Tiw9gT|4T6CKo{cDX(x?eYA;8E08Vu%yC3^)127%3xuohroa9~gX?Q~>hVroFy zy~x4Qfn>xele!_m2-@AS0Bj~`n=gnV@t1)?K%hYYy!a6$09yD3+8+d8rXm2!QlNYU zmIV{wQV+};CCCW@&|<j;a9!=dpuoTd4Q|k$MX+gtIZX^4ObQ$f4v?N92Zuuw3n(9f zVnT_5i9w-(LqP$wDiUl4SP#fZFk_S;GXxM}3Em&iun@%@!FQl)gh2pYS|J)-pbQ03 zj-q;$L%k4C02NIRuq}xk4dAvdXul$ZkZ}_O2geEyP({wfz@ebP!35ec2ignKpup9@ z1X>3Psc|4A_1rXS5+no+xEQz?7(kcOz;ch^Z4L!!d8Ww01X@7s!61_$2DyJjfklBq zfkQ(<OMwGadO^%!0JR3-%uxmzAppt~kotsyVF5D(3nK@EC<B8OsE!9Mpy#^Dz@#L= zz{GH%!3lJ*1Siu%Mnwh%1}12&&%_`g!r}_r1qq8qP?jUZ9iv)sg+K$SjACd46;0qR zz6@N}pmI!sfd^jeGcc$ycqni{?$iXeus~HhsF94z%u#WQLIBh|ffxX4R)JWY4d9}P z3EC$VVBu0=Z~{qyFtiGRsRap*V(NzgDB*+i3e;$3e&_{e0$iZ{0BQw$uz+e4Mka7Z z;$Q$b!5k1J7gXaYMWqm6VqkD!0-XlM0ZRLzjv(hNkkKrl)4V|a7Y5K#T?z{DPNV`0 z=mI*B5@?<QF-I|NLqNa_&3w>?ITRrW&~YE&JcA-U%ArySK#C^_2{rN$lt!h{iYi71 z$R0>kMWZ}wgaB&;s14k}3~6_<fv&`!0;)n77}yvX4uH69OpGmDpr#lzBLfQ;$j(Gi z6gG~=2`DURfkFLpMh3<PP)!0cpV<n`X3_wSq<|_J1_cHY0fqn;aC3|yfCscG6;zji zHf}?-jFMChf!3y`24;rFW<~|j&=xqKXfiSwFlaF8X>cC^&7ZJoE4J7PXjHH;Gdi$v zf#M{wp@E69v2`>~s2URp!&;jfAaSAs$`YVB;mTtK?TlyAV_=2E37cX|oPa}t4kAuk zCNMEHHjc&#A{c4I`T*TA#~{GS&%p41x6AI`468tpi;Lj{0|UdK5Bv;gczD3<4`<HM z#u1~YqJ#h>PC!Depbc67L(i0hVuWE8Bu>s8{%kWEC!pY=BL?LKuyx>k!f;pV?p;Kj zeE7g%&;J1uCm%k5^9oq)C_&Q@fW!%CA1NrG{Qtko_#JYb7#}_l%_pOALeqF)0G)L% z0$T4N2I`4`PDf>6V0Zy#GdMyf8(E+<Xdn{Q5MXg^5t#wn14(PQja2h17#gA&7#Q3V z89=Kd7(^Htq|`xdW(H1%LWTwj2^Izs5Y5cM$pjJy^Bo!@SU5)aGtw^2KyC#<m@fcd zhzhFfLE=0-3}<X?7!YkO#2zA$^eCod2#m%F9RnN1s!$XjG6&R80r5xk2`Cf>2Of=+ z!4VG(49v0&Tppk%SO*(;MxLbu!e{7UV1f<U!|1`{=Hc!RVO|D?1kh>qg^UWId10nP z2p=Q}5(oJoBo3lSF?~XSTb5XRKqJY-svT8FNeBoc&T<Cz5b+o_8Yg%HhpJLsqHyCz z105a|1Iys{Bi;Vd`i1l`!=Zx%w5k*|xsEK)xfQZ31tbPq>5Z9LKxf&4E=&QbK%&tN zf=Z84xIzFlU;?^*iG_iI5k!M_&Vke-QaB3(3wR=4iWwx%-~fS8c>xB{U1yAtDR~yK z6qwBjG8YuHU_GM*`5_>{z`)4>3QYk}CIBBw4>C-E0kpqSxRS$-iDjY!Cj$oyCyS6G zg9rx)1BZZufC3AL3X>*_q5y*gD+7}N3up}m1A`D`#S2J3X!agVj}qjE05lVTvj>#T zz#s@(-vup)92giF8x#=B>lzp!ONZf#!M#fI9WbgB9s=Nd1E4%mc7ZZMop%NXDNvj+ zfp$57I*#DICE!~e!5Is#61uVo&IdCDpt``EQG%=x0B!4m4U;rDK=X$1TCiC#aq#wE z4`_s-A5{QS#K8cX6a(uSCCCo}SUv&e5m2R%FbQ;zX&|^hp8yhcU|?_rZHfmegz>?3 zJEHJ{ESiK$f~ZkUY6yV0DJp;t+Xkm`1qTiX4iJl>fulhITwM#bfXXZI5<CVDh6c#C zIt6h1m`RDD1KcWb0`JcTmu(6h3eXHjs#8YQV+#S~)b0S|aD3q4VB%<E;9>x^x0D1J zJUkd2I8+oAR5&<5d$bimI~o~S6gWUv)<DZNsNtja2{a&xqd<9q1KLUg)1bty06ydn zZU6@pXm6=72SXDB6DW%?Gzc{@FbF6xFoO4GgVlkQa4>+@eS<D|;s9^v0+|h#gk&@@ zbCjTF2uQtdP*4C(m4J$`2GB}~4o1*EE)MWj%uY-S9H8Qh0ep)*HSHZW3wH<zGcs^; zI5;SAfa{qIXp!c@$-o5729RB;pq2Hw4H=c8Y6u92fP3hmvJE^4BOt)Y06t*_G;%K> z01^kEyaH>BQPuKM!?1>clsF@U19U-=hya5K=qN9Y@E4dc+C9V?dbAM~0Ns}fZWS{z zfoc=b$S)K4I2<UC0WwHQ8{0=s#TEimF$xTzuDJq(Ljtsc%;ew!s!hN=69xv46$?On z>EO*RY^IEgQ8NSt>^MM8VQ}M$LBk<|L4gZYpL233feyq2NinQoP+(w$Hms>>^{836 zLO_B+f#U@z{53c<7?_w8I2t$@G(ejeLG3OD289MihD8hvU?E&ajEYk?1cYrh6jr^^ z($G-Q($doMN>b41(q_`q;?!8A#HFC1z@*Tjy<(99ld{HW*+t!e$77o0+pbKm7amy* z8W|oLFOsq}G`hGlG90)$7A1HnC?u6BbR@1=q>$h^8Yg%Hk;+n>=Y;ZDdfqrII|#Q( zs4+N8t1_r@Z=$j#qsEaG0>bN5I&7RK=eaq&aXh(a$)PijE+>T&Nit$oF-ai+xx0i# zm<v2!B_a&d45=L06c`vHQn;K!Jh6{lP9T~MO0$T<_#9wESQx+*1KUTCS&R$<lVN6! z(xim|<SrA2Q|b!fw(KdGZpbCqOdSji+#2c%2^<Uz%qNg&kg_xC3ZV7E%qP^qJxYd7 zh*=<YAhRH+MuHTMVzNU3bVvuLW&vUFSyAW`knRdh6g23CDgu)krKukRObpE1v6%`P z9)^%0c?1i@8^tsY0SC@0gv|#H5s$_S;c%joiU!GJ*h~T)8wDEVg2|1RT(FR!m<BbZ zkPSiSD=;uf9R(=>Nq}k%(10*#Di|aNs>#6z4|0G`B!;gm0?$8!<wpsULI6Gk;=lm9 zP{RSTuoPwlSQfk-l7WF?lLLbb10#bYXvKqoqXPp23k!<?BV;v%00RpHcz76e+5u>4 z%Y}gjbUg~ppi!FC5MTtSa*!?o1_ee&0R{&JCIRRHsGyK$0?o2ZE(Wb7YS01=*gG%? za4>)lPG=BcViIt0XaF6I&cMLHrobS?AOLO>3xI?`XG}SOcG`i8Gyz!Tf-D-vghK#S zJ1evZae!)Q0SQnLLeCIf<N#u@GB8NWx-c*@2?#JSaWOP8Ff=$SFicQjaA;6);Aory z$}J8Y3@(xk91NVG-U`S@(0Y6U<jMvlIEu*#0Z@&>zzAAO4`zW(0I%4Rt^}`-XJHY5 z<|+qJ%z>oAYwSQ1C!mOh2!IPc1_p*k5Cggu0#u|SX@MvlC2@p6188BE254axNI^qG z1_P+z0TB(LMJgPSn@P`r)=F|PFmNe#g32VO1#AtVrI8CdI2brMxD*&T6gV0jK<mF6 z8Z;Uhni@be^Gx9O0LWAZP)ve&P|hetC<H*s8LEZ@6qYOu42PgwdK?@;0t^fS1)vqe zph61N4q#+(U|0>+0m?cJ46F<cEDj8eEa2@xAoD=QAXEixrxCcOfr*XM_(A}bPe2XY z0}P-kL-5og6Zo8M1_ln$i3CibWx$e4K`Fh7i-}=HLj%Kt2BsAb4GjzmOiBz54Go|Q zAGCE2w5hJ40kke3ltUo5ohdZqvwKtycL+2ofbL&vU|?cuS`Y#|sSDK7Vqjo61Ij4^ z-#Hi<nm7~~lpGaU6&NHK7+5%16`U9ZIv5x@G#E4(I2a@tLIgmS&;*4hP-!T_z`z8K zZn&+ZEiZUb;9-D*5VRT?wDNZXiy#ZQz|jz3Y*+xw6&(!>g5V>D*%=rl;#wFQ1w{l} zB-uE;7?>Ow0~i7%7#JKn5;z=PnAjK?9M&-KF)}D|D1w><9SR%`9jqLUB9J`~pt@aw z3zXgPxMfrdYX~qpFf=i^C?GG@;s9Mp!@$5Gcsqdsv{IV|5^tbt9&7{`ys}_ufGimX z3p0Rf9R`Oc4VTf(0SypRsYYmxuE@o)KnQ$K7K#as3=EPhK@|;16twjO)UZtiHH$%& zK8OabD*{~>2x<U>@<RgygJJ{M5>Q7Hq-PY97XsjN3#=8Cv>}1q04l=3V$$YL0$>$P zOd1-X1@#`_1~U_g3ECyc35p4@N;o0nz`z08s|^<zWsnvE4h&3A3=WDB3>pp$p!r0Y zZbne2f`fs9161XJsvZXh1}0aCEU1&n#K15CL^E)MHUoq554dH(<iM~3ocUnAdWeou zlJpP&bu1VdT)=^hs+(yYI3qNGn!Iq_(9qDp%FqD1aR3x`s5+pnH!Nmhs2df)76RZP z1-D!s7#SHM(;_J9I6(y(sEG@X8U_Z?l}%s;pkaG314=ML6)=EWYyw!#f~p*)@P+`W z-v_GZk1Xg&U{qi`0%<mZ_VRLp+OeScI0j;X=S)~Y9ZUu`M{u{Ck(n_;fq{XEjn#pH z3)Dtq0%abMWiAR#0uwku0|Fr4C?+)o7#SEE8XFlJjky?FE;R%QBIySWsjx6G2(Lb< z04lgx8V?AtHi6q<E&&Y<415d@ECHYf0SJS-CJ?QS4Gaxl91ENpj*XUGkPso6Y;0&~ zXl!g~{JyH=%k_of*OBr`iU0#>FpDAW;ew`y=7zS$hf`X+7@8Z~9FKfxXlU$bc6{=M ziJ_^D-JyZ2KmgQt2W7g(28J)Mxi(x|dHgcTjv3Ve4gq+atm}GmdDXS6NO6(?i4*3Z z4;303ni$wx4~d9&F|aVSC%P0gG%)b9C#DoIF)*>QJ1~Ibgc)QOLt_Ku(X6g7mpZOp z16wdkkRJk|+X5KCcb=e|!N~wRXPbfH{{enJKCt8msK^InV<-zO3@1S9K^QJD${;HQ zaK{NKra-d)jrn;X>+(Qx0<z?Tu?>jAz@Yd5VGM~;0g^%h6zZVR$Iv9e2+a%snLohe z1fmLZ9|weuOoHMAgptKY*`$ZS|5YG8keC6nkTE2D|DQpQlmGw!gYTk&$RVo+#Vkk^ zyIH6zM|oI6z=c78Nr8nyOyK~7fdUH?q&v^R0J`ghgM$ThVj5F)5`%z=01K0v6N7<? z01E?%7D1*R83e#;p=Jr8n}x;NQ6W4bP{Gh3rNhGDme|r^pu@rfUxx}>5WxZ(W@M1E zDs1Vn&|zVjR$<U#!NS1c2D<-5hJ`^4M#I#C%mV2FnI%(#Fbj|Mqf&T6!0@BtM`PK~ zh`!$$9v+7O{QL}{W2F8=+gFeh34;XP^8i%~wt+uXY8UhQc;x;kSbUTqEd*@;+W!47 z`#B!23lt|14j04!GiSi{JVtClM8R<aQ41!G|I6<$FOT01=8O_#hXCV2#)Ay9%v8!J z0vnjmbB*Q`vSS0Hk@qa`*)y`t)X66dqFY^DxJL5{Bxp${A$t&E`xynM!VG|lZ)ISR z)C28LWMB}w2%$x`g4b6turR^YK*U*uk@<`ah`o_8Ge>FSLO@*uH0#j--OtDbS^3Do zzyRHwc!q(2TLrS$k*NbhGoOL%bz}hX85kIt&p^Z(PC?8<@QHK5s4CJ!fSHX0d`moJ zbudW30+RxCxdbG~fW$^IJwrga88jJ>Y$J;cWLXii*eIKxAt2lZUa^I2B@1YC3bMc` zo4z3+><=Gsfmy5onpy;LU^Iw5im4g`D61Vn%dwfDyRkr}mP8q7zMg@BNdsyp6AKHI z1E^&HnwIYXZDoW?fK)dyFet$e?}Q1D(u6_)bkHU=<%9Mng6=;N0GCeSy$!68b-oM? z4g!n}4ve71cHN-4M;1o#0k#1Q3?d8)435ko3CLbX76ArE2L=%a7tl;GBW$T1)CLBS zZG>DjDvulj;AIRA42%K`Bp5gu8CXEwc+fUPCIRq@Iq=Dx($^ds92h6CL@+2a2yiws zG=Y}ZGbl1Rg7!xUFo6aj9azAth#5gqE8qw^uG0auT-A{QbjB+95(v=J7Z66ae3XqN z1Ozx3gcul@I6&C|v`dr`d?+^qLkA-Z2LmH$2ZQuB0nj)k_~MO52?hoZjt(smQ5MiG zD2y5$42lXY;A01w7&w?j9M~8b7BDz~VwnMSVkEMqpk+zO{82V(Aus`y;-Ol&zd}Nj z#eu<vje$XtAwU4UqMn680eXeDf&+tq0BGqwNC#vM7zYdF3}Pg6Mgfr_&;Z)X%g_M2 z+^m72gMmq@fuW0o0kkZVi(x?n6AKdqgTPK61{Ma!1q_`H932dxtyoQ<-Hr_m4P8wf z3>-}i4NNQyEiIsJ*$oQ>Kno@tI2f23m_Uob8yFbCw>*FsTN3G{QDxu|U<B6$Af^DM zQWj)jVF70X&|U`{P(A@+78X!Ti$xIBBwz_(0af=5ECLLm@n3Mo!~|l2OaV6lAdLl( zJ_ZKReNPY;NO~0G2?0=k04HGu1&xM|1`dWrpn7-(_-+%pHi5Pd1_uTXt>r8V97`rJ zX)I#U0_T&42GHRJ91P&B!vx;k2-3Kqi3xm!Dw6^OXgdp1GaF<!+|*G9?hxQ$Vrb%6 z#L>jSz{J!b!N9}_+QR6-z{1$1(A3bx16qsP#KOSBw4i|@lEHzIX#sd~>LGAvk;RFn z;Xo5Z1A`k&3uqG%W0Qiw0Vak<1_6f24UlYt+u~6fq!0i#tYBk@3Jd})pt1*gk)Hws zLl*;R{Yt}v2b>Hl42nW53=C4M1R9!H85lSkni#xz7#KNRTtr1g7cnp?EYg%vVN#S} zQEuqqkYMIuYv6HWWnc-gILN@jA;Tb`$iT2bfrFuIG=Ct4K2c0?*#%0};HynU5PCqh zG$=br+cGk^2rw}yFti9VC@3&7I0%6EXd_fAFf=HzFfg^WFfo8`-2yEOR}f%e2}GzE zWswsCF01$qpzT>`Wei$@!qCXTAaI$9Yu17T3Jd~D3s@r<7?>2H<})#{fP3qV0SpWW z7#I$~_n#;_F&qJRXP^p4Dbhj!)I@S%0PpwZ=s@iE0o@A(?u9TgNLL9+Ff=K6FbcD^ zG<ZI6cmXSmIG8{e(m60XFtM;P8~{ZRlN%^wF?KkBTEL)#SV3IU+%u{g6at`aiVP0m z?bZ&U5fw-{f_7suIf3Mvb}AfT;1FO;;8*}UzC%U`RvCff%mJ*#fssSOK>;+;1v=jd zbVvvjQvl?SEszPLn6wZ875<=MNH7f#ZI~ILVvKP%C=0N7f^rlS0~;ggCI|4uBS;c7 zJPF#B&A`OK0*)TAP7V$RHV)8T!C>AfL1GAi3L-|(IVubc4vmT~@coPppuP)uKwgLk zB*@4B+UwW=8Y_Xe3c!tGFwp?Ic~%h;MIeC*3``6SjNpC4B)Vo)IVc1`@xj2*$gq*A z!KqP!=>kX$gqd1FB<K_yj@K?KOpJ<88jj3!?qOhT3S0o1CjrSaG_Wu*Fg7umFfj@+ zaD)XgG;o6B>x%*tL#qPA6>ug3D;y<A2?1~)u#usip^>jKs6l|8fdM>13>xxkYHS8& z6hWH_Tmn<rxRZnwS2!G4#M;0n09`cE$j|_4m@ypaU}$Muz|b}Yqzg0w=ETq_)G&<+ zo^wcX(5PC5g$)f1jg5`%8@v0yUtfF;w4V_ilufM-O^waX4Gavzl3(9V+N%Ek^Y#C~ z&s<r&{PH#dE-p}hU}<b<X=r3@V*J|Gz2(}<>({3=cTQ<wU}*W8)U<H=!s*xHqiCby zOi~Ce1Z|*hY;6C!xbN`g6+-YhX>M%+#YrOrgLLUNy|}C2-#_}c|MmG--}}El7vKWd z@yv}aEliD#O$}eV7_YRg2)j15p|i1pfuZ@!E3T{8S6sU`8Yd)01*YQv#vn1|eLSEw z^&l}e(CT{TZqQyweo$l}so}8)DFCnJ1~Z@pY^^txJ4%rm0{;y_8j->q#06n4ZV(9x zcRsFFpzsC@fQZpJ0fhq1Fh-pGhsF;gPF6ASaT%k;2`C3KK=#TZI}D@-gptKY*`$X6 zM%mTS4>g2`pa1_aW@d)}t2+3(j6pE~m0(~PjT3lKP?6!-BGRG2!f_0J4<dALq8I~% zz+9Ldj0Wvr1UUhw1|rVD0x}CU_6Xr4_C|u#j$-0Npu(XcN{59BV?SdBLlbzPBLf4& zV$l9X&|XIv4O%_~vH`Sz5wRDN!3AWN1`C4=NZsguMo<Wmi6Q0w|DaFI%&hjzpD|4l z;O0GR@Rk3+y*(?dsVTp)Ju3r)66k<CxKbAv!;k#`nLisFgo4Ek;#pbwjrpPV5m;!H zASDE#aT5Oh^XISj@rZp|a5DtBZU5ST4Gs>7|N7O`^na-RSM)e3FSq?`YWnpnEKcm> zzkdB68XOEaVw6Ez2teb6X%XvJRs*d0goE**0V~4?0|Ntlh7bJ42CSiJsQHBXJmW!z z56qv9jYB~TDH#j`m_PFygHJRd%`Ky<At3;b6ZYk-U%wgzfWs6b0V4%C3_selg7S%h zJ^z1(P=l|Sal(7n)RdJK7AN)r%%2%X^9d{fiKZcY4;dzMd4c9d7^Xt_&^?Ka3{$~- z6d46BGB7wPgXI`m4B)i418B;{5UiJxK@cp?0M!e>pHXBs$P7jXv5#PN3=9*AcH9V6 z2-&a5v{GFGG=sv_vm8V*Fr0$Wj0a#e1B2952pgt`sb{%{LI(o_(>Zko(5gs=2atV? z;Qfpp3=GXDAp0AcZb4|!eT^W)nLy_hkI>MjhPT1<zhHtvKmvZ=2i#;(5W_i`3<A=a z8b(D(3IQet&YdJ_7*$Nm5CBcXGcY&^!O9%)nqaVnpehI=3+6I_mvupzXcR~(n$Reh z(hz76dO@lYpb0Nh^^B@V3IXt170^m-uo##JX2A&wc_<ULngGv6f-hzQOM{vU8d&Z% zLu4+nvQYwG2rx1@I5;ps?_~rPM2xJUMJb>q+~8xoK!?vWg7(34iaCI8Tohzz6k%Zj z?WPl8U<7TB0Nr2)(g)tL=m09C96)Qo!TKN;gYKULt$GDn13E<-B05SE3ISNgn8e8d z+DpQ~z>oks;JJZ8ph1kO0d%}Jrv>QrZAJ$s2L=ZLRwmFAM^KKL(ZI;bz&L?H5WEkS zfsvsB)Zc7ia0qN*aA0s~XkY}bCURh80N=7n$Qh&Z;1Cc{P+)*9{RInvmT5y4tuQjQ zC@_My!!ZakFmMYpaDmp@F)}bJfS1>SqR5GXL4d`<6~tuVVo+faWMmNpE$CtqU=UIi z0H0V7S#c!5z@*^7z}TPw$$(&sMhU_p04jIDWeW=%gFp+oZc$)h0&h$NosGVkK>@V8 zmw|(U1st%T0!x8efI$ItBOrJU7bxF=R;og*0?C6FLb#(O!4Lp7ia=`|LCblWz$F*x zrZ~{<1+WYUXevsmK>!@g;EIJKSfQbzg@F@fG7|&CDkcf8hK4B}jEoZ)m>M`5CNek) zaWODyG%&C*Ff9P>)B<f}WCAVoVqj<hna#k&1VSMFqnP**U;$Od3=ASHj0`Lbz)2sp zznu}x60~YyWDo^2Sr|b3Q^5Iv0dxy9Xv?DjxVU3v5OHJ(fmp~2y`Kic1C!vm2eU>A zEFl2S4G3{qXfZW0F)=7HG%ze+0jp48Xkg-K&`@At5c<iWz{J3`h@nBFgNcKyNvVNr z0Rt0LBWTk+69+>Rc!RGHXvHMNR0U8z0o{el1j>A%N(v%AN)il#CWfYlCXNOMHU?1n z!o<Mf&<UDL7XX!K0u7+s%fOo)85pFp6c`vf7&w`P7#KJ}XGa(?FfmQ-&}wP}Ulgy( z&?F$n(6ET1fwh5YK|@nhQv(B&LlY=sIY4%RHfJ+|_JDyz2)b${D*$Z)6@YA`2G#By z3=2RvXE88=tBnRFh5`m<76k?-hA9jT0^AIJ9SjUC3>=IC3QP<f3>+*B4GD}40tODv z4NDprI9x!t*GMu5EMRD0kP%=I6qo=q9kg8)e2EkDgaZtW4xr2DM{<A@?RQYp1?2`v zg%08|9PDCXVPaxnWJzM%0=f8|k(;A|frW#KgMrC`fq_GaL4gspfssLjfvY8fgF%o< zpdn!bXbc&)-kyn(p}|3f19V|+10w@R3#7Uy+EF7~A$T*10~2WTJ0cu7SOgw8Fo4P; z(9SK!3k(e0(?BgM1_2gEMiv41c6V?OkwJom0eotd1BZqK19J<w7-V2naA5--K;gik z#jwB$)V>2>9*C%M5Vno7P(uLHD-m#F2vB4=paiPxAwyUmpq-=Obtz2(3|tHhoW<a6 zjvR~(3<3=fP7I7p0So~QDl7`#Rty{ro{R!)Obh}J4hkZm_yJ`l(3w*P4FU>I3Jh!< zOrWL!r27cUOQ=p5<)Mav1E?Nha#CPmQQ%-;>R@692OKjasAQ61U;))HjtvVK85o2Q zF$*NHFn~t193mJP8XQ<a)iwj@$gT~H0^oCSKqfeVVwHgbbbwa_Xm=z7BSXglMg|T6 zaFGb=qM+J8%EJf&P@aHc@GeuR3K0fS(q<4~Y~Wyk45e^=f)Do!fW}h5VXwfz&;sgF zHfS)&D1k>@*qB%#o3R-gL^wca>M)3baux%FKmf=d@a7??9itTX5CErMh6Yf`vM@A& z5;JI1FGMX1gDVpQ#{mZx@YTwaO`t{>NCq4mEiB+V8?@CFbes_*3nK$~hY&*p=ztv1 zSR_jWGebioBP(ds%V7c|GiXc`w9OG@#3;rS0-&@DO4q844J`r;3@$88;5cD&6JSbU z(qdqlrqNQsppYWUz#wSM06I*np@D&u;Ybr_LlXmog94)l14E0*ECvmR3k*^m1`Z7z z3=M3p;Ld&{;|C@NhKY&{A&Lr&0T(rb4lsf<3FszGJPsO_0v#jN(9poxaDj`Vz+uI~ z$qdj<Rh>N&^H>;M8WftmTGAZ_oER7+bQo0(SUAM^7#NN)a5T8_Ff=MOESS(?!nn}E zqos{Otf9eS0as(2UWWo`&sPHj6RQi`Lly>s<|~I77O*w62!XceL5&{`c|0Kiy0@>f zp|SBRch{Go6$h`gGBdI=F)%QnpC|XvP0RJ_w{_>fm1mcPGca&zF)!K5!!fOok^9M& zuFh+HnhO&aEZo_#W$E^Rerwt<+VAQtdC;};+PeOwO%09B4bAOekF$N9s(AhS*Vl|6 zudlp*4P*~vV<RYQ;&IWa6gW;A8ymiMH+%_Maq&6}Gb3pCHPih$v;Ohvx%6#+b?(da z#M0{w3<4RPY8&`Cru8v!UU|~B@>m~3<AVhYcigb+-+QZK&AxkLv%5Zg>FR7-w{B@e zQ)5FzBlFk1zK7RW3S9sCsQb(H71u6<<AkBHaWvG)3jt+jhF=T}<>d_j1wVss3IZL) z^5x5i4-5={{_Nh(@c(}SWM9^Y9mf2jp`bmEp`nZi1uhss*0n;`(t}9QnpYSm&nct2 zpdo;W6XVZ+{(!<<a^D{VhgS>?JbwfQdGyXZFz|r(Hh$P)Y%D9w@N0d)tE=HhnL7dT z;Pv02*nsThg2e}jhMGM}krx7=KYxbi6XWlHK%vhd`TCDRC?u>yW$n*9Y~BxwlRuC+ zS@r)u>sQ;q^7m2W<Ui<EO;Bt=FnLZH)ddX!W@cuzIAM;2lwJS-|N4(8yZ-D@;tv&M z_#Y6!`jz*r@MU|*-W5pP{U41JXmFB5!S*78PD4`wF9!mh?+m$@k%581Es+7lXL4g; zkPvoZkO)#>VGwd*5D)^<i7g^KKzBMa1PEAw=3ElNdm<Tx9Kqs<y^*kejZnLUNOIr^ zR1DjTn8DBhI`q+nfuTVP6buy%Z6MmMu%%5(gN0=p0|Vm^0fq(%76uL`(0z=c)9(t8 zbX0)$F=jAyfa1hOAc4U{hlPQu!T}@>*&7Mk&j{Ps2(k;L4`lu*CL99B|LyIiS1~{~ zA~G;AeE-DC58fHi${@&KAkD?VAi&4Kz>2!34pd7pG+YS13u*)WG6vNzV4MDjg3S4E z`hovH&s{-524mi{_Ja1XQ-{GyMhU_p;O;JXSNi{dP*{Q$hclUi&+GXA_5WRdd+B)G zangT3^uMeu1H*q%3_<IWP|&K0|EB*<P3`aAy?f@r?O*%5_IJSsj}pX(z!@GMX$F2{ z@H7V~pL}O!`16N>fti_^nZZCBbmj^Vu6)7(x=E9P0W+U4Fnr(<WIit|%XpASkY_ZX z5FZ<0mEe353W^gjmm!?V)F9@MvGM26=g;@=2gM0!i7>XZtN%X38MO0OU}YD-si{3U zP7FWt+~pa~Cy<~eNP;E=K}#4wdkz^GMTEh74jCB)AT*mHc#k3@%T@*k=6r}4g8)Pf zCdc*>!e<bKY>8x;$_KJlfPsMnEWrku<p*6D44Tp$9qj^z4qn^{4YB14pm{2WQ_D3# z7cemOsDt(@HZ+0mYXslD$iTpru^hCKfq|g`vTv~obPpo~17zPK$c{s*AhS3a9n=*- zbM8z$YT%g^Ch+_*NC9L&BuEa#8^r`d05Y}3z`z4jhnO^nu{h2n<RD@o5~2~rLM#-9 zsT-xK8UmnF2F*aG6KDctaIqLmhAAUN3;H%HBtB$WFarZe3?eLGVvq&)usLCb{3we) zAs|kLb5lSS4yJ2Ia}Q>iz(hDeofFWiVbGeD1q`6Oe8Clkf)Z$bk-!uNB~a}FULgT$ z5Ga6`oq|-rgBpBpAw&Uq1qICHQJRDhfG1H#(E13_z6A$Ju_XlB;RxQB$mqzxAjrbN z!2b{2jAdkCU}AItUCGG6#J~ut*jWTYH*FnYU{nAp5da<d0bVA-AOJES)Taj>0s?Xt zNFGF^U{Fk>2#j*TAt1ovz_6f!K?t-)i;<Cug^PoMMFDitp95s+Dg%S`kp>6QY3ED~ z0v-Y!3@!`}j4TQb3@i;?psWIlNk-5un&6#Tpil;_DFQ_SNCspDjAn%ADv;<X#u5Uc zV1o)nuJHpEJpv32;B9gaF5o@D;3}Q*2S}L&0~3b^M+AogV?!eY2k3q#7EaJbjNtu0 zphZax3<3+8m_YZ?flLNP7f2fjgZLnN6cZ5wpi~VGdr)@{9R3U}A`DI}3@nWd3Vfi| zkD$95m~z3pe4rr<S;ES~zyMmM&A`BP0I~xJ**1tt$ReX`;zOW;VMRj&2NMGa2ZI7r z2PkGhyR$$vXzii{IL;V&?l5qGS2}VqF>nbrurctoG%*M;HbE<M&^3P@4h#%U6BxLl zJ@*b!mV>N(1hJr)17t4oZX3ZWLA5jJnpn_^ZqWW}27w?@1>nNK%5VU5`xyfR>ly|@ z2L=Jqxdl88ETGMb46JGb41%EL_ux#z!N3688V}M24oFteLSirrjex{4n&2oGH3UGL z-#8c;IyxAbI6#*zGBGh&K?1G;Jah%x$jBi4X;H%>P+N;bfFTLgI0kRhW&qt)$^p8T zk%5s3v@3;)L4gsphLr(S=7CB?aFx!%zyRJx0m>_=c8~IKg@8g6LqijT0+S*Gy8`H% zS;hu$4$uy34hAMBrVT8hDRiM_4A5dnje$p^f&TylNFhU00|$dZ0{GG=hDHV^P+`Z^ z$ppR+1$v<@%uY~E1gi7FkpvSQr7=PP+|X?RRS69o9IOnT3<?dPVMrDZ76t}hg_cCd zCeTTC3=EwN3{v8tyRcc9m>3wE1ehcmm~OT)FdS@TVPa%*0Ns790LpC+ObiP|A{ZDM zSR5D}p!G=y*nGIvpz8<VoKXhW5Kv(NU3mp6sv1DM5<ryzs51yEuMRM9DuGhA5~!YF z=wlKSP-9>MUD3$G;J~B;TB^dr1lrl_)*#^E1UiU?iG>B+hQ?~qs30jJ0Bf~2vViId zh)$Le(D?-_JPb@84j>kT#Nz|t27sf3gNuWM0t<sv3N*<#a42}O@PK-oJRtp`ZIGa> z0g6qCSx}N0M1%4fh#ti_Lx2S|M8(L%0NRQOR^;FTqBxip92{5}92uGz6gU(ZJen97 zm~RWPFe)f;aA*i9I54mrVBk_^0Bx9OXc7@<5fCw8Xkzej09}cO5f>l>K^RiOgN9o` zyitrR1eiHMtzc-+kAcAfbW{PT=mMQ0!NkGAsNlk&zzL2fPIfiOpga>hh&EsqVd8LM z04>SuQegA|32`$p2q-u(Du4&U9KcaC8Uf@1Nb*J13!bK5z^DPLW)wh!zzhrmXIU6{ z7#uXfVGXKz8bDPK2!nRqF)&PkbWA{}b)lMt#A5^9uMKK{A&HGLafSdW^g;J2f)q3~ zf=)~W4`DHgfSOI9{dA0TK~iiWq5(AO3#u}}okWlbgAjv)2B<QDL?c)T(t`&ng>k_f zh2fl0296M5QUDz>#KZx*l&k@CrT{o@ni;Z=7%(w3EaniI!lB{P!YIJN!1bV2f++yp zX#`!KsnDUO#M8sTpupm=f<r;fg`<JRfrU?jh2a1szkoN>qgu+!zydzG09AC9haLhP zpncUWpyCTuJu@&gurx3+GBL0+GPE=>val#~F$uXaXdD4;r&eQPl3`(B5o2*;IN+cV zz`z*7#N@)%)WpED#Y4fsfx&@=slkD{LC_JjNfH#j=yoxH4=(~0UzjqZB8U*+GBDxd zVB_LwT+q<a(Ad(@(9*!f*woCPedSA&;?ecmar0*7xU9Jv%D^C)(=H_!BcvzBn)Tp_ zgJyA0dKq6|h|{7r$2I$2mDN;zsBvrSO9)?mIS>*jjnMPd5O%RMv^6m>jm8NgM6k2C zO++{tMEE#B`x+ZS*JHM{FflSUvt}RJ($qO+`Fi_lQh&nM9Tj9?kns91Cs*de79Q?> z<$%Y7FRRwq_w~83EoyW4`zK>xnNNqiD_>s<d&9+xh&TZc0mJKbR))4FCZ;AhAG?c2 zMax5#J~1#btb(qIhpfQ{1<NWWhIju_g6|>&!?}MTsZf_taPKh`vOA6;G}PEw$(Uh1 zNR9v&CLlj54O*-H6%;2QeqqGP0wsngpv?|vV8J9<%fN6R9A}}REfJv%Ao3ST78EDt z#ti-7we=tY+}Iko)Tj(B1U`QN#mS$4h&WL)hsY@~xcvXmU<{50kchzL^YP5!OHM;U zP2kXXuR=lji-Cc`#f5>P)HvWi1H*rC9D!8hz@u@3BVdq)jY0cH7|y&yiW4KS{3-<o zzyFL4n>Vjo1?DpdEMy2^0FA^jfb)qzI8MMZ2FfQ2#sOe9L@iEowCuteG$<0F{sc=3 zgMg6&3zL{*i^vSnUPaKJL@pPOL$E!J3=9Hgjtl}494rh@AT^3C9LGFcK>Hgx4uQlO z7#N%!13-L5VHXCL4v-lP44`>@WV<ASka?qQ>>-fI&;W`Pk;0Y^3mq1gX#x%n5uiPX zg$^ARtQ_1-kUfl`9y&(?j9my;!z5Pd0NUTk1m54s0AA_R0NSJi(i6l2I^+O6C5&uu zg9I{flucv^fOf!vl!EC04F3fMLzVo&I~$pg{rYw03<ERx)QJC}J7)j$vMTW#GyG=^ zG_d00H~z0A`2YX^|A!&EK_mtyrg+29G%5g!3s5M4XmFhTR|pLSHNcn^f3528|MTD7 z9bET-_Q>o1XZUZ-@PGAhQ+s26WA#;_IJs{C%6B*d{|vkp2eM)m6Bz=axBw{y(V#eC z2vu@mc=v>Xfs^rr@tHHMtk8UNp5Z?OFM~077h?d!e|~;r1|`Az(9lrO+%ae$Fvt*e zj3cVhm5uUILjV*PAQ2G#pW#0%YrH~esGkc11GB*gV}}1<zru0}!+!>S24j%edQf(O z<de|QP<&;VF}N)aGJX_OBLpIiAyqa@2OD@og{1?+X8^50f?5C?%Y-sVDSCuJFL*$O zfvJ#D0W^KcR0!cSBrt#w*aaYQFk_UUUI?HjblBPnkof`<py_uIYZMP;2q47?69e}a zQk??LHO!>y8&!`I0w@E)C^8O=Whnen&cKI21Jg@V9RbZJq-q;gj~oI>D|x}w@}L0| zcn!lj8=_Q!fnh-t=wbl{h6SL7+TdL&Ae{}M<=-%UAQ|wH1z=4`qG0|gL2L*hst?e9 zMR2Q1fQ1FJt$~4oxdgO^3v3oxAy^HltYZOP8UR`a$shn;*#%m&%ILtrz~TT_2i5^6 zpm_%*4;LF{V1@u_Fa&gLhXW|Jt3dXXfWw%91vGgp03sL|c+W5~G%5%rxG1!M?mrV` z5OVV1U|?Y6Xi#uqVc-w|-R%Iqk4pn|f(tm8fUckcnF_X)12mKg<AE#%i;fb+g@B?1 zD{670z`($@2&|Wd0lY~Y%w}L=Qvu}^h6YeXf<u{u0TLnbA`hZ)lq4erz*qb+fbWh& z=muRW3#u5vOCJSIIhh!kKr6jK3MPOE&`klL_1%yHiG_g$yeJ7AH(+T71|iTk3MhM& zA~poTWeKR{0^O+rT80X`z6>M?O6edPv;Yib80&MSzy`+>=;mdn1{MY;@U<ME0T)Q- zY5?!k;s6PNG$LV;nIJBV2C+vmt`GnhL6AEZ!D~_>m9PT?XjLjikK}7`NP=!e14m9H z1A_zTycGck@I5u)&5W>0T>w%Yfh!PDJV7!N#GFx*U<iP+0;oDx;9yt)$}Sol9N<PS z2M0p~3n;B~FoD{*kn<oxrhzU$WdL8d$OR5_h6XK2BY>d`eD(+EMh?(LHsDKB1Q<Zs z4x|l~sX&|W!1i%4fwl=lg-0o(LO{R)bRY*rDL7LIFfa&|3W2uBsc<N8FnEAw+7%cY zI9OSjc|eiC!lS^!z~aEc-~wKM4Au#1bAcJ71nD85zyMkl4;gs})hZwpz~vN!f<gla zgN6d6_BhPQ)WM_(DvG?ox624M2rzOqO<<5<;9&w)Iy?+444|Fy0t^Zqpp$esI>1|h zL8iel#2^@Nl%_NUxTb?*j){Q-RKhVRF=;SzF(@c-fogK-P86^W;ED;%8YO5O0-zg7 zy&5<f7#uVhI2afiI6M*<z+2}XAQgrJgQEgyGdu%?q^)B{O^1bmfIce&BZEi-0|Tgo z2pT|PU;(or=LR!^Iw}kdjEsny2&QwCrd0??ffjBzIDpG0(AhWOCNt=&a_~UP1lV90 zc)1v@+%Re`Y6wWWz(yFEn4lY~6(BT(1nq1Dk6VIc4OQ<bk2WE|xkrJ4VFjou<&cn& z0I|ct0aV3<w{M#;FnFv`0`*29O*V*vQId8c(7?dJ1-b|Y6eZwloC$JX0fz#E2B;~< z;NZl-!LUL>L4iSGG)`z24`?Pcr!^@sodAvcDrj&hcz_4?HNd@cFu|a}zzLe^VuXxl zqG=xGQa=P57#M^vuTofbLQ6|QK}(xUE6YoRfnkM~Rsx5Hk`k8!gHy5s2lomkg(T&b zqme@W@W*B%sO<XPmC5wtMFNLLLS{ySSC)b%7gI)t7Lx{3!U+Y1gfIn;%oR!sN{*VN zWf!&(q=^{kIiWn3o;S|Q4#F)GY7EZOstjt}n`mOysG&rLfbcq%4jZS*d2SAG98d09 za_Ees%SoX`A~laHBOwI91q~wu1Nf>EMg~DHcLxSWMuDkdJ_Cy|gl3q^z#zGs%L$~K zWh;bcm<pj;gyA&!0u&Zu@LehlB7%^6P*|8CW<d2ZvIuj44%%U4QGn4TICn%SRfG7l zVL7Nl#lUn<T>;dVWpq&2U;rKU0loi(sfU3<*j!x!)Gc8?1EHCEAT+}%1PyM1f%JeP z@1;7J%fJDlna?Z->t{Hn23}vx)B~d-P8cO|hX8my9TcW$N*M2;34m6ipbLR!@4>TI zs1jf^QH4f%6ode5xv$h5R6}6m;NdP5DbP{($O0}5E}#?BVH3m1Qlo5&LV)oyx_07I z|7diehaE|LoB=JF4>Je6I}XMH(V)3U5Dmo&h%2DLBH+n;Fl&?`J_H&d6Tcu0pqhjO zyzB&gr2wRw21$q=0g)iw2wGFYzyMx40lK{b93?2H5IHbGPWyBKn+smW$^bgN3#1z~ zY6+roMm|V#gkw;-0=~Y15wtRhk%JjL;0~T0VF67Y3NnO%&#IHU$H2nk!YIJN!o$J} zK1vm&Q-Bq8-vA412&7tJ5nxaSUBkiR=>S?k)XD%d%t3%b02;TTaeUD17l;OhJ0sX= z5Ni~pgaG*TU{L4@FfnjUP;d}rVo`KtY+zwvY+!H#sdQutU|^8H-pJq}z`@2S;J^UN z84e5%3`z_j62xPWgo!dpFff7+WC7;`*j+S;HX2wl$WYj9446Gipo9P@J%bKR1<_8R zn|~BQtB1fL%D^bXz{ttOz{0@Az`$jz#UR1}S}Cc>;KVQid~Sq<0O$}%MFs&T0fqzy z6$S|jRR#$LCk9SX$TNW_S3oxiIe_njfZ7VO2g)3!u!aCAgg}^qfuVtkv4P<TC=Y-~ zc0m;jsB{9+Ag!XpkV9%gY&eFMK@b6ua_~ewhzsU`4z34rP;e6@!coLVIaoq~slh>k zgQ0;z05r_P3`*yq*lAE`YG{BYdr|QDHK1)kYz-`23QSBKN}w9NL5+c}Lxq8<K?yVk z+yq*dr^ErdWvGiufPsk#WDH1(0W>+>z`zB%WdPEx1X(f~Jy_xbRF8ma1s3G;9i03* zKr2)P8rVQV$H2fK)5i*Kj<GNtfYc7GpexWy7z7vs7z98UY%n;m2r#fPurPq{MgcVs z(5!>zM{oujt=rK82aO9#`wXC)GZYqpLYsqufkOejDwu(x0kr9)fuU&u1A|m>6UPA# zP)6WpkYNCwwF#O2ZDQzRP+$^Rpai~pV*wL`h86=uBSQly2XH|01~`jAEp1?EfR<g* zxQ2?4Qt%Lfq}>JvCZ-04MW8(k4N6T4T?|c3Obkp79F0s2Oo|K&ph;Z@IVrFvB?b-_ z4n{5p@HzGj5)54`9I6~F9H7%s6&OH~rNGd@#pu<<(7@0IUf|Ne(4YXitOgu0O$=P1 zXjfnY^-@5~C&8T{u)(7QA_PE((S!EDIWTZAIyRg&IUo?k3Occ#gOP!mL4bj=gOQ^R zR2(sN88ApJu;5@|5NJ5iP{6>zFoD5{0dj!~2O|g91tt*&mL@?4DFz1y76kzY7Dflq z3OyEf21W-~aFZHhC+NUv2y2wY5ds{b`vU}oTo@P{92f)|L06fAmd_~!9B5!T0J=#4 zbh{R)9O8V;pfZ7hgFzA0{R3AxkUWM|5h;MH3Q$v;p@D(L8C1K23PNzV-vPAb1<4KI zL)MY_qfA5yFoD;^Gb%DLI0z{)1b}8u7#N%wSeR6}I2e^6RfZDi?gwcB1_1|9EzzLC z$iScoZ#pS3Xn-R}fq?^*<s4W%6gt4Wgjg6r_u3$ALa-DVm^eTPA_9&`2y>JKg@6RO zEd^pZ2!L{ommmXZBMBoz0Jt~8)D*zPz|i8uz`!7Ac?mS)&cFb^x0Hp6157h0uy8Sf zPVQ7-1f8%AUR(sqRSYbQpq7^lD9<r8fDg?9w*f#lwSaOOhyfaSfzYEQQV4*8M+4N) zaA06yasoGnK-)(fTp5@c7(sPDs1gPTGII=P0|#q>A}b5S3}`<RdSMo*z6Ke|0Tt!| zU5O?DGRuL%0o?QgXC#mka7E9+z`^7MYYc<UhDwc6*g}8>bWiLQMg{>#(2OCtW&o#j z76t}xbx{4laHNF+bQ=)pjsOKv_YZ~}8!&4KkbWVC1`ZL>*bHcsFjEslLjx;FFNlVk z1FoB}IcCI)fi6x453GO^EGTU=FgPhNG=hpWMy3wXMP{JJD-#2Q_-9ZyKng&R22ikp zX(Vw*@XaWUj13JeA`Fa;jUY83X%5)FY$aAuCgO$eRbd3{2PqoGkPtB7WMC2EU|>4Z z#I%5+v7wOxwAY7`q0!MKfq~(`5(V%QR2DWS1_stprVxe>O$TNMjs#G(%)-P8I=n-G zfrY~WR1h&SaB_f8_ux=i2{N0hxuHd*p^=q=gTVpRo`apd#>nbn%EHvZ1nT2}qHi=# zK%s?<Ss1tsSQr>Y6d4*E7&QbPS{N8w92nRb^consx`eqo85kKD1+rE!FfedCtyI{; z(8R`~=)l6jsKLO%3XU2E4MtGZFfb~xv!t+qMppt_85j;6;BaAJ5@_IJ5aMXyWN6?5 zjodJTI{yryb0`EFIa&B1mzINa5VC_t*$gI}Tqa^10%A{_njSE(ym+zo5!aF{ipM6u z|Mrlp`}+Fz4vGwnBKhms7#M`T-hbKBvHIBZwHZ%Z7&RJO*fWo`FfdG5!=CY_fq`-2 zB6i;#77+mlmWZV*84euKbZ>8(vZbqWI%fx`!-Q@I2L_JBhNdQlhDO$`ty7vi+xoc^ z8X6lI8bSA@j79`<2$*n#;)FpQ6eoczCmwA{Xn5kp$#yV*OKI1t%j-2A7cw#m<*j38 zU|^JAUGk-Cbr`>*XDSP$CIbt5rVC30gMbFBrwIcCBcl>KFC<PP!EwUjcDQNEl&((k zjt<TeaGWqEg7XO@YnG`<b0-@=zXE8|jFFKY**&9dEFl0r!`2|cfR&jQbjmj9>|oG& z!QvhaAA*Br8F&O4R`K&QoMAWvrvLNvGl1?v`OnV);)6)=X}1iZ<Dlz9LB~skg`GLW z|AAo@0|VsD=l}oz?<eA34lIrvp+c}Yi8uJl{FNVk9xy*YC{7rdO-+M?WsU8Lj}!j? z;9F9##L55BI3Xc@LF2?e-u~<7uc1f>XLBc;ntu2%```X9D8)na3B+8;Z5-en!T=^g zW`F`6e9k==sF%WkoKNEagU(vtjbt{&oKX@-2!O)#Kf{0f0DIQYtl-<3pkXJ#&i|i* zU-k?S(QyK~TLp4`3UZwMAB__nfe#UO1YHgby1S%B!byRJK};b)z=BagnI*ABqyu#S z3FvMU4i*MC1_rK_BnAN%0Tu=&Ck6u%0Tu=|bQ-2MkpW}|lbZ_z0~5$h1_lApXbX!I z!v&B&kbamsU>f3*Q4$sc0-&)j1_q`IhXyGY1_rmpmJSPv5|$|f2@D=OJdnFhWLOx) z7#O(Q1r!)KbXXX`_nm;m3K<$Cu+l9Z78)!p(+UmRm~>b;#S<ACK=+=A!0t~0*$KL1 z1xCZ%GD<^2;QrnFcNrL5zA*e>wd((WLr|U0&ktH#`hOL;H3e=%o#6ppoE^acDs!M^ z8(18T%>^0R2j8;ARnCBUuf~7yEf8qB!0iW!V@64E2%JB2{tPru-u?e?Y<$L;LC(H| zfd?E?pwI)U0>_C&8(a(|js+vf$*R#f0fih{I6gE!6dEV1AaySTKe$o{5wLIv#|cLZ z;Wz=cn)&%bw>@$hkLDAyB7}i~fgjX*1>HUO|J|y8|Ns9#W6Z$+A9M;AEKWcML*nEH z;j#-6C#y!|1Qc=<VfdayZ_th&v6~P+!&EL1pHW~U14D2#M2uxCD$M}h`^Yd6td>Dw zDr6rcn*vxJBLiq5H$`q6shVMX4k7y)nQnmhGcthoEQ0noGOc7_VBWMGw8xR5`3zWX z1C$1-0f{#>t%U0BQ3s#w#nb^-H&O$X9{v{K6hpNJG{XfG0ZmIGuMt9K!_<t@)CmDl zSc6PrWME=o#&T~g_)InUs%?<UQB21WaA3B<Z5wFb5x4B93>88EmOVhLfxyOrrqa3m z!Av+Y8V~TGpfv-wAP8g+=)4C81<)D^1_lO+86Y8~)r{bE_Yg5y{Git{Fg}cis2(MW z3;|FR7_`&@v=j@pe3*d&bR;KuTcZO5=o()JT}SZgj{*#!^>hrN$trL@Vq}0E?hKn) z2Mx_Tg7z$eEEWJ631W<5QbRz1K?0oA1sE8Zm_RFgnLw+DL8iEY&rkuexR@ju7&rtN z7`&Jq5||uXCO}p(ax^eFGBhv=f);-<fj5?dSkSd~*kS|}XCU)NF~JZ3U!noI=tqE& zfdMp-%fKKg0J=+ng+T>$&GmKAejf$U(jW$bNem1M4Gav>1L_$#K<kKE7$g{k1i<&7 zFmNz2NPw2sfodkmqA`LF7*#+x1VF(FUIWR<zyT_km`^b<I55I`9-tzQ39>*4EDKpm z42e^i*=*372(sK8COS$J3<1!FED&Z=XkY+s<Y8c7YGCMT0Iep4Bybic28N~v1_p^@ zhAvP%IWSBB7jJG19vU4?PE0He91UCx8W>bSH#%`OFgSqsFoO07b%O6fA?Sip1%yHX z)C~k>3$QxS{zOpdf;N_LJF+l<ju-}2JfQO-1YAIQ1*{B0fFuMM7{JR}!G}Ua6@acg zgQyrKi3<TxW&mMOa}|^gz~v1~6MU_FhX`mjF=($t6twbg0yU8v8koSNTA(Fcpk>_9 zO1%SA@q>(Gf*fZLI!To{cZ{mS41p#EP{{?_+0fL$(7_-A+Rq3owVXiv8bOgIrP#y; zIw4hogQ1DRi9z8=0|P?`lM@FEhZe)CMNJIL7+Ba?KzD3__C_`}fc8o-w17`)!?bKv zgg^*1fZ9+R;C7cmg9GRW2T(t;p^1ZmkrmVgV`OCz?O<TwEY@IPV4T1Zz;J<KlOX6m z2QH0ZaIc1ufyF@))Wj8-z!(6o>_Ph>1wq?MVWl7_7ebu@+VKKqj#5O0fC>Xc0270Y zBZI2~=wu0IK_vzOh6YeoA$bPWxCIqtARfptMgb<!xgTthfbU>XRA2&iC_znT==l+# zQVgVW6q6GI(9mOa0NtLY(7?o@0LlqqlN`XC7a62u!8{NFIVGJTfP=?Jh>Ou72_(kK z$l$;P+Lh`6Jv0I&IEpC=0Z@$rZbz|zcJ44TFeD^_Hj;u48v-@H92gj+oR~o6k^%!8 z6N3i>g98VX07F5*0Ra{jP`wUXH_vc@L83tbWD58=B9M`kIB8U`gM$O8+o5y;v;&br z!I^=>nW+i13JcUH2L%sz7>HzIVqq|FWz+yyBpeJ1OiBkKm(ehAGIB6DFffD8qhR1* zU~&TAzX^&UkhW1wNeF-{2M+L<BSQxZ3lBp_7lQ-CfdB>uq5m8V3|*iJELcE;>J=6S zP%{ABfM#R>-5-cln^WS9QN6GbfOP1mG=lcDfqEzl6c#WrHa0K_Gcqs;$AZsgX@KtG zVQg$@0Cgup6;T5yB0=X$Ffa%(3QvIaV?Yuxb4O`%LIAWc6ci&Oix?a(Gzd)Nn!wn= zz_d((ftf*(p+TsDfkE01d=DT4V?ziBA85N(14D<_Dn>3xcSq2eeM=*wz=DPV0R^TB z3=J$y&^8)5P8rn$3xS3P(5@+l22PGGObjjz42lh$idPxhCY<QH!l=>0z`($DyaRN) zjUWTBVgsmg%*1HGz~C~)R7!ved<YR2TZ<FZ0``U@PM{;Z7@0v;3na(DtQe(93xS4) zhK9z528J!GJHA|M44>AuacQ^W^>xcOzV7~daK-ft3=GUKRyH(nF;3*{FYW4MX=r3` z+}gG3-PQfK!;=*nnHXBS*|$Dw`f`2Y^~+2REliBf&CCp=nT51SKvUfai4(@FuerWl zTXE$&_t%S^E3YqGKjC!OSH_joK%3mnRyHi?ViaubEuGOP($Lu6STM)#-*o%vcxO-@ z)7sB|<yF@Q@fF9eF|~l=qycnL_h_Yp7S3dGVQYjxFn?zK%K8<)ex5;qF)a<WAMyWJ z2Jm`T28REjP-pnX!0<l}WED*3e+GuoP>{eVrcww%Lze$P^Jive0|P{yFfc&k<kv4) zoG||ZEh=6b%D{jgC!oD?RC3R#G4MSn!NKwIpFi8%W5&t<_5We{<iH;W28MVBXq>?H zg0?b_1|=0k0GdyNg98Fk%PwwaNIr>=hsDVOdr;xEbQQGhLW+~nKq|Uu#2V$oAOPBX zD5P+J!9an93A~?CfrUW`wl|T1LEyAwi^vQG7LG$M0TMx=y^ahA7z98wkRWj`7mi~v zy)FzObqqobpj+lgY=~0s@d^g;enzImmJS0M*nURP-bKj%#%T-;(n|^*8X{O2xWW4w zSvZ)O7z`Q&SQt1Nz~UTCVsO0-Aa$VrNdx3uPs*J)qD?R`2naauVjK#tM;I6w!otkV z{)5|5Qe056|IW6P&VbnL$AvHR|L2F6b`1Xw;(5>V8-os%0PpA;(cwr<&)eI_$Dc1p zixa`nGzJDPK2SV>&hdc7iTQW=`=Q3c!C-OFp89y(zyCu+LHkre4KivvYebvH`jz=J zvkO0@zGeXRG|bHE!TCgZ5;*J`82%sH<7o_Hvm6n)z|X+&0mKGjg8;^Z{Knu$*=U?l zF%^O1q#RlvLE{8cc5(57!X1=PU~yvdMdl7lJ_#`V$S|5us2CfgMvaERXb4at1VH1E zSd9TKR6&(M9eQj4olAkLV3bFd5a5!888f>00TvPiPJ_;ZCejh01$YRhs62%DD2vh% zkdlU(0GWLU^GJv%u=-Jg!Vo}RMar}ex>g&scmixP^i~s8WndX}VzjhF4-pt2wDt$Q z%LjDGHcSwmhAb3v04=YBsAXVqVE}D_5X}PZbpX}pi~*2Ub}kMqpd-{7IKW%#5V;4W z8e$h{@dTJPT7030Gddp<LJ$&74zy<u6pA9CyJtZ6WPvX)0fjB=N2pQ-1<<Zi@S1M{ z1_1#90S*QcNECv0M8H`Lkki@(7(heEP_3gBsUZMr!8U-Gnm{rONI&QpZpcniX3%5_ zEFK}(Y;rI#fw#|rHfMnp!!Qx08BFOYO?(I-)c~NVVqjp90#ERQOaMg%hz4O$i6sPH z1_{j<AVD+?IRqU|aFk0-2!K|VLc<?kjdO6onZlDGhJf-ArvkWO0_gzV_oKlGW<lh^ zqyVJI1G7g73PJ!B+8zxc(g7S3kb(-d=Ngn7q*ggVYkoZi1qE>NB*MVJ=)fSrouCEg z;<OsSEKY5s65tSM00kfTUNXq8Hx3R4PDs=+a4m=C83l$0&Hx4mjRsB*jV1;KB@F=% zMi1BtAYfBK1jHcFwnorpTp*EAOr;P2<q(Kbf_@Mhl#d`R@WCH&CK3a3*awmT!W1OI zQ6{_!<^WxH2?`HTN(Rv|j9e!)D1q*7V$c9r3mps`Tnr4H6G6L$AbAC{LKxJCL@|T| zbhn8DXv+h*?1LB#)`6l7B0frvhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeD45ttPuQ6d{5MWXSjpnjIX*L6hIGX{?uUt;x1D^xAz(?V*%;W-} zFU+!)K_QTf%MqlC?IZYJ69(8lC=3%pqR1F5&&aYBq@IyM0CqphRAe=yZ182*pkQcd zfef{Gwt%Otm^vVQCeY15AbAFLg$xkwpswHsI^yxCx&mlUi}?%#hd`A&=x|{M<`a;6 zO_<N9L+?ESX+Xxy!K1*;pnFm{7(n-<fcZ>4$ZAH}q=W!uCLXkQ1x1$tvm6SaNDjm_ zA{CD+!y5wVal$0PX^vOJs3d(t06AhD7@p9_(otK84uJ;A?L?~`RY*YyfGS_q5+AbW zj)4JO=|iW{Au70y!4ttCEnpi#qM+l{LFcQ21+WuPGq6jJY*7|R7Dmt=03edVfx*E+ zzyZ{<4PXH+aufg&knmw)6k`Fc31(nmWOQI)VQ^q%U|<yhtxc6V3oFW47#JKJ96&q) z(0WMdx)z9j2nmvdu%L&iGBPlLtb>S+lBgj7Ixdg_blN`y=w<*G&`MNLn1W9`1*>#n zU|664x@!ZxWP*`N03;1k#(fcdE+=R)DtO%^lL7-HD=4!tFiv0;U=m;jX$SEkt!)7Y z1_h?khyVo&E(~5D$_BbT9CSws=%{7|0S*Qh0Y;=1CSbjYB(4CK;$(2;U=Y$^VBoqc z06L@FK>@VRPEmm+0o40sU|`_{Wg<{KG=SBE^n;@jy!IYc+$k`CPpyXvf-M;(P(y$N zl;}}v1yp%-9w_O9#CVs3NC)U4DohLv4K5%7NYKO9w1Q#;BFX?g;ug*uWnc*b(6Rmv zpft;&&>+Os#Kpj%)X2aBI-nl39|abwpha8_3{6a+Lau?Kfy1Gpsi6rJFJc$L#a#ni zLxWO>5`zMR11Rr-w1G-F4){5PpyTgBg&WHP(0yneARC!LwqkM7s1P^=K+PafDZ&EY zCjw%Dh2ew)xV``-e$cgYAYmm2Mg~R}1_ti=44@hvR7!z_8Ne+7kQ}HP01^cWz_t;A zRg4n&Ljb%QkqOjx1*LiLs(4V2Q0QQ2P+$N-n0D}rVTJ}KCME%f1`Z|$g$4%(a6S?B z1GkSsH)k<$fXY755@86<z@)$gu1px%Kz9s)jZy$N6+m`?xuZD+8VpDjV-o|YG-~1i zT`04tb5X;hj;1Ch@ZDsPNP?+lV(3_)z`(@P0J_78fq}t^p{Yp$q*oNQ$F!+|p@o4X ziJ_@MfzyFeL7}MuRPKRt2~0nTR^R}eDZr%A1S;2<n84RLfn-K8atMHmDNu7&fI+YW zTu(CzurRa=usVPQS->}Dfs}Lba4>K*a6oR4V_<0LVr5|EVE{FVW!eN7m>2>C1ST{y zGzki|2u*Zg;9_uK5n$k2z|g<}iXM;wpcVwkE>PbFQqK#3dOx6B9Kq6~1Uv*d1enAW zT(}q*1T0(}5)_)$7#u*I2VRzj1)z%pgkTE62^>^$s|Yl4Fz{%A>K}+4|5pVD&@BTk zu&d`97#ai^7?@Q+%e^5=P)QC3P`L=oC=hXkQ4s!!Cj&ejJQx%m7BVz3DHw9_FghqQ zfG&ntU}9n50?%T>LZ}Ix$QeMFX@D-<03~}!9l^26p{WCM7CmSH1{7}spy~vw0;V5M zgXIDsJ4``};S!?^C=g;05Kv&%P;8sxpuobx)S(2beOnk9L_iH%7Vtm`SS6DN2dI(7 z;KU%n5TL=x1kM_u+h!Pqws0^oa5aJM1_Cv8LDQ8S2N(k$Fmiz=zrdQ22~hu%frZ0^ z0agqmOOCQZA>arqLllHsK$}At89=F505tZ&puhrdLxE&L%HWs<bcab3xM2)!9!r*k zq9TE%Q3KRcc4A;+3YfrwUb`doD=-K&Twruq<dEP5%5+R15-vN+0EGYxg9xaF#3BM} zlrjY{fVcT5DLF7Oa4|soDj-#$%)`_Hy5j-deSruuG%zqSF)*;QgDM0z1_34z4U+N% z?K5IQzDOG+%D~X6p#{o2jI5xUUj_#!n0kopC<zY%B@PBIh6aX3j0_zu0t^fdA|hQ3 zA~B$`Do{fet_;dxVmbiofP>-)D#F0ZF@b|Y0i*#^J2ZfrQyh#8SdNgo62hRs$iXlH z)L>u)T_pgzGYFy!X4)vtz_3nBOOGK)v&-oKn~189f&+_)2?L`~j0zXm6eb3a23dF* zu|WEhOivbcEMU<E34;Tlfq~<zAV(J?gMzaNBpw<V9v)?AVrXhq230K}g>ZZ&gn_|9 zLBmvufk9x!AqU2W(KvyJ4H6?DBqV@=gC%5!wZI`R0|_xk4KJ<;V<r#N76lm&q@2Pi zBm}NoIvHG;7=syBHh?xQHZ(LdFfbRjG&4MCXP9!hp@E^Hu>o|K&;t&I5IxZ4C`dLz z$}SE;2GDiq3eF5H(?EA_Fk;D0D4Iqf=U-i2onDpxzhA%iebcY0xqj8Q&MUG$F4w+q ziyRNvBzahnG)@!i=wM)I=>GDhYhk!9C{94Pa5OV8aG7uI?)rLM^ZND11_*q3^-GuH zwe_I!Vw5VQ^G_X@Mxch~)<+Cq!dD)@#@Nu<$k+(I;0b2YD9!M{uC7iX{_p+!|Hbb8 zud@u(x2andSzni9-?vYWi=zo1C(L3(TpSGyOx;hObgc~6U)cz{sHUN@nSnu8>1ub^ z!|4m)anktu>4UBn*VnH{FS|f-!V##T@inVU;qr=W*P(GT8VhJ4(9y-fz+=BrSdD>| z;lI88|JMx+TwlK)U=S5m0+&@V<;EXCSC<$w2wX5_2nb;K0260m5Z?+t%N8!g`c*)R zN01>HE&yeOh8i0OfX0wvCv-!_M=8`0U{Y%0@Yz1mm;oFoko!qMq^PJ?2LppKbb5+` z0Tw61mrXw~e>OEmm?Dvl87HFhJa_p=;{*{%B(a(_I2eu;OYdf2C=dPr9~2v)TS`Jh zMMYOJp~MM8=m7=>V+Q&ArvFjng#QnEoP7Sgb-(@H{}^!+7G`4;P|Uy$Ru9T6B)N3t zDDGflSa76RcqfaX5;#s4#EUTe|9>@9R8$Mpi+~4&F*HtO?wIn&$MYkdan1ohFCC_s znR&x5d%+Lb<7Bk#f&~*!+L1v(f`f&@Es;Th14>(PurN3=FbGJ1=4fFW1;Bg;mK5mS zB`ypi9SSTAVhjw7HIVyF7+9cprHCcAh;)GOL4j$8(jdD)Oo)0Wn0olwGe~X}GcXh~ zG)Ty>Fo+elv`Oi(Ft`=AbXb4}=@S_mBp4Vt;X#zF&;U9|SVlmBfkT6ZfuVw-Aqu3P zfr0lQ=zbF&76up4T`3?jfdmE*9UkZkF1QI0yFgr!dhlH;(7RPYVxt%l0-HB;Fc>rN zJFH&4YSo92A3lQGM#jdWp^%!T9I1?gTv&$C3BSw4jO7U9K^{RaLAV^Kt^i@U0E7X# z<B5TR#RPQE0~6?uV2H#hi4p>De}w#JU=Rpcy?XcVFJC|WhQ>)4sHSINASO=4SD1X^ zJ!>x*Pjs9d`NDgaAyjxaibF;@@DTX=mE%9C-q`(r{rYd;zy1zQJ9Ea^EEE(c{~+-K zR|-G(5h?=BLlSZ3-);Ze-;Li56^GRMc=E~d@1Q$s!tcY?k1|j~;Okc=hTRPP3aj3& z`v2+k*N@;hF%Aud3@}1UFsKF`aU$Nye%$aQ&s{E}<AmMZ@FTym+c~JYBZFdO0Z*`k z#?P6w92giFS(bu5&d3HAhwxbxVEY!CK(ptJ;5DkCv33E7ID;U#GtUT`RsosAzyRKp z$iN~D)(<AQ-68uE#cqPtGO#H?)Ud(M9R{lc5ny?cSs=~`!Ytq!J|-S0&Dr7riUwvM zD4zpLb3$nz=)Oe`h&m=t2%iDAzwwY7IP92s)IckI8JKt=dlnf^frY_@$ZB;B&=v@$ z8&I_!5H-vvz|u&B8g$wPs;(1BYLrRU5a7nQSpnAXM5n3hg5hcyID6ss94uHtv+u|X zWh;<*#Ip(8NW592Dp5l~z!{Z~!h=O5j7E`UU>LoU11&&MxS(1GMF4{XpPqs<AWCGb zAvCGvXg<LjVn`7IZWBQjf!B3I*{Brgyjz$EY@-6xGvw8EunYmCVX7fCc2S7jh#)}; z5tgQ5G^lwD_B<nKCjyuUQO3dwSHi#$09r)^=Yte5Ffg#PKzH*n20%nuSisA?m|0ms zE4>65T)^v$Kr8cD1VAz@Ea0V+AjR-4L9p>gkRbXrB-mXegn;@V;#<)2QP84z2oFLg zFet#2x=;%XsF3GiVDP{UGgve!FbHrsNH8%pFe))Hh<pN>BH<vy#KPb(L6Cuwp@{*s zk5Q1JfkBjkg^^K#2^5*2Gq^!<B)|Y(PzmCK^np4<5PDdXprwis4E83rQVAptmSo@% z0QD~+YM2;U6hOfSk`aI{9c2P%CXf&q3os}!DliBrBKD?m9R%e90R;~RM)2lg1_4e+ z21W)UMntfq8UZo~7P}z!$it8&Z4eU0_ozb9Kmr%|Obn1k*eJ?za@eObFt7-K7E?l2 zfWfmIL<m_QDDuF3sBMs>02Ud>1ZZy}#CxD12k+p4uA~O-?PUQ6IjDmHTAjtv0J`9c zfdRC`3Z$Bgp+RvaWIGlEXi+@}cwY}l26RR}hz1pWT?`!@3<}__SrCiZ^clDqlo~)c zHGp<8fYdT@aDk2{VuG%Pgakc^1Q`O+1uYmsf^dOh&j1G~nlB-702YKNS#WA*0hca} z4B$;Z44^f{kPV0kRbWjZwa~&1ygLY-+&Oc>av}~494Z_ja~jye0-zcn*02LB1Q`hv zfT$R$Budg`VgMy|&~k0`;NSplJZw<tXzJit04{wvAS&VNK;}S&I20H(7$z_ZF*JZi zE}4FS)PT1>ax{YSsQ_q0JZK4V7Xvc`2SbwrXyG<E`#|#z0|zLMK+yx*um{pT%rT^R z0(r85fr*6)R8TQ6F*Jd8dBUV1G1J7*AOha{*#J`10A7d62r7XXm=rh|lt85y#1v3$ zFerfTVgijra)GwQ!J7gM3=Dk7IhYt0bu8jo1PbW|pup!);8GM|;DEG+L584USa}6f zjv_HkIiT<Z9g70OATKsBFtC8cKx{Au#R5o-2`b7Wz#y=IL7{;K98xTbpw!F2z@Q-k zDtbT>3Ti`vb%Hl2FbFgVG=eZ_I|BzeLkU>0oL~_UU;vw-z{J4Cz`)3)*reFZ0Iqhx z34ucavil1ZVc=Za2(|}oCs+Z)$OE8ju0$Y}J-CVhg#&0M1e6AaJt)xJ7&s(4_#8l| zs(`joLwoAb8U$CUac*S*?_^|QFoD=0%*epd2#zjvEg<V)V(_?z35*aLR62lef^%SC zU`l{&Rs)AKNE{qD(AF!s?gzyg8>knqz`z1Ng@8eT0n*`sgcdkagP7np8;AkHqCAj& zjSNf<OkDy3921}>Lo*^o5tPIx29+8?6ljzL>RnJ+fiRQ{p_o8-mVx33dOQRZBLn9G z#s)?vc%K8J4zhh3#6}qwU|?Wij%0wHUc|yMt091i0ldu-q!bN<TV-fsBZG?;X0U=A z7WQa?zzC|h85kh@-V_)ZCN?Zk;A9ZsV*qW}fvAON5D*t+I+GJ<O&*8`!m>L+q!VZ# z9Y_*fXn`1*>$^beApH`MJd`&Qs17Cuh6N1_3>{4{nu}pU0|!ILA{ZYl-7%wy33Oad z1E^ks+;#?O!h)_b17#ABc?=8!pe%(NjLheuV&F~==v*~a`B5Gu1Yp%Nh;{%EeQ<zA zY8^xwKpi*GkRXye(18UIE+gosWd;Tg4X`Sdat@T;1Q-Oshpj=U)ggKqgsQ={L}MeE z0tvzyAkHX;h5#roKuiG!4h7I5&H@Zf2?87(44`FkpaB-pkU6N`CB(oeAi@QzIv7Az zJc|G$9|Mz;2vdb5D;KEK4(gVJ%z<G62GGv922h5A2{8z6g5;Bi76pbTMFtH)7Y2sL zM$~wNC}sg4GzDtpjj&k5D7zMMF)V3e;OKe8ps=8gg9%gyA(d@l{-Oqku8vJy43113 zA5JC6FtLCOFfAcav~X~NVvB*HqYIQ(K;r-nsCy9kOd1jz8X6nem|QqH9KskJguq)A z!TU!caWUdaaF|bk)+ZAfI95F3;#jgpgX_&F4vk0CIhZ=ym>3pJVqoZKV_;Y`iGg{} zG%ki^lNh_^Y~td$K8y4Jsj|8_y^301E(Sdz4i-iRXq+g3<3vE95wwf3u>l<Hkg%3$ zZG6zs(Ae1CUc%Xx7^V>z-U!Mk4ULfO15pGfQS!-1>Ysq~2{cX?PGI2J@raA#$rJ{z zKi@bs7EI@0>S<$QSU8D+p$8Ht+%rINGKHaQ&NeOvS250ir?TwC*ea}fxw!O%7+9EL zaU#&sr2wi=pmBm!_=vo31m}~swv_I!(y$d5!x|eJ8yN`22{@KUCQ<(X%$fN3^8XDC z@&9?iYqIO1>wF>mdc6L-gElHM#D_AofB5j>gS51?G()JMAZU#$aUmwyH@feNxR8RW z`v3pT8PJ}%1_sdDUl4}H35d<Wki)P9tkfZtnd!p^1_nXF-MjyX?%qv&oQS!M#tAqG zi6&ri0$C#uiU$w|X@}4%43KNB145aZ$%_*P=FvC-g(vYC5+|U2B>$mh7bGqqam2vj z$50F_yO=+G`1A=>c9E7(#G6Os1b=)uF<f8~U|~>VVlV(rUnnp!7>GcQzBT}hJAuSm z7?hA`5s-Q(1_Mx=R|&f3&<#Q>GB`2_fX7y#v=eO4BEl7X4v8%yI}})$#6aW23M>qu zMMX>sEDWGd5JDN4B?6r@7C;^$2Fna*LV$sR1JoM?&2K>b*#POBgXWkR7?=bYn85Nd zb)fx>AoU;`wABGbOMvz_g7-6md;H)y0jUQK#l!Y2Ld*t{@`i;+Ix1vJK<B4``~RT5 zj#3~qL9%EVv_J_Y1U3`I7zudQD&sR}&a7IsYSkH%X$FQ>s~Q+t8UBA|V0iZq)ZG32 z0ko$b6mF0@KNMWff%pi_kq<7r7#J7~45W6k9~Zuiki%es1`;p?hr0l!adO}wD|mnD zyLX_F`ura)PNG1fs93@l7AN-h^83xd%il+pN8yde35odylusBK7zF=+7m$I*2_m0_ zo;kz7fHj|l!s3MWtH1`9BLWvtVquiSKyf}fz#s@}nL*1gL_WbCCkco+5#4I?Mdr?E zG*A`-kbR46A3<wXK%>AAJ_Bq&BgAl|kt@hvMTUu7-k@7ggf22DL{x(IGcqus-_OYQ z5u!#!7@~(octlm~kdPybgzR5rKGEU;x_1%2j}f+?u>rF05n(iBuOib*bp_Bd#7rFw z96ZP1_cMYPKQc6f?q6hJ0N>XLQp0cxa$jS^snLCmh;XDn3u(Gb0DhhX7Hhx@TCj+Z z3ehqIm;?lPX=(nb*~EqbQqABXV2h#)DGL!Lj-qIkLzNI1y`PaP!HCrm&_!6F!4t3~ zd^id`-wPJPMu6L6%#Z`6z$!VI7#LxB2x10kBLzq+XeSYfhFbyUj8a%a0Mw*~lsBLY zPaGH+LCb|e0uGFz(>xsDYaziA06LN$wg7{HAqZq1^K}MB(4rU+8`PS13~+D&FU9~1 z!W4tHJc3n>5=4gpw9(7xFafmu3$(Biw7Q!~fH43Ts^CT4F!Pw0n7}~}TKNTLO<({m z%y$G0l7Yk^OY4}RdKf`#RTv$Z1ROy3(7+9Z88%9zg#c)4BPd&FfEPr9#@7`f>$f>@ zgq=VK1Ct^sLNpjamq9QHoL~?DjW@$~aD(#`8v}!c1o$EX(5^=Cx+IV|_@)9h2aIxY zg@6Ks12|IHBpASf3z^~IVBi5Iad2IMYdxtvFL?I??2=ee(1R^;Xkchy0o@n`$vY77 z(X;KqVMBlbRmC98z{Jo2-Zs^>0F*&GR)7UTTdp9><{`6Dpd05vQ2^Sp1=_;Wz`(%j z0NN7C!NAY~s?QrhI+#Ew*Mo8!15*d+z&4N*z{bH=;(@O?1Bs4eTp<7|yBI<1B^emm z1wivy;G4%lQ3JwIUC=;<@(`4`D})W&7XdN|!UN4VLLw7dr+}(|&>BAQx-ML1!Ss&O zpyFu(Xm1=72UEi$P<SYSu5D0gP+)56SfK$)=^ZF#7bH-@`&b1`Kuc9QK)DB`wE=Xs zAZYh66KLNsc=HxWn1P{*fr+7E74+0LSc4fNHA<p}04S(HA{-2$A>jrFh7}7y%kViA zx|l#ahQL=Sg5;r?qY1RNkpZ-qv<(#U3=BNdpsSP@KomEDD-Y0}j}4403``74;EQCL z7??oi8q|nUickoEc0Phqtw@8w0Z_5U!6e1N!@$7E1ulm`OCF#_5V#2dx}nU4fl-jb zsR2|bf%Zx=eqs<{Y7`J)IMBw>#K^$Nx}t$Wft7(lV1h#f17iT_h!0lKMcV=m3<?6^ z-6^nIg^<%mtUPpo77J+eDF=fK3+Ski25_s($%6sh_X7{O!@>ug4;mO67(EyqSQw6g zgx`TrYw2KdWKb|@0tGtaMiV9n0Z<*%0=_Dc1+;Alq+t}}4*~F%OiT<++!9PY3`~qn z%nob<0uBnE3_=PT0-#<ygF*vzIT6$#@QJFRULfeK92W)#vEGA>3=^h+a}y&2gM$_* z+Q5n!m>MRufUYhVU}%8s-bTb0)UZ(sM+ks6mI^SaFf?*BFf0KVS&*$mppHs|AcHEX zEQ4tU?N$V_8yFZtS%Qgyf$sr>1DgbcKm&(Jh6Cu-dyq63cQ`O`G%z(Ni7*JTG8|xK z1`ER40#ME<g)Ia)926KdK)pf*1p&}SnF|~Q7+N?u92__pG(lT{(E<^)hnRtZ!6Lvx zLP-Er$M7xzonPO;;HbdRz`@4C<iHFXW?^z-U=k2u5MUBvaBytkU|?c&fHd47EjDZp z85M(ufHngc=wx9Q1_sdnEe6n*Q!WM_CI%@ME&<T_5)3_D3?dARkoqZ5ji9?TJ3y^y z>A&E+FTwXSf`gq0(q(60VD#VsU82ndI#`v1MFrH7fN373@q~bY7<ktlC>X&3%D~K^ zz#+__kjN;~z`()5rxXyvz{KQ=7M`GPJOe`mqcEu6SOOY-WME_jH+LI_7!^SM6;Rs> zRN*i%2s1D!fJT@>c@U37My22(ASl8xhk*;+uH|6TaAamsW@#`GO=5BtWH9q$VGCdi zD$!z)X@#qY#s))(1}M~-jxaC?#2RohCkQZJ3SmAX+R-Vnl7SJ_<78-H2vq=W6lQ8o z{BnSap|umXTon}maPvkPm?5CWz^5d_z`&?2#U;eR#uOsYQ)I=_B;muwwd#O~kN`_Y z2bTZ?Ll#^kXpbpl14Cnj6GIETLW>Xs17q7kMvexy1uV@P1_u}!n%S0tG7o6a;{qq9 zE3FDFLJbTG9gRV1pb-M_$O_!BQ3lo!uomOnC&DEns4vGS$E4>R9<N`v%VK&}UjF=j z-{R!N;<IkmS&7QMhpYo<U|;}U0m#_U(0C=QY0313*RL}$NW^{Z=KdnK^7?h{FJHSm zudiR$*a*6Jv612Hqoyk$H4i~|I$mGDjDe8}6epwk1Zzwn1np(`_K9&Zh-*v9MFr_O zhs)=e?Xvh^<sUD->R+6gTu9cxx?Nl{@8KFi`xzM<8ydg9;<$2LqwN|41KaP9+}#hZ zExdMp^_Q!iD<`jC-`LOqz6<kf7T1@nnrzcwe|gZ=3BIop)XxVGM#9Y-WnhMY(kjT2 zHmex^8-rvO{)2W46)=Q`zWL9<@V<e8;Xd>>I*=?92HkH0!VCf%U~5wu_!$q%GVmkT z-h<@-GyG>-q@X2mf%Pkr?olQlptNcM=omBx256ixeCPu2d<+Gx%w~AcAix0H4GB7w z3(XK{cr!3?$id>o)YR~!tT6*PZo#UdYS@<-RLR^iFaV2<5(GoQ*ch~n5wwE{l1~^u zbb#aJ|9Q~*M$i^vP&Pqp3WH3AhBpHPzXT#qZ2!vshnj<=Cj5J8z5IQ9dyvp5CL98= zI9bK<AAHY@!v8LY-~SC5LS6rWN-<FW0YwU?LGU<X(1pdxhyT21WzS%iT|u8z^@T5w zmR*>Eh+V`He5f60GF?D|QGme-w2u*VKO=|+>2PEa0G+7m1Y(0QY(FFHjztCrQBDY( zfdzCgqXG-ZA*dQ5h&U5i9CBYHc)S^8%qYea0)h;nWef}q0tOAB_AV2M26gVx?`MP= z0ou>V!oc7LyJL}of&T!A4Z5EZd@myl6BASo6G$9<4<q8fMl6LO%;ZrT76Q;A!4IH` z!~YCt7~&a>LmAF+a4>vkU}5<1|9^aZdHMfPp3gA#Fxr5X@gP3~=zeVZH_V?IJ}`e~ z2Gu~|3J9(Sbbq$AEYdx6puJu&*-@H62nc{CJrHpc8w-vThX4QP&%b;3KX`{DstE>P zdC!I#2M04Sa7ZwJ=Kqf^PV58t|A$J;8iVT_Q2oO&8Yifsh>NGC#lY|ZG?>Wnf$<D; z{Qv)<p`o#{44XmfamR`MSKGgkIFbMI^{c5VC_jP29+WFUS{WD^?Bh*M|4Yk)VgrIl z;{+NqgeWZr28Iuyva91i11wHBI2f!M4t)6V7g~0K+Qq2m*s~gb<o^#kM@KxJmDSWV zAixE3?g~<z7{r^Ju9B8L1CA?Dtc=D9Y9NAmp!*p?n+riSn9l-Utir&^vK6#w1vKgb zxzCY-fl12)bTx?pm=98m6SG#qq(DY9FfdGn@nJMXoMkJFKT1PF0J1NUiGu}vUn7VH zi$m{YWIhAg*T~e_;-LVlS{Pa!AlH^QfTj|`N^udQXJ9h0y^b(GjE0Ca!_~pWM`@ac zzz3Mg;CV^V)FVs)PD5LFaQ-NR*bu-RM8_1tG)uk!Q*2a(S|I>ivVt%VQv@LgV~xfM zEF?zh5fTF6+8yRsPFN)XUN;OA1=Em<1I%P#5Oskva8RSeDL4WKBn(>816i8_+1Z9# zSvZ2Y0-yu9K>HdQ7#M_HK&u)-8bOzxgK4ar5?Q1nEA$u~m>8K@7$8TfL9T8DYhrML zi$VCKBw7f-vI27CfRunU1q*|Ng9B*8XhRp110zF&1LzoG&~iMG3Md9wF|g%cpm<~m z1@QzJ1lT}45C-u<sv&I9<~dMT2ZTW)Aem8&Cj`JD3{B@SWuVpE3>-|v-UrC_6s8f< z4CVkwFI)`707nHp6@WxWF?t9fhZ-nWU^NDa4O;5Opa44B5wxR(Lxq8X1ANIAx*2f3 z=p{H4%s@6AEHFyo3ISN5g)GkmT80ft-Jm%W(E3y+_6E>mIz|Qw@YQGF)$+(%plrzQ zMh1os1_r5<;GK&g2@VC&5DjXj4&re!aG{leAl0K7dkBDY2E6QYU}0cj1)W*Iz~CSN zkzxT=1E4)5$ofI6wBUSZ&}KOh53~#)M2}(;LjWbaU=%Y7OiiE=ZeU<&>R{?>Y65MA z18oUX0;P6P{sEO|pv&W+`2@64SP-%(p8<5$GT1R3pewvUIS^z#NH;8xpfsVuN=G=+ zzyJ+x_=zW=AY)))1MNm+U|?!u0^OPkDy!HU7#46bFoKsDae($<K@{Q&KaPC343h#E z`0h-&0EofDz`z9(hnH|5!BLDQ1V9l4iU61t=<+xQ&@Dh5ptR4>$ig5Xh$R$JvKd6b z+yTfb2Mi6MOSzaBz)fP9v5-6kI=C2g@&uCTC=(F^;O*}W3__sYp-@pFP_K@GK|z3r zfrV2+-~fXF0|$d66N?Jy#14jzCQ#7>sa&8MP$~9JFg|E~H|WwD7#~i9ViJVm0;3GH z5CC-)Ko};>0d9E-FenHJC@63^u{40r`2cSbVqp+wV&EZgZJ7Y%m`8|d4dBb2AZ%oE zG)9m^1DOp;?XYe(SO!*CFbOEIFz~1_G;pvmva&E3@G!76v@o!?G=NSl5KsiG#ZIt^ zA;giR8X*d0!K{LEM=4kca6np4kaiSE5VUVm0dn~tsPG4Eo?{SHU|@7$U<Jnnqz?`% zzd)+7V5wuMg4ph5bbvG@P!*2yI>6U03OIDYjs}7x+YSva(517QT%Zkbj7$v-8ldfs z3S0~lkZ2HKU|<r#8+tsTK{324z|o3V#YmO}Z`5T7&<H>TLP!XM215mC5jR*&q>-V- zC4j*dT)<g?&yR9&;=tEvl7ZJJxGD{ZID`aSGD;vqfI|SZp)`bnAs_@Uc;yNggH`~8 zfC2-D2!mP!1EWF+lY)naivSZ71B(>6`Uf9f0M~%Qa7T!M!X1PWA|MucCp~1F3y3?4 zVIcr1yI}V$GJy`L0ZDagXo)a{FlcbGa0zk9NEmY63R@(>A;7@M$Kk@nz!1&Q#plGJ zFbPlb1uf*j`|3a~VQ`BWWHcOuPV+(;j)BXJa0Vz&I0OVtI=V_2Gy=j{z&ocI7&`y_ zlhddR(3CRKvXYUt+h}+H+qXEYh}uv&JvT2qspzDxzAzDuONe}h5sZS6MMvN`0fj#3 z4pUS`;Qe-3?gm6vH3E5%IAI9s=qd?d2nd7clRy9D80soCq*bJ(WMu4idi}2ZW|dK) z<v))rPlzQdTBwUJ$w}c7o_r$o2o{>4uxCKJ_ZL~55&4=;n8YZJH3X(kJ#e7@|J2Zg zG~@FB|Np;ZVEC||fua7tAj8=+3=BN~85qp4>O%;M&p@z8S=0!D&`^7O(6a3`aGcod zF))1C&%jXrU#WxGI1%uqhC4>hK!iYOC}<C9XlPoR@v6|!|L<5s89p-zfNrG$bvt-N z3Fi|5e0Mb=EE;7I9Ri@+n4tLt5hvhXrl6i4F=ZD=4$+p4DntnZN646p10$4%brKmF z7$g`O7~B{F7(jdH7#v$fIzX`j+Rw<y02*E4K<Z$k7zyR@{(v%I_c9`le?eqm`x_y= zQ4$sc;C?xbgG58)NFb2`bV?cngFr!B6evy@!226P*8@QIJ0fX8W6Dj12|)KTf-f9` ziGgUSIAkyfBruA>Auw|$Xy00BXlSUhF{rF!U@!)sEiiTJ;lmvrGiRRp50VGfBftLd zHs+TH$^KvU|38C7UBjw?|A@mqz@{M+63WQDQ8w`*KqyZB^F!hUG~c+}n4cdMbKp2( z_|LE^puQfDP5gM|My2qC03=Rk&YT*0*cj9wVSw&qgXI&@UCkivpnL?1lh9B`hIKp) z?-@Ai8n^;L`3EG64fDri6CD*J90JI30x7#d`GSD~HBK5DKyk9)o}VAoM%Dtizy32= z;f)i46NGIa8Y<YpOA#0tU^Km4?LqaLmL1Qy3%z_r9eWrR62Jz*XfTHkgbKm^iKIG$ z9Xwe^s;*J>v<v~k-9(s<kvkzv1BlQ$s)URXkb+)}2|Lmms}UG+f>qV1AeBNu+>{)H z5Q{Xh>BhVM1)GLZF_aMC@j>BIg@bF<fhvZN8ZsIJ6ovpKeS%031{(&t9|g?7MTn2y z7mq7wXfBScr6eH*w;B?E*c4(DgKHaQ;0poph%iV2WWgPXMG(ucC8%Un!DtAKybwTZ z#&V91)zdT$faVoJ3+W*k)he{8fJ;i)!I_X9jo1`o6N76TWe^nt@Mafb)f@!Q_8@G+ zsEW}L81f+iDUXo7fmRMlg6EKt6^yb+Ltr!nMnhmU1V%$(M2CP9gAxS5&Tkm1p}{<R zsJa~8-AW9gy_}HoB^J;wTXY39<wNYHjuqlxsAJAxFa;DRgTWn?x&eBC5v9fq0{z1! zMi>}|QJg?36D1|3(fVW%WD&3vM(Y!BFpLsZ4gm*;1_mYt$!;neJ8B%6A<zQaRK&r+ zz@z{<tB_1%29>tiPZ$^);xB$@V90i3fSgiFz<sW)3~U9UGnp9}7z7EZ1qB#GK?Or4 z1LVGN1_lp?2@De$8Uz-wGB9vYz&z`Luz^@qfJgK>-(is%l0uVQ85o2mzwc>asJ>5J zoG@`PFoU)|;|@=h0b2!z84|5?8747InlwRRg1|NgFNR4B0t_dTCJ3-Wt~jAA+zv1> zuz;t)L~oBSfS@cWF?GXY60{o#Bn#t%*u-G@g~X^DRYOV$z}A7nwk*K-M4G|jPs|!_ zA`Kf=HW~uMH3U4@4OgFy`fD@<MnhmIg#f{Ed^81&q&C!sQeX@cx1w*U!svaVDd1c* zNE|-QoIy-dM~e!02=K%2mWK<CGDbsS*o6R69}#odhKM~6Of`6d1g-ADqjFSgGz5l4 z2;izuBwh{+?+qzW5UT%$wDEXnwCutYIHOWSDFi@uJTxzmRmaPR4<+9YX}6-cxX}4< z&k110BwTKkL2d|O^wdc*NPHJb%10HChQKfh0ch<4@eOz#1cZs56u@(SCw3j9q69(! zR!^YQ1hgTfB}x(OQPyY(4Brqyuj9ddSiT|XZFcyX&jgi@DxgjXz~Tl*W0)!c>fm6A zjtWo^0t(Qjm9Q|!!UvlUlLvE<34ZX!z{nz_Y|29bTvj0&1Lnix0G&os2Vsg|h0vqq zXb6mkz-S22F$BN`6_S1Ed?YC_6GNVD5?Fkc7$hM8s%#{C2Z>{bnKRJm5DD>-y)Ukb z%o}A>Cj`JlT1dSNbUxS?BzZ6&PDpHnGe;TJ2?50HETpC&#K*8zAP7TfRDj|T0M!Z* zLm<;rg!mA(Fp_aJPGCVXN{@!XXb6mkz-S1JhQMG70T%{V2L@IK2L{lARW1w+SosVH zc?L%o29SDC+Zcoy1fL8h?+gpKC^0ZKFff5SiA)PXXNqCvGa%$4OeTf}3=9fQpb(IU zw4p)FQ9K#~qaiRF0;3@?8UmvsFd71*Au!TH0R6H^bp8+z4{#?0$q(p!A?Tf%NJ`*L zm|7SOm%zawRvixQ1chmBK0ybJDj2aLAbFmF0W!vq2m*9ILI#zEOC2t8RNa{NqRWr+ zM?+w+gaGF`@Msu{bBHasajC;4j$#P|roHI$!<7#!M_`k}Fh0z`QW`K8CJj>yqcK&W ziV&*~RTuF*TANS2OR%W~4Jty!A!!nw50N8=lt)Yz6Qg`o4IM&&3u#*yEHBZ)+EFX; zgaB_J9!VNYLGn0_Eun|;utF6neM78;NkDkSlI)}BrV<-exYeNrJsKCIuK_a%QRBcw zptK*99;GM@0VW0plzajTarD?B&I)#<{qgj!Plip|MO;visu~S}(Gb9P@im#D!X8Sd z#!+pALV)a^-{^iuLP1MV9=wqONfkODNs2h;=($A1g%ed(5op|q8d23YQVgRwJ&|JB zsM^sGz!L%l>lulycyy0S5fcLFVT{hlG=o4_l0zL+%cuxhA%Gqi=zO9Ll@%pgDYX@n z?Ph8_ghKOR{=h|}8?S(K01T><w;jr$KLzsx{cWbMjWB=UqA_hFP<9Pqy9?$AOgE2; zjE2By2#kinXb6mkzz7Wi&<qx`*U|aNav(N7c?nODicw635I`TrLgy20g{&CSN~x`o zY&TQeArzX2n4X2~4Mpdp8;_7jmx1yJuq_Nd$`b03Ax$Bg^^mRyIv?G02zhiF5TCUO zL=GH=_+jAeAGLWj1V%$(Gz3ONU^D~<NeH+&fX+?<VMYc<(D^E8d~|0*)uRg|__A>b zHho#3Gh)Dgps%&mw@s-5H24nc1Trx&DKRjh^U-ZY$fL_Z`4R+M%TR^1pdfyrg~_94 zj)uT!2#kinXb6mkz$h3Efk77n*!E%%I!BH$2T7h`U>IE_I>I7-)Vrf0Fd71*AwWb3 z;Ge<5G=M`FQ*2aZGz3ONU^D~<dkDxj51<QC_V^E=J7{e6XnjKC03J1dGz3ONU{Hj> zXnis$qG8k#qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAK zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl} zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL? zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvt zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C zQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{ z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq) zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOP zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3E zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(z zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q z!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwW zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3^7K?oQyFfiUUUeBYz zz`y{)1`G|13=9lhNNi>hdlW;$XE1~VG>%w7ZZTj`U}WCMz+k{|fRTZLmC=A<0hDH7 ke7v@9DM$?nL-p{2*rRyx#N}vQjK;-i8W>FjLnRFW02}vFkpKVy literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc.tcl b/proj/AudioProc.runs/impl_1/audioProc.tcl new file mode 100644 index 0000000..203b845 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc.tcl @@ -0,0 +1,284 @@ +namespace eval ::optrace { + variable script "/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1/audioProc.tcl" + variable category "vivado_impl" +} + +# Try to connect to running dispatch if we haven't done so already. +# This code assumes that the Tcl interpreter is not using threads, +# since the ::dispatch::connected variable isn't mutex protected. +if {![info exists ::dispatch::connected]} { + namespace eval ::dispatch { + variable connected false + if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { + set result "true" + if {[catch { + if {[lsearch -exact [package names] DispatchTcl] < 0} { + set result [load librdi_cd_clienttcl[info sharedlibextension]] + } + if {$result eq "false"} { + puts "WARNING: Could not load dispatch client library" + } + set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] + if { $connect_id eq "" } { + puts "WARNING: Could not initialize dispatch client" + } else { + puts "INFO: Dispatch client connection id - $connect_id" + set connected true + } + } catch_res]} { + puts "WARNING: failed to connect to dispatch server - $catch_res" + } + } + } +} +if {$::dispatch::connected} { + # Remove the dummy proc if it exists. + if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { + rename ::OPTRACE "" + } + proc ::OPTRACE { task action {tags {} } } { + ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category + } + # dispatch is generic. We specifically want to attach logging. + ::vitis_log::connect_client +} else { + # Add dummy proc if it doesn't exist. + if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { + proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { + # Do nothing + } + } +} + +proc start_step { step } { + set stopFile ".stop.rst" + if {[file isfile .stop.rst]} { + puts "" + puts "*** Halting run - EA reset detected ***" + puts "" + puts "" + return -code error + } + set beginFile ".$step.begin.rst" + set platform "$::tcl_platform(platform)" + set user "$::tcl_platform(user)" + set pid [pid] + set host "" + if { [string equal $platform unix] } { + if { [info exist ::env(HOSTNAME)] } { + set host $::env(HOSTNAME) + } elseif { [info exist ::env(HOST)] } { + set host $::env(HOST) + } + } else { + if { [info exist ::env(COMPUTERNAME)] } { + set host $::env(COMPUTERNAME) + } + } + set ch [open $beginFile w] + puts $ch "<?xml version=\"1.0\"?>" + puts $ch "<ProcessHandle Version=\"1\" Minor=\"0\">" + puts $ch " <Process Command=\".planAhead.\" Owner=\"$user\" Host=\"$host\" Pid=\"$pid\">" + puts $ch " </Process>" + puts $ch "</ProcessHandle>" + close $ch +} + +proc end_step { step } { + set endFile ".$step.end.rst" + set ch [open $endFile w] + close $ch +} + +proc step_failed { step } { + set endFile ".$step.error.rst" + set ch [open $endFile w] + close $ch +OPTRACE "impl_1" END { } +} + + +OPTRACE "impl_1" START { ROLLUP_1 } +OPTRACE "Phase: Init Design" START { ROLLUP_AUTO } +start_step init_design +set ACTIVE_STEP init_design +set rc [catch { + create_msg_db init_design.pb + set_param chipscope.maxJobs 1 + set_param runs.launchOptions { -jobs 2 } +OPTRACE "create in-memory project" START { } + create_project -in_memory -part xc7a200tsbg484-1 + set_property design_mode GateLvl [current_fileset] + set_param project.singleFileAddWarning.threshold 0 +OPTRACE "create in-memory project" END { } +OPTRACE "set parameters" START { } + set_property webtalk.parent_dir /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.cache/wt [current_project] + set_property parent.project_path /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.xpr [current_project] + set_property ip_repo_paths /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/repo [current_project] + update_ip_catalog + set_property ip_output_repo /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.cache/ip [current_project] + set_property ip_cache_permissions {read write} [current_project] +OPTRACE "set parameters" END { } +OPTRACE "add files" START { } + add_files -quiet /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1/audioProc.dcp + read_ip -quiet /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.xci +OPTRACE "read constraints: implementation" START { } + read_xdc /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/constraints/NexysVideo_Master.xdc +OPTRACE "read constraints: implementation" END { } +OPTRACE "read constraints: implementation_pre" START { } +OPTRACE "read constraints: implementation_pre" END { } +OPTRACE "add files" END { } +OPTRACE "link_design" START { } + link_design -top audioProc -part xc7a200tsbg484-1 +OPTRACE "link_design" END { } +OPTRACE "gray box cells" START { } +OPTRACE "gray box cells" END { } +OPTRACE "init_design_reports" START { REPORT } +OPTRACE "init_design_reports" END { } +OPTRACE "init_design_write_hwdef" START { } +OPTRACE "init_design_write_hwdef" END { } + close_msg_db -file init_design.pb +} RESULT] +if {$rc} { + step_failed init_design + return -code error $RESULT +} else { + end_step init_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Init Design" END { } +OPTRACE "Phase: Opt Design" START { ROLLUP_AUTO } +start_step opt_design +set ACTIVE_STEP opt_design +set rc [catch { + create_msg_db opt_design.pb +OPTRACE "read constraints: opt_design" START { } +OPTRACE "read constraints: opt_design" END { } +OPTRACE "opt_design" START { } + opt_design +OPTRACE "opt_design" END { } +OPTRACE "read constraints: opt_design_post" START { } +OPTRACE "read constraints: opt_design_post" END { } +OPTRACE "opt_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx" } + set_param project.isImplRun false +OPTRACE "opt_design reports" END { } +OPTRACE "Opt Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force audioProc_opt.dcp +OPTRACE "Opt Design: write_checkpoint" END { } + close_msg_db -file opt_design.pb +} RESULT] +if {$rc} { + step_failed opt_design + return -code error $RESULT +} else { + end_step opt_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Opt Design" END { } +OPTRACE "Phase: Place Design" START { ROLLUP_AUTO } +start_step place_design +set ACTIVE_STEP place_design +set rc [catch { + create_msg_db place_design.pb +OPTRACE "read constraints: place_design" START { } +OPTRACE "read constraints: place_design" END { } + if { [llength [get_debug_cores -quiet] ] > 0 } { +OPTRACE "implement_debug_core" START { } + implement_debug_core +OPTRACE "implement_debug_core" END { } + } +OPTRACE "place_design" START { } + place_design +OPTRACE "place_design" END { } +OPTRACE "read constraints: place_design_post" START { } +OPTRACE "read constraints: place_design_post" END { } +OPTRACE "place_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_io -file audioProc_io_placed.rpt" "report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb" "report_control_sets -verbose -file audioProc_control_sets_placed.rpt" } + set_param project.isImplRun false +OPTRACE "place_design reports" END { } +OPTRACE "Place Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force audioProc_placed.dcp +OPTRACE "Place Design: write_checkpoint" END { } + close_msg_db -file place_design.pb +} RESULT] +if {$rc} { + step_failed place_design + return -code error $RESULT +} else { + end_step place_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Place Design" END { } +OPTRACE "Phase: Route Design" START { ROLLUP_AUTO } +start_step route_design +set ACTIVE_STEP route_design +set rc [catch { + create_msg_db route_design.pb +OPTRACE "read constraints: route_design" START { } +OPTRACE "read constraints: route_design" END { } +OPTRACE "route_design" START { } + route_design +OPTRACE "route_design" END { } +OPTRACE "read constraints: route_design_post" START { } +OPTRACE "read constraints: route_design_post" END { } +OPTRACE "route_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx" "report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx" "report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx" "report_route_status -file audioProc_route_status.rpt -pb audioProc_route_status.pb" "report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation " "report_incremental_reuse -file audioProc_incremental_reuse_routed.rpt" "report_clock_utilization -file audioProc_clock_utilization_routed.rpt" "report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx" } + set_param project.isImplRun false +OPTRACE "route_design reports" END { } +OPTRACE "Route Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force audioProc_routed.dcp +OPTRACE "Route Design: write_checkpoint" END { } +OPTRACE "route_design misc" START { } + close_msg_db -file route_design.pb +} RESULT] +if {$rc} { +OPTRACE "route_design write_checkpoint" START { CHECKPOINT } +OPTRACE "route_design write_checkpoint" END { } + write_checkpoint -force audioProc_routed_error.dcp + step_failed route_design + return -code error $RESULT +} else { + end_step route_design + unset ACTIVE_STEP +} + +OPTRACE "route_design misc" END { } +OPTRACE "Phase: Route Design" END { } +OPTRACE "Phase: Write Bitstream" START { ROLLUP_AUTO } +OPTRACE "write_bitstream setup" START { } +start_step write_bitstream +set ACTIVE_STEP write_bitstream +set rc [catch { + create_msg_db write_bitstream.pb +OPTRACE "read constraints: write_bitstream" START { } +OPTRACE "read constraints: write_bitstream" END { } + catch { write_mem_info -force -no_partial_mmi audioProc.mmi } +OPTRACE "write_bitstream setup" END { } +OPTRACE "write_bitstream" START { } + write_bitstream -force audioProc.bit -bin_file +OPTRACE "write_bitstream" END { } +OPTRACE "write_bitstream misc" START { } +OPTRACE "read constraints: write_bitstream_post" START { } +OPTRACE "read constraints: write_bitstream_post" END { } + catch {write_debug_probes -quiet -force audioProc} + catch {file copy -force audioProc.ltx debug_nets.ltx} + close_msg_db -file write_bitstream.pb +} RESULT] +if {$rc} { + step_failed write_bitstream + return -code error $RESULT +} else { + end_step write_bitstream + unset ACTIVE_STEP +} + +OPTRACE "write_bitstream misc" END { } +OPTRACE "Phase: Write Bitstream" END { } +OPTRACE "impl_1" END { } diff --git a/proj/AudioProc.runs/impl_1/audioProc.vdi b/proj/AudioProc.runs/impl_1/audioProc.vdi new file mode 100644 index 0000000..206b493 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc.vdi @@ -0,0 +1,753 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed May 21 12:34:24 2025 +# Process ID: 1258769 +# Current directory: /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1 +# Command line: vivado -log audioProc.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace +# Log file: /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1/audioProc.vdi +# Journal file: /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1/vivado.jou +# Running On :fl-tp-br-544 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz +# CPU Frequency :2095.290 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16687 MB +# Swap memory :4294 MB +# Total Virtual :20982 MB +# Available Virtual :14828 MB +#----------------------------------------------------------- +source audioProc.tcl -notrace +create_project: Time (s): cpu = 00:00:23 ; elapsed = 00:00:38 . Memory (MB): peak = 1680.582 ; gain = 325.840 ; free physical = 986 ; free virtual = 13536 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: link_design -top audioProc -part xc7a200tsbg484-1 +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Project 1-454] Reading design checkpoint '/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.dcp' for cell 'clk_1' +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2101.402 ; gain = 0.000 ; free physical = 565 ; free virtual = 13112 +INFO: [Netlist 29-17] Analyzing 121 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2015.3 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst' +Finished Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst' +Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst' +INFO: [Timing 38-35] Done setting XDC timing constraints. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.xdc:56] +INFO: [Timing 38-2] Deriving generated clocks [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.xdc:56] +get_clocks: Time (s): cpu = 00:00:08 ; elapsed = 00:00:10 . Memory (MB): peak = 2743.926 ; gain = 553.898 ; free physical = 279 ; free virtual = 12603 +Finished Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst' +Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/constraints/NexysVideo_Master.xdc] +Finished Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/constraints/NexysVideo_Master.xdc] +INFO: [Project 1-538] Using original IP XDC constraints instead of the XDC constraints in dcp '/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.dcp' +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2743.926 ; gain = 0.000 ; free physical = 264 ; free virtual = 12588 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 2 instances were transformed. + IOBUF => IOBUF (IBUF, OBUFT): 2 instances + +14 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +link_design completed successfully +link_design: Time (s): cpu = 00:00:17 ; elapsed = 00:00:29 . Memory (MB): peak = 2743.926 ; gain = 1048.500 ; free physical = 262 ; free virtual = 12586 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2754.832 ; gain = 10.906 ; free physical = 248 ; free virtual = 12572 + +Starting Cache Timing Information Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: 250fa6fe4 + +Time (s): cpu = 00:00:00.14 ; elapsed = 00:00:00.13 . Memory (MB): peak = 2764.738 ; gain = 9.906 ; free physical = 246 ; free virtual = 12571 + +Starting Logic Optimization Task + +Phase 1 Initialization + +Phase 1.1 Core Generation And Design Setup +Phase 1.1 Core Generation And Design Setup | Checksum: 250fa6fe4 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 + +Phase 1.2 Setup Constraints And Sort Netlist +Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 250fa6fe4 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Phase 1 Initialization | Checksum: 250fa6fe4 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 + +Phase 2 Timer Update And Timing Data Collection + +Phase 2.1 Timer Update +Phase 2.1 Timer Update | Checksum: 250fa6fe4 + +Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 + +Phase 2.2 Timing Data Collection +Phase 2.2 Timing Data Collection | Checksum: 250fa6fe4 + +Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Phase 2 Timer Update And Timing Data Collection | Checksum: 250fa6fe4 + +Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.06 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 + +Phase 3 Retarget +INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 3 Retarget | Checksum: 250fa6fe4 + +Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.08 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Retarget | Checksum: 250fa6fe4 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells +INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. + +Phase 4 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 4 Constant propagation | Checksum: 250fa6fe4 + +Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.09 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Constant propagation | Checksum: 250fa6fe4 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 5 Sweep +Phase 5 Sweep | Checksum: 2590e1832 + +Time (s): cpu = 00:00:00.14 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Sweep | Checksum: 2590e1832 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 1 cells + +Phase 6 BUFG optimization +Phase 6 BUFG optimization | Checksum: 2590e1832 + +Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +BUFG optimization | Checksum: 2590e1832 +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. + +Phase 7 Shift Register Optimization +INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs +Phase 7 Shift Register Optimization | Checksum: 2590e1832 + +Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Shift Register Optimization | Checksum: 2590e1832 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 8 Post Processing Netlist +Phase 8 Post Processing Netlist | Checksum: 2590e1832 + +Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.14 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Post Processing Netlist | Checksum: 2590e1832 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells + +Phase 9 Finalization + +Phase 9.1 Finalizing Design Cores and Updating Shapes +Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 37018c0d3 + +Time (s): cpu = 00:00:00.19 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 + +Phase 9.2 Verifying Netlist Connectivity + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Phase 9.2 Verifying Netlist Connectivity | Checksum: 37018c0d3 + +Time (s): cpu = 00:00:00.19 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Phase 9 Finalization | Checksum: 37018c0d3 + +Time (s): cpu = 00:00:00.19 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Opt_design Change Summary +========================= + + +------------------------------------------------------------------------------------------------------------------------- +| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | +------------------------------------------------------------------------------------------------------------------------- +| Retarget | 0 | 0 | 1 | +| Constant propagation | 0 | 0 | 0 | +| Sweep | 0 | 1 | 0 | +| BUFG optimization | 0 | 0 | 0 | +| Shift Register Optimization | 0 | 0 | 0 | +| Post Processing Netlist | 0 | 0 | 0 | +------------------------------------------------------------------------------------------------------------------------- + + +Ending Logic Optimization Task | Checksum: 37018c0d3 + +Time (s): cpu = 00:00:00.19 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 37018c0d3 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 37018c0d3 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 + +Starting Netlist Obfuscation Task +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Ending Netlist Obfuscation Task | Checksum: 37018c0d3 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +INFO: [Common 17-83] Releasing license: Implementation +33 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +Command: report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt. +report_drc completed successfully +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 253 ; free virtual = 12255 +INFO: [Timing 38-480] Writing timing data to binary archive. +Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 252 ; free virtual = 12254 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 251 ; free virtual = 12253 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 249 ; free virtual = 12251 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 249 ; free virtual = 12252 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 248 ; free virtual = 12252 +Write Physdb Complete: Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.12 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 248 ; free virtual = 12251 +INFO: [Common 17-1381] The checkpoint '/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1/audioProc_opt.dcp' has been generated. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-83] Releasing license: Implementation +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 248 ; free virtual = 12251 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 27ecc6cee + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 248 ; free virtual = 12251 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 248 ; free virtual = 12251 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 167eef5db + +Time (s): cpu = 00:00:00.56 ; elapsed = 00:00:00.59 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 243 ; free virtual = 12249 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 18bb8b76e + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 240 ; free virtual = 12248 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 18bb8b76e + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 240 ; free virtual = 12248 +Phase 1 Placer Initialization | Checksum: 18bb8b76e + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 240 ; free virtual = 12248 + +Phase 2 Global Placement + +Phase 2.1 Floorplanning +Phase 2.1 Floorplanning | Checksum: 21bed6f03 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 239 ; free virtual = 12247 + +Phase 2.2 Update Timing before SLR Path Opt +Phase 2.2 Update Timing before SLR Path Opt | Checksum: 2621c6b40 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 239 ; free virtual = 12247 + +Phase 2.3 Post-Processing in Floorplanning +Phase 2.3 Post-Processing in Floorplanning | Checksum: 2621c6b40 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 239 ; free virtual = 12247 + +Phase 2.4 Global Placement Core + +Phase 2.4.1 UpdateTiming Before Physical Synthesis +Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 193cfef11 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 211 ; free virtual = 12219 + +Phase 2.4.2 Physical Synthesis In Placer +INFO: [Physopt 32-1035] Found 0 LUTNM shape to break, 93 LUT instances to create LUTNM shape +INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0 +INFO: [Physopt 32-1138] End 1 Pass. Optimized 44 nets or LUTs. Breaked 0 LUT, combined 44 existing LUTs and moved 0 existing LUT +INFO: [Physopt 32-65] No nets found for high-fanout optimization. +INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. Shift Register to Pipeline Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. URAM Register Optimization was not performed. +INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 211 ; free virtual = 12221 + +Summary of Physical Synthesis Optimizations +============================================ + + +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| LUT Combining | 0 | 44 | 44 | 0 | 1 | 00:00:00 | +| Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Shift Register to Pipeline | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| URAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Total | 0 | 44 | 44 | 0 | 4 | 00:00:00 | +----------------------------------------------------------------------------------------------------------------------------------------------------------- + + +Phase 2.4.2 Physical Synthesis In Placer | Checksum: 1900362ea + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 211 ; free virtual = 12221 +Phase 2.4 Global Placement Core | Checksum: 12f68dd3a + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 211 ; free virtual = 12221 +Phase 2 Global Placement | Checksum: 12f68dd3a + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 211 ; free virtual = 12221 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 1f0618232 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 211 ; free virtual = 12221 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 24cecafac + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 211 ; free virtual = 12221 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1f390e2c3 + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 211 ; free virtual = 12221 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 1d2e5c64c + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 211 ; free virtual = 12221 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 103a337dc + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 205 ; free virtual = 12216 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 12d8eea47 + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 205 ; free virtual = 12216 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 17d194ed6 + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 205 ; free virtual = 12216 +Phase 3 Detail Placement | Checksum: 17d194ed6 + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 205 ; free virtual = 12216 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Phase 4.1.1 Post Placement Optimization +Post Placement Optimization Initialization | Checksum: 1356d2b83 + +Phase 4.1.1.1 BUFG Insertion + +Starting Physical Synthesis Task + +Phase 1 Physical Synthesis Initialization +INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=1.663 | TNS=0.000 | +Phase 1 Physical Synthesis Initialization | Checksum: 157a5c336 + +Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.06 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 205 ; free virtual = 12216 +INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. +Ending Physical Synthesis Task | Checksum: 1d08911bc + +Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 205 ; free virtual = 12216 +Phase 4.1.1.1 BUFG Insertion | Checksum: 1356d2b83 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 + +Phase 4.1.1.2 Post Placement Timing Optimization +INFO: [Place 30-746] Post Placement Timing Summary WNS=1.663. For the most accurate timing information please run report_timing. +Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: e4223872 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 +Phase 4.1 Post Commit Optimization | Checksum: e4223872 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: e4223872 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 + +Phase 4.3 Placer Reporting + +Phase 4.3.1 Print Estimated Congestion +INFO: [Place 30-612] Post-Placement Estimated Congestion + ____________________________________________________ +| | Global Congestion | Short Congestion | +| Direction | Region Size | Region Size | +|___________|___________________|___________________| +| North| 1x1| 1x1| +|___________|___________________|___________________| +| South| 1x1| 1x1| +|___________|___________________|___________________| +| East| 1x1| 1x1| +|___________|___________________|___________________| +| West| 1x1| 1x1| +|___________|___________________|___________________| + +Phase 4.3.1 Print Estimated Congestion | Checksum: e4223872 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 +Phase 4.3 Placer Reporting | Checksum: e4223872 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 + +Phase 4.4 Final Placement Cleanup +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 205 ; free virtual = 12216 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1d100122f + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 +Ending Placer Task | Checksum: 1cc1a9794 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 +68 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +place_design: Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 +INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file audioProc_control_sets_placed.rpt +report_control_sets: Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.12 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 175 ; free virtual = 12186 +INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb +INFO: [Vivado 12-24828] Executing command : report_io -file audioProc_io_placed.rpt +report_io: Time (s): cpu = 00:00:00.29 ; elapsed = 00:00:00.32 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 283 ; free virtual = 12195 +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 284 ; free virtual = 12196 +Wrote PlaceDB: Time (s): cpu = 00:00:00.2 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 283 ; free virtual = 12196 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 281 ; free virtual = 12195 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 281 ; free virtual = 12195 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 281 ; free virtual = 12195 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 280 ; free virtual = 12195 +Write Physdb Complete: Time (s): cpu = 00:00:00.3 ; elapsed = 00:00:00.33 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 280 ; free virtual = 12195 +INFO: [Common 17-1381] The checkpoint '/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1/audioProc_placed.dcp' has been generated. +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command route_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs + +Phase 1 Build RT Design +Checksum: PlaceDB: 36f8d0ce ConstDB: 0 ShapeSum: fd06db62 RouteDB: 981aeb64 +Post Restoration Checksum: NetGraph: 8c2b7ba6 | NumContArr: 2c668be3 | Constraints: c2a8fa9d | Timing: c2a8fa9d +Phase 1 Build RT Design | Checksum: 23de3fcc3 + +Time (s): cpu = 00:00:54 ; elapsed = 00:00:46 . Memory (MB): peak = 3336.340 ; gain = 176.043 ; free physical = 305 ; free virtual = 12015 + +Phase 2 Router Initialization + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 23de3fcc3 + +Time (s): cpu = 00:00:54 ; elapsed = 00:00:46 . Memory (MB): peak = 3336.340 ; gain = 176.043 ; free physical = 304 ; free virtual = 12015 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 23de3fcc3 + +Time (s): cpu = 00:00:54 ; elapsed = 00:00:46 . Memory (MB): peak = 3336.340 ; gain = 176.043 ; free physical = 304 ; free virtual = 12015 + Number of Nodes with overlaps = 0 + +Phase 2.3 Update Timing +Phase 2.3 Update Timing | Checksum: 18372ca41 + +Time (s): cpu = 00:00:56 ; elapsed = 00:00:48 . Memory (MB): peak = 3385.316 ; gain = 225.020 ; free physical = 269 ; free virtual = 11980 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=1.646 | TNS=0.000 | WHS=-0.134 | THS=-24.648| + + +Router Utilization Summary + Global Vertical Routing Utilization = 0.00016196 % + Global Horizontal Routing Utilization = 6.60939e-05 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 1204 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 1194 + Number of Partially Routed Nets = 10 + Number of Node Overlaps = 12 + +Phase 2 Router Initialization | Checksum: 1d045f6fe + +Time (s): cpu = 00:00:58 ; elapsed = 00:00:48 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 262 ; free virtual = 11973 + +Phase 3 Global Routing +Phase 3 Global Routing | Checksum: 1d045f6fe + +Time (s): cpu = 00:00:58 ; elapsed = 00:00:48 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 262 ; free virtual = 11973 + +Phase 4 Initial Routing + +Phase 4.1 Initial Net Routing Pass +Phase 4.1 Initial Net Routing Pass | Checksum: 338ff2f72 + +Time (s): cpu = 00:00:58 ; elapsed = 00:00:49 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 262 ; free virtual = 11973 +Phase 4 Initial Routing | Checksum: 338ff2f72 + +Time (s): cpu = 00:00:58 ; elapsed = 00:00:49 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 262 ; free virtual = 11973 + +Phase 5 Rip-up And Reroute + +Phase 5.1 Global Iteration 0 + Number of Nodes with overlaps = 152 + Number of Nodes with overlaps = 41 + Number of Nodes with overlaps = 16 + Number of Nodes with overlaps = 2 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=1.624 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 5.1 Global Iteration 0 | Checksum: 20d17d0a2 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 +Phase 5 Rip-up And Reroute | Checksum: 20d17d0a2 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 + +Phase 6 Delay and Skew Optimization + +Phase 6.1 Delay CleanUp + +Phase 6.1.1 Update Timing +Phase 6.1.1 Update Timing | Checksum: 23a27bca3 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=1.712 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 6.1 Delay CleanUp | Checksum: 23a27bca3 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 + +Phase 6.2 Clock Skew Optimization +Phase 6.2 Clock Skew Optimization | Checksum: 23a27bca3 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 +Phase 6 Delay and Skew Optimization | Checksum: 23a27bca3 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 + +Phase 7 Post Hold Fix + +Phase 7.1 Hold Fix Iter +INFO: [Route 35-416] Intermediate Timing Summary | WNS=1.712 | TNS=0.000 | WHS=0.119 | THS=0.000 | + +Phase 7.1 Hold Fix Iter | Checksum: 222e55b1b + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 +Phase 7 Post Hold Fix | Checksum: 222e55b1b + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 + +Phase 8 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.091244 % + Global Horizontal Routing Utilization = 0.108493 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Phase 8 Route finalize | Checksum: 222e55b1b + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 + +Phase 9 Verifying routed nets + + Verification completed successfully +Phase 9 Verifying routed nets | Checksum: 222e55b1b + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 + +Phase 10 Depositing Routes +Phase 10 Depositing Routes | Checksum: 28e5e1698 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 + +Phase 11 Post Process Routing +Phase 11 Post Process Routing | Checksum: 28e5e1698 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 + +Phase 12 Post Router Timing +INFO: [Route 35-57] Estimated Timing Summary | WNS=1.712 | TNS=0.000 | WHS=0.119 | THS=0.000 | + +INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. +Phase 12 Post Router Timing | Checksum: 28e5e1698 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 +Total Elapsed time in route_design: 49.99 secs + +Phase 13 Post-Route Event Processing +Phase 13 Post-Route Event Processing | Checksum: 2009d5911 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 +INFO: [Route 35-16] Router Completed Successfully +Ending Routing Task | Checksum: 2009d5911 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 + +Routing Is Done. +INFO: [Common 17-83] Releasing license: Implementation +87 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:01:02 ; elapsed = 00:00:51 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 +INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +Command: report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt. +report_drc completed successfully +INFO: [Vivado 12-24828] Executing command : report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +Command: report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 4 threads +INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt. +report_methodology completed successfully +INFO: [Vivado 12-24828] Executing command : report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file audioProc_incremental_reuse_routed.rpt +INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. +INFO: [Vivado 12-24828] Executing command : report_route_status -file audioProc_route_status.rpt -pb audioProc_route_status.pb +INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24828] Executing command : report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +Command: report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +107 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file audioProc_clock_utilization_routed.rpt +WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid. +WARNING: [Device 21-2174] Failed to initialize Virtual grid. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3448.734 ; gain = 0.000 ; free physical = 239 ; free virtual = 11952 +INFO: [Timing 38-480] Writing timing data to binary archive. +Wrote PlaceDB: Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3448.734 ; gain = 0.000 ; free physical = 239 ; free virtual = 11953 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3448.734 ; gain = 0.000 ; free physical = 239 ; free virtual = 11954 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3448.734 ; gain = 0.000 ; free physical = 239 ; free virtual = 11954 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3448.734 ; gain = 0.000 ; free physical = 239 ; free virtual = 11954 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3448.734 ; gain = 0.000 ; free physical = 239 ; free virtual = 11954 +Write Physdb Complete: Time (s): cpu = 00:00:00.3 ; elapsed = 00:00:00.35 . Memory (MB): peak = 3448.734 ; gain = 0.000 ; free physical = 239 ; free virtual = 11954 +INFO: [Common 17-1381] The checkpoint '/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1/audioProc_routed.dcp' has been generated. +Command: write_bitstream -force audioProc.bit -bin_file +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command write_bitstream +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +WARNING: [DRC DPIP-1] Input pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPOP-1] PREG Output pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult output leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. +WARNING: [DRC DPOP-1] PREG Output pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult output rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. +WARNING: [DRC DPOP-2] MREG Output pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. +WARNING: [DRC DPOP-2] MREG Output pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. +WARNING: [DRC PDRC-153] Gated clock check: Net leftFir/firUnit_1/controlUnit_1/SR_nextState is a gated clock net sourced by a combinational pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]_i_2/O, cell leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]_i_2. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +WARNING: [DRC PDRC-153] Gated clock check: Net rightFir/firUnit_1/controlUnit_1/SR_nextState is a gated clock net sourced by a combinational pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]_i_2__0/O, cell rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]_i_2__0. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 13 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Designutils 20-2272] Running write_bitstream with 4 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./audioProc.bit... +Writing bitstream ./audioProc.bin... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Common 17-83] Releasing license: Implementation +118 Infos, 16 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 3770.215 ; gain = 321.480 ; free physical = 282 ; free virtual = 11622 +INFO: [Common 17-206] Exiting Vivado at Wed May 21 12:37:32 2025... diff --git a/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..3390588d5da71a6f6866045d7ae5646edfab7b0e GIT binary patch literal 30 lcmd;LGcqu=&@-IGEZ|gHtWcbtTCPx(T3nh_Qapp10RVJW2(bVF literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt new file mode 100644 index 0000000..14d343d --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt @@ -0,0 +1,16 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------------------------------------ +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed May 21 12:37:10 2025 +| Host : fl-tp-br-544 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx +| Design : audioProc +| Device : 7a200t-sbg484 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +------------------------------------------------------------------------------------------------------------------------------------------------------------ + +Bus Skew Report + +No bus skew constraints + diff --git a/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..cc1f5488c5a9ea9277097c948f865910d75a2d54 GIT binary patch literal 1091 zcmdO3U|`_jkYY13FtpG!RN`|gEe_63Ee|fu%}p$-RN@J6iVq5b3d%7sFmORtPGS~t zDlJwh&Q2{?C`v6Z%_%9K!OW1x#K6GC50U^Gq7al?kY7|H)Wui?X2d6z7RQ5i=$0oI z<;Cac#g}E~=OmV7=I1Hsre)@&DkPSsWabAH<tM|{#uw$6mZYZW6%~{y=oTd5QBjbj zpj%W>fk#DAL4_1kUa=ChuSb;zvjL;ka%L_`E;gt$(cR4@%*E!CTAZ1lC&USN7nctg zOF&{#iI9s+YFTD-s;zmVk%2*pf<i!Ia&}^Rs%>#nx`~B}f<kaXYHEtDuAzcPK#;#n zsB?&?zn_Ako{_NvD5!M}%ybQnHMv5#*uzqbiZk=`ggnDC%Mw%a70QeZj7;<l6*SCD zbdxemG!+zr!xfxLGjmcDObyJ<EG^9x^79nJQ&SXt6Dt*rj1&wltc=a93@jCVT|yK< znz<CXSX>fIQiUYostgqjjjW8#tqcu7DonY=xOgC5QwS~rsbmWRhceeRMlN>e{M_8c zyp%x|ZBG12h|~s3RFR;x$fRp1#303<o0%7%n^>Xn9|jmTSPd8~*d1Q5doV;WCNN|$ z6fjgUG%zxBFfvSFWSGIouz-<a1ta4IMur`Xj0YGQPB1cDU}U_($oPPf@dYE}KL!Sd zD44NHti?Hr$=Ml<1&p<)K6!p@WjyuCv#^7ac>*KbBF4d#wpTDRfPKUO^AQ8sM+_er z8D}stE?{C@!NjnEiD3s5(*Y)i6HE*jm>6y_F+N~oc)`T*fr;@46GH<tLkBbC1ZD;< sP_e)uz#ze(z+k{2#lXP8tC5}OC%`DdsKB7XV8CF(;K1O)5DE$k09Y(o7XSbN literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt new file mode 100644 index 0000000..52b5b58 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt @@ -0,0 +1,252 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed May 21 12:37:11 2025 +| Host : fl-tp-br-544 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_clock_utilization -file audioProc_clock_utilization_routed.rpt +| Design : audioProc +| Device : 7a200t-sbg484 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Clock Utilization Report + +Table of Contents +----------------- +1. Clock Primitive Utilization +2. Global Clock Resources +3. Global Clock Source Details +4. Clock Regions: Key Resource Utilization +5. Clock Regions : Global Clock Summary +6. Device Cell Placement Summary for Global Clock g0 +7. Device Cell Placement Summary for Global Clock g1 +8. Device Cell Placement Summary for Global Clock g2 +9. Device Cell Placement Summary for Global Clock g3 +10. Clock Region Cell Placement per Global Clock: Region X1Y2 + +1. Clock Primitive Utilization +------------------------------ + ++----------+------+-----------+-----+--------------+--------+ +| Type | Used | Available | LOC | Clock Region | Pblock | ++----------+------+-----------+-----+--------------+--------+ +| BUFGCTRL | 4 | 32 | 0 | 0 | 0 | +| BUFH | 0 | 120 | 0 | 0 | 0 | +| BUFIO | 0 | 40 | 0 | 0 | 0 | +| BUFMR | 0 | 20 | 0 | 0 | 0 | +| BUFR | 0 | 40 | 0 | 0 | 0 | +| MMCM | 1 | 10 | 0 | 0 | 0 | +| PLL | 0 | 10 | 0 | 0 | 0 | ++----------+------+-----------+-----+--------------+--------+ + + +2. Global Clock Resources +------------------------- + ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+--------------------+--------------------------+-----------------------------------+ +| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+--------------------+--------------------------+-----------------------------------+ +| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y1 | n/a | 1 | 773 | 0 | 10.000 | clk_out1_clk_wiz_0 | clk_1/inst/clkout1_buf/O | clk_1/inst/clk_out1 | +| g1 | src1 | BUFG/O | None | BUFGCTRL_X0Y2 | n/a | 1 | 120 | 0 | 20.000 | clk_out4_clk_wiz_0 | clk_1/inst/clkout4_buf/O | clk_1/inst/clk_out4 | +| g2 | src2 | BUFG/O | None | BUFGCTRL_X0Y3 | n/a | 1 | 1 | 0 | 10.000 | clkfbout_clk_wiz_0 | clk_1/inst/clkf_buf/O | clk_1/inst/clkfbout_buf_clk_wiz_0 | +| g3 | src3 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 1 | 0 | 1 | 83.333 | clk_out3_clk_wiz_0 | clk_1/inst/clkout3_buf/O | clk_1/inst/clk_out3 | ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+--------------------+--------------------------+-----------------------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads + + +3. Global Clock Source Details +------------------------------ + ++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+-----------------------------------+-------------------------------+ +| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | ++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+-----------------------------------+-------------------------------+ +| src0 | g0 | MMCME2_ADV/CLKOUT0 | None | MMCME2_ADV_X1Y2 | X1Y2 | 1 | 0 | 10.000 | clk_out1_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKOUT0 | clk_1/inst/clk_out1_clk_wiz_0 | +| src1 | g1 | MMCME2_ADV/CLKOUT3 | None | MMCME2_ADV_X1Y2 | X1Y2 | 1 | 0 | 20.000 | clk_out4_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKOUT3 | clk_1/inst/clk_out4_clk_wiz_0 | +| src2 | g2 | MMCME2_ADV/CLKFBOUT | None | MMCME2_ADV_X1Y2 | X1Y2 | 1 | 0 | 10.000 | clkfbout_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKFBOUT | clk_1/inst/clkfbout_clk_wiz_0 | +| src3 | g3 | MMCME2_ADV/CLKOUT2 | None | MMCME2_ADV_X1Y2 | X1Y2 | 1 | 0 | 83.333 | clk_out3_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKOUT2 | clk_1/inst/clk_out3_clk_wiz_0 | ++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+-----------------------------------+-------------------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads + + +4. Clock Regions: Key Resource Utilization +------------------------------------------ + ++-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ +| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| X0Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 800 | 0 | 60 | 0 | 30 | 0 | 60 | +| X1Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2500 | 0 | 800 | 0 | 40 | 0 | 20 | 0 | 40 | +| X0Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 4200 | 0 | 1400 | 0 | 100 | 0 | 50 | 0 | 100 | +| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 4000 | 0 | 1400 | 0 | 80 | 0 | 40 | 0 | 80 | +| X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 3600 | 0 | 1400 | 0 | 100 | 0 | 50 | 0 | 100 | +| X1Y2 | 4 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 893 | 4000 | 399 | 1400 | 0 | 80 | 0 | 40 | 0 | 80 | +| X0Y3 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 3600 | 0 | 1400 | 0 | 100 | 0 | 50 | 0 | 100 | +| X1Y3 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 4000 | 0 | 1400 | 0 | 80 | 0 | 40 | 0 | 80 | +| X0Y4 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 1 | 0 | 50 | 0 | 50 | 0 | 2550 | 0 | 750 | 0 | 50 | 0 | 25 | 0 | 60 | +| X1Y4 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2500 | 0 | 800 | 0 | 40 | 0 | 20 | 0 | 40 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +* Global Clock column represents track count; while other columns represents cell counts + + +5. Clock Regions : Global Clock Summary +--------------------------------------- + +All Modules ++----+----+----+ +| | X0 | X1 | ++----+----+----+ +| Y4 | 0 | 0 | +| Y3 | 0 | 0 | +| Y2 | 0 | 0 | +| Y1 | 0 | 0 | +| Y0 | 0 | 0 | ++----+----+----+ + + +6. Device Cell Placement Summary for Global Clock g0 +---------------------------------------------------- + ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+---------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+---------------------+ +| g0 | BUFG/O | n/a | clk_out1_clk_wiz_0 | 10.000 | {0.000 5.000} | 773 | 0 | 0 | 0 | clk_1/inst/clk_out1 | ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+---------------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+------+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+------+-----------------------+ +| Y4 | 0 | 0 | - | +| Y3 | 0 | 0 | - | +| Y2 | 0 | 773 | 0 | +| Y1 | 0 | 0 | - | +| Y0 | 0 | 0 | - | ++----+----+------+-----------------------+ + + +7. Device Cell Placement Summary for Global Clock g1 +---------------------------------------------------- + ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +| g1 | BUFG/O | n/a | clk_out4_clk_wiz_0 | 20.000 | {0.000 10.000} | 120 | 0 | 0 | 0 | clk_1/inst/clk_out4 | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+------+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+------+-----------------------+ +| Y4 | 0 | 0 | - | +| Y3 | 0 | 0 | - | +| Y2 | 0 | 120 | 0 | +| Y1 | 0 | 0 | - | +| Y0 | 0 | 0 | - | ++----+----+------+-----------------------+ + + +8. Device Cell Placement Summary for Global Clock g2 +---------------------------------------------------- + ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+-----------------------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+-----------------------------------+ +| g2 | BUFG/O | n/a | clkfbout_clk_wiz_0 | 10.000 | {0.000 5.000} | 0 | 0 | 1 | 0 | clk_1/inst/clkfbout_buf_clk_wiz_0 | ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+-----------------------------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+----+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+----+-----------------------+ +| Y4 | 0 | 0 | - | +| Y3 | 0 | 0 | - | +| Y2 | 0 | 1 | 0 | +| Y1 | 0 | 0 | - | +| Y0 | 0 | 0 | - | ++----+----+----+-----------------------+ + + +9. Device Cell Placement Summary for Global Clock g3 +---------------------------------------------------- + ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +| g3 | BUFG/O | n/a | clk_out3_clk_wiz_0 | 83.333 | {0.000 41.667} | 0 | 1 | 0 | 0 | clk_1/inst/clk_out3 | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+----+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+----+-----------------------+ +| Y4 | 0 | 0 | - | +| Y3 | 0 | 0 | - | +| Y2 | 0 | 1 | 0 | +| Y1 | 0 | 0 | - | +| Y0 | 0 | 0 | - | ++----+----+----+-----------------------+ + + +10. Clock Region Cell Placement per Global Clock: Region X1Y2 +------------------------------------------------------------- + ++-----------+-------+-----------------+------------+-------------+-----------------+-----+-------------+------+-----+----+------+-----+---------+-----------------------------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | Memory LUTs | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+-----+-------------+------+-----+----+------+-----+---------+-----------------------------------+ +| g0 | n/a | BUFG/O | None | 773 | 0 | 773 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_1/inst/clk_out1 | +| g1 | n/a | BUFG/O | None | 120 | 0 | 120 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_1/inst/clk_out4 | +| g2 | n/a | BUFG/O | None | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | clk_1/inst/clkfbout_buf_clk_wiz_0 | +| g3 | n/a | BUFG/O | None | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_1/inst/clk_out3 | ++-----------+-------+-----------------+------------+-------------+-----------------+-----+-------------+------+-----+----+------+-----+---------+-----------------------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + + +# Location of BUFG Primitives +set_property LOC BUFGCTRL_X0Y3 [get_cells clk_1/inst/clkf_buf] +set_property LOC BUFGCTRL_X0Y2 [get_cells clk_1/inst/clkout4_buf] +set_property LOC BUFGCTRL_X0Y0 [get_cells clk_1/inst/clkout3_buf] +set_property LOC BUFGCTRL_X0Y1 [get_cells clk_1/inst/clkout1_buf] + +# Location of IO Primitives which is load of clock spine +set_property LOC IOB_X1Y118 [get_cells ac_mclk_OBUF_inst] + +# Location of clock ports +set_property LOC IOB_X1Y124 [get_ports CLK100MHZ] + +# Clock net "clk_1/inst/clk_out4" driven by instance "clk_1/inst/clkout4_buf" located at site "BUFGCTRL_X0Y2" +#startgroup +create_pblock {CLKAG_clk_1/inst/clk_out4} +add_cells_to_pblock [get_pblocks {CLKAG_clk_1/inst/clk_out4}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_1/inst/clk_out4"}]]] +resize_pblock [get_pblocks {CLKAG_clk_1/inst/clk_out4}] -add {CLOCKREGION_X1Y2:CLOCKREGION_X1Y2} +#endgroup + +# Clock net "clk_1/inst/clk_out1" driven by instance "clk_1/inst/clkout1_buf" located at site "BUFGCTRL_X0Y1" +#startgroup +create_pblock {CLKAG_clk_1/inst/clk_out1} +add_cells_to_pblock [get_pblocks {CLKAG_clk_1/inst/clk_out1}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_1/inst/clk_out1"}]]] +resize_pblock [get_pblocks {CLKAG_clk_1/inst/clk_out1}] -add {CLOCKREGION_X1Y2:CLOCKREGION_X1Y2} +#endgroup diff --git a/proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt b/proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt new file mode 100644 index 0000000..52f084e --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt @@ -0,0 +1,108 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed May 21 12:36:14 2025 +| Host : fl-tp-br-544 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_control_sets -verbose -file audioProc_control_sets_placed.rpt +| Design : audioProc +| Device : xc7a200t +--------------------------------------------------------------------------------------------------------------------------------------------- + +Control Set Information + +Table of Contents +----------------- +1. Summary +2. Histogram +3. Flip-Flop Distribution +4. Detailed Control Set Information + +1. Summary +---------- + ++----------------------------------------------------------+-------+ +| Status | Count | ++----------------------------------------------------------+-------+ +| Total control sets | 30 | +| Minimum number of control sets | 30 | +| Addition due to synthesis replication | 0 | +| Addition due to physical synthesis replication | 0 | +| Unused register locations in slices containing registers | 65 | ++----------------------------------------------------------+-------+ +* Control sets can be merged at opt_design using control_set_merge or merge_equivalent_drivers +** Run report_qor_suggestions for automated merging and remapping suggestions + + +2. Histogram +------------ + ++--------------------+-------+ +| Fanout | Count | ++--------------------+-------+ +| Total control sets | 30 | +| >= 0 to < 4 | 1 | +| >= 4 to < 6 | 8 | +| >= 6 to < 8 | 5 | +| >= 8 to < 10 | 1 | +| >= 10 to < 12 | 1 | +| >= 12 to < 14 | 1 | +| >= 14 to < 16 | 0 | +| >= 16 | 13 | ++--------------------+-------+ +* Control sets can be remapped at either synth_design or opt_design + + +3. Flip-Flop Distribution +------------------------- + ++--------------+-----------------------+------------------------+-----------------+--------------+ +| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | ++--------------+-----------------------+------------------------+-----------------+--------------+ +| No | No | No | 34 | 18 | +| No | No | Yes | 10 | 3 | +| No | Yes | No | 44 | 14 | +| Yes | No | No | 67 | 26 | +| Yes | No | Yes | 624 | 163 | +| Yes | Yes | No | 124 | 33 | ++--------------+-----------------------+------------------------+-----------------+--------------+ + + +4. Detailed Control Set Information +----------------------------------- + ++------------------------------------------------+-----------------------------------------------------------+---------------------------------------------+------------------+----------------+--------------+ +| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | Bels / Slice | ++------------------------------------------------+-----------------------------------------------------------+---------------------------------------------+------------------+----------------+--------------+ +| clk_1/inst/clk_out1 | dbuttons/IV[2]_i_1_n_0 | | 1 | 1 | 1.00 | +| clk_1/inst/clk_out1 | lrclkcnt[3]_i_2_n_0 | lrclkcnt[3]_i_1_n_0 | 2 | 4 | 2.00 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/FSM_gray_state[3]_i_1_n_0 | | 3 | 4 | 1.33 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/E[0] | rightFir/firUnit_1/operativeUnit_1/AR[0] | 2 | 4 | 2.00 | +| clk_1/inst/clk_out4 | rstn_IBUF | initialize_audio/data_i[5]_i_1_n_0 | 1 | 4 | 4.00 | +| rightFir/firUnit_1/controlUnit_1/SR_nextState | | | 2 | 5 | 2.50 | +| leftFir/firUnit_1/controlUnit_1/SR_nextState | | | 2 | 5 | 2.50 | +| clk_1/inst/clk_out1 | audio_inout/BCLK_Fall_int | rightFir/firUnit_1/operativeUnit_1/AR[0] | 2 | 5 | 2.50 | +| clk_1/inst/clk_out1 | | audio_inout/Cnt_Bclk[4]_i_1_n_0 | 2 | 5 | 2.50 | +| clk_1/inst/clk_out4 | rstn_IBUF | | 2 | 6 | 3.00 | +| clk_1/inst/clk_out1 | | | 5 | 6 | 1.20 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/sclCnt[6]_i_2_n_0 | initialize_audio/twi_controller/sclCnt0 | 3 | 7 | 2.33 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/state_reg[3][0] | rightFir/firUnit_1/operativeUnit_1/AR[0] | 3 | 7 | 2.33 | +| clk_1/inst/clk_out4 | | initialize_audio/twi_controller/busFreeCnt0 | 3 | 7 | 2.33 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/dataByte[7]_i_1_n_0 | | 2 | 8 | 4.00 | +| clk_1/inst/clk_out1 | | rightFir/firUnit_1/operativeUnit_1/AR[0] | 3 | 10 | 3.33 | +| clk_1/inst/clk_out1 | dbuttons/cnt2 | dbuttons/cnt2[12]_i_1_n_0 | 4 | 13 | 3.25 | +| clk_1/inst/clk_out1 | leftFir/firUnit_1/controlUnit_1/Q[2] | rightFir/firUnit_1/operativeUnit_1/AR[0] | 4 | 16 | 4.00 | +| clk_1/inst/clk_out1 | rightFir/firUnit_1/controlUnit_1/Q[2] | rightFir/firUnit_1/operativeUnit_1/AR[0] | 4 | 16 | 4.00 | +| clk_1/inst/clk_out4 | | | 9 | 18 | 2.00 | +| clk_1/inst/clk_out4 | initialize_audio/initWord[30]_i_1_n_0 | | 7 | 23 | 3.29 | +| clk_1/inst/clk_out1 | audio_inout/D_L_O_int | rightFir/firUnit_1/operativeUnit_1/AR[0] | 6 | 24 | 4.00 | +| clk_1/inst/clk_out1 | audio_inout/D_R_O_int[23]_i_1_n_0 | rightFir/firUnit_1/operativeUnit_1/AR[0] | 5 | 24 | 4.80 | +| clk_1/inst/clk_out1 | audio_inout/Data_Out_int[31]_i_1_n_0 | | 11 | 25 | 2.27 | +| clk_1/inst/clk_out1 | audio_inout/p_4_in | audio_inout/Data_In_int[31]_i_1_n_0 | 5 | 32 | 6.40 | +| clk_1/inst/clk_out4 | | initialize_audio/delaycnt0 | 9 | 32 | 3.56 | +| clk_1/inst/clk_out1 | leftFir/firUnit_1/controlUnit_1/E[0] | rightFir/firUnit_1/operativeUnit_1/AR[0] | 13 | 40 | 3.08 | +| clk_1/inst/clk_out1 | rightFir/firUnit_1/controlUnit_1/E[0] | rightFir/firUnit_1/operativeUnit_1/AR[0] | 12 | 40 | 3.33 | +| clk_1/inst/clk_out1 | leftFir/firUnit_1/controlUnit_1/Q[0] | rightFir/firUnit_1/operativeUnit_1/AR[0] | 62 | 256 | 4.13 | +| clk_1/inst/clk_out1 | rightFir/firUnit_1/controlUnit_1/Q[0] | rightFir/firUnit_1/operativeUnit_1/AR[0] | 68 | 256 | 3.76 | ++------------------------------------------------+-----------------------------------------------------------+---------------------------------------------+------------------+----------------+--------------+ + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_opted.pb b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.pb new file mode 100644 index 0000000000000000000000000000000000000000..7ad442055c36697bfffb8813a132f742b861b169 GIT binary patch literal 37 scmd;LGcqtV(KDRH%<U57tPqr1T$)o-e1M1Hfe=H3B*OxE?gOd}0IcE($N&HU literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt new file mode 100644 index 0000000..c278c40 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt @@ -0,0 +1,102 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed May 21 12:36:06 2025 +| Host : fl-tp-br-544 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Synthesized +--------------------------------------------------------------------------------------------------------------------------------------------- + +Report DRC + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Ruledeck: default + Max violations: <unlimited> + Violations found: 11 ++----------+----------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+----------+-----------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | +| DPIP-1 | Warning | Input pipelining | 6 | +| DPOP-1 | Warning | PREG Output pipelining | 2 | +| DPOP-2 | Warning | MREG Output pipelining | 2 | ++----------+----------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: <none> + +DPIP-1#1 Warning +Input pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#2 Warning +Input pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#3 Warning +Input pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#4 Warning +Input pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#5 Warning +Input pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#6 Warning +Input pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPOP-1#1 Warning +PREG Output pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult output leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. +Related violations: <none> + +DPOP-1#2 Warning +PREG Output pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult output rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. +Related violations: <none> + +DPOP-2#1 Warning +MREG Output pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. +Related violations: <none> + +DPOP-2#2 Warning +MREG Output pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. +Related violations: <none> + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpx b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpx new file mode 100644 index 0000000000000000000000000000000000000000..c5364b451c237ae2bdb2f993b92d19c06d2fc218 GIT binary patch literal 9906 zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DRyLFVBlgl)H4ziPb^Kz%nvBa zPmWJ1N{-JjC`nDxD=Mgv`2YX^fBp>a0)`5P21bSsM(zoW43n6-U4onypoV;7Wnkc7 z6kwKO4^J%0%gjqx;&66zcM1#EHPkZp%`7g?%u82*h$tlHr6@T2`?-0#$A|g*ggCmp zD!8N;XQt;V1Qg{Lq!yKArWPA<K@{s6DjQlbIGtwoOU*3FNG(z*$w-Bnlb2tFZcbT# zPDx^VszL$8ph|_zVuj+=5{1k>u*T%lqN3EilK2#`b$SX4&iQ$1ndzlPi6xo&c?wC1 zdD#juBZ^aVQj<#*G$8KKRLCtYE>TEI1shkAuMp<!?5~htq~Px7qJzyxXv#s(PR=hX z0vVZ%Vky)<9grLIi&8*d%vVTBElDlP&CE*$JKIy=AK|Rhf`a^_5{0yUNLUnP<`sjq zg57SQr=Z|j0`_!idU|ScNotBhNxnjHL27blS|!M=;#37_K$e1|1mrGt*Obf>1((#a z%;Z$0C{R~OE6qy=2Mjd$+(SJ<UQWpbc_XJ1WGzU4gp0F6T4qkF0>a3&{G6Qpa!{NX zSLT%@R#<UyfdVcb9xsqkRVYi$DNQw0h(?W=SS|(S@{H7?RG55bG0fFS!H!`hYLWsQ zZA8FmBT!g@`~ZywP{Jw8Oo0R&YCJ&#n2ReYH4PF+AZ;m-KtokoTAW&>kY1XZk_wKc z-29?ch0MIP{GwcNGSl-KP0g_2E*?$Ipd97y=Q3nd^L0k_lAp~bz%xMCP)oowub{L< zp&+v$H765PCm3-+WWYuGCPtUw0EL{?v=X<>BK@??qR_m|l6XUXPz{h+l3A7t<p(>* zC#Ix8su_h$u!*E;(sztDva~XY1!eWTe7Jj3Q}h%9;7(I;2@Wu^fSO;PnUkZCnOjhl zUzVy+kXi)Jx_QZ|dR{}((Vy5Pxx_i9vkEXu@t_1IgBHUlHfb(Nj;(wGOj3-F3e#D& zP*i}UmI=91Cmgj#77R}G3niy$L-QdQwbXMo;i!EMj#?*-sJ#ZtwalbNtubAr);Zc_ z=tV8{9F0F}rMM(GOhB2|86#$IgJPD2w3s!qU~t+3E{}^c(=$jfkV(`<%@TQNIvWzZ zvp5Nt$+I|7%Vco$vXU0PrgV;8>J@Na^o(BWIh%0w!pddz=)DEXy=<gKuNj@AmwJU9 zU89$J&c+|TNM$p6?1r*nX)F7K+R9=9L9Xr!{-q_DEoP8BxW)XAnT!^5KEy~8o6G?? zDqjT+kUMP+G*Q~lpq?<K{S37YR|6V6P?DcklA5PboLH7xtWc0&o?4_(oUf3HHfm9x zk(!rUmRh7xkY8M!nUs?X8plX0&B>{R^(R0>BbmkUF$&PgN18%OMrJXzDGwjKC{F|p zkffy+6{V)=U|3WF8f+;}F3L<wRY=TJNK8q|1Pys4<|q`Ure_wHfSd;&Qc6q%vB4I= zjE32n3bhhqQ*lXRUP)#mXzT?#ZUPx@!fH2Ya0(QBpkP%<EGa3<Oe!q_d)83TYc#?~ zR)j;!6?{Fl53Ha@UjRm<FA`L+p!d{31&0=)f(6uH`v5LjNNmI@K=V3@+Vn?@mcdZ8 zj7GV_2&+vXg$uqe2dr>GZ?zb+VQ;k<X^HvbYqc1GTP=54$!N9YmgbaX7UX2YYhS9h zUqCL#)_y^<5mvn`;BCf$B|)ti1<=%0W?E))VqOVt8Z=05gETQ{+L!^&Run7b<-;35 zsVSglQEHKXVsdh6ZfQ<pNq&(6VulCQe1W>K65brsQ79?TM>N%nK~1P)g~Xy%g<|BH zsYK8;kwQ{_Nd{<gN&yr(;5H(-IRtBf6@%J-NucQ^(3q`)C#ac6VUr7ojqnB-*h)hM zP%9KR01TSU!tiFXp4Vvl22V4Bf{NS*J2Y59xqUQ!53=+PsW!-IlbVC74J>U^!qo<- zO?n?(ZIIZwR6t5e;37wXL<8`&PC;eUXvKlA7d%>Vl%$eSag3&L=n9q5sv~t+HjN-v I2RS_s0D8D<?f?J) literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..2e33c0574e1e076ef032cadc08918310841c1b5b GIT binary patch literal 37 scmd;LGcqtV(KDRH%<U57tPqr1T$)o-e1M1Hfe=H3B*OxE-UF%(0Icc>$^ZZW literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt new file mode 100644 index 0000000..8d54ead --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt @@ -0,0 +1,113 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed May 21 12:37:08 2025 +| Host : fl-tp-br-544 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Fully Routed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Report DRC + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Ruledeck: default + Max violations: <unlimited> + Violations found: 13 ++----------+----------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+----------+-----------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | +| DPIP-1 | Warning | Input pipelining | 6 | +| DPOP-1 | Warning | PREG Output pipelining | 2 | +| DPOP-2 | Warning | MREG Output pipelining | 2 | +| PDRC-153 | Warning | Gated clock check | 2 | ++----------+----------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: <none> + +DPIP-1#1 Warning +Input pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#2 Warning +Input pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#3 Warning +Input pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#4 Warning +Input pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#5 Warning +Input pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#6 Warning +Input pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPOP-1#1 Warning +PREG Output pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult output leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. +Related violations: <none> + +DPOP-1#2 Warning +PREG Output pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult output rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. +Related violations: <none> + +DPOP-2#1 Warning +MREG Output pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. +Related violations: <none> + +DPOP-2#2 Warning +MREG Output pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. +Related violations: <none> + +PDRC-153#1 Warning +Gated clock check +Net leftFir/firUnit_1/controlUnit_1/SR_nextState is a gated clock net sourced by a combinational pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]_i_2/O, cell leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]_i_2. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +Related violations: <none> + +PDRC-153#2 Warning +Gated clock check +Net rightFir/firUnit_1/controlUnit_1/SR_nextState is a gated clock net sourced by a combinational pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]_i_2__0/O, cell rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]_i_2__0. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +Related violations: <none> + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..af71d21538a5daa23dcba1c33496ba39da8d0ae1 GIT binary patch literal 11893 zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DRyFDVBlgl)H4#2NGwgs%nvBa zPmWJ1N{%neFD*$;(JLybkof=q|9}1r-U5aSh6YB44o2Pyj0}^Qxm|*s6`-bkV`X6A zU=(1MVh>L&%FE14SK@GXb9V|0)-}{J_RTCV&df_!fQTq0=A|e&`}?_hy2pq4`-C{U zyDGS(7H6jCDFhVd7o--IWTqAyaX}R88Y&xFFgTrN^-Ikx$w)0yD9K2LnUj}agl<k* zeojeZda6PJ#Gp!r%wmP&)DnfvJg~;((xRf&yps47uyuM03eNd?X_@JzMTsSu`FRRS ziFw%yFe8dnb5fH_6f_|2&{W7REiO?=N(CENlCKcv?Ch_QU!>sf=c0qnM`+4H&Q8uR zDgqgqjAAL&J{^!7^NUhIUd&fWNi9h&%FWD61v}eQ-yh+u(t?8gq7sF)d`MUnWabrv zw1VAkpr@eVSpxQSX?l8UaY<^5LP@?taY1TwW?Ci4tm0G!Xh4>NqXgtGb=Q>45(Ssk zvdrXEq$p5VNGr`t1_ul@_}oK1L0(SD1bHK;5@an%e}s#(LRw}{ssh5uwEUc${Bls7 z7gy$$Bvx2)ae)FZ9v&}{P*o^P%qdMZRES26m{=|a<?@WwqEwiCW--jwNWqR_Bx;fZ z8*N0uXd_Tqf&2iC1yI5%%S?d;8)`g30+@>{C^ZcdM<8t}kU&FKSz4T0q>x^knUV^Q zrQH0YRE5mEwEUu6a5B^L8cofx;4U6b&7d6R?&mUOQ}cC3^pc;=CBQR4*HBBqGq0eu zM4=$FAT=iwR3{j5KxDv0`X)w~-~ff3)U*<}%p(1?%%ae|%#wIReNYXMSdv+m3grho z$0w$wK&lyqOt6WhY0`I$HnOxbhy`W!ynMKOQd9I40^m+la0w1Dv4EOio|%)QkeORh zlwX#rP>@;#&boQYsd`>R(b1pSB)P;nrn3q#O7WlsCW98kCpKv=Nsg_20!&hjjtbLR zwNO-mqm~J|QYRd>MivZC^a~}YXhZWM7q!%LG~uXy4vtzUjHtZ^%C*d-MXfPiqt-dv zWavdL^&E{qYNfa&I7~p9))^yaZ-Zi%g|wJ8v0!l80xpk>GSf3iFOW&pMa>d<XgV7b zyR$e6m&vm@QOjg-^s<r`y{2@IUg{NaUi6G!>N%Tm^uo$z^ys|>%Drr)MXwp1qnCPx z99^TAdd|ily+~y<dhCX>U}-D+gWAes0YR?r3jU=fm@Q_IJh;XDj+u-Wb3VjK5}V8c zI4WNS4Uju+4Kz{O&Y+$!r2P!F4OasiJW!IKR+5^hP@GtnTC7lzU!Gc|P@J!ji8g9c zo{^fDT9#U*P>^3-oSBr93L3{qE6vHNg!LytLnE2R@G%O|$VZw&Nk(Qdv?&iCyeLlu z4UnXz78RwY=wMh>0vc>7PA<w!N>xbAQ%Fon$pj5~B<3g-rKV>Vmw=oH9#Tq71F^vt zz>J33nF_TMVpDNRVqQsRB53RdI&K0PZo+CeXmAP?e4t=eNGvHS%1kOP0ejX^&ucWo zM^=PG$`yP)wGXVIMqdC%qc0Lvu%P$UKm~^up@Id}U;6+qSV(NdDM0f&iQ4o>i<ZGq zw2Vf%!U(HPAcYIQE(fe|L2tDfvte(w7-@<5;%l`Sfm<ziS;=U%<d)`?WESLP!fRiu zwO>Fk#@2p8vJqCjE8uO$fF(h#7zNPORc2ada$;TyZ5lL4Zi6&2Xxf+o%~ljE<mJN~ zK&dI9W>IR9eqwTRX>MswVo83H0%C>-)O>-uuoB)J(@`iX&qp-Xia|}NVui$_RE1*X znW;q3G?7A5en|#sa!LUdIp8)TxH$xCfE9z<eo3I|CD53yf+wh%M`4o-hmG(C7}!cf z1yCy#HUJEo%);<yv7Xmx`UX!kf`W?N20JuZLAiZ2eGjtq4XHNBX_K0Rstqh{Qo_{+ zs7-nwTy2opxKuz&N#G(!fkXrFv`#@~(`dzkuNOR8ag?NzP;rc=aOet^(W)bLST>Cy zRR=jej*HCbJr0flmmp_dLsMfdL3i-bT5?W)a<)QpMrv}l5f@An+~MeC_De0nvaldI zKd+=HKL@s~ASgaBwW1`rB(WqFv@#%3Asxxwywnnf;{4L0<kS>}q)LTEh2;F)q|7|< z`kllag@VjHf)=<1`^M+zrDo)p#0MkU6<?H^9&HjEpBZnY@2{hfoSKtE1*7y7LO>&* znV@!ii9&jQeu@HQ&{3hFC^5MNw6F`b>JHpz$;r%41ufpnEl5l*fwgWELCYHy-13VQ zf_*%lUEyv2Vjb`rrqqhW+=84`9fi_j(70==g0rgvC=g2W6`;WiZolW`C#Hbf$@yst zDTyVCdS0V(F=*lfk{eg^2rx+TASXx#@G=ksE<Fx+(Ac8CLMN-165+)AiA|qNm!lK3 zs)PvDAWL3?GBrwjkjP98Y7R~UXKF01IcV~uR<0&!1L2T~k2iqlZ0Z;{SabJiq!fdy n4$z{qA(G9FxeQ_1yoXaum8iu0iOq=1fTIVrR)IJTpv(>cpL87x literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt b/proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt new file mode 100644 index 0000000..fe932d4 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt @@ -0,0 +1,526 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +---------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed May 21 12:36:14 2025 +| Host : fl-tp-br-544 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_io -file audioProc_io_placed.rpt +| Design : audioProc +| Device : xc7a200t +| Speed File : -1 +| Package : sbg484 +| Package Version : FINAL 2012-06-12 +| Package Pin Delay Version : VERS. 2.0 2012-06-12 +---------------------------------------------------------------------------------------------------------------------------------------------------------- + +IO Information + +Table of Contents +----------------- +1. Summary +2. IO Assignments by Package Pin + +1. Summary +---------- + ++---------------+ +| Total User IO | ++---------------+ +| 27 | ++---------------+ + + +2. IO Assignments by Package Pin +-------------------------------- + ++------------+--------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +| Pin Number | Signal Name | Bank Type | Pin Name | Use | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | Pre Emphasis | Lvds Pre Emphasis | Equalization | ++------------+--------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +| A1 | | High Range | IO_L1N_T0_AD4N_35 | User IO | | 35 | | | | | | | | | | | | | | +| A2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A4 | | | MGTPTXN0_216 | Gigabit | | | | | | | | | | | | | | | | +| A5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A6 | | | MGTPTXN2_216 | Gigabit | | | | | | | | | | | | | | | | +| A7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A8 | | | MGTPRXN0_216 | Gigabit | | | | | | | | | | | | | | | | +| A9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A10 | | | MGTPRXN2_216 | Gigabit | | | | | | | | | | | | | | | | +| A11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A13 | | High Range | IO_L10P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| A14 | | High Range | IO_L10N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| A15 | | High Range | IO_L9P_T1_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| A16 | | High Range | IO_L9N_T1_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| A17 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| A18 | | High Range | IO_L17P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| A19 | | High Range | IO_L17N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| A20 | | High Range | IO_L16N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| A21 | | High Range | IO_L21N_T3_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| A22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AA1 | | High Range | IO_L7P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AA3 | | High Range | IO_L9N_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA4 | | High Range | IO_L11N_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA5 | | High Range | IO_L10P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA6 | | High Range | IO_L18N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA7 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| AA8 | | High Range | IO_L22P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA9 | | High Range | IO_L8P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA10 | | High Range | IO_L9P_T1_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA11 | | High Range | IO_L9N_T1_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AA13 | | High Range | IO_L3P_T0_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA14 | | High Range | IO_L5N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA15 | | High Range | IO_L4P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA16 | | High Range | IO_L1N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA17 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| AA18 | | High Range | IO_L17P_T2_A14_D30_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA19 | | High Range | IO_L15P_T2_DQS_RDWR_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA20 | | High Range | IO_L8P_T1_D11_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA21 | | High Range | IO_L8N_T1_D12_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AB1 | | High Range | IO_L7N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB2 | | High Range | IO_L8N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB3 | | High Range | IO_L8P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB4 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| AB5 | | High Range | IO_L10N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB6 | | High Range | IO_L20N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB7 | | High Range | IO_L20P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB8 | | High Range | IO_L22N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AB10 | | High Range | IO_L8N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB11 | | High Range | IO_L7P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB12 | | High Range | IO_L7N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB13 | | High Range | IO_L3N_T0_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB14 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| AB15 | | High Range | IO_L4N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB16 | | High Range | IO_L2P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB17 | | High Range | IO_L2N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB18 | | High Range | IO_L17N_T2_A13_D29_14 | User IO | | 14 | | | | | | | | | | | | | | +| AB19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AB20 | | High Range | IO_L15N_T2_DQS_DOUT_CSO_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| AB21 | | High Range | IO_L10P_T1_D14_14 | User IO | | 14 | | | | | | | | | | | | | | +| AB22 | | High Range | IO_L10N_T1_D15_14 | User IO | | 14 | | | | | | | | | | | | | | +| B1 | | High Range | IO_L1P_T0_AD4P_35 | User IO | | 35 | | | | | | | | | | | | | | +| B2 | | High Range | IO_L2N_T0_AD12N_35 | User IO | | 35 | | | | | | | | | | | | | | +| B3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B4 | | | MGTPTXP0_216 | Gigabit | | | | | | | | | | | | | | | | +| B5 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B6 | | | MGTPTXP2_216 | Gigabit | | | | | | | | | | | | | | | | +| B7 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B8 | | | MGTPRXP0_216 | Gigabit | | | | | | | | | | | | | | | | +| B9 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B10 | | | MGTPRXP2_216 | Gigabit | | | | | | | | | | | | | | | | +| B11 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B13 | | High Range | IO_L8N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| B14 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| B15 | | High Range | IO_L7P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| B16 | | High Range | IO_L7N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| B17 | | High Range | IO_L11P_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| B18 | | High Range | IO_L11N_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| B19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B20 | | High Range | IO_L16P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| B21 | | High Range | IO_L21P_T3_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| B22 | BTNC | High Range | IO_L20N_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| C1 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| C2 | | High Range | IO_L2P_T0_AD12P_35 | User IO | | 35 | | | | | | | | | | | | | | +| C3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C4 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| C5 | | | MGTPTXN1_216 | Gigabit | | | | | | | | | | | | | | | | +| C6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C7 | | | MGTPTXN3_216 | Gigabit | | | | | | | | | | | | | | | | +| C8 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| C9 | | | MGTPRXN3_216 | Gigabit | | | | | | | | | | | | | | | | +| C10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C11 | | | MGTPRXN1_216 | Gigabit | | | | | | | | | | | | | | | | +| C12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C13 | | High Range | IO_L8P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| C14 | | High Range | IO_L3P_T0_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| C15 | | High Range | IO_L3N_T0_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| C16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C17 | | High Range | IO_L12N_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C18 | | High Range | IO_L13P_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C19 | | High Range | IO_L13N_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C20 | | High Range | IO_L19N_T3_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | +| C21 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| C22 | BTNL | High Range | IO_L20P_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| D1 | | High Range | IO_L3N_T0_DQS_AD5N_35 | User IO | | 35 | | | | | | | | | | | | | | +| D2 | | High Range | IO_L4N_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| D3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D5 | | | MGTPTXP1_216 | Gigabit | | | | | | | | | | | | | | | | +| D6 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| D7 | | | MGTPTXP3_216 | Gigabit | | | | | | | | | | | | | | | | +| D8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D9 | | | MGTPRXP3_216 | Gigabit | | | | | | | | | | | | | | | | +| D10 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| D11 | | | MGTPRXP1_216 | Gigabit | | | | | | | | | | | | | | | | +| D12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D14 | BTNR | High Range | IO_L6P_T0_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| D15 | | High Range | IO_L6N_T0_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | +| D16 | | High Range | IO_L5N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| D17 | | High Range | IO_L12P_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| D18 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| D19 | | High Range | IO_L14N_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| D20 | | High Range | IO_L19P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| D21 | | High Range | IO_L23N_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| D22 | BTND | High Range | IO_L22N_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| E1 | | High Range | IO_L3P_T0_DQS_AD5P_35 | User IO | | 35 | | | | | | | | | | | | | | +| E2 | | High Range | IO_L4P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| E3 | | High Range | IO_L6N_T0_VREF_35 | User IO | | 35 | | | | | | | | | | | | | | +| E4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E6 | | | MGTREFCLK0N_216 | Gigabit | | | | | | | | | | | | | | | | +| E7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E8 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| E9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E10 | | | MGTREFCLK1N_216 | Gigabit | | | | | | | | | | | | | | | | +| E11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E12 | | Dedicated | VCCBATT_0 | Config | | 0 | | | | | | | | | | | | | | +| E13 | | High Range | IO_L4P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E14 | | High Range | IO_L4N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E15 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| E16 | | High Range | IO_L5P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E17 | | High Range | IO_L2N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E18 | | High Range | IO_L15N_T2_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| E19 | | High Range | IO_L14P_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| E20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E21 | | High Range | IO_L23P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| E22 | sw | High Range | IO_L22P_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| F1 | | High Range | IO_L5N_T0_AD13N_35 | User IO | | 35 | | | | | | | | | | | | | | +| F2 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| F3 | | High Range | IO_L6P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| F4 | | High Range | IO_0_35 | User IO | | 35 | | | | | | | | | | | | | | +| F5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F6 | | | MGTREFCLK0P_216 | Gigabit | | | | | | | | | | | | | | | | +| F7 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| F8 | | | MGTRREF_216 | Gigabit | | | | | | | | | | | | | | | | +| F9 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| F10 | | | MGTREFCLK1P_216 | Gigabit | | | | | | | | | | | | | | | | +| F11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F12 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | | | | | +| F13 | | High Range | IO_L1P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| F14 | | High Range | IO_L1N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| F15 | BTNU | High Range | IO_0_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| F16 | | High Range | IO_L2P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| F17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F18 | | High Range | IO_L15P_T2_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| F19 | | High Range | IO_L18P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| F20 | | High Range | IO_L18N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| F21 | | High Range | IO_25_16 | User IO | | 16 | | | | | | | | | | | | | | +| F22 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| G1 | | High Range | IO_L5P_T0_AD13P_35 | User IO | | 35 | | | | | | | | | | | | | | +| G2 | | High Range | IO_L8N_T1_AD14N_35 | User IO | | 35 | | | | | | | | | | | | | | +| G3 | | High Range | IO_L11N_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| G4 | rstn | High Range | IO_L12N_T1_MRCC_35 | INPUT | LVCMOS15 | 35 | | | | NONE | | FIXED | | | | NONE | | | | +| G5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G11 | | Dedicated | DONE_0 | Config | | 0 | | | | | | | | | | | | | | +| G12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G13 | | High Range | IO_L1N_T0_AD0N_15 | User IO | | 15 | | | | | | | | | | | | | | +| G14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G15 | | High Range | IO_L2P_T0_AD8P_15 | User IO | | 15 | | | | | | | | | | | | | | +| G16 | | High Range | IO_L2N_T0_AD8N_15 | User IO | | 15 | | | | | | | | | | | | | | +| G17 | | High Range | IO_L4P_T0_15 | User IO | | 15 | | | | | | | | | | | | | | +| G18 | | High Range | IO_L4N_T0_15 | User IO | | 15 | | | | | | | | | | | | | | +| G19 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| G20 | | High Range | IO_L8N_T1_AD10N_15 | User IO | | 15 | | | | | | | | | | | | | | +| G21 | sw2 | High Range | IO_L24P_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| G22 | sw3 | High Range | IO_L24N_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| H1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H2 | | High Range | IO_L8P_T1_AD14P_35 | User IO | | 35 | | | | | | | | | | | | | | +| H3 | | High Range | IO_L11P_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| H4 | | High Range | IO_L12P_T1_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| H5 | | High Range | IO_L10N_T1_AD15N_35 | User IO | | 35 | | | | | | | | | | | | | | +| H6 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| H7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| H9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| H11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| H13 | | High Range | IO_L1P_T0_AD0P_15 | User IO | | 15 | | | | | | | | | | | | | | +| H14 | | High Range | IO_L3N_T0_DQS_AD1N_15 | User IO | | 15 | | | | | | | | | | | | | | +| H15 | | High Range | IO_L5N_T0_AD9N_15 | User IO | | 15 | | | | | | | | | | | | | | +| H16 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| H17 | sw4 | High Range | IO_L6P_T0_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | | NONE | | | | +| H18 | | High Range | IO_L6N_T0_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | +| H19 | | High Range | IO_L12N_T1_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| H20 | | High Range | IO_L8P_T1_AD10P_15 | User IO | | 15 | | | | | | | | | | | | | | +| H21 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H22 | | High Range | IO_L7N_T1_AD2N_15 | User IO | | 15 | | | | | | | | | | | | | | +| J1 | | High Range | IO_L7N_T1_AD6N_35 | User IO | | 35 | | | | | | | | | | | | | | +| J2 | | High Range | IO_L9N_T1_DQS_AD7N_35 | User IO | | 35 | | | | | | | | | | | | | | +| J3 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| J4 | | High Range | IO_L13N_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| J5 | | High Range | IO_L10P_T1_AD15P_35 | User IO | | 35 | | | | | | | | | | | | | | +| J6 | | High Range | IO_L17N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| J7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| J8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| J10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| J12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J13 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| J14 | | High Range | IO_L3P_T0_DQS_AD1P_15 | User IO | | 15 | | | | | | | | | | | | | | +| J15 | | High Range | IO_L5P_T0_AD9P_15 | User IO | | 15 | | | | | | | | | | | | | | +| J16 | sw5 | High Range | IO_0_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | | NONE | | | | +| J17 | | High Range | IO_L21N_T3_DQS_A18_15 | User IO | | 15 | | | | | | | | | | | | | | +| J18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J19 | | High Range | IO_L12P_T1_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| J20 | | High Range | IO_L11P_T1_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| J21 | | High Range | IO_L11N_T1_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| J22 | | High Range | IO_L7P_T1_AD2P_15 | User IO | | 15 | | | | | | | | | | | | | | +| K1 | | High Range | IO_L7P_T1_AD6P_35 | User IO | | 35 | | | | | | | | | | | | | | +| K2 | | High Range | IO_L9P_T1_DQS_AD7P_35 | User IO | | 35 | | | | | | | | | | | | | | +| K3 | | High Range | IO_L14N_T2_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| K4 | | High Range | IO_L13P_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| K5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K6 | | High Range | IO_L17P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| K7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| K9 | | Dedicated | GNDADC_0 | XADC | | 0 | | | | | | | | | | | | | | +| K10 | | Dedicated | VCCADC_0 | XADC | | 0 | | | | | | | | | | | | | | +| K11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| K13 | sw6 | High Range | IO_L19P_T3_A22_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | | NONE | | | | +| K14 | | High Range | IO_L19N_T3_A21_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | +| K15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K16 | | High Range | IO_L23N_T3_FWE_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| K17 | | High Range | IO_L21P_T3_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | +| K18 | | High Range | IO_L13P_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| K19 | | High Range | IO_L13N_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| K20 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| K21 | | High Range | IO_L9P_T1_DQS_AD3P_15 | User IO | | 15 | | | | | | | | | | | | | | +| K22 | | High Range | IO_L9N_T1_DQS_AD3N_15 | User IO | | 15 | | | | | | | | | | | | | | +| L1 | | High Range | IO_L15N_T2_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| L2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L3 | | High Range | IO_L14P_T2_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| L4 | | High Range | IO_L18N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| L5 | | High Range | IO_L18P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| L6 | | High Range | IO_25_35 | User IO | | 35 | | | | | | | | | | | | | | +| L7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| L8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L9 | | Dedicated | VREFN_0 | XADC | | 0 | | | | | | | | | | | | | | +| L10 | | Dedicated | VP_0 | XADC | | 0 | | | | | | | | | | | | | | +| L11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| L12 | | Dedicated | CCLK_0 | Config | | 0 | | | | | | | | | | | | | | +| L13 | | High Range | IO_L20N_T3_A19_15 | User IO | | 15 | | | | | | | | | | | | | | +| L14 | | High Range | IO_L22P_T3_A17_15 | User IO | | 15 | | | | | | | | | | | | | | +| L15 | | High Range | IO_L22N_T3_A16_15 | User IO | | 15 | | | | | | | | | | | | | | +| L16 | | High Range | IO_L23P_T3_FOE_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| L17 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| L18 | | High Range | IO_L16N_T2_A27_15 | User IO | | 15 | | | | | | | | | | | | | | +| L19 | | High Range | IO_L14P_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| L20 | | High Range | IO_L14N_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| L21 | | High Range | IO_L10N_T1_AD11N_15 | User IO | | 15 | | | | | | | | | | | | | | +| L22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M1 | | High Range | IO_L15P_T2_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| M2 | | High Range | IO_L16N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| M3 | | High Range | IO_L16P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| M4 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| M5 | | High Range | IO_L23N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| M6 | | High Range | IO_L23P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| M7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| M9 | | Dedicated | VN_0 | XADC | | 0 | | | | | | | | | | | | | | +| M10 | | Dedicated | VREFP_0 | XADC | | 0 | | | | | | | | | | | | | | +| M11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| M13 | | High Range | IO_L20P_T3_A20_15 | User IO | | 15 | | | | | | | | | | | | | | +| M14 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| M15 | | High Range | IO_L24P_T3_RS1_15 | User IO | | 15 | | | | | | | | | | | | | | +| M16 | | High Range | IO_L24N_T3_RS0_15 | User IO | | 15 | | | | | | | | | | | | | | +| M17 | sw7 | High Range | IO_25_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | | NONE | | | | +| M18 | | High Range | IO_L16P_T2_A28_15 | User IO | | 15 | | | | | | | | | | | | | | +| M19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M20 | | High Range | IO_L18N_T2_A23_15 | User IO | | 15 | | | | | | | | | | | | | | +| M21 | | High Range | IO_L10P_T1_AD11P_15 | User IO | | 15 | | | | | | | | | | | | | | +| M22 | | High Range | IO_L15N_T2_DQS_ADV_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| N1 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| N2 | | High Range | IO_L22N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| N3 | | High Range | IO_L19N_T3_VREF_35 | User IO | | 35 | | | | | | | | | | | | | | +| N4 | | High Range | IO_L19P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| N5 | | High Range | IO_L24N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| N6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| N8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N9 | | Dedicated | DXN_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | +| N10 | | Dedicated | DXP_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | +| N11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| N12 | | Dedicated | PROGRAM_B_0 | Config | | 0 | | | | | | | | | | | | | | +| N13 | | High Range | IO_L23P_T3_A03_D19_14 | User IO | | 14 | | | | | | | | | | | | | | +| N14 | | High Range | IO_L23N_T3_A02_D18_14 | User IO | | 14 | | | | | | | | | | | | | | +| N15 | | High Range | IO_25_14 | User IO | | 14 | | | | | | | | | | | | | | +| N16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N17 | | High Range | IO_L21P_T3_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | +| N18 | | High Range | IO_L17P_T2_A26_15 | User IO | | 15 | | | | | | | | | | | | | | +| N19 | | High Range | IO_L17N_T2_A25_15 | User IO | | 15 | | | | | | | | | | | | | | +| N20 | | High Range | IO_L18P_T2_A24_15 | User IO | | 15 | | | | | | | | | | | | | | +| N21 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| N22 | | High Range | IO_L15P_T2_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | +| P1 | | High Range | IO_L20N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| P2 | | High Range | IO_L22P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| P3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P4 | | High Range | IO_L21N_T3_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| P5 | | High Range | IO_L21P_T3_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| P6 | | High Range | IO_L24P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| P7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| P9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| P11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| P13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P14 | | High Range | IO_L19P_T3_A10_D26_14 | User IO | | 14 | | | | | | | | | | | | | | +| P15 | | High Range | IO_L22P_T3_A05_D21_14 | User IO | | 14 | | | | | | | | | | | | | | +| P16 | | High Range | IO_L24P_T3_A01_D17_14 | User IO | | 14 | | | | | | | | | | | | | | +| P17 | | High Range | IO_L21N_T3_DQS_A06_D22_14 | User IO | | 14 | | | | | | | | | | | | | | +| P18 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| P19 | | High Range | IO_L5P_T0_D06_14 | User IO | | 14 | | | | | | | | | | | | | | +| P20 | | High Range | IO_0_14 | User IO | | 14 | | | | | | | | | | | | | | +| P21 | | High Range | IO_L2P_T0_D02_14 | User IO | | 14 | | | | | | | | | | | | | | +| P22 | | High Range | IO_L1P_T0_D00_MOSI_14 | User IO | | 14 | | | | | | | | | | | | | | +| R1 | | High Range | IO_L20P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| R2 | | High Range | IO_L3N_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| R3 | | High Range | IO_L3P_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| R4 | CLK100MHZ | High Range | IO_L13P_T2_MRCC_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | | | | +| R5 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| R6 | | High Range | IO_L17P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| R7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| R8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| R10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R11 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| R12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R13 | | Dedicated | TDI_0 | Config | | 0 | | | | | | | | | | | | | | +| R14 | | High Range | IO_L19N_T3_A09_D25_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | +| R15 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| R16 | | High Range | IO_L22N_T3_A04_D20_14 | User IO | | 14 | | | | | | | | | | | | | | +| R17 | | High Range | IO_L24N_T3_A00_D16_14 | User IO | | 14 | | | | | | | | | | | | | | +| R18 | | High Range | IO_L20P_T3_A08_D24_14 | User IO | | 14 | | | | | | | | | | | | | | +| R19 | | High Range | IO_L5N_T0_D07_14 | User IO | | 14 | | | | | | | | | | | | | | +| R20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R21 | | High Range | IO_L2N_T0_D03_14 | User IO | | 14 | | | | | | | | | | | | | | +| R22 | | High Range | IO_L1N_T0_D01_DIN_14 | User IO | | 14 | | | | | | | | | | | | | | +| T1 | | High Range | IO_L1P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| T2 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| T3 | | High Range | IO_0_34 | User IO | | 34 | | | | | | | | | | | | | | +| T4 | ac_adc_sdata | High Range | IO_L13N_T2_MRCC_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | | | | +| T5 | ac_bclk | High Range | IO_L14P_T2_SRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| T6 | | High Range | IO_L17N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| T7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| T9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| T11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T12 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | | | | | +| T13 | | Dedicated | TMS_0 | Config | | 0 | | | | | | | | | | | | | | +| T14 | | High Range | IO_L15P_T2_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| T15 | | High Range | IO_L15N_T2_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| T16 | led2 | High Range | IO_L17P_T2_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| T17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T18 | | High Range | IO_L20N_T3_A07_D23_14 | User IO | | 14 | | | | | | | | | | | | | | +| T19 | | High Range | IO_L6P_T0_FCS_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| T20 | | High Range | IO_L6N_T0_D08_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | +| T21 | | High Range | IO_L4P_T0_D04_14 | User IO | | 14 | | | | | | | | | | | | | | +| T22 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| U1 | | High Range | IO_L1N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| U2 | | High Range | IO_L2P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| U3 | | High Range | IO_L6P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| U4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| U5 | ac_lrclk | High Range | IO_L14N_T2_SRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| U6 | ac_mclk | High Range | IO_L16P_T2_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| U7 | | High Range | IO_25_34 | User IO | | 34 | | | | | | | | | | | | | | +| U8 | | Dedicated | CFGBVS_0 | Config | | 0 | | | | | | | | | | | | | | +| U9 | | Dedicated | M2_0 | Config | | 0 | | | | | | | | | | | | | | +| U10 | | Dedicated | M1_0 | Config | | 0 | | | | | | | | | | | | | | +| U11 | | Dedicated | M0_0 | Config | | 0 | | | | | | | | | | | | | | +| U12 | | Dedicated | INIT_B_0 | Config | | 0 | | | | | | | | | | | | | | +| U13 | | Dedicated | TDO_0 | Config | | 0 | | | | | | | | | | | | | | +| U14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| U15 | | High Range | IO_L14P_T2_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| U16 | led3 | High Range | IO_L17N_T2_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| U17 | | High Range | IO_L18P_T2_A12_D28_14 | User IO | | 14 | | | | | | | | | | | | | | +| U18 | | High Range | IO_L18N_T2_A11_D27_14 | User IO | | 14 | | | | | | | | | | | | | | +| U19 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| U20 | | High Range | IO_L11P_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| U21 | | High Range | IO_L4N_T0_D05_14 | User IO | | 14 | | | | | | | | | | | | | | +| U22 | | High Range | IO_L3P_T0_DQS_PUDC_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| V1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V2 | | High Range | IO_L2N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| V3 | | High Range | IO_L6N_T0_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | +| V4 | | High Range | IO_L12P_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| V5 | sda | High Range | IO_L16N_T2_34 | BIDIR | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| V6 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| V7 | | High Range | IO_L19P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| V8 | | High Range | IO_L21N_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| V9 | | High Range | IO_L21P_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| V10 | | High Range | IO_L10P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| V11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V12 | | Dedicated | TCK_0 | Config | | 0 | | | | | | | | | | | | | | +| V13 | | High Range | IO_L13P_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| V14 | | High Range | IO_L13N_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| V15 | led4 | High Range | IO_L14N_T2_SRCC_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| V16 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| V17 | | High Range | IO_L16P_T2_CSI_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| V18 | | High Range | IO_L14P_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| V19 | | High Range | IO_L14N_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| V20 | | High Range | IO_L11N_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| V21 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V22 | | High Range | IO_L3N_T0_DQS_EMCCLK_14 | User IO | | 14 | | | | | | | | | | | | | | +| W1 | | High Range | IO_L5P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| W2 | | High Range | IO_L4P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| W3 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| W4 | | High Range | IO_L12N_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| W5 | scl | High Range | IO_L15N_T2_DQS_34 | BIDIR | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| W6 | ac_dac_sdata | High Range | IO_L15P_T2_DQS_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| W7 | | High Range | IO_L19N_T3_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | +| W8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| W9 | | High Range | IO_L24P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| W10 | | High Range | IO_L10N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| W11 | | High Range | IO_L12P_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| W12 | | High Range | IO_L12N_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| W13 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| W14 | | High Range | IO_L6P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| W15 | led6 | High Range | IO_L16P_T2_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| W16 | led5 | High Range | IO_L16N_T2_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| W17 | | High Range | IO_L16N_T2_A15_D31_14 | User IO | | 14 | | | | | | | | | | | | | | +| W18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| W19 | | High Range | IO_L12P_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| W20 | | High Range | IO_L12N_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| W21 | | High Range | IO_L7P_T1_D09_14 | User IO | | 14 | | | | | | | | | | | | | | +| W22 | | High Range | IO_L7N_T1_D10_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y1 | | High Range | IO_L5N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y2 | | High Range | IO_L4N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y3 | | High Range | IO_L9P_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y4 | | High Range | IO_L11P_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| Y6 | | High Range | IO_L18P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y7 | | High Range | IO_L23N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y8 | | High Range | IO_L23P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y9 | | High Range | IO_L24N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y10 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| Y11 | | High Range | IO_L11P_T1_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y12 | | High Range | IO_L11N_T1_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y13 | led7 | High Range | IO_L5P_T0_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| Y14 | | High Range | IO_L6N_T0_VREF_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| Y16 | | High Range | IO_L1P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y17 | | High Range | IO_0_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y18 | | High Range | IO_L13P_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y19 | | High Range | IO_L13N_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y20 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| Y21 | | High Range | IO_L9P_T1_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y22 | | High Range | IO_L9N_T1_DQS_D13_14 | User IO | | 14 | | | | | | | | | | | | | | ++------------+--------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +* Default value +** Special VCCO requirements may apply. Please consult the device family datasheet for specific guideline on VCCO requirements. + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..c51bad5c93dab857a948718691ec62d9b80b6ca0 GIT binary patch literal 52 zcmd;LGcqtV(=(jJEajV8l98X1pOc?nso<QEnw(v%5R_V6np0AIfQR9M5JQ6`!vguz H1FDPwn=24! literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt new file mode 100644 index 0000000..006d7c6 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt @@ -0,0 +1,628 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +----------------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed May 21 12:37:09 2025 +| Host : fl-tp-br-544 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Fully Routed +----------------------------------------------------------------------------------------------------------------------------------------------------------------- + +Report Methodology + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Max violations: <unlimited> + Violations found: 118 ++-----------+----------+--------------------------------+------------+ +| Rule | Severity | Description | Violations | ++-----------+----------+--------------------------------+------------+ +| DPIR-1 | Warning | Asynchronous driver check | 96 | +| TIMING-18 | Warning | Missing input or output delay | 11 | +| TIMING-20 | Warning | Non-clocked latch | 10 | +| LATCH-1 | Advisory | Existing latches in the design | 1 | ++-----------+----------+--------------------------------+------------+ + +2. REPORT DETAILS +----------------- +DPIR-1#1 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[0] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#2 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[10] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#3 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[11] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#4 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[12] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#5 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[13] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#6 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[14] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#7 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[15] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#8 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[16] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#9 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[17] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#10 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[18] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#11 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[19] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#12 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[1] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#13 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[20] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#14 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[21] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#15 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[22] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#16 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[23] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#17 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[24] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#18 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[25] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#19 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[26] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#20 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[27] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#21 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[28] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#22 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[29] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#23 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[2] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#24 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[30] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#25 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[31] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#26 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[32] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#27 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[33] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#28 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[34] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#29 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[35] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#30 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[36] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#31 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[37] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#32 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[38] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#33 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[39] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#34 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[3] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#35 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[40] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#36 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[41] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#37 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[42] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#38 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[43] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#39 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[44] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#40 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[45] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#41 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[46] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#42 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[47] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#43 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[4] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#44 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[5] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#45 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[6] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#46 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[7] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#47 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[8] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#48 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[9] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#49 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[0] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#50 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[10] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#51 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[11] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#52 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[12] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#53 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[13] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#54 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[14] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#55 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[15] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#56 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[16] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#57 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[17] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#58 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[18] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#59 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[19] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#60 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[1] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#61 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[20] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#62 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[21] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#63 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[22] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#64 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[23] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#65 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[24] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#66 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[25] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#67 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[26] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#68 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[27] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#69 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[28] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#70 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[29] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#71 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[2] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#72 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[30] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#73 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[31] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#74 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[32] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#75 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[33] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#76 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[34] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#77 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[35] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#78 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[36] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#79 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[37] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#80 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[38] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#81 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[39] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#82 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[3] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#83 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[40] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#84 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[41] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#85 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[42] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#86 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[43] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#87 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[44] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#88 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[45] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#89 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[46] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#90 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[47] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#91 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[4] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#92 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[5] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#93 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[6] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#94 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[7] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#95 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[8] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#96 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[9] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +TIMING-18#1 Warning +Missing input or output delay +An input delay is missing on BTNC relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#2 Warning +Missing input or output delay +An input delay is missing on ac_adc_sdata relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#3 Warning +Missing input or output delay +An input delay is missing on rstn relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#4 Warning +Missing input or output delay +An input delay is missing on sw2 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#5 Warning +Missing input or output delay +An input delay is missing on sw3 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#6 Warning +Missing input or output delay +An input delay is missing on sw4 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#7 Warning +Missing input or output delay +An input delay is missing on sw5 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#8 Warning +Missing input or output delay +An input delay is missing on sw7 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#9 Warning +Missing input or output delay +An output delay is missing on ac_bclk relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#10 Warning +Missing input or output delay +An output delay is missing on ac_dac_sdata relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#11 Warning +Missing input or output delay +An output delay is missing on ac_lrclk relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-20#1 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[0] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[0]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#2 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[1] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[1]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#3 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[2] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[2]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#4 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[3] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[3]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#5 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#6 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[0] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[0]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#7 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[1] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[1]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#8 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[2] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[2]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#9 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[3] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[3]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#10 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]/G is not reached by a timing clock +Related violations: <none> + +LATCH-1#1 Advisory +Existing latches in the design +There are 10 latches found in the design. Inferred latches are often the result of HDL coding mistakes, such as incomplete if or case statements. +Related violations: <none> + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..75799e3b38a27a2a5f35c006d26395f7943b6fd3 GIT binary patch literal 115699 zcmb1UU|`@76A+VPGcqtV(=%4$4RDGN%ghf-EiTO|DUM}eVBlgl)H4!NNi0pt%nvBa zPma$`Ey>7F$<N78uZ&MAN{%neFD*$;(JLybkof=q|9}3BvI2$*h6YB44#v_6jEs|* zrF;=O6r3|sle3Ezpcc5YFfed13NTBthbI>0W#*+TvAG0z2I(4VNjer+<|Sto<>%#> z7AvF_WtOEDDI|k58*xCCC>vTZI6Y=|2@X)mNlhzp%Pi7Q%Pb1b%PfgE)Xy(SElMoO zEK7y*gPr3OQ&J$dDrDvrl$IzIWag2mQQtY*AXXu>SRpw-FE2H@BsE2$BwwK@H9fPq zB(<nmp**uBLm@FwAraZ>MXAN9C3*@W8JWc(tp!D?WvO{3nR)37B^jv-1^LCrnMs*B znI)A9`DqHdsYU5fsp3>5lQZ)`Hi0yNyz7*cpPa2woSB!L3N{2JmIM|<xFtU?rxI*> zYH_gwhI<r}6AKa{*6JxJc$R=%R$Q8%o>~m|RC0bwszN>}%oFp{!S<lI2<-9P{4%hN z0@#xv)uqL$3W-?kE6G<#Pb~qvHYv5ZL?OSRBr`X&DzPLpKTjbozeu4VwJ0sWC^s=L zIaNoYAiq4dNFgyVMIo^$HBrxNG?p_`6^45(e`1s566cuCD!?ekgOXhtv=~0I$#KbW z?By3=l41d8!s)D9D2hBl1ql<G1&NUbgVPf_7bJ$GB?+|Dq-#ks8qKguWH?tC_=^&G zE?JJvprVA;IU1A=v6dywWR@ky15uV3j<!l5EwJHTmW)R808|--%aTp7vcxb}0c%;p zLS|WFG7x2n(P&vR63UX%XdaYh$wpXNVuYhCVI{LHF&&7q#CWtU83|>{XfzMXvSb6S zEHTDWmavgomY5AhSz<C;mW+h5WHg!wWm&QwR+gCHC`;JMEKAG>qAW2TElWm1Suz^U zgR(4H2P;cVag-$-WR@is15uWkjg}=Np)47V=0RDOtc8^&W;n_cPBP09%Yi6M%ty<T zkx-V5M)RO7OV+^35_8P5#1pi>gp0(ogcG(<&0ru36N}NpWF!<OqtQGl3zOBb!o&hc zVZu#rVFFtAO7~?<mZOEqNGME3qj^vkCaYkDi6v%X;s$D&@Q_=WfR?_}dv*2bGNu7Y zWOQFcKN`ye5X<-%tAW<g?*SDhEY8tb)~bQ~IK1SRBm=SV)o64P{Qx8~FM78=N23|G z!;GHmoAH+=phfg6L1hW6b2KP^&=<af3llza3zLCZ`D!#;V~vQyWHg!wAespmCM#ft z39gn2Ke>g;KrDSV8eLyK0I7`rEtAn`9)M^jT$n6}6((4g(Suti0^}AZ1F`niXmo+~ z0HiYd7bc_8JOI&5xG-4;D@?GgqX!ozg5(w^1F`tkXmo}30HiYd7bc_8JOI&5xG-4? zD@?E~qz4x!LgW@E1F`zmXmpA70HiYd7bc_8JOI&5xG-4)D@?Ggqz4x!!sHev1F`(o zXmpMB0HiYd7bc_8JOI&5xG-4^D@?E~r3V)#B4idOMgy_@)o65)^#G(Y`WGgn(L4ar zOt>&v1S?E%t)&+ww=fxq<*!Dgi>wDAmC?U28I9%vh-Sit$wF9Rf@>|k7`cTBX!$GM zS2K++vL1j`M*qTOG@1t>nh6&s3t)u_uC?^y<Q68N6|nSPOFz2)U;q*s-Ph8O#_|Bf zGXA0jw3dE1s3>7^j>fgfM1tIsWFVHm8jr4}AAm$g_h!jxG!M?T^z%Vw39EB7D1NZ6 zrI#ePFd2yDug0T`tVcv)G8)YT5Y6}-CZLTu^I(MuuC?@1<Q66avHaC|wD~&#sf_+D zlhJ4%fM_OMn9PM0Cb+se(&QE<1F`(ocyy8V0HiYd7bc_8JOI&5xG<RmD@<^$rI#VM zFd2yDug0T`tOp>K(Z4VmjphM}X2ONZY*=A}Yc0JjxrNC<EPpi~U1U7~sf_-G$!IhW zKr|CBOlH9f6I^TQ<;W~dj0a-*tMTX}>j6k*^e;?Cqj><LnQ&n;6IPhuT1ziaZecPI z%U_L07g-NLDx-g4G8)YT5Y2=OlNqqW1lL-61#%0Mfmr@(Ji5qw08$zK3zN}k9)M^j zT$oIU6(+dW(kqf%n1GhQ(t9nv@#rG!0Z3)^FHA<Gc>toBaA7hHR+!*gORq$3VFFqK zOYgPxqf>?hkjUu1mVPvr2OyU57bT#z^t(Vs35#<yu6bc)a!ZndSpI4<x|V(b5*gi_ zC8N<iIM>oo1(hYN&e5Rw!Mc`Sh1|kqAeO(Hj4rYs5rxTUG!H;D<8PRN*3wUb6(+dW z(yNkNm<+`7SCi32)&r2r=-)CKjphM}X2ONZWLRN>Yc0JRxrNC<EPpi_?Mn|pDx-g4 zG8)YT5Y2=OlS#0`1lO2}I=O|(KrDYX8C_&O0I7`rg~@0%4?r{%E=(rE3KLvw={3kK zOiTu1`K!t3BI^N2W%MshMx%KEqM2}EG67bY;95(sNp4{>5X)apMi*HRKq{kuVKN%c z0}#!G3zL3WVS;Ndy%xEJ$v`ZBH5pxGJpieU{)NeCG!H;D6D~~pV1)^mwe)T*3=ACF z<Q68N<*)Q!u{PS59)Ltf_Z4fSu{;2=jK3%WtytR$DoR+Kqp=K_fJ+h`a!ZndSo=EK zksg3FM)#6rG?oV-mI;?6JD?>AmeCS$Nuo<`Ndj8?O7AwwXfJvI(iq)KlF?WmfLJD6 zl5B^TBv^(@z$J+uxg`l`;VZpMlF=^o0HiUxmn5UHJOHswxFp#IElF^VljxIMl7Lpd z(z_%X-HSc|X^ieA$!IJOKr9n3Nwz{u5-bM}fZHSn<d!6$C9m`@Nk%uK4?r5Ddr2}H z%L5S0giDew(2@kpX#-xMxf?@rOA^p}*Qd-b!2t?InduoNZka{;X_-Z#d6^~ghWhyh zsYQt;nPsU^ez0?VVoFL-YH?{!i9%*xL1~FXL1rGQI`y5SM>h!%Kq~VZjc0|Dj8p|s zVo%D+PtH~-N`>v>&CkoJR4B+VE>0~jRw%B_OU@|D&&w|@Rwzm>PAySLPAo`F%FM|u zsnk<Y@GMctELJEkO;1lPE=f&MD9KkSN=?pBNma-%Qb^87%u7!N*;AZ~WIk9;Zhje9 z25M-2kwR&4szM?b`%3Z^(o;)7rYIz(7MCdG7nEe?W>zJZWaj57q~#YW6r>iV<rn29 z<|U`<C=}$ErxqzB=A|ek7NsWYK}wTZoC1teJSaJqL5txN8)*J!FSIl<h*g-ysfD7* z7gU-Uky)CUf)>0!qkCy$I9i+xL~$}2(U9Ccvda_DM&Zq%@`Tkn8k7;S7AVH#7AT-a zuLF?IMmMC7kOE~iq6Z+N@i$OF1<EE^fntcGg<?W(fdX3iIsgUA=%(}mNM~N75k1;M z`NRe)P&UE}6eAo3iYd7T3TW}`0Hm|gjp-w#KpBnb0f=bAEtCzg0>v0dfnr8(fdX0s zI{*dB=u+zeNM{4lLK%(d0f=bA1<HC@fntKAKrtt`Kmjd<9e@I5bj9@mq_cr2P(~wq z03w=jfwB%(pqSz)P%OwTP(UkU2cSS1U3fhJ>1-ehl+lPDfQTkspsa-zC}ubc6iado z6wt!h0Vq&L*Iy4nIva=rWi+A(AfgEuC~IH^iaCw~#fsbl1++eP01A}RW!M9d&IY1D z8I9-xh-ktE%4%4FVu7PTu_m`b0WFgqfC6Q7HTD3cvw<j3Mk9IvBARf4vI<t9SYj3^ z;C1&lWELo9pp~-pUynVy+-U%k*#NA&AC2b$h-du8325E@9#C<@;v9`@RhuojrOCi7 zlQkM$cRv8hYyg@kqY*t2^#=a(1hlGcC8#`Mb&dwb57u?}cH|Z)1G7xlXtdrMAqC24 zL=Qkj6E09zzzP&xEfjll3zUIbCTldh?tTE$*+8^VMk9IvBARf4vK&^R;97U@KyHCD zFw10(Mwep`Ksp<U0%bI!2Oy#e7bweM1q!Zp_m1QiC<C)h)@XD&_5h@_fhbT$BYFTL zns9-#6jq?%T6gb6Zh<l|%Vdp4mtzk=Iva=rWi+A(AfgEuC`(`k3a)kc&g2#-1G7xl zXmmOD0Hm{lC{RWtdH^DtaDlQIR-oWocke=Ofif`5WQ|6bV-G+&8;AmBG@=I}q6rr$ zi(mx`u66gW<Q6CcvrN`#bUF3_q_cr2P(~wq03w=jfwB-*px|0}??z^UVh&m+OaFEE zMx)EI2OymdM1e9I(E||CgbS1fumT0wx_ftW3lz{wS^BTLA6=a=0Lg3s*4>ZB^8my% z{^A6*?tV9@IAL*)#<d8=gWS?&V3x@mkFL8PfMhlR&6ClH9-Qm$=Yz@<R_AC?{9s*o z?@4ZfGBC?zjYpSbkB|aoG@=I}qVYFSKpT1H!3q>y>+ZeCEl>t#nXK_>LwEqv*+8^V zMk9IvBARf4G8a~$;Og>tlUtw+%raTy(dF0!kj@68KpBnb0f=bA1<D*)fr4w@y$`ts z%D^m>H6C4#Jpk!!APSVxh#r85CS0J*h7~Bd*4_J(Tc8ZgGFju%<=6v|&IY1D8I9-x zh-ktE$}Cucf@|HqAGrm}z$}wB9$k(-0O@QX3Y5`^9)O4@T%gQ^6)3pY-TRYUpbX41 zS>w^=*aMKx2BJV2jpzZ0Xu<``3|N7JYu$YSnFWf)z$}wB9$k(-0O@QX3Y5`^9)O4@ zT%b&c6)3pY-3O9epn#Ui(tq8(@#u2w0Z3;9QJ{=Q^Z-OO;R0nEtU$rF?mmdz0tK{E zmj3JRM<){pAejxoy8F?19)NhpUz~u}-R}YwCoIm<xaN$5$t_I=W|^$X=(_s>NM-}j zJQ<DX!MW~!DyTeRb&dwb57u?}A><Y)1G7xlWOO<92q{oTBYFTL8h--?wC;WitU$rF z?mm><0%c&9$(oEV#~y%mHV`e8(TE;^h$dX1OokOGxYpf=kz1e)%raS%(Vq1Hq_cr2 zP(~wq03w=jfiekJpx_!q2`9He8JK0VCZo%-2OymdM1e9I(E||CgbS33umT0wy88%n z3zUIbCTlXf9D4xL*+3L1qY*s-5ly&2nE)$LaIL$KB)32rm}Rmiqsy@eAe{|FfifD= z0}#=K3zU9Xfr4w@eH57misis8lQkJ#jy(YBY#<7h(TE;^h$dX1^uY=gEbH#QSQr>M zqRA~#K+9z5zp8DtXFUMPYyeiZjmGl;#54Zl1hlGcC#X1KagN3^fC4T}V#qB`24;=y zXt#O*QrQ5MCZq8@0P#$?G}!?yO|XoffJ>8Da!V7?8d>_cO-B3F1CYuFpfnkc=K+Xk z!llV}Xla6F=mcDv#F1N?fELKozcd-`P!B*V8-UVeG@b__o(Y#G+n}Whu5puia!V7? z>R9@hCZoI92OyOVKxr}>&jS$8giDjH(9#6UVFlo}Ndmd032137{Y#V4E$jo3$_Ah` z8I9)wh-bp3$rfm7g5{(FZAJzL4o2kCgfqm`*VE5k*U&;s);F`bI5RI@Av3R_v_v7l zNFl$p1jI^7%}J~@;)bhKHnd=LN_NbHDg;X_WELyrLiOk8DL94rIV%*U<|LM6mZd6` z<SUe9q$(6;f{jniOVQ6SQb<e8$pP7xoRgoNt&p0Mo~lu-sgR$h;OygVXkg&$5vAuv z4@(xYv4TDPiA{iukAp{mS&9YhdIl{9XHal4Q5;-G7K~2Wc!Mi3IX*EZIlee0u_TcS z!A4KZ5Wy$GCB~s3z%0ds;u{7n25_olrZ^al38uQD;*vZn1RwrXM;%KL!3Iio{Ge0^ zHkd(+K?9WPSSSuI6AMPCB)qAvxZH>ep+yHf5P`+d#mgZmz%0cKayu-nSSb!GQzF93 zm=0k@1v^l~N(d5G#tN{oVxu^$%!mjp6FP(y73@F_D`7}jnZUz}o#L=ECnBs&=@3>_ zumd%$L?B^h3J)s|io?o+h_EuJLs(J44%Dy`gM^hiD6GsuWjiOuVP$E-=#=i5htfbp zYk?&u$0sG{WK$u)=w;C&Ha19u^Anpemmr4{D3oBnV9;W42L&A$NH9FHC@(WFU5WU% zD-TlJ)xd($DTiR#r6f|XIYw98kU|mEAX9`k$PoTv&|<I#1tmA-K?&*yWDpF>oFeL^ zL%P|76oevNLLABh%u*bQ$?*_>FlaFZFyZP&85w8^`sL^8g8MY7DGE7>CCM4^o|BP* zGH6-cO~#OnREU&9PHI|-TV|1dT4qsbUS>(Wp?-3HUP)1Y4wUB>>>Hn-mzt4Z5+58C zpO;!u5?qp4k{Vx>njUQstB{<SmzQ6nkd&%WP?TSgT9i|%keHX4Q(2XoqL5gukXcf! z05wmcATy6b+w|Q*MGVNkqSVCXjMNl`q)LTEg_6u%csI^#82jxLn>LpwM;EUEqm&$h zXkpM|_{65mrOok)Re(u~(Osd7SBroiaGJu})g+jvK#QZO74C*~O;d)k^h{HO&~K15 zHHDQ>nlg+9H6BpY)D%|yY05AboTjk$y9uT#&=MJHr70u2rYR%3rl~>bH%OYAOfXFu zVM$Yy38X0_NSeYrI6*K?ffh<oD@_^GHBA}QHBAjdzd_Q}B!X$m7)zR(L?BHWL(&x1 zK^%f<3N&3#tu$pq*ED59*EBT<{RT->6A7j%6D(<JB7rnz5*q?)qhlTHBABK?lcu+k z+UP}@=^1ovq*G`eF)gZL?K?=inm{mJ8DL3Q69}X$14z2UIxt8uU4f33p<2k3-k7B* zT@lw(AJ)Euq^s$i<h0eNa}sK+gUc(dgQx`473g#ks)ao14O)uQ6>+WgVeLCex|&8X zU14jlPa}}7j3DI|)&XaN=?Zkn1l2;G^hPa3>58}(`>^&MBwbA<n69w3*{2dnSH`iS zp!OBk(Rl3X3N``{8UqC#HbAwYC%t7$QNkjw**>g&2T52{2qr9S4fiPo5|&A9A!u%b zQGi8?!!e~SvpBz~Qi<KiF~r$J*HBB&wIZ{)1T@+V9#~H;R>;f)uPjPQEzV5OGvb6Q z1P`-M1U2D{QWX-5QWXphAmi<+#R_TprFki+`t%e$^U_j_ieLlwsl{MT`DrDo5aWwd zi%WA#Kx>mcTznLg^HV@h$jvM+Nz6_y)=?-fP0j!hx9272=N9ComZU0Vrh(Q^B_|fA ZDinhT>~mA|N{aQo2BDi4u`wAM002{Upcw!F literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_opt.dcp b/proj/AudioProc.runs/impl_1/audioProc_opt.dcp new file mode 100644 index 0000000000000000000000000000000000000000..ba86b3b06ddfe6ada7b7ee64fb65f8646dc523c7 GIT binary patch literal 333352 zcmWIWW@Zs#U|`^2XinK0RTI!|ZO_EOaD|<Lft`VqAtkv$uOc@mG=!CbdHNdNjJ;ER zC(m*aXgmKg+^5is@3d0(pBq8j8xBrwS<m$6#^oyqdM4@^U1M<jUt4nYR*r<+xF| zfA^jL;!`euc==y7M(b0XXDn4-sOxp;@($B0*G#JJZn8Lg@Xw^lsf-bYr+#=$XeoSs zIbg1&n#;E{L8bgRDxBR9g|ECW%d_jyUrqa&Gu5hur$*K@UQzPn&`51qn&8N};RE9d zqm1wJ^1J#kF+><JY*HydCvm;_fe*LWF`IjdhYq}(B7XZp@%CczV?U<{bydc_`WDE4 zdeW5ihy8y0i?8c>FS5|L`1;cIo18;b(`KE%b57CmZ0C3NKHK$NJ56)ixt$HUry2A- z&cvMa67DgUQ47&5O0&q?7$rOZ-Qug7Md?d7nk@hA&6;}bkYKvn-HS_lri=P7oc*BY z=#OWM>x9%rSlZvd^ej1VFgN`PyK(4`-9}TMx@x@ZTbr!XasR+xzxDd|Uv^D5`|CK% z>9bav@1!)9@Q)(dnKMH#h!yV<><|7v-_d)8V(iB=)3rL2=E)qf?Ub6V)ie8!N2v&Z zn1n{kjLFYb>R0WkYE??h-m~;9hxhKR`^(Snu`*E$HCJ7oR#yJ&k+Y~{ZC-}eCQ)yD zzIl(Y-f6!OJL@h>sjus5#$3+|;bX;Vj=XL6I~pgeHhC=w@l4sef8x@aec%4dZ_%jS zJ2CBb_ha!HZSpa19cHQdT5kx+p01o@bGg*~bkUtNxi@BoZ#|xQWcE+nh+->sUjP5k ztj$(AFHm;+Gjn6x?5z!^-CtjyO5%Ng|Nr&JVXw_m6Wen(owj9+3=Dgj85jf@I2jU4 zQ!?`dit>}=i%UwA^vb}gZrU2%jM_=Q`L_*t+TQ;YwaIIkzT}*{`IjrI?V{f83K{K* z)0-yFa9g1yIcbi`|GGt!j$KM+-+#?{@_LPnhZWD{Ru{c06@T#T_Vt_7)2^6&aL-J= zmtA3y#PB`p@GN1QH76%qPml>Yyk|o8jsm9dpBmgIw79FzX=Rn2qVV5dxvc7zCjXZF z!<^s3%4R)SafmPKHIs*slq_2+Z%*hRl?|Vt9GvfSV`6{n!Kkxbr*cj*9&%e;(cD|x zzP9wk2Cuv8OcttVTx4&Gh?LM4J}p=`Ir!GTrtNcuOYZ4eEts-W$*{`du;S6FEA&q` zzq({u7Ibs!=0}&mFONShwP@XBy-DXL+g&$^|F=i7SFZlg+Jiq6vOc)i&63jUcyD;P zCH0h1^3Ju6lXE8ZP4=7QH~HM8V>0?BBAuoSDsFQBohGJn)SIWRIO5UNM`eO$@|Cxa zUVXk>B6QhXrt;l78L<}@EvR%nZyW1>yZy%%+n>q%@`@y$8=Op>by%l8n(a2L+P=*~ zlau>TZa)6xR`RE}hL+mNmC_51C(KZd%iEW)Ip1MsxvZ<?v&JbCO=j-y>aqEsb=4j< za(gGsu;()}Fod%(FbHFb+>8`RsREAQ$?^V&3`CCJ|E~FNv07eZ=ZZI+?17I0nr2AE zPg}S4LO}GTvJ?CEzU}$r8Fla0XY21@Z0;O0d{T5-V_S^mi+lIKKHf5U*@uG`!n?I+ zaLhR{p?HRMbDQPWkX%jAML#MooZWFyj_0Hprw^x(WSEd!$!UYD&zme(iv;od9D7_S zc6HXa<xg@AwYsk#_lzss{Ws1?r%R`6g~1t<hO9$Rd^~x4+!>5l^29XQGTP|GaY--; zZ{R=i@1PBfo8pA_1G~ka94+2{d8S=$)yhk56^;KmPh>jm=X<fYp-%Y1Z^pmZRu_B4 zC`L;27f$_iWa=m3@YDV=hW3S5ZCsM?m3>oea^iUSN&53v`%6wP1)0as#y1>yxNz`6 z|B7=@i=QqOi`%x^kFPrKy~jgQW0BcWfftsobEunJ$CA>M6q>7(=Mq`iay?bG+-(1( zNx>?cluye|mv%YDTReNEuE^=+>zNa3H`G=zsHe_gTbwn^RW#P<VORP^ebk6DzPGG) zF9QR^4hHmyDo89!%!L>Kpon7J!QlQsBBvtE(8AS80Sr>i!IVOx!Ngx}m$v=isr1@i zVb6sv(fRTIxsPs&Wxsmgd$HaiyREBSX3ySvx;-gnGFRPKAH2KseY57bHF0HIZhe+F zf3g15TF>*_R{dMF@rtzIHcw7l{v)xe$@=pg>t4;>DD3X|?bfQoAG0<%2kiG*{BUPh z@RV<|QD?4coYjqZJ?Yf4XzSkfRU1?189y^iJD4Rek}Ai#+DY;IrJUCfRn~_s@A2$Q zdn$+O?e9OLAAVqGVEC_!?(NjXqMS;-ij-t{`Lj1FH~+Dj(7%2AlQ!(-=M=Cmi@P=N z{p2L=-h#ed53kuVff8F>Zr|}()VE~L53#@R&7FU$-qhXJu36BXpnFo~`A44TGt)Do zUq0J<ajQulx2MgV`)-d5<b3m%f3S>R<-~XH`mQP8{#-tKb@RH{pG(aAn<_sTbS-<n z?%e}{^c449YT=8D=KeLv-c%eqKmF+EKdyh%?^(x{#((DNiQjeGHvj*>lr5*&YcHrx zPZMDK_=7X2t>g2~RcoX#T=-`h+f=#MSJlh%$L$=k{zX5|PyZ)dcUCGn<>|AXTe3p` zeoL(P*Y47qTwwCy;J&Y7>w21}N!jhr)n(tz@u&UQA8V0SlbZeHpJcD?mG)USSzyhL z6OJY`ZI>i0Il*2i(ev-XFKh0XC06tL4_W-OlsVh}xc;2_!P*%P^%tZc#G1UkeERL# zFN#aM>(-aof7kzXylA1cxqZ!rgAe}l$h^<*7k*~3@BdlOe_#K$bm#l+_)&G}skwSg zo$bR{7L0YV3)77vMZ``SX+KxG|3jem;U@j2Nj%GqzubMCaf5C7{bSDrW|=AcPtMtw zef@*<qYcd#&-5#vSuWG7T=qc4x^>~Y?QUzY@#$6Vm0#Gb|JS=BWXFfsk}jdwS{G;> z;NQ4o-qbYZ&wA|7METW@Uokx|vLrk8uhWE=r#SCamuw8N<O^QJ5ct#~pl6cb3|#@C zV6NpQM;m|I3NMS>*Dr9;=|RTblV?uK%;KsPk=xZ--_QJ(UCkuavh$CRoMU}z`(0Oo zt<lO_Qh_2Jn-4Nphsbo;8!3uCI=ad1!~IG&%Voc8=jlqgo-B}Y`JQ;j=(MWue2bl% zA{9eC9k&H!_E_o7K6%PZ{(sErZy!%B=sDoNX2bWHXLda|SkCxeG2xn5v*p`F>&0Tc zs(g6?KNsEE#r-f_Et@4XQex+nH^EO_7aqRwh^>-cc(;>zp3A~d&Bjx=^6qP%pR!sy z;=`R2OCB?S4shY$R}-2V{HTfVIMX4GsmkSg+Z0|&C3d?iMr!Qz>GQN}nEX$3NqM5s zq1p+Hj&L30WHG$k>%>vVx2UkdnD1fo1cxa#EeS?RiBB&5&=0I)KUl%D{or8>-*X%c zHg1nIK5>*M%G|S&y=3|EaUJI)iy2D-XKW}avYea1yL@us6t~Nqhj`@E3uioVEwPt& zfB1rDnW0MN1iK}de{jnCw<W)kRkPn1P?Y(X&E032X3yf^8ocfrYwrKxUbekqj>VqC zw;!;@&QbEa@<vw7B~8)c_?=@frhTxRv*cdp_CPB~|3kWQ?32}Juog=3${%y&+1y@X z=6k5VfcppQ_NF;8bKVunyop-yP5D@yN6geo5`J;lio%cY^)ON@zOh|VRHFPC)2X$V zt4?vf@mMVyQWUyk>JhhhJqxzHVn2P_ukb;=)X`aSn;sON=KaZi_r<p54N4aeYi`-f zVj#XW!gEsLmoJeM&5RmWtu)k`w&%e#X=QFlo3|#Pb_PzHbF<3-J#);$AZJ7N5Ydy9 zr?pRr&gDz}^~QJ4_kU-mI+oZyE9tJwk>4Otq}{tVwT;2n?!LwaQzfVSkw4$NZJT@e z_l3<2MW@f4yjZB)rMjr#QIE>8Aj>aryk7rt71hoAr1J2=Gw$uDY9`8Ti_{D3dAj02 z!2g8DH%0R18!oy#iF>j1loFjOceI?l*e*Lr=CHIbd@@ZsvN1~OK~C+7oXd4{#OKxQ zc_1cHb3N(tR*h{_lxM8IRBU$Wrs1!s1san%BA!Tx%(Sc&nLLa6)1>HxtS>!TD+|-* zo==-}byBQRH~VR^?Ls`sA%2Gwcn<P>y=3sgsH?T%^yl3#7Vq41as#`N+pkUc`WEC~ z`1VxPR7T^~#yk40S`n{aO!EF3rgQt3@0WW4vxB$ZyjLb*_mtOYmC-&n0lQg;4xLb~ zHhJV<v**eO$^I`PE0(^wv1}PDr~N`Fjh%NA_PfnF)^uWN_3o{H(@wlP?4-cz8pyKr z%*Do|au+2-e8W5%=ZQ%^U3e&6rzd7zy>O6K$enJ1^Q=49aPQSEY+7EODwdS`eQIax zq|;y5R@Jm$UE6y2)IE_KJ&$7!&GCMCEq#k|M-{i2livN+uY!}e-Ln*XJ>lbVwza7> zDyw^qb}5AieYkn*ao6cD>Pt?TY2|O|z442=+2{9S`>hjM5B;+++40k8-sN>OuJ9k6 z-B4%q_RxlnewP=DF7pod;xY<T=`9r&{b;=X|E@=2-Ol$ngj>6`whP}^ZdbPcr~17x z`(*TYL3TYm&sPlEJqBrqKkhg_fAglj$90w5*3C+qzDB!OGqCdRYOkkd{u{gA)Krw1 zdRNC7c5|E-+b*@FJdkO}vtXIOCC~Xi7A3y$FX>Z1TJV3uk^*5TnPrY9obCb}o}3ij zE^}cc``il^8@@a>VOeSa!Amgi5I5iCFOl0SzMM5IJ-hVLidE8UVp`A5TN$D`_qN`W zE9ac=ZQ<0l{g~@=QGwm-mmGVyQKn&9bHQ2FjM5`r3iC^tXRff}{q>n$UnE5H<%ipE zp7@C`Qr+?>U=zplS>D%Y>a9HBwJLXNsoR3SZE^-(FWRDXRI-ZJTsF;O)2TV|$L;5= zlQ-t>|Iyz%_4NJ2-;Q)9-N|E)Vu`zUW7D?T=E7Uo>zVEfjhte;M7>P<>iHkPj?2p4 z5t~%%Q*d_i51#uaMfaHAZF$M|H*Wj&+kcNWPTNxOLzm_Cci!uJCOJ&oGymEA7uHgn ze_w3%H+%8oY0U4Yy#Ep}@-Mu8q{^+o-fDx-#}o5YU;RJ+_x|S{{}0zQqP7$^K2Ir6 zl3-xyVrOLFMQ+KWwh`v$=0CQWbo~DP<pK|?3)%1Z_?#@86sN=2HT8M>H!J_y(mX8N z-_F}%z0q{q<+%qF_WhnXDQU9E)hGLpok`lbc}Cjoufc&|^@ElM*~KosSv1ji`fB^8 z*NOJFR(mac{MYx{vDNq4pP#o(zD!R1{N<YG-Zy^kI<aZ)Y{x3u<sWm4eif!q{d=T+ z&9uw&m#v<DGRGwT?-w(j_`dRE-Ie>I`qDm!?khH}{j0oxw(qj;FGW+<FFQRyUj1=G z<e3kj&s#sOzx(e}&ZkD!)7Nt><!$}#|2$7H@bPOuSO0hYgXten7g^3-f9a&j{J&=Z zzuFi5l=>j=ng02F_k;6S<!^pDynk|CfW*nB<u_ygB>$SFJ8d=p^6=){vlZlPXNUbS zx0Lm@<KcgN#K3v=Rjxd_^vaLHjQ^i&{K&PMujlUX*!ZP#BTrcW<pmooG<^8lF6Y~` z8>Yl~nCA)Zd2(k{sKM;w$3I%)mp#79p7E{2=C}XB(=~f4>Yn}Iw}a==^G^wT9w>j# zF`R$q*?!j5hYop8IQz^pCG2_rjHCZW<C9x`pFa6h{^`N~AEvYVj`bgX|KH!>*O}>J z-_^}M|9q@xUu<ap_iV<;^6+k*idl8D?N}cj-+B4y`e%=Vy6xBMnXz0|b#x5=^2dLp z_pC)%U)k#(i*J7YD9TCr-9)vJv>%y9((9Y-*$e;M*xC88FAqPsL3mExo_(F`CsoW~ z5ikFHy8n9Mk@}3iYEA!+T4rz8_y5P=ZT4HGdZA{-Z`o%H+|G6%X4!pH(vam=OwC~) zJHFjr{r{g_`*-QzSN$_bdnC->ik08hN!xIAOGlRZj&#!>nO2;R$(a)`rM+1@d!t~6 zj_$KtJ$s8IxbEEG%h1uSy4|z4eD|i<3la7c|KEFi>YGDyX5#&{H_ZH|e8=7;9cz2P zKwi<;euHJlsf%2Eabc@jXH03^vLe6uBjfTFJ0rYZ`SRBNTG0KM<<F6`H&{%r`5$<{ zTe6x_>b3d<^<_Cr^WF%6#O#fZUf8v9`+|*iO#e1MJY;0rqW5{~KWDS(%#U)jl&jhe zWG@~nSzY?KcAJ#F-$ntci%i?j9&YC|ulgrjT;S6E?U;;xz&qJ>J&j4X=HIcHcZt3J z@2~y^rN0b$cWe5uSa-btzs^6~v~BZu)Sv0y7N2NvzW-0l-{j?28J7K=IDbX`A>*Rm zYZIo)|K$9YzD#F-n)Zw*?sZB3c53FYyUF$JhoJ7W+c|qnqL>r9?pLzEeQ{w6^X%qj z5^Jv=?>?;JkyiQ5<QMboi{TIUKQGiYsEi74X1#xL>Ew@5{CZ{UFG){RTQm2QO5h78 zQ>Mp@G@IwX4&#_*e7$H^{sAkQHFMS8u4CH9vbl@T*Zob(cY#Q|x?fs9a{_*>*}Hy0 zr=f(jZ~2=X{tH=W)HnZZ%iSN-|9{uBY@?u`KP-CsmxY$?bG*U!V_&V*+G{nha@GEE zI4|;?CHs=E=;rp5DUUa<*mH5maX$Uuf4hsP78o65$h6`(d$E@N@2g8+R$sO9)bnR9 zSXkcalol&mc&9X4w14vR$XzwQ$AdkiB6fEK2kw+vq9`%P{OlVRtC%9)f1%2EE?*Dn z3enMVy83j({q=@j6D5}Fv+i9SkY<$n@QB(ep2c65F8j#H&C6~2#w^e-P1%<HQRZHs z<L(JL_pWStcToO*i;dufKgYTL$4dV>emt>d-xm`*hQmH~6Zagy)%v?+2j>gB_)}Ir z4&T?tEf1Q~$(H|W6=PSTgraZM+m=Edfkpx2|4a8;PMl<9*Bijo%u#KUxLw%pO6`y2 zLq4iHpTAlylxPzay3A$0(Br?rp`A6WB67E7{bS~rsNVN!M)efE;Fn$18=hHDITx-} z+<xh7J*TSG#A8=p91-X_7tU1NeJNFI?#*%yS>3{e&lac(+>mkM)Zeb!EuORe*5{=w z3w4T=!hK_3{uT<;@Y7Y*0y*F0YuFq<%k@=>JGWV`yn7`-Sz%vnd{>q8!^zuKx8DAh z%X93xxBt&J6{9s5+IUZT<(T)lYkgX_M5guQ&!8)}tK7akwk}xt#;Z#0d#9qrTyxi> zFQ3SIt}nQM_rr%3eH?k-Wwj??F;_9K@~plt691s3cDmZ?vR&0v{CschUiOqz{q=4W z$L*ItK4`kQ@?=%zoxsnsGtRve3g)zq<+-%-WYy1tB_Pj6zbXo_&iHRQch;KsGi%wG zZQi}_(zG8AE`AMuzSq8=*~k3yTZSjM8M|)bfoBR`<*TbqWO;tvKbrPMb%jmR*16Y? zstD`J@7;6iWX{ePt3y1b8aMt73X)qdF*mpP*Vpo&HJO<*?|0w5EPZ;Z%icXpvvVS5 z?R)O)nOqU;l{33|@BY)zy}j4Zczm)dbLSpT!KqED3UU7>kGTW~<nYbvb1Z&y`O1>3 zUGZw0T`$D@958OJowa4gwWdvv&8BE&Pfb`{Xf><n=;Fy&IVRt!ofQ(9yKQ<?@of8# zwe}f$zRz=>-Mif_v2*8S!M8$}zno6{y!NtcUDTuNGnToBRnJ}b(Kt`fH)(@qMxxy8 zX0fv9wFf^Z3E6y7yqsFl_Eqx6^47$~HJR0_)jPjN^5ngZm@RS9_t~pzYg=KH)o&wZ zyxeD|&h$BCmEZI`wI#1IVz!!;pHEfWl_MgaK96cNbWZ=UyLWHN-`D*w8(Y}JPHZ;w zjgR?oW1e!H`ypX>jYO%<8oq~0Mb(}&t$rCfTg|0x9sBi9mp1Rn_Q|;Jkx*!neYxaR zpUClZ<>CJKt<`j<O!yX{GHZ&Z;?BD<cV5K3ouiWI({#&yVMfz)rl%F&qTSy6R<b?y zz3MqRBUb%1yWxjBQ@7rCOplzcw&j6}OKRxVKMb>{++aGg!{|wC;r8?>(Nl|dSoqw? zt77;y`<DNatPlGZA3VO|VDuE@ROY1m+wKx~ybp%g-Za}iN#o$7yl|Oq5<Tl!yZvo4 zCp5KASoPxViXW%d|4f-7_<P!onQ})eXDSD~>xBx2h8SIzIXAiEt?wJ3?+n4=iK~8c zrhQTk;XiO|@>O$@{^z0g3oGR}Ms_h@o@YH-XWzmV#-V>%jQ6%H2vsjkm@H5|BV*NV zcJ;f9Ix>GooG<k3ncDuqXUVyTRh~T`-H-I#ayfeHi^2q%nNQje`=p#R68@7gLoj;l zjhT;_3*YZD)D-Po)KFafn#+D+kjI-Bj}D0JHa_`Du4|L;OwQ@$Nsq;?FY|8rmQuN% zk2eLZgg-f5ipgiwp73h3oBjrq^)-9=?>wHT^!`z;(APSrr4w%C9&7b{qLb&-wWr(I z?Q31r%zMt2_ZRE(Dt}-4v;0i`t0fc43*6$ZDh|nTFN}AOV!XfXh>72g&{O7oNvR@- z16+G*G#^PiEEoHbdvDgG66Q|6>%UJ-H<Rn;N_4l{+OS^dUEkzwugpUfJ8g^Jq^L8@ zjM@`Et+d$aYP!Kp{kb-2eY1irUAhiTJ^w9a{=zKJH~SwuJ&Vu`vUE{eU9)ZZFCMke zOJ}Mx%q%$3wnnF@Z*uL`^c6)y)xU3?JjY=4P@r?or<?m;8Bcj*YsI+ndj{)~6MGx- z9zW!@n5w_CCwbfZEi!R#r>9o%=6&3h=~Hy@$Se){n|zahKYUiW)<lZs>(V*pj~FK# zzj$RjRr-uUp_1gZ{Q8qEM-2ofs4aM)Vxr5eu6WApb-`DQ*mKKYocbX8TK<e=^53ni zU%r|cy~E2sSFG*JHNVhvJLOm|{p|YwhwZ)PW!uxS`%d))9;#xqt}#ryEMtA8*>Y)C z(=6RDIe`mj={o4l+Hqs!yNOe0*!oYMx}sRLp07!e^(<G}>t9z~B=((o8m;qY-&}_^ z|9M(Ism?8bCfj{8`t<dUS9*Fo_ohXdotPM-#@1M{@5!gQD?6AAw0G9}+>CK$jZR#j zX*55#{tok_Po<0I2u}Ge*6PE<a53Z6n+CD&Cvpy}&DUJGaG{yM|81RvN#*v)GO3Ff zE_`OpKU-%pYo*&-N#A=@IyaZR4HIT|FzG+(eq1tlY0HTsdELfN`(qpSZ2h*=x#_VN zf8Va-U5pAd*YiAa+~QKK9^Ab6j<WN^!_G_gud~xwP;^P7^!;Uqiw-wGPn~+FJE4i; zRAW%yo#l*+9&UQBZCvTVI914C<>t9;9c*X6XS_drZ}EP9W%D1FQfHeF{#CV@tuMRR zbbih?@ng>~+ML~I@4ec|?w)42t0|ky;+Gm`oE!J@S#Sm>M4f!_tVDgM+?=M*KfAv3 zuRC7%C%jJhY{QeqOqJ8a-Z@@h>|hgr@!z$rt4k7hTu3a|nDRpH?Od6&TlSRBk(}Z0 zBK1>btKR9_<ZFJDH!L^WH21ly9kXI4<9*gk5<8?6uR5(0+I08ngxBHLry3ug`>f+0 zuH{?sX2SL}8@ZM?^(>hhCHCN`&Xb;Mt>i6}lh6K~xn0i0dBTN&W3t<SMy1}0YMsBK z^0l+Ef&6YWA*rrikGEB@XND`Y*k3xd=j$R#ry|3PSqHQZ+3xeX{yudbds54hI+L<P z+6IeOvEIL`_0(MHa-PeNx%NrsGS&}eOXT&Q96RYVXHk3R|6e7aH9qHxcs$o$DB1CR z>$#HCoi5>a2mEhk)jV`|Fo{2TM)b*!rI$WgiQM#2+26JclpwuR1$NbZ`|Em8``w;J z7QMgqIj^r@mgm+yXWyooiXsW0a+cj`ShRIwhr#bT8>4L_raB%i5V#WV_L<>sXI6We zn$pU|Y)12p^n14$cop}&_qMz+rF2nl=(C>!0=?6YH%z_|#F-;hWWQkYWfg&X{yFES zZ@FiEe1nb1?UcyKZdQ5Yeg2(hzo!&Qcs%!xT7QPW<ZQ_z$^JQ#p*!XKFXr66^DtND zg!|?20-;%F8Yc^wF8iwggQ4?A*YSNCc`=6{ypNfEsc>JUaH{LE!2eZ~y~C0nOyULW z9{l>d?$Dc)?_V9Br!_NY`JeT3v>J|jOqeM4>TQqnl#Ox5^E-53znt3FVfXQ7+F|9h zy~o&<&USotdb4EjId$`9g*_Ldo0lkdE#D&?QCaXe^uSpKfqu1>4^&K2jboi$x4wOQ z>(fW!D#r}1)XiVd9lXYQgH>N;n>dsD!mhieLOt%@YXZ|=tQ9`LclEuelS|ettN3*I z@u$4i#~L#BeR+56Yk~g^-P*{7RXdHJ{hp~3<31@#z~h<s(|57*%$$qotC}oblz8}S zPt(s!+pH5zvyN&!a*5Twx&Bx}#_gP(CF|V6W3|t$3xB2h_N!#EDHF?L%~{+tekX;< zr%k>3#k1Pq^2f@y#u5|Ft(WgIIl8R2)vQiF(zAT>!(SOoq}VQ9JaXNyoc%|h<x_k0 zhbOPbXKzaq;P@_=<(pH*;^!osSs*kkrfIUk)YYZiE24VqX0v^Ka@xC3k0pMU(D6r0 zmTx=tdaBc->HB)s;-&5hug_{-5-6dtHGP8Y2M3ueiVd6gO_{AG%Xd{ge{biz86O#) zW&LK%HJi6<dc{=<fnGJe2P#`6`2C!^x2_GF#G_oG6?MdP9ebr^Oxvp4>XTEa^!@lR zcGPb{@0Nz#?Hg~WC?C#Ua<qDP?<>9NO@>EuRZg{Db-R|bSVNpC_s*hSC(Po$%*uIl zz)UFmc0{g>N!)S0yvJgX_vAbdeO&eCq1h#-ZFi3yyRo_Zwnz8(Fll}1>yPE~lmqp0 z%=o0QKeo$TtUh;VM&H977jib=?AfF+yZ6Y{wAr=EBFfgiZjXXCpA|Fk>d4u%RdSu_ z?KjUBN!+Z+H;&2a=#5<C7B_?G=W;<sTjjFSjZqbOyL#?8{h79KTg%4Cio{*1cAfrp z2gIr-%`Copy0!6f;JkBzzim9v%zX9u(!TY<SFHRW83p`}j%<&&@!w<=koxrO9v;*4 zlNZR$Ryy-!rck2I%99f{4?YwVQtDCHv^0Ae8+fuYYRB1i`kPwSmq|p3Bpnc|x-?NZ z_*>|eATZPTv6aYEfeo$uN|qdSvYCG~Ennp5>0=q6trK7GIV-2$)yn(bXkX#IwADhX zvSxQQe{8FqE$g1b%`d&DCx6!Vn-(tHJ}fwR`p2}&*?;Xtu4eCOYvKKDR$X~QO^sK4 z;)d9JX~BFqkJL@>+_5HS^V+tYq&oszIHRA=Q;)UG+10gU!xtOQ(~g%zk4$a7G3QX$ zqnSUop1CeAxZ&adsOVnGk{923rC)l+3vJ$fbgD{=oWD@<jg%!X4uAwx&+%42KbpcC z<({)TaqGJ?33n$I=sCSTk+{jfa!#GjsS6?I;bC2u=5G1+FJn%}K8e7aj8(=0OFpW& zh`(ymJ@eb{sJ@wR%K^^}BPKs5k)~@GRln)3x)A-mL-@MXh4bf=Kbl2a*YX|zv@5hL z=5*<5K32!=D>%4bNOGEFuQ*`Vkf!iLEYy|pZS#UP&jNQ&Sgzh2=InSuS8Focs|cM{ z5&G33d5$RzthTS#u0CS<=;HFg-E;e9%kGNY`Ff(lGX1qS?tgx!)l9a2J!6IWc8x_@ z3PrlU>wD67nLiCmVBIXknRMyM4c5yWZ$z5KeOq?Nadls3)#Pb>Hw^VRxN2`J^723N z>TGV{COzkAj~(2S%#u>~ut*xu-MB+P`C_bBqRz3#o_(JdXzLu~`meEGe#(5Ip2K-} z`(ONKzc<S-=3!lkq_+LHS9L9twW;>gBW?)(3$){m-0LRfR%+ig_mET4XKw4qq9<m& z?3pTWU24Cm=gK|luw&dls;%`?&L>QZ{q(b}>a(V8rHaQ(?Wa3gG>zwOy5Qls^Iy&s z8=)m%rhbgswT<&eg-71sV=l*Mf3o%{EK>hv`{EzV@BOVu)D+4tX-_b@?AdL-x#G9$ zceOJw^dA@IJlXWI|JTFIt2Y(CsJq*rFn#xgTTDk!Rd5=mSv_8mz;nCQ{!q_8qj}!f z>n2P8e{-@YHTdkqt>+?om?djdZKj{u`1AEKd#&V$#~+%m{w<jHW$H(n=9>)P&+j{; zAvDj)$?U$~RQ9F``-0{P3m9EixL;EASu(g%fkm=1`&bd*s^c*>ukSl_y{lU@>Ew!c zK5Y9OBn5YG+EK75vj0@1#pXwAehWYUGWB~*!^LzxF6AXJl>M%?2EF>dHc95h3+=}{ z4fgBb0tMF6psr;{cgZKZ<xBEQ)I3{M<#OuPlQhX)$_JSzbll=N&c`X&kYbfGahH@r zcca3R^>dz|*?RQRF-Nb%+A9OsWL#WhEo{TuxySUeCA+2Z+|4_*eSZtgxfp)0xM}s$ z8ZITiH!fZRQd5LLYD!;NGD{lwZuF{lYW7;xb1&-aoWs8bmTlRme|wqyU3n=#Hi-&0 zp~}~{iy4E`tWpcz<!aXiizz#L#Y>(ytT=SWR%L#D$b6wIb2;Q{UG7Q!ka?UKdQkex z$1X*MdFySSGklgif3xmGO3`PVT3ewbU#7kkc^e&YW|{irQlB>`Q(SVAQ;xrBo>9Ha z{OKV%aBBVKRVu`l798m>EBtlY`W$t(%f)hLPnjpY`niNDqL1-sO{$flShdTYke^*| z+fRk}9Q?7zwAZTX`-Fv;3O3g0t^BR;a^7ms*Ip~8>*>orOa5*D{PR?f(1iZqZkc7e z^BG_Gx$s+coL&89Lc2oBGH0)JhF$839`TWWO!MrD*fnkUp8o50w)2JLaWm_(m3}Ng zjpnYuk$L40`{$phvXdtC|6cZxXZbVdFFDDx?;g4$BARlh<k;Dtz3<#-Tnu+BW_Z`J zv;Ng{b5rp}$E9xPge-fQ*#3WJTX@P+)AtK2Zoes9=E*vxjQhIAbIGTE@!#dDCTu+W zEhEaRS@)dJVeRr?yS^vb#NRxlI3u_Cx=F~BD={7O(p>gUnyEa&@Umog$}&hYeQIR5 zZ}o)NA*)_Jp2dGqYHG&p*oP*vM}8R1J-=sl!_S(hM(hc51;6*k%n!PjcjXTIv}G>0 zb6l4{`0BXF=df|MLeF#U=9kSv=VO)UM|SkOTzwVwU7;#x<5{r^DWzW(@vb^08~P%6 z4hw9*>EPeHP~p*^=^mClHMDz6#ob<<JZg6PeP+!I-xVJv-#g7YAFs#!+yCdic0=Lb z3y-^U%l=*dknsJgeR|Mmxt`~`&5Xji@1%ZiNeccP_F17SVdL3%%~DEg!ZX_<?$nna zNs{Pzq1|tJ=)L39vr3<BJLE!hHZw13W53_bHSecf%g2mL9*fTPK1zb+jb%Ic=`Nq| z{wVbI*{xdpgF@;SuGo|C+EL<!nY5~w!t<oHw;k`<ZIj*9wXEii|I&|BW#@FnZkp>> zcKSZ^$Ej>zj)q<23)-0~&E2!?#{-ppCFL(x?Y?RB+<r~W;q8Z>l&Sk3(bmhKuehGA zsm0_btNKDtc?-_Kx~TuVfAU)>`wB+A`V_FZ#J})=&8}I!JNwnwY%i<-$yjxbIaihI zG=I+1@;w)?ZP2~@?&@WoGZ9(NN79n{rS;x~?As7JkKvZrl(w|!ek-?N`|^7_xyu8^ zO(scvo87yov&U_n(#aEi87jJq{vSBOzAbypC;n}_4JRkwuj;BY@nuk7*qX}zP*C)& z-yvh^<(2d5jAUoHPJI&M>|nA;;t$&Tnrwl~*;R%N46OSZ82C|UC5kf=3sT{07uLqI zCxp6QJGWOc@lyroWbsoQ?XQP!ob>(DTSb)vTrIv$-0#vha|CYQ^nz*K>#z-r7Kn&C zz7dta!KisGP9R6)+r15eEP@;UeD<w0FBdy``bm0t(Z2WdjEmph*;$-^ZVqSt*|R4i z_A8a0>6o@r_wheD`CBz%d;VPsOgB0HyMLC&t0jTLv-o?%=hhv&`8e9ovNXvia)w4< zc%Q8F^a7`vn$IoIKGtW;75|8vDJd!WyZgTp-)W(G)lYs6b0!9Ed~Bi`l4iT8r7_Oz z_OG*_*53V=Vn5qHZcX+7Q*Eb~{VQ$uTOoJr%K9n?z0(~}uY~etO4YtvF|BY;<;E8U zobJ97wpl*KdY_Xm|GsWtdHQZ-+M3%7Zq@lVXZ;T6Unev3_^u;LLf$v#roKG=^=j$g z)vtGNeiN}hN|k$Z9qXFxHPu|J=d<=4-IZ<mpoq!#@8$;AmU*kLy*L`NJ!0M}s|Aai zjIx@u*?Cr-O5eFLX0hr_rfK3+mY(k4_-^AKgU|yN(&bmTN}LwYI%ev7ltq=>Eb8YP zg=wobkG?rqb)+gZ^jdi6xApJV&(<zonLgY5Sn1Ej4PS-&_*RRE{cXGcWxf0bg94W+ zU;7MC@@5D$B>DWwStZJnzWeB+U#AY-=VRYkt+Oh1<;3&f1bi3n6<6h3bvCv7SkZ?Q zDyPz`J73>oJz21AyN0pL8{zJ4S5G8=j8G~4{dd>q+KcR8Vy}EVoxERY`t1XMOZq2@ z8Gg&QSy$()&bLPPPJEW&ZToA^v9ck-m!CN?S}VNJT=Yt@H1z7-n~kfEXUZhk2H83V zv%XQd+r}*Ax5n>Um}v-~UIP2^UF-qdeD$nZbQzB(eoeK#vCvTN$4&dl+xbs6+<UVj z!f}PV=00(28!>Lp>sL6c%&(bWyBsz>ylV5I2*-kS-?sNBg*N^%(C_x|dgRP%(z&d2 zqf+5|=cBo&?gd^AFyA4r-FNg?cXr|~Ywd|^O_q4ZzCP!ry6*an%|;(5oc((1A@7{2 z-cFhvO6{RXHnAA)S!Qmn6vnV{VWvlFt#j#Iy~X0zMq<G=e;=F@US&G>e4mi5SNT1s zk~1}BB?nYQihXp#|Kv37ymC7Ic-u@DMr%I*oP^-32Tm<?Ud?Xa`8?!+R`d5@YpLYF zLHfzB(pEh=Yw}h4N`QSuK&WZ@-{e5%3!2p%UP<^1-qPv6+R<m7@q+VGXIqV#LXGkx zRe6bhf(Ln;ZY$rucOw5#&yI<Y3zb3ayqtnH>Ct*i&)%F6QIhcg!PisC&dC#hP5kPd z)qcpR|LQR%=GWT4ySs(>nBT-_KFH^F*{_#Sx}wS>IJVUH>VwBiy%<;X7iP(19@wB* zb@+qV%lIk0;?8`(wtvt*<rl!<SC+nC*w(XJd)5MLX~EZOD#grqZ=8s@?zq)gxs6vu zzFRnX;;vZ-uHR>7+xPFF!w-SP-i<kd6J)mhlgRrytLB<WUgg0*oNr?;Z+q(ADtYmf zdh7nWtIoflZ@9Q|&B4RcSy@FlBs6(t4@DO1Xf0j#t8JHK=%ZzReWj~ctyw*pKjXp$ znKCb(Y?s{Ft0!d2vUIXfd=NB!YPrVMGu86Vd9PJ3PWg4GUc1iw?%1(oyTW+o`nI=j z-hblI$IiFrJGO0Fs4XpiT15VahPCZ6mf0Gz6Ib+aG7fUs@-Lp(qf7fSuZCDc6XR1R zpD7D8G`TH|SzQ?GjTu<yq%v^**v<I#t6*7~PIQxE;5YuW-J2G_nJ+X&>~z!rsZ5I= z)JsngdG>$ev!zF!cWwNCY?szXn||L<Pgs;E>WF`<%qf!AnD@()OU=9Be&w4xW&ts_ z{s$+q<Y`Tx`@Zv6*3?|R-42Q?Pv2~fTQj?=u{|X0YA63~5&pj+3+3J@8Ga3nbu7(R zEK3%z-k9^%=;)0Q{TIQ^hB|N0EvroWbM1ZHTnV97v!&R&<}<Si?LH#Bc)?FG-gRrO z<l?{Hs@r>GX`D`c=;gM1vwgoO?dJUIxaisct)~)=_e4y$a(uFRW)jmi|2svK-x&y+ zo$gNkD!jRQA?q6>{b}Km_C*<?v0oWXl}{&5=f0d6+Pv!X4bfE_k6hMR|Nm}Y&NlJa zf!1plW?l#gOm1G`S+d(D*q1jwylYvIzir^H{p<Fq&whNg;^~4{%MzPsx0!_YNrdP} z?NFaBz2R%y(>Gd)I$l|qjHk`Znb)qLx}$N?n=Jinr9Un_Zol>~Z-xK7^S@Fz&otM& zed}zx&dDf!oBS&Aefs~8J$C<CDkpz7|9eG!ol5<I$H_k)%k7W*_g}YP|MQPvxzv=+ zn#SP=EGBQ{ndc~3c%EaCLp+ycq@bbsw<q@}*!rKG^)d3;!<?Yk;@-PIFwXgC_lJ}J z>fW~>)#8q}f9SV~JL&z?R<8c!<LXbZ%%+xwm;Nk_tq!v}%yFw%XU9U8m5(J;&uchO zI3=*eh-Kwt>D2pBPRp4;9_6N6{r@s5zq`!+@77Mg>Yj`_`__C-w3#|_PtW=975w{- zJ-+%U=i~lNx$}>`ZU0rro!MUbC$#^3?r)D>v(y(#tNzKEvOxNrxz)alA0OBGEm{4| z$>WpV5|M)c%0JI^cbWDcKU%M`YQk2%_SfgDY9!`A`slXrz~j@l|7IMo|KwsWeVqSE z`NHX(lP%s~KK6Z9-;aBZ%hz+aERf%&C(z{0f05Pcf~>PR$HL^j98SwL1)8ojDwHZ@ z$i^msIAPaVohDet`ZNkG;bU3J?D+Zx)9x8eiW#Y~3M?xpr*gF@lqz`0azwd{&q(EJ zSunM<QDBMRHe^#k9H=S25K}H+opSl=6lTZQ9Yt(zSta4`p2!CVygFMh_TlsY%gVEh zet-TSHbLq~{sD%KmmZteY&*{VL;k0O;jB*o14;ejpF-u<Kfd{cWA2&k@^4!`dHElG ztm?}Ao_%?`?=FU^`(A$Rx2>Cc{CUNnkbiTI%YQl|uv`4UxV_&F#Z~uaZ~t)k)|FXb z?YC}|ol^33<@&hm9FH94Ew4*sIK4dU^CrnC`J><88~2NUy#L{|jh%?|qt|lkbzE&f znJaqKe{%3FVSl$ta*Ok#>Dx0IuBt5DA8M@QXq@xWMRtC5%`$a6%|)*ke>_@y?D65F zJMK(<{yF*QRk{1ieb?Hqjj}djD9<Q(d*Q&$XA5pvUkWzc{n!1&tD~F$-D=(Ed(wXY zk!~^Z&ueqOy^=kBvBN!mv*+ZpHRsQy6~7l>E0s98ZeQG&2A)qXKZITUyX>95Ed9ZJ z#7DD^ePg$XebWrpqh|#+A6>GpUtP!L|0HdN_$f!8iZm@L+T$+2{(|=VqB-^fHkUmo zJNwzxIiKo0uArqZ*Sca(M(c_>I|?sfKGxYE?6>6}L)mmc2g`fLPmWkBs;}Fr`Si%; zMqz(eKbwg~i@rWP(CObaZO7JF^#wBTMT;KYnILQ&ADud924kS5q3oifM^P0kqaMw) zJl!KapUuyP7h;z6lOvUi>S^qLF>)4#kq?gObe!sZ&ZKU~J*Q$D$fV^HgrB$1smMEg z_*iGUqL%tRUb*AO2OsaSt&o||?zblAI;Y0*a&F5)#}l2;oBMVey%0Xn>bIsP`&8%8 zM&Z{@eU;I>Yne`cyzA^2BT<xek6BYajNNYy*PIGb^Hp<pC>K0By+HL{7RZ$E9F~Tv zPmW}-aJKyD^x(+n>ukD=fnPgY8-?Rp{qEdcCmhiCUb5)Xq7RQ9GB0&r+Z{NoD2dVK z>5<Zdw>N6Nm=VwJXLCPqenU~s`U%3~?Q<e#7A0Nk7MLom?-1g*rfJ2T3d4d&vk!D$ zeQU+|^v69#^<`~yDpU&|Jw4DloeAWqJu(&>?Ft?(Et}g=R5O2q@MR~zGn`h>rV30I zUeD^chI3AYu*F8jf=5$93T)(x9!;7cyq;Zmqgh7h>IeNrNqR0%kJyy(KRt5nK<8S4 z@|P@A9{+Bev%}=c5$i_bd{)0bl3R{QJ9^jf2U>2_EO?Z)W1F}70-67zCpup$s@rkQ zsjx42wD&+~IkS2hi(iej#m2RFr!^GS?4KZP?BsW6Ygyp3vZQlYHPzp-t)8=kX-!d* znak57AlF@YI5X{0Oh@d}3Q-reqm=^1A*&sGJU+^WT=ea_-qh>$(W+x-Pz*z>jb<F* zw%sD{nNKg>@j=e8@5$;z3dcmaTbVTPac^wToOj3Lw#J5xC2}!-xkp95T3mF94dOL1 zzT)uu`sw$x<P+cjw|UOzZ!%%Wi_T*&7j=mm@0`mf8Oj>5y@Tu9`^Vkt6BcUE5MA}4 zbN93doE=<hZ#_aEly7Kab`p&dTlL^%2<zJ0!J-d7rY#L@?D^Ws#rJ0N&1FreokeZt zu6poy0aMANzK{#*AqPbla?SG$E%<x2*q1d@cFltY0j!&yMQy}G1Z<k$@P!o=tZ349 z7Cm!ACEhqh;LD<QvNb2#TjV~?31D5_Wc|Z1l=1ZgSsAHa%{v!zg|Xi?S)s7JSyV=B z)q_<5tokf_U$lp?PUH(Ks94cdxsWT4>z%@i1<~x<FQivJcoo2!uOF8aw`D<72D`Qf zPiTSfiYD>qcA1a~w-0g&E#z9qYyV`X{4DDqnxT$y?7K6KuK2F!4&Cr()7fW=?D|pP z`N9H3Rz3I?!0H_&y2b0_<V9;vyT|@~6n#x~_R%BdI&<A78!7~*X0EuH_hYG6bM>kB z4{WElynDGUfK`9_yi>mq25Y`ZU`<`fmB$zQ!E05M=fM|O7B`DdY1i7J8gg(}2<vtB z@(Y`rMMGM&c9@17TzycMMfA(71XlMJtsS}{2X8%)WH}uFo-eE*Wku7^g<Ns`aeEgq zf#h4Yc08Uoe>aQho$l1>vtFL{);$*Wo>MG2+$qF(@79$!>tE+=$XfROoAM)Nwe+gB z#Rp%_lyfn?yjyJ1te1QHgs%9!pSE@Nt`{@!S!+0+{c^8$MOsz(0iSbUqV$_rq*WCw zteSaGYt5~zKKZ6DrkAT37tMMZ%XhaVt!nDRnfK-{WSb@I)4IN8#j-=2Z~L?=u41zi zUo?yBe#6e?Sqq8}yeqQfds>l}VOLjvqBEf(Cw7X|{wooh$Jf8h`twLuW`CFS<LgZU zQ>Q1Ct$kM=wSi?u|Lvgfwc0MF_wCPm>oQK;r<NCX_j_-^ji<HFmh(h+y>C@i&vO(! z)w!}!IGnZD-aO;a=jLPe76p&?lu65<`lzR<{*Kr3<J+|>r&ZK1taMe&Wm-Mw21lS} z;l*eDJ;Jx2>zetk*>As9VphrAgsa+{1lLr#POJa0q0)7lW61gwK^?C|XLc1`)h;r0 z`P!A^#Q92e;?q^(Pog)x61~Y2xL0YdhR<H5%K=SQu5zrmoxA!S-e?{b6{z+s<o0@@ zdvxs%-${?Y3zScOWY)3UyYQ2Y;ycBoPy4&tZUuK;-dJ?syQ_N|M`6BZ9FzRFiI2*r z2uy#Jr13`kXtr3F^slKR`bQOZ%onMjr7KdWdsJTWo&HgI1v!PIvKe2Q6}ROz-*Dg5 zAFnLJH|bHjL^<EIM`9W>N=MfU@K1eYwWD~3R!*Y;|MW+9(pztYcU@Kx^ZJ+`GH1f0 zat%dI?P;x|p5LN7j!wGEve9Kz{KBpv%{tDFK3(w+I^m*^pJ^|#;C>{ysU<()(8Ni5 z+3aLgkBVlzTD<g7|8=g`WR=~Ft+LujzY6qEeq=77z4V9ak-Dy<#_NL81b&}BWapB2 zb+?4=(|>y;T>C`d^Lg*J{@!|T?#qK3MWqH+=eXk@csDy7dZI94gHA<b{jnRHPK!&N z`uOGocTN3?PV45tCuJR{I%lr%vousLND6vz<kNx9;sft4acUgj-8QE};mHxxgXK>) zC1}hRwI~cXm^V+}Vxv;QqdTA?dQR({3WFy{zBUS%v+EYdey~`_d+ahdv$`DToE-~5 zRoi(^%Z~vkI%hMh=W+cy(%UG^-85~7M!_TN5|*b&rhtsF5h_XwJOQf7wzK-(k+LZC zz93|NQ2yzWYX>^JZ<jKi`k1GvF4jJ0hu(`B<!pX;1T6}y9~@y7m?}J3K}$U^@4Gbj z(+ZO(M<z`Wp8t5-6k+a<bEXP&D`=^&%l~Y_q`r?o>XFli-6c$?KHixi%-=rehgQKO z?~YTQ`U+a=*BnFqe0b;Fxc01Y%WIY?k1G|`r?t(wApxp`l4>6ux!x!|oy9MQf6k0+ zpsKN)-*TgljiUQuZS{AYa>utf3eRRzU&ikDhGWi-Eg;`MS5%+YKBvN<;L*PWoo5?` zzc+^Zo#B}?L#E`6B&bTPs5{Xqs;EAV#ZQNCPJ~FwnRX_1J^ndA6f-&_!SXs!jwmat zZ)3MkyRG1*9<}_{*Ngh~(i0~u?(21L@2bl>S$@;;;&Yb#|I17dPHtQAF5lRtv_4v4 z)$i!)`$?Bi+;0s1d}^)0)jgl8JF?<-@-CVcKCk=Ml|4n)5vJ>7_yf;sn>&PjKGiGm zxva3-=xNZMdxnp`8C`wLX*c`sk0YDb-Zi>evr%QD?sH@R?JM@^%)am_CHhuXhSbr8 zCq>%LHd&fXJG<~|4&T}I;tcoM&ds-EqOLu=aKx;A+cry+U1t}5&E@Mq`0RsC4j=!q zXB%t+Wv1zN9kx39Y(v6E%QZ1MeBKhX4@Vt)wqeCa%Qa3pe5Yk*AFew7Y{Q3*mTMYv z_`DToAD(sM*#?VE7CXH*ecceV$#Tu?96oN1*@wMOJ=?HkljWMVIegtZvkzyTezxJw zCd)PZbNILoXCLl5^K663X3I4fbNISVXCGd5_SuG-&6aB(=kRe`%|3kU+_McwHe0Uw zn#0#^H~a9b^N*ewUAT6*>cX=P23suG2;}muww`@>*2QNVDz;dzQOe~z?K%7Ku1n80 z9N6+cH+^5i$;{V@Z!=#f3T72Y^xl%0w$!Zs>&ml<3$w0wi>_YVzI653MBeP%Nru_4 z6GO9$BktXjxwg}+opt@$#HZQC5lpxDCFth7Ry0^)!NMcj&av>UVn{}DhvY4prgpRT z0}IY7&dDh5n5@b7(fFuhLRxXhoSQOE8D{Mfv(75|r4@IqyD7ue-sS8NSlFR(OU9|w ztbN9!vx+-1iaBI%$sFo6YyZ&vNMKrk%p(D__J)?TibjdW9Dz4v4)L3{FK9ih$hFYI zMO~!5pyjNhUScsvzzrECQL}c9ma~dwiNzdl8hnmiM-{aai#hyn$UG7;Yj0>ht0<9J z%#nCQCP}Evd5u#chu;mEMIvVH1+8Zl^Ad|YJa5P-Nt(4^Xn7>CDL^Jk#H>A`^{ir6 zVlhYj4H+k4v-XCzvx-g&EnM_O+5=k8DyAhCbHv?{StMcB&e3{Sab03DM~DU=BhOLA zq{L#5*c&oQ5@ziQZD$n&5{o(VZ^%3n?Q)iJF64;0A)_Q=)*jGyR&iNkaYy(KnME>Y z?HgJj37iX%c_ePu?$CBtaZzG1NA3-oLt<v_3GHVUs}@?gn2NL;w4GI)msre^b3;Z+ z#;pBC>siHTiNzdA8hi(Nk1EbeEau3*A@fMutlgpgtYSxEF-PqUnIy?B=N{)mj;tFp zi=@rk4cgBt-b*a*NWCGWq+r%Qq3w~ts{olKX|r~P_Opt&5{o&iZ^$@FnYBA~oK-yT zUwGngu*{^tIed}zX6;|>XFJO+IIF05;n@VqjA9SLEtXHXZplny%jLV-Y}Rh#G~3y3 z;aSCv7oJT>%qaFS-D3Gf=9bJP{#?Gu4zu<Wm)Xv7i_SibX@693&$-azL1MAR%fw=f zPl?4AzY~ist|t~-@Fo>o+*@cN^E*K1T;&ZJzM2~{eswow`WkM?^p!`<u@Omn{cuYA zqk><~g%<A;i!Ht<7F#eR6@F6bSlb>fXV$)1(X9QjT-RYmfuj$vw4Z(Wqy6kdnU1p$ z9XifF+|YjZVMoW=hiBR!6^OYMTKq{YwqQ*vw%|)Dwh&J$w)mV_Y@wM{Z1HQMg$(D# zD(lBV=anB6XdQX<<fA||zq@@7AG=!)pSpuc`((wae07;~EjMKN+9T%Js3yIB$kMU4 zy;ymh9AA?LAG?c4yRf2J`(j12c4H+mb^h)fRTdmc#TIr+#TNXFEanIY%J8+_kn!ud zA=B4&L*`u14ViPzH)Q-K-jL~Q)!;ksdS&m!nvSC<o0ZJkg_X_P7b}~!Cxd*ZV%C0H zvFq?k!J`jnbew&-r{nCy2OVc0vUHw(SkiI!p-<=8hZ8y;6;!wsTIePfTbLykTi7QR zTX-ZDTc{)zTSO%lTWBw`n4=gd!`FL5#;^Z|Oy9&m{L@z{KPU)0@~q&{k!J-dE`=6e zi!A2IZnTt9+GsgPZKI`(_C`w?$&HpWCL1m1$OOvxP0`?U_sHQ(_srpI_sZd0?w!M@ z?w-T<+&71h-9x0kS+(o1r_k)f6NP3Uz9}^Ou%htn!;C_+4{sEn?Oe9-(S*_!7E2_f z=A1F#@^wN*M)3)UEx8lBGF~gHU%cjAv}kR+j_YjaU5m~t=3jg^;Xp?5iIgptOH6Lb z6iMg)pYSB(wc_oI&nA4!C_YiY#ZtxTmW+{7E}wg(NV~G$q{-<~PIDNh_1P?3WHBcu zP{v5edCvKoXm)mgk#=UySN94XRF3>Sb8X^tPm%UwwNvL0_!Zk-{yyo_#N#d^?T?iw zO@8j`H0S	ob4y0ux>Irs?<PIp;U&%2uv$F0^>F@J-XVD|628in98&rtMKdoAXV@ z=jKO#_C#8J;%IwRVB%cZIQLo2wB*+hQd%DsJaQ`f)ZyCkIr7M*iR@}3?ad-x+;2>t zZ_eAscg|6R@42#yI=@Sc#e7#?*~&eRg%;ly+)~|edCvJFUD?Vk$3ly<3tl;kRdjrg zoVQfDIM;RiS+jPL6s>hxa$kS^|32ybuJ=9X!fx(b)igzm|6xvPki*Q?!YrTOG#T-0 z@x*_M{CDm}PU#-U&<R1ktp(exEEibJ(FoWswx#D;O<2Oq6U>ZVhaDM@vf4%$+r3VF zt#CfR@WlV=b9{dA7uvnfe0kza?NP<G@nHX4JAKVDY~P~^YuCTiuTVC5zWLs?KA&yj zGLt^2s&9VhJm<VdkK@Vv7Y-P0y1D%P>H8NPX1@96bMoQGlLyyy%Oq`j+I{}XYl(^a zo*`v-ZEm*is99%t^NVdt^Os3^LHneXl4IR_^Q<zz+_?NGPT%y$(M3&?%Kv5?q+K}@ zxZtUT&db2$ppt!3yO#WoUsAj7Rm?`8g^Vh{w>d06er&JJSEu8<dUEB0nKbU3bDm~+ znysCvxj@ELMQ7T!3?t6&CAnN`scVJO{47@cT+0g3oKUmFLDgYXH^a%Gr>mu38`T{; zQh4b?%#_wsaU3dHIsuDwb(Uv+_}F>qkRr4H6g#hV-uv4Yh8F5Cvtuw+i%Nb|aZ@JH zG<|{I#~wR|9}^x=Z~XFc@hfh>n^Fp)&AYfJNQPQU9WikzVtbm=kR#fa(O@F_Y8m6F zV2xFbLctp0%qjB&=COHLFMKDZaKB{-n}^B58r})MPKLY!pO!H(?s5tBnNyX~@Id?P z6^4tEua+`8&AAfIe8jcW#Nok|vdat?-EYM*x2R|G^9t-=Vr%NqF!|dh2J5yh+ZiGQ zx2C%P&S<bT?#gcP)xH(Z{6^~HdXod&c;7B({Ko#`x|G5Luix1XvVm3(4R2Q5a$Cx5 zdf=bR*NY6ZXO&D~yV1Cy+0-FpKHo)#-;5<I*f_RaPLxux@^8;>*kJzkD#OMitt$+U z8D1F;CrnjM9Xw`qnK;PI>@sy|nC4~T5Hj7%^gx*5)T5!@N%}KSnI1?p4$Ww|n_=a| zob&S1M5%=H)B7$lNY5?V!ghmmX|l<IX$rEd8NXe9VZpoMquW%egzbI5G8=LYR$XHF zopL3fIcG`WR<;|xi==or#JVz@9H<lHy}}?JXW{|!RKCf9Z9=l^8NbcV*uch-bXkCL zms{wPS#8IzR(BcbUCnB!(B{3&a4_*#Zp_{n9lL_&?rWN$clEXQjN^gL3_7cXBr_^v z_N5ukZt@FoSQdTZy@|s<j@}CloYE#i%qdwHI(IQ})~#p!6#RmJSCC3n;NoqLX54=R z8|JL=a$KO6+kA)P*W@tgAmQFi;VKrM4IUv&Q!Zr0ntaO7=+Tr~5Gkr_{P0D}+O|xo zxk?V(SIl~Rd1c{EwxUOVy1%&69)C&aH8{HLvdMuxGmm98c<TOjWj+&<IfrdV-KCdO z34QMA84a9je?ys5I4)MaHC<L>;<&pxlE?Q7L$I(_F!LGn7x_{NLXPQ~4Ko@4#xmEu zdzr&K;j7#Kj@4!kR+4{%nV-zQxc||rl@mU={=dd>GvJH-QnmD|FY%0vc4m3GPqvvd zclEOr6G@Ki2K$#3e=(i;(Cs<X(+5%)D%ljh`_7qj{7fog6xdgBLSr%aS<@%WE{Zfy zXmk^oZ22l1oAb(<W!f^P(vzWvQM1wnl;)OnI!2^lTIx`@E-LX-N%MwnOC;G8g}c*c z{hF}abu*8XnRslPz^7R+ii-J9_$}5xWBTUxrJP{vGWVBW%^T{yig^z4X`eOasNPUA z)$zv3C6#Q6>nE=><Ji5hq}TC={?csTL+g}d(*?e%zN~8AVC-Qo(ek%?m!O7r_}c}S zec2N4&&V_5u-;zM?Rdj_X*BPlcdB>O1irn=*ynhnV&Uz^O~s*loOcriHrc)KYo5^W zR4&=#!@ujc!jq^AOwAJ(I&$+my<@(cEMTPeBCdHtx5IbI79O@;_Z6P7U0`NYJlrA2 z;<Q`gc)OetN2J4+6ADi*T}W!4FxA<c$0?87Hb-Dne8x)06ZQ*J*%X~yr%AWiT1GLp z*mcNyRvl4z;~KQu@kZ+sciuyC>b7hxb{%uwtM0@co~LY^C{QN-(zAKPc@KZdmRO&v zTT`0$x>g;FIlNBEHd~;G_od~6Gcls3%cnk*69`}aoY(2f%&?{F7}uI{1g2IUR5()l z(zRKjb=h<tr#Z9Y%s2uQtBxuh>CNnQ?9jQqnoZHpd%a`}hu&IKj>6EYy9zFGFL|2< z_AfE#b!wS>&xpe?pz5}Qi|0$(W`XP_<-ATNlkORFeDtciui#?xlDT<9yr(?V!`Op; zinS>M-`>1PZr+gXHeaHpR{Pf#g*P%6)7cW=x7nF<>{j1$PT@`b1#z}S_QriC9ELT& zPARxte3{)WaC})lkCV#GYtk(ddbtK17ej7k2z-mn6c)H=_~yo??QDwQd}i}HO_{Mx zqUDEnZMwjsZCkD?ykWik-66yAjo4+g<_+_GZu1`MGk$Bp@q62sX2%;hm&)@Vx~Km) zMWC$xWqR|5<6e_%dN*);Pv$*jr#m-UpzPO+nC1=Q9?vCP?pj#gS9o*%A}d>>d%vCu zM|G;nQH3|lF1oTM+IQ=jaRk=Q;%X^#{4-~nWJ_%7t|J*q`P1}FIjnt5?kYU_eThe* zX6FP&Z)093KHalM9Ff~fW;&j5Tzb0EAWOk}>N<n#6OMar=5<=89h)ie=}Tsx<B2EB zcd{w|pTEwC<K`n1evL`1KOK3Q)jUDb@47_Gp4?qx9FK)IO?_z<%=IbhWmPfn%zq`# zjwkw;O7l9E+22hOD0=rYt$9ME|MnTbCItI$mum4T-*q-a>HnN(vu|x&?6RGC;o6PQ zUCJd|=1T9ntnlXTg;=)4^r`R6IDWp~a$rhlPf1ipmisx=yUFVmwP(LG<@kBBWd28< z-ET_l1*$l{J$uR6yy3Mkzf{ZKqN)=LZ=5bGHE%fX(an44oQkd?M|Ito4URW7mn>yV z<nNm%*)rEUDo^0sk&ITy8=i~Zc@EhbZe?1iy5Y2Uzf_B@NEHu9y!xA*3y;|z*6`Uf zUNH@y`(UAZV^Q(dnL<@u9BW&It-hEDR2iMydBK=XF?zy0)31up`{o&QNS@sy#E~pp zRQ9s+v8j<r=2XWMe-~e6Q#?E~&S0s6|BN^jj+sZkOmaN2a_Mwlr)#=<lLU&wGkYCR z7%dIwb^52aH%-8(GDBD37OSPrmtBq)j*j^Z3sooV_RDAL{HNq^d@<UAqb$+C{hnFb zjoO9fJcr~2_O70I=jfvEXH4JJUi{6LDBfXbkeVdlZD(?QL$j;AWXoRDUlG}-=IZ=9 za;3pW>X!(|+s-%AzkHNu{&$)`JNrb@!qR6_MG`N#n<xBqh?i=a!}IHuLW<Ib>&rym ze7tPVrue(znzZkm*O%E8Zhmr*=5^v@%r%;ILvl&}*}sYQ6U~?x)+;S;+a_WC<j#e1 zHbw3BZBi|F#D3jVNZEhEta*aG^KD)yHr}@e9Mv^nm<4VLtGm2#U*NO<!s#@BpU8b% zrTO;SZczRH!o%smbVBH2xA|FrH^-kmH22@;c-y}{Jw1Dm)Jxuc^K)nSwkM|qK3!VN zpL_Cb%I&|iPHeoiXjy8_{mIeC_~ow~ZPdK@{fqIe<NdR4eA=GY`}*}PzdT9njg>W@ zXZ#ARw@l6{zWn1^a9#Yh8&@t(dGP3<>u=Y-61`=6CZ=DU<|i6>@x<oL;*#?o=MB~8 zS{6Qf`B?3XtZiOWP@K1j*{5|$TW<e6>Y|*UlyK+g(G=zLg2^xBCdygwym3M~N#yoR z)5Pn(J>}MW!>*iIoRo6s<<>`T^R$m$m>Z{^`J^&w%iWh-FS*@|?Ky3|H|*Mpoe~Gj zt9-ZA?2~w1Ugc|&=~E-x*CW!OYqxW6d(c&rtTQuqJ>yL8o7<rmIO7TH7Om4~w{?79 za5ZmRr_jC0(=2XH`xN(O!{S`Y_rBjPZiN*r`h6#7a%+wGuKO3w4wf&!Et@;JhJV-L zi`zQhdzM?>68pUO#kw`hO0Kfob)QvVo|2<}S!f@>Z<(>~)52Tto)t*mpT4iuSoit< zMeFbQX#c4D(m8qM3z7ZH#P9l?{_(G5`|M0s`-U_N+c}Gz>J-kF82f&(E15VubCSG^ zxb;l2>7SclrY=v@TKAy+Lb2a6)z&`&Q7_YGJ!rr9IB%I}orUegw5TJ$FBzF%PKxt5 zeb>jkqDijASof)C)rqrPdc-d>nO`o7^Wnbhqg}yy_gP9*)Cup*eX}#0reD-qZob^) z<ms12=9iV?9H;M|DfU_U1(*3{p*j`cQe)kx?iWqeFK<e!Gx_`EOTj6xABJBxPtL3~ zw4Y|SbLO-Uk6#p<Uk-}%e0|5~bfx{TODDH1nh<`mJ#QK3z4>-U#=f7je%&~`rQ`aA z*?G&3)-ddSnih44{nG8cWlL)W_C8LFI#%zze#gvdpC4!T&dy|&_gSA7>elCR_)6U0 zuBS^hluou^P<s~E6!WH2^;3YAy1UN7SnmT`yQl3-pR4JlQ{R3uElk+*+Rly^F3&&H z%t}rPZ@jT?alZ9a&(2Rv=9z8UtGw5}-`01<ww~Dr8`ld&A5)zb<!ANzNpJ=8*>i7& zZ*F%LxoVV^bJ~8^Y`3|V%btGOmptoupH=jlqoEd#JB;VtJDn3Bxa9%ompZ8~*WN$n zUmSmTb#}SgHQ8mx8_vvlqWp5PiHNJa<wQF_XTzH(>iy-@<YaEX7Z>H8?ba`4|L@JR zWlI0ps}9;52>o{rEw%35GjUs*oSfwc@vluvPe1=w-urO->#T_y+dJ=hJf3$-`se%? zZ_kv;ik?pw$<2&kzh&Zlm)V!H7Yo_Vip%<+cH!so3%kBZt#mO9GS2<rs?N_kF-WWR zPs*;d?*&gfYc2odK6Um7xeviBlFoaq-=?|bQ+#Kbxc|~e_a@fN?YMPpMQdM}+scwF zX5#;S+yhn8KIz_$vFPm!T&k$MmDhe+Ur3$m?Fsb@g<Qq9DjpA9snmUY!t&smN~dp4 zNWUQ1rIYFWZ|bSr`Q;yVj$eL0^N<whid?B=CfP87lXDBgHu0KI<eMH7DE-domG;g@ zW_Rwd2q;p`-LY9iapxtoJNGp;cWyGfb2DViYQ7co4gRyQ{c`zLL+hXUU-rxo+pjg{ z@2mJPj#aOcEJU3T?0?z)MaJ{f{|i|yw(31{-Suvf_Y2}Zc>nA-Q7-TIXnYZyyMAHh z50(D3XYVxM9J)T`Zc2Ze=i!2dmp;h!FMIyY_jy>sbI-bU?yvuB`P%)XQm%H!zB)tu z`-}VkIA6+K&9eW%;?S2<dyh|eAYSz)@7J%RHC^uSm#?4yM7;9Ly!*zJ+HGE&?fsh6 z&u42EH0_*Rlj&T2r|WZGT@1I(`*w80{AU-h_kB~{u;0aI;+%gI7hl?V|7VYLjlTZZ zjN~oBtsVU5OZv@9)(IH$&U|?BS4I@S=>3~2^EGGb@AYH&t7Lb2vH9ie`p>3UehI6R z-8Uot-No*gQ|C@ejemG?`oBOu#<z!(>~1d>-*l(P`^Qu{+m{(Jr=C}QnX<T0@}J`S z<+8n}e}>B0KF)|a_`Gt<p|ci&C)R&^A?W^?W&g3oVeXGr_Fr4<F5BDvqf}0}$VBhi z_3AA*F7B9f|G~w*k?vMH*^^uUxP4SC*zEgZwoTOQwCE%G-(EzT-%hIQcJJSLbJ~Y! z+o)G*(Wml1zhE@KU1WEEarE4r?yjG2<>t<Qn-YEK{fCV9=)F(>`1NnwadX<I-8R1t zp54}S|Lw&z^V?ke9BLNN$?4|)>D0fkaI^1+YMWnI&YGQk|Mi8j`E8}T`7<(h-W2=% z`{<H$XScQ3Ke+hXZ`;z;KaTx+g|E)1a{im(F23WY+E1l^y^_tkkGgGtU3^)0+UuuK zzuL~L(Z}S!y|CV1b^2#e|2C_e)6{<&86E^FJAIVLeDx~fg?n9;;}vVt|K3@=bWw-9 z&8#_>JC%;a><zWqc}_$z?Nxu(7vt5PGLPe2gvE0DJ2$R0eyh0bcYpPl;Pfty%qRXG z+ZPzSPBHtWezbj|vFjAmPs@*(uQm2vRs3k4*y;J_DuV8vnEx@OI=yQ}#*_0^TY6=$ z<UR1b^R4)i@x+%~s@6_e{d}t2-dEdxfmqLNt8}05@Tffg_NWvW>zYq5EUz<h1s=%i zk1NtuXk>~L&{n$6l*01Bb>p4_U4>4jIHA*8*O{IK*F^fviRIRCWnACk+Lz6ks*%t; zqi(lYKp#`w*B6D?nY029WXtIm>L@5$UyACNE7NuO)_nbF(1EQCKSl0+c;N^#qvG;n z>samy{ttEg-xcXP*tKrA-F16o!;%`V_Z3?}Dy{avzIb*W>xu0jZTs^IbshHgzVA+* zo6T6{^XI_g+jrQWwv;?@?$_(var5*qd!cM^_v)WYH9B_B`~IE&{y=!+v63y**B@M- z6!#=U|9;c?Bkor(#_eO7-)%Z&_TDP?Yn5Nx7VoWL-S^=|s(bZMwwjk0z1*vB{@?fM z1t*yPma%j3-Z%C4E51ws(XVZzUS#P1zR%YG%=*^pf7>rEmX>*&`v3Nmiy_;;Z}<4N zKi_uNv$S}n+x45>zwh`Nuk^mY+r8Z4*6H8>&n=d|`^Nj}-~6vH)ZD9o{;Pd|Q8n*v zxYqssxpJ|uGW757e=Fx(zGeFR`+WUr7Pn46|KIE`ZgK1M_xJAodL<xne>va6Ez|$L zPw&?&+A{rb{v?0<-CyS$-Tbfi+vMche?~X|pZl1(X?E?-E%w)!>)-jKKmG4_oB8`n zwoE_&zh=H&8Hi?@Z&wJSo#xw>ZeBm(e14bzdy8AAzwJM<yj=F})8FMA{j=}B@lN^e z|Mg{@d-ctKmuq(2J-g56+kEdDtK)0`PdoYXebD@U<*WBQ{j$Hi{HkT<TV-+cMES}# z|Mknazwg<yT4nyr%d@_G?f#)NKh8IP|BU!&mw$cvcE<bXQ@g!$;%+Wo^oC{sq2<0W zU#tJjnQyjief*L2UtWs-zi?vx?W^s^#WlZde4nb!*LIs~GPV0>s9o)|?edM<IZr;< z{8HOod)7sH|E=ZgFJJI6`;=YtON;mHBDcD=5?RKVv*JpwD%H*Xu<h?}uP~EOuWPHc zH=ozLbi&8x58M2G+4pZMT?{e*^(F1MtC;zx*)_NB>`U@g-hXp>{_Sv`>?hkh@^0)) zs#M;8bvf@_?XGV-efOli+-ft)cT&wh|NP&1fsHMHwC=pS9CUnxlM~<S@*i8yY-O-q zckkup+I6faI-kbc-F<IiaN2su?d9JuNzb_WjB}sE9{0E3%a+*ciUiO5GEeQ^VwU~K zmtVgmeQm+~C*QmLi+;~j@s|Es`{?m|v5WeX<p2LZ`ufGSW3Hbb|8MY*mVNv5_-DtD zaTd2upS<q;h_z(P^vUmCAI*M{7O(R+{>|mjecvwqSG8MPv}O7~`!|;-=Y3Nx`n2=P z^5rsbpBijFvs|?A+b7xBcbAp>z7<V~e0W*Oz1s4a&7;dn=D&+>{#%<gr)=~33GdG@ zPBNMie6{m_r=s1;{-0ZvZ_PA1BL2S6kk93N=LDIwSy9L4);&A2PuDkB&ENk=N!B0n z_3A$-+ueP%)I476k$<P^^!>}f{?^#SRDWxE^xxXLmvbDO>>ppQIc{^t>E9ZO&bynQ za0&mh{J6<F)kLN1hu8dN)~N*|q930h<vM<H+m0#gKfUBtpWl7@N7nqfqD{KG&-H(l ztekv)spucq`R9r^>1sdG|5>tcb}nmu<QkT_@3!xmu>a9zGxOUl@yd2hdD~L|gc^z# zZeIIf{m(Cs%Qxj5d9XQ*M`n$2YDenH*LJyynZEr7f!dGqe|+(ix~y!tX0N7+vGBy^ z+kDZXkE-@xO!n6n`SIl0$3wD)0?XNq|4s9sI@haPJbU~0qnoxD@BEsy^;2I&P3by; zjU83tI+a#ma|QaJ+s@xszKZ9f()-_EoJ$g(SbR77;(40?h|1pJa~&^#w?B$~8zTAW zWsS<Qt``k{f1-5fPW`&k|FzZA?g*9|CAEiv%RN0N&N))6bu4;2M-+&qb}Yud+LH74 zo#pfIs0F$f3GFzyT>kEx?yGz&B-mx&UgcZiFK>10wC9iTMf?`GR?7y=zgM&++<JlC z-bWewx9j)%=kK~1e!}w$+x)l^h&U*bs6U)t6Loo|=MIUC{i3xOzS^1{|84&J%hub~ zF>1dLiW#okxz%~*8}|F1jrT6bZF?NHQJ`?k^zN7MYj1(dw}WOMZ<cPE{<mQFkBD72 zr=R{_(e6LH*y`)V%lmIUV4uIQXPfmw&nf1g<ZFMK7)vF7)fJvCea>$2%=FUElmdfh zw(EQnA)#}h#1<(<HfR0rEN#($5#^ljs&w;fbE`#5^<Cw=$F|K0xoT6kMn^e3X4R@S ztED5)*owUjy1akYtlvQ^RzI5=v|{};LB$in>wP$y+s#!f`h+I!SuNC6u};Wqsjzcf z{|e2=H>0$kM?O;GyYMNmDX2Yr@qc#IQ>03QqxYyWGB6xwLO*XZDL=6&1?4QMxd9iw z4jYKne%EIDb?d0#{7+%G__&g_@3M7yoR}0Xe%<t;+SRKM_utOzQmU5V6))N`r~16* z^~UF7y{un!BSj47r(B+rzWL-FnUs~<2_?y84_>_fQJ1}D>FGK8N^)x_<@oL8wd!)` zIAHG8eT&`Rq<vDBck0%s?|(Jl|EILijOl8|dX<BV4`jIbmtO1%(@|j)Rq$S%^vgqv zz3cTamqcBQM=8^nzqdU9OH}c#;>+Zs{Ri9D&))RrS+(9f-D^qgZ`96rt7z+e{pdL_ zrI};vbFMjcE9bAjb3eGu+KPFpBK!TQgzk!Y%Q@>kl8VmAO!B(^m%Tds!seW5>!%&* zH2=}Y;j~eycapKw^@B5~=SMAHy22x8*9MJ}w|DFP430dmm~dRttNiekeGI7K%j5O< zcU>SOLqZ@U0}sl6n2J33W*7#3hY$NB5a*W~85kHCC@3f>n5QNhEIL_R-O(@B!SD7; zeBFER)5b3h-)=mcW-r<wuDm+o?rg5_TwUhoQPZ3%9L?)%9~N$C-nhc*-yZ8%tF0dA zlxa;~^zwp9mn_$2cF(hKj)vZledN&nAoJA1wOt<=R%AYQH-E<a`po@;<&U?`{^z&2 zuhQjH%!)9*Prvn^tVs;ny-s?*OxM%H2f4JFly~KX#F^Y;?Rqj}*%$Xu_V*usnZ)xa zby51%x~B_o7+1d2;(5Q|Lb%_bb;2()Qn#&%yx_IH+~w6pr4YB85A)@l>lDn7&j0<q zs<wFME8k~&kq>XYJ>~0q#@%@KhJz<<r4mkL$?Y)t7nT3XH<L*`#l!82UhL|XL9*|U zyIC~^naaGKz_Rm4+t<gYa;f4X>TlOY|B#)cW3^jyDKCp_R8qkV|0n9-qe@>cR1itg zxNzrO%;r@;+@43tJCs!WP79Had3`YTb%NY!-lhayw{2n@&!3Za=3-jnWP8H#@1l2Z z&tpQpzwl(IA3ytKiuN-bmVn+Be8rr}XVvu_|Mwl)7HQ{FY_k3QoI0_K^XjCRn<X#$ ze{r+8_{EyetciZRzUDqV!F!&k+u;6k$=s66gU`7=Vp*en1LP$*Zb*AwVxFOQ<*N4O zVm}2X2~VHxa{WPf9xjMVj+6^{%=ckl;sgbcAS;fV?YlA~F52~fPxvusfA*o1#?0D# zw&|T%%4z&`9~1L^fiIhRX8JA9EWEwsgdazYAFr?C-m|u@la4Gq+WaFh{PJSA4Yob= zGVkf_{Mh9rTqCGh#@YJe*Gm2FWf^P4c`r?9^)=@Gac12u6JOs6?~K_GOUK?UirBPQ zBD}-qj>Oe3!G~YZh|pdbJl)}|@yx=f&m8q<Ej{pz`3#%m<nN-huZZuRzc(sIu;_r} z($#mRyOdwQ=GeXZw=2hQ`5V%_&#%R#p5PO(+`hy8iFsJ%-@os-aD6Pum)Q9zw%ze? z{_Kg?7gZcLua|WH|9{OLmOCadB|ho3Tw0&z)VugQw+f4!QBn1Us3T1m1U+&yWI{PU z&Yt;@MJ?*)yZ)cet4o&JDSAmy*_8I;hMsQzA^y;ftY*`^d#znKRRw<U>g0Q4z2ctW zg18OhuRaF*tjRfXXKwnwlxKzybj7!?TqISMX1`SIys6yWrC!%Bq!eCCeXO-~>%`Mb z9iKc8x;%NU{q@VYj?Y+j>wmjRp}fE`qca(I8W#UqopeTk(Q@^K2`mloufAh>>$>^8 zNmKXcw+9u^@BR1w$cOTIy|Yw*7%y{ZW%;{B+q!A9$jgl5(oQvrs|~(9ZeF&+@jlm< zu06t%D;N(*T$)wgy|d2tIYVCA$^$FTt3>H9lt1Tn>e&fSsU?%QFMY24eRfdS9p2C4 zm*f_5=q1>OSKUAU@6v7gsm?a8FK!$;(Ee^#!SP@94y8-8pK~)@GiMj!<Kd_^<1F;K z?=|VB`Mmb8Ubh!tHD;}O)7|>cJx1jE>1>X$$(EOXOwMiC7Q}yAa&y1v&Rd?<{;D=D z^Jio>epPOIU$RXwby~v7S9`xdJU{c|;kfr@Nt;|hbwunvbvlnl@{rX1Hle4vhu1H5 z=VtYta+0x`FIRES>$qGk|CT-5%Ee3#UUewtb(EYr;pv{^@%wDvbf)Ghu1DR&Zrt!V z?h@f_bYYDr_hjoew+b&hnyx6`GPUBb@4{!Eb+0^LZxcPP-2U&mK-T*cPrKjnr~KIb z`V{A1+uL(5_nWPKIK@{na`j;q`J0<|==Yv9Ke1f<Z@5QT;Vtv9N;B!JOu8}icQS|i zSEtWfYMp8EL&sM2A-}nh)S~-Oo}6|Lb>Q0md#2r$R7sWD_h-)eBX^eJ`tjz^xjYsH zZ>{1dTfE+?c;e*DPg~^ApIR;ROr=Yz=EkMxuGV*pmPv{<6m4F8`GUs{9r>xp7u>tM z{Rhv9)6Y{XazjkFZROb0`{kdP`Zw{0jn%>TmMZ0)5*K|h5LXqM7%H}<W!s)hUd|IV z^TW1XQ^^SXeJXX{?7(?JqWxd|yU(XeKG5}=P!u0@;plT^8~w>PX@812zW(OaU9)~q zjf8lE`!R{H7h;dIPG9{)LHd;{x2^Um);1T%jXj}(!ZW;5_eE!&Y%8}tcYWn;-AGU8 z_<dWa-!2Z#InStl@UMPEUtarz$}@gkr_4ek^mmsoH|E*kws5-qhiNBn7fm%x4?DeM z$;mF+=?fnOZ{x|Rk~3ePvG8A%iN0?`aQcy>rs}gIYE|XJ+2q+;wW16*&M!RmXXCku z>x<sxo|1hRv0(~#$g)HGvv0;OK9)GGa)zsq-sO*7(GzC<2%P`u>OW~dCNbu+m-h3X zGngE>qZu!~KxorBf!2HPXU-S>E_X}nPH^@7`$v}=@@~I(VTG;o@8c`BcLj!=-q)@n zbXE9BzL{K(m-2!B#P)|9`5v~+_n5VComWGX|EB&j#wS(o(}PwQ*EGMp+kX7zg)0lX zET7bMSJYlMyTAVOqKM-ik%{pw(ti{Tl9HTE9#3sr^TD{HQ80e)?xN<ZX%!FDnG_Ah z=KDD~t!Z?d5$HF!O<HTiVzbbjU6Fetmeian+9T?^PWIesg)CMLMcJgl1BJU!F`lyH zkuh+U*t_QX>!%a;c08D@c877J-pwkFV2^iZ)u*^_=;oV0sqZyqU%2o6F^|jpyA49G zl$(6w3042yFS`5cWuYl6CI<K~dHVW>mcL2@pXA<C62Z(%A8cLl<dDVsrqJtk*OnbU zdhdU!jCYQGt2lQ=|7?lPmBO1J)*P?d7Hp*{(y{e{fKGF@$&%10g>S2-mg|QI{=VgT zBqL7sm|_1pw?`6h9rNB?R|vlRXJ@WxO8tRvSM)hIgm^P(KbRA#x53JO;a1^YYd*Ys zow};};H_!P+*co1RnvT9`i}Si%!7|dn=J18peVfj+{}50>>Nv5Cw6@Nw8XitIl6n} zm6c3EkM^w0EBX|`;SpYyarXR&*gI{je6!UBMdlyAcWChpFSqU4(ihZk7zXS!mamIl zZeG~8div#%eV=(lZqF_3S#V+kf4u(d_r`u(+r2pXCr$iuLL+DH@jV{0bM~6wQD$R) zm6qjRw`%sC%`Uw+uDyDD{)&Q&psdUR8->XBSj#n&=kA*Q%2V*oy<K9pT<x!#8QwL# zX?*I?K0{)e^Kvmk4u<51XDzhWYIpZ8+?48&xzaV^z8jk**ZhQ)%UdtXheZqjJhAfn zq;CZWxgH0H2fO~cZTS7M&Qi`lCtTPJc3zX={2Mv{uNJp?LQ?3@m&#vy3(YNb4@Neg zt10oSoo4>ABehCo!_2cgtV?=o^(QM#n{fN8pV!-GVYbIq<bU3ZGgH~z`z7MqFTo#S zw<hYD8{~y8zs)*v#=|Y2%H)?N>byTS*(~&hlt9*(4@xR#KUU3K_x#nK1^;%$-u6vj zm48KTY5h{?Dkg>UA9o-1&xzxikjoNr!$Gp({<7~IY(CsPJ@dHPk;c?=+0OmB;@?*s zOWXQ)^`-?IZFnRmE$Z37X~SN@TXWX*yjkyftal-2o5qLWt>53f-S#ljxFNG;oxSoG zxnQ<ZK9&<*S3Mn*4Et>Ys^nYW=4`thZMAedgV39E8X3Zw@-kO9uJ}Fo+}^qK#GM%L zy;<=uY=gc{UBuCgL5ar&<4y;L+}&O-bV_M=*}rSWzb2pib+k2XdD4Y#Mf{HS=KWRq z&(&tkU9;|~rI)?Z1uj8n)keFbaBd&F@^fMvg4zYnxYVl5tzG1oS$agBZT+I+rQyF9 zDZQwe^=PsBs=g1V{3R{i@h>0i=blgOtZew)lj0*{^ZV$l?~BBauacag>dQ3!LA~{Q z2Pv`X6F%xH1m1plBYo#oiJuYI?;G~Etom{(V@Ik1*G*ZM@H3@vH!nBHTJum`?z;8e zHoIH1+^u-(I4;~aGM&5p%>FKZ`wugmYwk<eHs3vax++gdHT?R2{$5_)>ZL(lQ(RID zt!}mNd|VmB>vQdoUhL}9<b4(%6*o5M8SUPBFMob!#hhg+hSz!-({m*k+`o5Xsg6?U z^Bu2P;#DdZcOEV<4SJy*+csbB%cQTxCb}yQx*VG?IQQd}=<-?d48Im^h-EBVyLTCP z`O{PEl|k;^f0-*2UaW5y)A5&EqIPSqyX}FapEOj>CdMt=pyOA0BdclKtm3Q7mV3)Z zJkZr>Ys^bsTyDJcWv|AJS>9Z`xc3~=SQy?_5wf(&_T2Mh8hvu#Yu_FFpw7GN!`G(< zg&HD0W=zix?`71Acpot-m+eSr>A{9Cd+w+deZG@A_b<<dhhc$BSk2CV?$Le~`RS5b zbo*8rIiFeL5#8TbU;ZGGZ?o8Hz2o1KHRaQmY*}&K%|Df|>DIwDA`6yH`0?uc(=#uZ zsa$rlHQKbsFqlzKZrP^I7XPv|3#Vzu*-M?@yxK-yS|-I$W7*X*2Pu>1=gw($c-l-p z{Peg*)ak41pD~|!aA%_8#wWJdUe6c$(4%^8nnvTln^XFeH@;oLWzn^_B_-<Zrr6!y zKL6@Mr_NB0IFvg{;J^*BRr&IY#ot@yzP`{FKe;ioWxvQKBOk`w8#6@S^zHa{anfX& z6FH{a4T@fVC}&|?{c&2v%BHvv3l1*h^*Vdzk>HG_7h2a_rOM6Id@x1n^@mKe=9Sy( zwrna7%$h5Hr{dV|55JrrbEPea_rKlP?cJv)_1vUl(_M~L4$o?tl9q6;nlxS0g>$!T zmE)s@&mPnk$I3q25!Vncoqg-=A+z<~Y?0HGUfPOo{&wd=_>>g&Z+kfI-<c;mcSX{! z6%F64%(9&Bd{R5%a&t|Lb7Xx)4!6Mazn$L{mu`@YxV~Lv+7J8lFN&gh?mNC~fAPQS z@gsBTzr~;UV`9HlXL}uaH8-i8KgCicwYk8OVNdTY_V2tmU&t$WKhf-4$WVLH-~Wmt zUxV3$L^jbi(sMuE+o<Bq6CJkV>&XZ4=X7VzIKz_rxw5@AHth_z^!+0@HeI?hEB~_H zCx=yq_vW9dnsWHH=k8L|wy*WB`@LT4E>%p?NHTMs>6v*d<>j%6T*tDxde_&@Vy+OM z#`e{##-!_5@3Duje^{MnJD4TD5HGe-lAK|;f6g<L%?xHj=S`n2P!Y<XJ?nwV$qnoq z&;Pt-Q?qk_&ZcDx?xdwNe_58aUz0o3Ni!*eSuIugw(hnYF@?YR(^j48O@FC&GEhly z(*JKY8gm|pP2dw;C-`Z$m+*``?=_Q`ig<EzE>Ac#qcD74)D`_VO!0NTCcCGeJ=19T zAhOEcC||XA!;e`Nd0n!4KASrE-n>#?xhB%rUw3NYguwpkv#xwJ`W#f^pJpjqZ+bU% z?b;nR<@U?wOJ3Q&hbb&ebmzjUY2_J}H*}56I1em4+p4k8c=?pom8KKdaCpDaku=K9 z@bETaICb2YqhOQEv5EIM(l%8+__cjOm3(q};=G^`pBKlD9JuaqMXY?P`?)iWPuB%a z31a75RQM)ZOj7UzTj&MF{Z5+Scd0e#9)GZTR<`W+-UTh%&z5GXzY$zg<9vDI^5%)H ze!EY6tkJpmO|jNnm6avMwafc@;GVFfy6?*GnEJ#AnJXrp@1DCb*6v(ahVYf2S#A|C zcU828L~|?mD6adR@QkDMdW0PBugY76iZvxHA9VSTixr)?bo%0gsV^Pkb=LX$>AYNa z%Xsy)mtIB7*=Dall0U7_?5?!O`9(7)zdYW?b+FB4Rp*9-4k2X|Szl=#IhtF1)J4VC z?dYWc6-f@fJv_g6Sash?I4&=D{kULm@rmUP9fA@HQ~%CA+`@g{!aA-v;HQ|)c4Jw) zt$+O`D>&_syjrqSBIRNEnPBhmwhjBvt@B<tL2<>Fdjf(orn+aI9Zmfp_3WC#W@|p@ z>51i!H$2-ueZNP?CH2?+`&lJ9Dj%G3>o4UgP8L6MZh7Cb<7bZ?tA2H}TPBu6{Qv71 zxvMAsDmv8oecH9xM>YO`z0r3q>*-$)AG|&5=A+V8Crpm2u3%MuvvvNvU2H2hSZ$2V zV4W>yb?iaP0uSkhBB~v$ew{nUIP==y*O`4Q47K&OULI~PJ*3FHz`%yx=?U-Go5nfX zOPl6PyZjfHpX+J#w8QD*nzNT!$^uj3Pd+>Mjn6$OMMP*3Q<jr$qLFt01+HDMkDS}d zuGJPFzOIE|N66{c#`Oo9wQk0*{^_&oR{E#&FYfOA#QZ~U%f&PI?k+d5UiXZ*EQtG4 z9oOScLgq;q!lVw1@7raYp#J>Yrg=`RB7XaK{oChx<=MsMa~Hhbd)i;&g!f#V7g0IS zE~RkXy%@CN$G3&6WDYQShde(}@;s%sQDDKYoYdUjSIa+4W8tt|d1G$fDmJSP_hv-u z%$d}Zyl^g0ZlDaS=CluA#AKEju*xPD>}c6_VM@bpm2cG!-gX+#-p#FhH0gHI`9+~u zjc!Y>tKaeTU$4@v+Xc<8dm{tO0~lPn|9FR*2Ch!D&E5Y%@5j1{#x-4+H0%D!U#@gn z|GDztMuG1uww^YbpZRiyy>rfig%_KBuPl2oJx*3Z;aA07&u?oE9Jy2ZpYy`G<8B_M zGoF2V_Q9k5e0{(z?a3YD`p5XKb{I|F&J=&An$d%qPdIeNC$3v-j*ILzJFh#v<oD%W zoOSmE4fW4Iyjn9^wc}mEkG%LFysGIvy~=*o0w2N}&K-|gE~_an_kV5ZPOER3U-g(b zsoP$ixvjCHecH-dOf2R_jBa{s<(6IW$dEU1eSUDJ`cl64{$FYy+!Rukj;gnJs@U{l z(Z}oclf<)T@9#DDp76hPRchgd=d(@)6$^L?GOWm4S`c>9a(z<8FCmq<e}B_m&nUey z=&t`*tF`Cm&%3;)veDVqH7~^9gt;V%9gp9#Li$MOu17CFvL3L1l2^gyTlC|f-+!s@ z_4^hq)^4&f7OmfXDCptAsdhKG3?(+s*!IcbLDR;S_8P4<%{PP&NQn7vHQN7r>E#7% z#R}7vrzVP=J$LS$o0+%ahtd^-JxV9pZJ*0G`rS+qytF#-gOO`~fz0!LUxT&#w;Viu zJO0J_^R4?#j%U2xr+s?$0*N)I*B2^2Irk<ZSL(j;mbcHYJYum>Hc+d&xx6FvN~m$m zG{3UAOU{MiQ+wAs_aAfpeE5f<`;|Rew~j2~ZY%h<wWZA_JG(npi1X?ajt%Q%^5tA5 z?y+usa`EQ;(|c~~oJia8xBj+~&cBR1i%bF!Jg}JfZ)eQhgdhDkG8P}>=dzy`^Wol2 z9%bhR{f7#3oV6aDSRI(8I_vE@xznm@`q~E4i#n$Lp1AYs>f1G%nfuPy*E*En`2JgA z_P#@*0daggR@i23xO`D<;gf_Yo1U{jcIR#HNK|ACGmhG-Cg$wDvh3E$1KS-e+$L>` zc3iysw}bs%Rh|?l_G=piFK*(9nzCua)SSR42}?v+YWMoItvB|2`qAS2&I_7{%q3Lw zGwV(+U2-n^)xU~e4tgnj{!g1IUnp1I|FSUY$^Pg!M*BQ|D6Fj6JoDZZt_#)qb-(L% zKj<+Z(VsDgaqE^JX>~R43a=ksUN7q}wUa?c^N!`m*Zz;}HnzsB+V%g_qUf#1Eq+W> z4cOlGHSzc#HC~4QDl9Q-a*q8`g4d?1`Ta<hU9a(|XKA|Fv;NmbdPcc3?cXwNStR-M z%Y<NcuDFw4*39cSzjauwk+WYkT<7qP!a%86ezzTSwpjdq@59cWRBo!grgx%HMvUj4 z$YuT6Yq$PRz1=c1&+o*{n|uB;EXgw}%4OMqrH?cD#$LZXN2jZbkEKGL%&va-DE9IH z#3Umy`QQ6o>2DwMTFs6GhQ#Ne_kH8T>HDWg;Pv&nk20)YMjrp#=%Bi>S+^nh<WKe0 z-PgZ!?s)vQE9TML>)T{nC9XbsDwEwWEtFWA{K-qtB<8_GlfSDk2FGZpuU`A^%alBy z|7A%|+f8EXtG?YiIFtF*;=JNoEr$Pp!`93=@U%nHK5v@g`EJF@F>9{*#4FC*G*k3z z<}Ft3rz)Q<zIwl|FmM0xRG($jfhP=wLTY!~d5ilO%xu)k-zF=q7Ze*Wz4Tl4y#AX) zOZ_Ls8z#DJf0tP_%Ww14Zg*?N>z!d0<%x_z4;Xi`{ol4xuDall*2kIE^_nVo-^4BY zQ4pSF`+r&4I-YL=8Gm2r@8XDFb};UM<aMEM54}}bIttSx%6rcKXp4L<C@@Pf*HR;B zmHn-}>MJQdCmzqVREZRQx02n*SE2sr+5PvU*$#KC{~MqAPV&5@|Ee8@Cp06(KFoco zxkdBD!Y%W^v8LR<c~SE>Pj%|q`s72RTRXj<-?e33cdm7Pea>^vE0QTY*WQuwYYI_b zXSa7+qGPCKjoKCQvgx~DHOEL??Cq(v;4n3o_E{%go_)(~i|k{sRi`h{d^)j?v-;(! zW&b^cRb8haQj$uzUHSgULFQleqAZG+xIZ2@ky`g}pKW8~wd|?CX78F_%+$Z)6H~H~ zTvM~9#m{+`WfLY$SzN~2a%+Lx&&jEs(pgKL8kZZr(<+^-StFKvcb?Jogmc&DFX;>j z+^;|7#o}y!o`PjX_dd-MT77IMSFlVP%iamkx>ir%c00NBjqe7Z%(XS47ICpbp-Zx* z7N54$sS5MVKEHTJZbcFw|KA%keAt$CE!sQLZ^aV!HAnAE=#xuhN|Kkptd?<2?p&tP z>KV;*L_Qau|Lu{j@>*XxMESn%uiPSmN-NplK6m7vJ=pNsCUL^Id&+5Su^~Dlv1}@b z-l-Ic7A~1$*SzrKZh<>$#g#v9YZ|<%4RT1b694pRZ%EDb|4!{Wt^1Dd3Hiri5wmQ< zo|mE4O!rT(DXjdsMa%2J@h;;_I`4RQT{HOOFyF(wBP~wipjuy#==Q3s4dLIXIx4RH zSDQW6!&Pe2MuwL!Z~ghppJ?Q$b5T}0@NxQ?RZq=?+>RanFl~vXnO|IGwEMdS9h1zT zn=V;;LCKTFo>eaF2`7JMrOYA=<J=8`OjXmpUZ!dGp5NxFG{HFISbFBMImZri{tVoG zrDxXKBb#1)T%Y*))~8GS-xmt}a}cPx^|ESxA+u81cSpO{>{Ft-4HNzMJ~o_mN6q2I zmz@ien9nag!~83xwS4Cx&;3rtKK!EoS@W7-tm_D4Om5%sU~8gOODFr~8|n7Z0Rjer zF3Th366224XBGZmEL=Fh=f>7ok0dXAJ;mv~rM7?TNymk~?WPvWFQY?KRI~qD&#+W| z)qCxM@C!HlA3x?xd*to?R;A+9WR|gY?^W-kf~yYvIDJL;zhh2*^@=K?IoZzy59rR2 zX5<kRjmofGH}B%Y%K29|U$@YS-OkwYbIw{T_caRX{gX0(-DOvA%i$67SKSyT9+($- z@bH`xE{Bt^_dZN{?-zX9!b1N@)#j}`^VOpY<E}ifS<iboIyl_P?e=!5Mw6pO?{0k1 zj;>DF-8V=3j<dP=%;|H?dhc$@*g4<&%?^vl3VZf0{vlMT_l_r_J?Nu!tL}q&``4R9 zad>X@<~{QHQ|}&=Cp;`Y<;e?|%W3Hw%HH2w-knk?)HHFAPTZ}c)#rYRrvLb*r1Q^8 zFZY-7x}DRrM32iptv5Ekv777b1J`4<x;ip*A}_Cf#d&toVF%Fz0n^W$FF$d+H2KZf z9ZS_SZ<wT=(G9hklX>~NwesqXr&*sJbz<tOIq<R0>p{VdLIJ7P^JiwIZf<hEo#(d8 zvrt5u<<2y{XP-3+ycv`wx9EQ4f2kSDZDzCMKd<mA)n|)i@>zdw^iN;BbWQD_S5KDl ze48pM{<y+hx3l6=lHQxY(Jn5I3ooAzpODbDdspWi;~vf5xfinwy*10jm&GjR-D|s? z#Vu@B`iA2onL9flv8<SP{p|lM@l_M8yfw`#f6cI9>0IEmE7S2=^M!!DJ5|@RWrzi( zT{w92>X(C)PTRX~5$@g5e7;WNPxY;3liaHKzKhvt|I6K9S3R-S_=?^Qub&DMMIR%( z6&_7&yQ}<*e}3ZsX%6k5T9(_h9(mAXY;!=hOf%qj;nWpobDKNecpB$Pe4Z}9>_-oS zG4EWrsbX)JrRP}o{V(#^)Aa6CEx)Y1W6{CFo;SzU%x&k2e=X&D8`@yju&grt^^I!} z?8Ia;nYpri6konI`u1nG?oplBQH|SXiEym)44%4ftC`3olm3F8ZkIl%D(G!CifHgU z;CscsNoaY|<$zDuM7ZWx-gusUDs4{H<*#Z&?{>4F5Su+UxANYyb<qqgXUrC{wfn?B zmO0kd)Ds?{@L}<Lvrj%pno|yh2tF!2Ii-KA*72n~mz_yn<y^Jq;DgVB%aoJ;uHK<g z8~2^%f&W9DxWC-Y(JOk7)H8KWJ;|QN6Vf#A#r(hDxT5yF+Pd<{vKgt;g*!Y_1h#TL z+Qhwq(=p*juT=Nk)i;$tN$p*DbDrnJ6DIo$F73+WY@1|seP`B(yBoIU1n!*py`bgT zi=J4&M&+md;ZuLG2XBnM{A|ast6CX>A1!lyO!9j_Fs_yn`E0aic|g#Y6T5b7*lTFk zvD*IH%ffeGInD*&OiZ08<$KrY-IYR_y{uWLXF2SQ?#(wlT)F?slsC!V4qIm}W#Z6k z_1f}|<;u(-YpYMEzd3S{sn#T*^`(>h&-q{VrkSpuyJE+kY&*GDdlT*Z|LS66e;ax> zp3sdmUa6p0Fu$h$O4vuHz#5B({}xJkueFWY)DSMeXz%ShGec(iC%(dSm&;0gmOmVK z+xK(W-w)Oo6Qf#gIi+S8c(d%<zOJHW-twnmJf|OBOyvHzTP^5nz;nAduddz+do}G= z-qt&=t3@AsmA8NTp!YEQCWBZhf9eBXrYM%3<`3eQJhfJm-acK0dw;SdL)8C82O>XO z2`QvJ+QdHN%90nR_V$+}CGCV~KXv+RxKa3_jm7S#MH?3J+uvDyO|J3N%<NSa?@k*h zDTliK^K#4FxJ)tAdqs4>TjxO2fNlfR`QLe?n*}bFSQz>XoXlA9$+}Q%#rwO}9@;aP zez!4CUmdxtD>=!fNYFsmIDqji+h)#~$m4rrm|i-?%ze4y&eV9_eEvEA&GI$)%vsHg zLjO5WdKejP(fiv)_T85``DY{L<=VNk>#xo&X`ZphHhi-(v!?2jyY_$6gw=~0mdMQL zN&dV3pS1Mrn&hs8&R?NtuH9m}aA8H<(@+ny+O=YGw^!_!sHiKo*s(PC!=&9R%i2v0 z+U~VJ&zY)zWvcMAN(%wCqffoQJ{L3jvQBu1NPOoc%MELjl*%loX1=f4&i#+)sa(#B z4R>WVfA5@BzHQs@2V%R<PHf*cZOYt~FUG3xded!wmu)`TJWb6%=T=v!^X^4cQt~?w zSUWU-e{pP<($7hGI$2A+In27-+ZkQnn%;gSdD?7iQ>OI}-96DCUKU(;i?*73lz+N* zd|*Xw-}%XxbQ5pdUt?PT<G-!-KaaazT<`t_U6c`*zQFJ&tfX!J>ltg=t*)M!qqz4a zhnJ)b)AmhYHBN-p6>Ms=PdoSOKNF`!PrLj74I9rNjZ~Wc%XfL*I%bz`aghRStC#R5 zUOlpOar)62?j@efPp}<#)a$Sb5M*SvI{7an-1Uc0!|w0KC#`=ru**9%R=i8+)9~&V zNVvIu=Y>T#yenot4qJXOOph&UhU8zzBiCILjV3f3msu=YVPf~*U#?EzW8cM_7hitq zyY!7wusBrr(aA_5R`aBZkvDuLb(+NEZ@gNqagQzKfo&oC=7x9?rju^R{HGUxsn}D( zt^ay|{mOQoj^vH0>x}}RT&bRRdQ+#(J(2YrugS8dZeNq3Z@+VGfylXog#~VrtffD? z&uiUZ{3Ri7o)w?_KX>26zuSZOgb&Y@^Uh7kEJ)tW<$mRnW4@|EhvWXP?#~n2eea%L z7Uy!1iS<Eweb5#urSg1*Vm@Bh+51}TtRBhVc3*LLj<xmvCQjpn@^hK}7cJlF8DVtb z;KZubSgF#ff3%s~jem8|n6@sj$ZkTQ^KPD58=Q_hvi*3H-FGJFdRD+LRh^*yU0>P` za0;*9xjS*wKjWOL-P6o0HHyyu&fL5$xv}E$F`vh`bFwv}n7+TPJ$zM5wPSY1`HXii zo0T=WeU9ytGv2g9IYU*u^Xid%VmE)e@izR()i^KLx^SV_G3gHG?AQsv_LnXQdN-}2 zd;jc1pOtTHm@utB`;}C2>Bi^MJN7;PyfV^7{m#|xZyLQ{25L&5l+Em^ZrYhSrR0yq z-_#3R)0v-KV*T!W<Cs!*(gV4}zGg;dLPiT(^veEN_6N=qj9$L3pv-xl-<6f`9|XD- zTD<@9vFYE@J2f4z9#pRKl<fO+CwlSkmr^E^H~l@%vflAqwW|I;@31TL=dNAEWpw`- z+vj)B?>02m#iZQr%H~=ksws1EL+q@gX9aJUHc9_hc+daXjb+E=D2~Iox7pQ7*VjEQ znwjQwqP8fv;)RHxQ*M(q*Sh3p{oLy%U82f+Ma_yovif!;-;4Mcar?l@XU}={H<p?| zYM&*U>HhM}gso9-rEE#X6Kif4);~ODu)V#HjqT->GuNe#|KjtzsbA<?xBOVjhfE9Q zOYfzx9`Tfv(PMb{{|47ip3qkwe$2(|@*f9V~uYVED3%OCBX6rZKs#$ml<p~?fZ zm(Fpsq-rex*%Ue%-DR59yg8Xi<9gQLy|?_>N*=f61kN(L>-UEJQ$#@e<Bouwxi?n# zTS+`VQZy@BN9r8MwsrAr+w5k1GrnB7R?PU89J_J4Vu!#JZr2UcJhS{?GaNO!q+sCx z&?|bby<LRmp^&~xroDQ%b%I}Ae>BT&OW;HMMCBX3cXY$|#qIRIz?PnC8f|u|@kw9W zhu7gVe0z1mfBVY*xH*B>Chmin@-@4X%HWvif7#W=u3eV+{k`(iqqI{IFHYRw@UM%b zR6c&<!>2brjxXrGp`Rhaa8LCb|Fjl;$rUQoD}J&)b8rm*x@Y|%!;(M$b}!vG*L`-y z)#DsGp3I_qFPr-PnE3Ab)20{(o^RJZ*~+FV${rG2vUjR|**y7<iWTc0Z9Mre;O5tN z>1&gGPE=}q>DNh^@qNylr{8bRDTv?rtX=L<;mhobA}^04;bn&W4he_Z-fvbnlz+&! zaK#25tr%%vT^>V+h^?KQcC*?|yWNoYyo4{Ka*}}FR+gzh*Bq;gFg@pzCbqdVwW0UR zbx9B9*)8?W5v{upu)Ld47=FES=7mSXCw>Om^nWV3v*OYQ*>5dj?s6}j(le&Jb6E7e ziqhS-dArm6{SyR^_{ls_lH=MMIBi=-&imOny5dXQ=J<OnUM}>IyB?<9_VUuENd8I3 zr&-nfKem9`ZhFzXSquK8HD3O>ezkFi=zWXV_ey2*+f1IbXY6idGhaKSwdq#GtC~j| z@3q53*H||TX}>YF)jcTCICo-WX{fcm@mG1i*VFE*1RDiraK*hY<*v~vIQz&tH~*1} zl4)GW)Tnu4%qzb<ELN;%R`^u$W6jyjLtI@kl`nYJH_24nwA}iCDYid2x#X2lbKfpz z8HNz%&qi-A-!<~~zNh#!?M>@u{q$$=-<G`gJi{HMyQzQ8pOf1Cw&n?U`sce$JZbg# zzvUAXFU$M2C-!k3S^b<zUs<sF!*{OOMW&w4%eU^eZF=nPxjkj$rMpul_k7P;7yj9D zr%cs+$Dj=g)$d$NJdd}hoDEvke@gF8R?G~}tqGeJeEHY2TqkAux!?S=y@R~BdiQOp z4Bc_zZmY?pj^hb?%MWYTr9Im@Z|~{};_Bs=)>_rsijQP=3+HI9U!1UM)28Nxbj?Pd z+8080Ki|*dS#gQ|t2Xx?0miA<q*$vy9*I;ApI5)Y_?yV{kLx#?dn8{{2&w#aZPuSF zt`0XHm>q;Gs-IriA!_sHwh`yG8G>s6IP~sZ_108wmEZKcg>glk%7M2F_8bW*em+sb z{VKOk@a@imhP(g0CLF4`*x+h-A?W{VpBT-5>i4A{%>3w6DgS)+7LlEtGv>S5S9S^Q za$%nTZ&suTlfiPmJ$2?c7XLo`GpW}6?``ubhwtyZaC}4ToA&kI*D_5`PQDRbIj`+} z@FT5<SDCbL%DkB4R>^Vsz^3QE2basXdRrIY`NbCXzDvMvIahn}PV2_EKA)F{IUTKP z3_W=K%GN!<6YQ>-?3#LK?cw^jK6&3KBzH|(U3KU3i*EZ4IUSu1f>{mUMKkhFetX8v zXt1G-|M}F8Lu{Kn&)Wy|3Ll@y&zI`rtvNY#s_(?x>96Nx`z@KPb|5V5^zQn~6LuGR zgg;sAIi;09P5Va@tNX_rO6LvUE%SZJUD>{$TVSij)MnAC2DgGY*$FJ-m|prV!((1t z@7Jlb_?P5v{BllRR@Juchm>?7-;<Y39CG^}I`u8OdvUAU@^E$UXYsWO9|KP9i&EM@ zLw8cZ{7mx{QRmXM><gcEtNmVj$YYO~@<*=EHwp@uUAoWmjXnSG^l2&6jf!|zB(2!9 zh<&Q9{n_JcXCj$wy5hJtEU2r?d2?9%*^{3Zn-7{B8|`2H?oa!~yXO3_PS~yE-^i@( z5c8+;>X`%TmT!da?aa6!m14bj+8INSUANAeeYx6y<iFewjvVFr$0ok7^<HH)qdKB{ zb@iJl=ihO<FV<U^eK--dr2KGuP2u0lmP)28Ry9p}AM6)wf3_+rY2Bg2rXOMjPiYEP zeLN}Ta%6$omw7jSvR)If{IOu`_jNx~e6}2KKE2Z^g0Jq%pZB}vGxC+XJ~wOrQZRY_ zO0WF-oViOSTS`u(<@z2y+8(pv%I|8m?@2kF>UKt}ZmPb%$hY|74~2!zPx>EyUoq!& zV$I6spLlH2#a23n3oDz+uey-K-`x81PAsdvNNV1qv#0j03>V_Pm72z~q-5dLhZXCJ zqShHNf7qnXKKtTX%W29FP0l{@6_I-DDeE;ce0gv0l@{&uCNh=LZa-JM9-Lauc45D# z#&gY$i_$J`{`t`7e?w`=aZy9X-)}0o6TU8Zy>vyrr?K|cYX>(4%WQhTV)5g9ht#gT zVz^=Da{cGVQc-^E(k5Mz39Vj6;d7e1>uu+kl+7&Y+p|75Zry?qrMg)$Q?o7{ymwGB zclXk{A0~R8R$prw`7K&@M%52yZUOsSv;Rrjq)PO@cL<T^zB0Gv=cmNN*B1KQ<(~-_ zD1A@gt#W&3nen!nY4M!p><<m&r%5%hHh#apA=Klm-Y>PF)U1#7cTYN)ur#*Mm^Sx< zhn2?^hDX^lE_NSYwCvWe{Cd=5(p{mcwi?^q=M)`?c)&KHncv{_jo6LTFZrxKQNa|K zu+Av&HrwAn4;LO+4*8s38MXddO2G!t@XZQ#?D3J=8--+caujbdaA5o@yh>E4Y|Gn4 zOp#ygH<ceO(OSLciRqT3>bbs7g&T#r9`4#J))D`BahRLf%s;BT9g?R!SYf|p>64C# zCEV+H<2Ts9kuC1ZW`6l@!t?D60n96Qn{_77HJ>Ovlkt$_Njv|<Ir~-zhpgd$eERaH z=-Bz*33o2KG!?}ud~EylV*Qc4dpa9~tI`r?em!wH@AtVGi&EDwoolwODLQ16z=p$n z&q~g=irXEUli2#QAb>%{f98QnGZ#e5nJZ;YzH492yC9(T<e&K)cImV}Ua!6=H!-=w zRcm9=Q<I!)zN!rwtNtcihPbV{Yc%gfOr-E}r#4~v%D>KW-VYQ6GSmL|=6MwE>vLP( zd1~3u{fX+v*Oo|}_dZ*5b+&+kZH&H2k@?$w--}Nx_)oRC)vx9d^^r~XbMCuNW0CvG zngK>@3eNJVDcvervUC4U-MM`KUM40;bH>kmJ^PLGs%t;)_^#is_)5m<sr059vJZc- zvX}kY+R+qsxwCis`n$U=e$9AdyT$6Vfk3mU_k^0KYl}@kDA~N7d1>yQHBC2LR!(hQ zKSz3BT1@tKWsMT6fG@1+`iqvo|8c|R^WN5(zpJFS<}0TZ-|?KD6zkurakGPGRbukt z-AQpz*Q9l2-b`n@;kP>@Nbhod!yXsMU&}w1wFj!rG%;B7_C@0bW=0;K);OWi1TK%h zva?sK{PmyYR=p9f`BC=6BG$<*dG{9eO5fW8-nsiMY^C2F*zkSohLv|V7<;Si_wdSZ z7n~cNVV8bfd5*2>wo^R8-wo2Y2wc9fQT^ZC2h1{D2MgV^S5DLBNc{eIyIN|zP=}H5 z>_<DS=c(!a`um|^-^ZP|HWf{`sGanG$=SDSHh<yn@GSS}>M2=k%Vm81sByugy<ysY zj2AboJkZTDZ}U~}?TZhvE8DT<ep~!Lu~PX*yy=Wn*H{g8oGbrXGbZcLx_r%TqV%)l zJx@;-tqVz;$#%!(WlH$Z+ErQwHZ@Vz2R7Gj`(F`l`N?!LgP`BcFLft2b+E|)kyhJq z>s@@1#q*iMu|0E2AGh9hpHg&gE%VZ23{4r?Yf`<-GDJ@+t*#V|oAz6Hr{PVFZ6cxJ zD)HY>ZR)-ee>1S_XmYNp%Bc{?u(_7k51hDRAzRWXuy;ytlSh7YWSQLmdv_<T-8CmV zTxHMymWqwOFEp3Oo>tgbbA<0>+?D{A*o*$zcN7)YZC+~oyld7fpNr2<Tr~c@zRl9` zsPtdST@C&|vqK-sB*?7n(9^7U@cqk|t8CD4A$mQ_>f#KW#g|@QJUMscpEnO<<{Vhv z;k=HALoDZi%jSyRYmYl-U!Kh~OZl35pRQ1`{)WwRp>3V&teagHOt!O`Hu33>tCM8C z&grl5oX_HR$)tVr^^+mm;R&LCrw%VXZS-N8+ANOd{#OyXe?_LOXfV6c+26XEz5DI| z^IKyld@r4K<~-AlwD1e(7xQp?-sj)(bnYA`As)#cMvqTx%w&)Mc6pPuSld~t#H8E@ z?^3nP;`m%8G))g{i0?fcA)b?Tn{nDQvvt}AI`Sv;l={D}t*&JE_CFBO5Tn)GVA}X~ z>D<GU8ZrXTW^vx#nj?L!@o49Yw-s-h5B)qN#kB3}Y>f?bHth-%(5%b6%ya8i&W!{0 zj*1D9S4~wlW>0yl8!0s{IdA`Owu4Lb({Fcdj~DYce&BtdGd8m?*lx~5kw6z_g}pBu z1NI!yWQf@<p&XI2zeU&A;iPq?e5tUsbo89K3ukwH7m}Xs_4?S{pEgn}V%_WiUfSkv z+`cGp-TLD#yys8XU;k{L*AbYiJaNmeuIB5y8UAVvYCnxDJEHw7(rmfw<a6rh?GKq8 zY!fEMed&C@@#pz<m!el3G!@jYOHV8}dB3MW%J;zBbIOM=Zr{<ihC8@o@2`W7Gb<KG zUb*6}`ZZ3NHHiQH8>x5l8>@}pw0eZykN%P8RdFz(O*O{2d;g`(tSwLfb8cMqglm<P z&8i1$9r#7xOIn{#@8bLTe&=77+kIO9R&D%zdc~^clG7H2Nl*VXuS8|C&z+qvI?Lt< zO3hrIVp!cY+08~?a8hP#(KAl9-;Eic3s$Ny2QJUNsIA1h(Ifwipz+0Hr?n@{*sK$` zqv#yx?XCYOKmPLn`_j9?`DL&F7RfuUcow9QW@RR`@x<$7&WUH#E_lq4Jo!H|kN2!{ zYvoqY3nd>Fio8v)NcmRVU%Pf}s#=23H>bJocMiPdFJs#-tTWTaw<<!S*t=+J+iOm- z*HRq;HF4HmjS<&>)VO)CK3{QgYt@W8mH&ZGODmH-vU9Rmr`&riQK9%Y`j!mON%2D) z&a6$|FlEw(sQuH;Hb{CF>m6v@;Bju|*1VDr()$I!3V!ZcxMT9Cl#1S<R#mU#mW-l& zpQd-_U6{&w?&qrnyI?tntIfJM|L?05UzwI)BPg@t;K#|A8DwgdOx8CqSfQ9|;dpXc zjs&BhK;G{L9jyp4pQg6F<?Cn0-G49cHrxDc(j5P-vFWS6EsBiU#~W*4wmHx9b*}q0 zJ-2m&OI8cNnzdH7<-kV%$>$atu*;-5_tqa=;`B?uJ-KbI?jJRt=Ej=lMK|i#B_H1I zp3m`m=90B%Z#CR8yno-<ML=EcIEUecZ^oZ5h}7DZ>d12H{0_NPp;J8Z;2WVC2@krZ z+Bdzh>S$hGT*DxhdF#4r?mg|Z3-q}<f3|xu%v<4c?x^}zb`PzkmjrKX8rp2)obu~@ z`{pluG<nyHc+Pt=*Lcw~k*7&Do0&KH?US^5ou?;sdg5h^1a`O0531|FN0%)-8hBa5 z$9ezu{DdvxBF@f>e;w2|dpPloQLOfJ9mc0Ec6M|7n_spatPuIeq5PmxhApM)$j{3S z4zCiHUwlx!{Y0|LwdDp!BippzoxC33@P1qAeRXEtU>}G7dWv@^UV6FZm)n<<i66pO zzuz)>qMzg1C9TshRw`s3-fVoKJ#xY4!xJ9m6)5XA*jAij&EgEHZJYYIweY{8Y~OJy zmUFgA%lG~}Zgp78{Y3ovpMklHWqusxJ#nUs+y79>Cx=UURj1Z+9-M8@o;aaD;ivQm zCb=6Lv!`qdUBCZK+gG=xeKJ~erLMne*V(XG{d8`O!G2xtOMTlCTePluzO$?E=TTJu z5$(;9Jk>ox)hf<W;<ROtlk1#<u#MTKuE|;ka_-#`?&}V=ZV6kzwWCJuZ&>gViH+=a z&*ENBS#w|Xn|H>i8@rZ1v3E`GIJfEM+T8+$`YCThUM@Qyed6}Q)mzy98aA9tT_ad- zc-!S|p|=g2%XJ31G|3i=_iG<sVt(_v^hA=@<1dGW)=o<;f4oSQ$*S|$qnHbZk1y)H zd$I4{hq>oi^5hctA3Z<&&EsA5_cly^r&T{^%l8ZEOPn-U@0~O4dyCImH&x~6-ln69 z3Tj*#3l=B5I9<K<>g=~?UjALV{z!&Zfx!)-&AH`9D>h%5@&4wSpP9=8Z@zL0o#?Rg zc#OMhzvRicTfJw<W%#W9XgVWUeCvFX{pQ==+nw3Pp=5Z){H9;8NR3Qk-J7Kse(h`P zV@<B?Z&BE}*L8pFq*q!#A0v3R6F1D~E(<Vp*6!?<k-5L{3r`t0i&XSW{#VC?u1x5D z6L{jJJ!AehrjIfrN56|GS(<q@Ex4DSDK0gU`My}om+L1K)Q$@MHRkT!{OZi+qiOcL zTg$$3|8p$8r+US9LFUF??hA|5xqjZ6uJY*DZ@IhmTx)%n?PxH%YIwU%Yn6(-U>f)G z*M1E5EGAs#h*3K*fh%9WZvJ<!XSbeTvFf?BEc16>o{F`l_1zCD>@VMjvn|dkNa<K* zH0gfDiJ%?wU%JX_kFF1&AGJYj0@LX~*Nm%!Hu7d)P?gb%x#jZp<({UN!yaER?Ji6! z>+I6tx$o9||Hjc(H$62>uA7P6QC6^-SI^#g;7765XBox2Q)iS#I4XU;y0UuuH|?L@ zf1WI6*YR8C*HydQzQ1&*>AtyLpW+_B4Oa<^z45k9#MMvH?b2R@UuF$|Tk=m$SB^V) zLhAJM=<5fztP`31V(+sX%IapBNeXO5iM{KTO*3OQf0|t{-e7u$&1QGehijqnhm5*s z&szTAochXNVqv>{=FF`RdU#!6!?|G3XImo7ZNALU>Cig%Nv@VXUwfsag|ScBzj=F} zdCCR%mX?O~o>hKwNl?J&r+DP1;=W&#Y*k!!<{T7%Tie35f7*<nVXbPh+op?aA6HOc zq^rNCTVHd@mjgU&)3}zIv0knaC=s|*cR*KJa?h*(w%hF98-4QLfAY@p{HaT-Cb_*{ z$8w}4v3AC+pIP31TMgB`L^?OIHl54Ne*JLL(Fane<U%KSSw4OB?w&+<%;w-FyB}X? zP%YSXcxv)P(Iq!yp2{1f@$W9}-m`1z^Q6H1HT8<OJtv3BJ#X-zcX;)ds60(el|xZG z9GGLBw+G}loPNbWAx(f={KrrC8zyIZd7N&ln_Rh>)td9p+cwJNWR2BH-KwHV@|KTe znF}?HrYcR^pvZh9%l1&ojc7G)i;b72{$9%=7N2I-BL48O^-jry^5H%G9LZOIr*HW9 z>%*PJx|(@GHenZ<rp=MN{Yri7-dic&%`<1Kvd!8$uW$ZUxyOG}*^ZUockpf4`rH3$ z4zu1uH~Wiu+MM!h1a8in{8Kyk>r%On&k74aa>*<H>bojGZLcoZ;qLPbeqUTXS>M`R zOi^&b&EgNTTIX1vGiw>EE--F$QGLBzyz2Z-)1y55dP{wR&25vdoYm_@TCA7UGQM3H z>+QTY{KBb6bCy39zVvH$)xY^wee)O!_D8QQ6ui&$ZPM$1dfxJ9TziVLXRf&@c!pKD zK(tVI3**d*742`>uW-v;(GuOcc&3JNk>)=x!vmjB#Fg$?`!DF{w)YFu<F~TD*!4s8 zpW1o$`yCS{baK}fT1RkxUAlVb!bL02vz}P`X6x_&O|x!q+F@Nke`@oI)Ajp<m^(}+ zF>~i!+j!A-ljZx*J{KI~RNAe(1PpdWb?|6zULa+ApL6?O$FKYy1znrp-MJ!{=Nmck zPwB_YJ0E*(wtmIT{_K&tRYp=*{Pth2^R~pZUSai_a%aM;u6O_IbMCQ9Ge2q9{UN_A z&3w`sD}nBb>mmxzG3dOXx04|%#`9cm?yGA?v(DU<P+0ikDd*`9Yv0vsSv++!(wnpr zY{OO;@x8hx_N?a%$E7zV4NqUoe%f-7*Yekg(Es~ZJzL+n@v&A=-oaZF=G$2RXFV#~ zaBs?EPU~u+OqGB)FL<O+eg1sk%5{tAG9RH&MYZL(&pmZgxT<VFajW#RK+dlMnJYYH zS#F5k4C8Xucm8wd<)LTK)^ODRDrEm~$@`j=v;E`G7lYFUI+_liE}W@1!OuMRuh@;< zj@JTBPERiqiTZi`WVqpm+L)ZU_uoR3tLsgJJ+H2?tG~vir8fBk<2IK4f{*;N-x#RH za;`eK;;}~!UqWKRl)1)v$A8a$qWsM=EL-&Go>_*M{c{>WDF0p0ZCs|peeFGWQApSF zziT%572HYWkM)mb5i&G;wt4MMjx|$LzA7_JsN(;!wL$B9mHpJm)8_T9*nDxy@l?yZ z!MooI)?D0|ckQNKt<rBh3;&9}^OTjp{>~SvXu7J|RpKSy`kGZP=2V@^)RGld+M0Dz zM{gC1FAuR~v5kJ|(k1RCmUeJfo(9LMsj2PP)r1%Pm=n>m>hAsJdt{86I;_qc7zR1( z#hZU)Z=QDYp;_$DCAqnFb5@ldRkUZBAz!*^ouL1fcHjT!bF^dY?zm~cDw5u-uPb&@ z^nK)(7e05}RaAeS5xJBo<fZfE+`83On*<i$`aLiH_m5jA_{9I!{fRF5+pt|?5=)HB z-dt;DWtNIRuZ!+2y2E_zdu<Avk@4GQ4<D#CURxO5nzr#sk9(rCp7?Ej+0+};SIDlC z*|P54j4jE=DTjAF*qJ)##Hq8BGoC-J`6e3j@zd>v#_Koo7wVg5-zYdgCzwMpW&R?| zWQUh!3;m=z5-OU@ueRRMe7@NI%O3j^`x?cHbYGR|-0r#R(v&S}J^TCI_vMjU-#A^S zb@*KWZKxcQA0^q+c`-1FZPTNImD`i|Jvg<PyXWDj4sHF&UTv4>73)1FB?@TioWIf~ zoyG6!v?;5%xXR$pvxfN^QBPDElAm|m91~JgUiMeU=hp`P_^ji#33;DOnet1PZF=j~ zTCZEMCP4b7>y)W8?|zBp$?jw<-RRbIz3=Rt%KLlvbPI+(zIRZr%x(Xn>q1*h)-ya_ z;x+YARMnd9)Z*Xw)0}qy-!b>)?J0^cj=b-RKceQz=ymhM`vAvnw`)ZFdA{>}+HSsf zb7J~Z;de(r2|v3T=2$HH{+<$x?%ATwJ!xxw1t+~Z*)DH!smHdi`5RX!M_i?8+>a@; z-i6bqZ8{@=b;EStvo&j7_}XQzZZl0_4=eIo<6IKG<q=zuP|ePzPPO9iC(PJ#VEg&^ zoL6|x8d)6{J(#j6Yw0;|LDjZ7R{!6f{bj>2|CKe*(I1;9@p?~tXnDr*!~9Yyu{jU* zBx7Xf=A9~?YSH%p3Gb4o2hH-@x8wVEnQZBJ=`5nP<o)imHnQ0txnlM9sQmw+IP+7u z<)q?YHLN>x<6m+7TyGv}TI}`9Ct=d84d%f+UvcVHbxmT}aiBtTMvF_Mx>2{zh4ai7 ztaB|Bninnodit07>+ZGnQJ(+JdJ{xyPoEAx{{Hm0zm-b`7W$r6mf$t-io7E^!R6AS z!v|(5%bZ)zYW8ZPTJxu?!mYL^1(tQMdMVe%E26;`|9iKg?CSdhZ1WAjE(!m;VD^5w z7>WOkqGpa0)dU`uZM<;gKxTTc@DhnTza(5Y?M~-+O!~9&8H4?01KaYq;@|eh&iUV^ z=x}sj<^l%qUA?_qTYq}+URJy~>#){h8x`HaBA1XIi*$vmH_tp@WN4JGv4??WC%<mg z-Bo#x7kLjF3p5`8DfqwVOzT34nTFpssHR`OVNk!KYxbgJ^B?h;xjxac>9n?ZvLN(5 zbFuWTJt>CQO=q~43BNe?PyO7QmVf^=_A{%k+}*<<Z|8SL@MitDTDP-iUIJDh-Yyi1 ztO~sHKTT-<yw2zUHaxGl2%Q=Epsmm9<U<Xoi!9qVz5S@D-0hMV)#&bXE`0mz`c0|+ zcISAyUx_Llk9(=*pmV&JS#OeG(XmC(vR`evl4ZU1>cMMHPua5fYn*tQqB3)0`b<Wa z;-6lhTh~oUa4>HXSR*cCEd0P?#{Wt8r)w=Lo*SfZmbTc<U~yUhD)olr^S<tAcM4no zZ;v{Iw8NAAvr@U!j!iQ%*xKhR^Om7N`ox}9Gv@bmMwRWF_t!G~<X5u{?w}HpWz!}y zcAZ@JnEQK?z!rnQY@2=@`?o_i%D=;L4g1-3cXYiAlDPx-Z>s;(-c$WykI$;^Yd#Kj z)hS_--=>{isP*U5oEn8$Pcs#GrVAdMAYbpk$wcBD-`!my;k}9%HP1|s5An_0@4~a{ zMcbDrH?}_eaJfVJull~~q=kmOoVCgOlAYh0y-#Y$Z-_}bur6Et;nvquT|#GWzA<}O zC89Y^tUGU}!L~zRPp>O$4EbSrJfS~u)7}%yd}`Hi9@sQjJ+S0iV8YFSuM^IkRx9rO ze{*%k42{!v-)0wg=B<DBRhWhCu=t0+ae6D(H8iI^eZ^U2RkxvG-P;$3Dkk5YBepk# zUq&vg_@U1eMUjvv6ZaMJFE#xaS~UOgWW}Ago_EQ9_|2@&XdJw6`t(QlB#*}*j)?wj z+#b9j`qPnX+BsdB_h;DttNwHN)NHE<ox*w37?*pPOtxS0&MxaP%jxf@o7?UL)d$a? zYZSJy>r!WWnQrstkJoihR_E^JmdRDv|BSD<s=nE2!Sw`-ZNHdfIM=ob9r@ALsFT>t zcQX2pLZT%n-?KjBC6o4c-P$Q}JS+c8)Xs*bMJZED;$B?U%ga9H9F-=0D$Sbl@sYN| zQq#%a4jdm%-_N}&yrF7CR;1nQeC7#iGc#VwZ4sRFQ?~cCu6EH}HgoaiK~4X6-%UIH z$Goin_Ia`28Y!PIX)a&v_1BR1GwV11o&Rneu-GQIe9otBU$mtC=FJlm?h=*%+OO$4 zJ^6JA-@)aJ+15W!)D?SE5>qlIwa~g$qiE7e-xC2FOdE?Hg$U-Rd-NT?@NV9YT36M> z$C>6GaO>sX?kcjme%Fn{Tj7uI*Kd|)J-5ay;^&^y=iy&V%^vF)n)s|(b5efBa;y2% zgI693@thkZ%onG%Bcvxf-2Qm?fsIEGbK9<NP<(gb*xqeT4o7NY4gZHTZ23_Zd#HQK z4l$R5ze6`J&$6`K#JGCnzQQ+hvg#kMJ-s2^^6P@zu9FuJ``DClx_lAkuRNH3U*`Ge z{wEi;HgG-MyyuVE|6=1^OVsDCbGh<DqV-q7#A6XNZQdLx(Q{c*G(q@VBU@SWJ;uKI zai7+G+pwms;lfXT-SRn;1=**+lX@_r*n9s)#tkuf_xHZAob}H9j0xkpo?07^`ST5C zOcn8Fz5ZM*_p`M5UAv^u&e7}72Uch?CRK!Kd}D9C<e|&)-0jpnuYz5#b}1VrxlI(e zzIynefoVk7wr6L%T3c8DPEL>B*_Ctfg_Qu;W!}PjS6QFk@?ezLo%Ofj+<{ZoA0N*9 z;U%hmN^4(K%(I+N%<FtR|4y4-8FBtah^wV{H^Y3HRowqV^<D^D_DdZ8V5W00%3UJ% zUBt9SB8$GHxq2Nd<*+J?yx)81=6$!+BKDN)vmPwjo6~n9=#rH4$7O*n|0kS(yPeJG z-%XV&wiv!^AC6X;2W>vH?cZ0qu;#v#IxCb9zW1xr{FU<X($keD+>vTeo}UT&QC6R& z6uxYJ*`4(-(#+Q$RzKr#zdx?LX`bw@0QYOm{BL8d`R5gS=Q_oio?0i!D4)u<ul{?> z+)!<{*0!~44hZB;=(O75`C?DyA>|B_-|UGx7WJ{0jO+YzuKwvf9roBmq&v@C<JqZs zn>}Qdir0C@C44b-2<HmE*8K4?3wx22XOCX+Ccf1_)U2Z=)|l<DUv=PT#})sw*}ozu zh}~Z**uOn8o$tosDIfHnZhnyOI%QejnWXQg$_(E=h<!14Zn#m9V!LIwkm&ZH&x@`~ zZ#i=F=Gl_XU*=SF@E*A=!OS0iYnS3ou9?~rc5`Pe*Yms;pYqeIHR8Y=PS@m?i6(y& zS@T(^D2U$v_`&OvkovuD<wmBhTaWJlW98~sBaqN{k6$Wf+mkjyuRy1tU-(RC_h;^_ zeZ5MeRzEJyQSSEPFE;1J!){0DeR)`}#*=L4QNobVP}jWgTl1Q>o*Vw|f9J>Tkeb21 zUH|gajVo-==5E}xs9sC&*Uq(5Peung7=2MS2=lT@vsU7D(EI8BiaEyel>2X)%!Drn zlipbI)Hz)!SJ#~DA<=B^^mn$Q>Lx#_t1UlP`m6tO_|&OX<>}U4!SMKpUisuYq50L@ zmNKg_FBcEy$YIltf4T6!;gs7)wpO>B2=~nEUh18zbuXje<o5MbYzHqZG;i_v{o~n- zNxgL)7V2g%dW)IQ%3WCyUh_LY`^y)_-(4oVKiKgY@P3J&*Lq2VH|@4#<8#}%o2rRh zzRA9N|M<Uq{J)Ev_uTHeYA>ABT*qLN{p%A;UB7^D*SCqifqlHMa-P3ueimhWNa^jY z8fSaOK+#9R4j~g*Z(ToKur12xx&Y_g$o)n?H~y1dmvHu>SV~dgtw|5NY;7i33bq}W z6?nZ#^%8gY`X7pu{nJ`2?`pF0*NRO)a(tJze%bRmuJS@$g?Ca7PIKDq+4DK3S2vM; z*R)_gMeZ}Z?HifaJ$q7`nQ`^=3DulWdz5bk^(y?>wpuk-GVI-ZkIZ(1=RK#oHdfy+ zF>YD6*Le^71h@Qir`9MxJ!H7b;Qd<REzz@z<pK{HvsJ!noNzMKi??F>zI|L)G84Xp zx$k0L*4w_P(!JLzZEMj!rAM-Bx;F`F`u`VgKiI=E>yoiVagh7OZ;6qjj8$2;R;|}p ztDJTuIeezI*vpp}x}11FehZQf_uL{Y7j;+B#pm;#Qzz}UH~Ls?63>_^YMWrOIpO)* zL)%WLiYxx%$WvP{W4|ot+Tu^I{a064&C%d$`~D`K(Zfy8C6UR)edFA1uU=cLuG^lz zbo#-Sk+pjxGM_#(3tN%0!#J4Vp*Xp$N^e_f%kuA6cOJA@sFC{Sy7A*9)!UQ5>}Qyr zdF4T;rj$Zi_M+(TRu+@FPF}dNFgV$~Up94*wP*Iv>oZEy6uWOZq@UtHJmL0)eG%{3 z-kVN2d1&Qv*~Ezkzr5c*UewO^is_Njlq+X!N^D<Ml`ME~_)+vhLG-GJrQWZvA6K-I zmE-@&l{ItnbCDIRb*=iP`_<<iE3a!<{9!%sl)^%_z&5`x>no12)~qbOrOk8q`Bse> zJKk-d)8G5XzRQw!^LaCW<_vYu^w!^RzuGsvue`I8YrCtL#Z~KN5|$^8KA3*h{?h9# z`^jNTuUzck|A~i14bED!eL3)3dFs{*f7>N@+Gok-A6!__x#hKWy8gk9#g6KkD;nQ< z{4#pLb3{nHwYBnIL-->f=2msv!1J<QC(?PiBurZOwF|$DWtVqiI#oG4YsIqfHQyp1 zXt=byb1S{OR6d7AM(wu1vHL;4ei_`~ng8sCPsw>!xfy&bvey24%=oc+$(i{I&x}ev zo)xG6s^)kY!z9{fHUHo-^P}-0Z*$g4UH!omfBx#7qEB0PvrXM)celaL<n-UaMZzvh zp|cG_Sr6qO<Cafhn4IF^cjDA5BkQRAFH@FOh__D>UH;&M)n&`P_BCZMtNt!E&it#h zeBm<xJ7zOqrQce2c~ye6d!s#D?Y4vG3LGw{pE{bku6Rp^-rle459!BxiADL$ty2AZ z|B~*PCGL`Y^OCYpEXy{jc)7?a;C=^Nz*pnv0<C<_@@e|gi!V8@wBGYLWolWL^wa~H zlapg!UHK7obJo(|uiAc<iODVMIIOqHZ_A+y?NIe5R)>iO_s?%p&2P#2bv*F4*Z+d| zN<|;<6wTff!v8{N|HfAw3}$MMHB8%kZnkv)Ryw(@c9VE$LhBmO&VS+um^>ElSn~e* zo6GwO%V#rPNDU17VXVxk+WLC=jHHP3-CLdF)>(z^)wnWGLBn|-+mpSj@+m9gk9Vf5 z4?4xlEvQ&uw(d#0#l^WB+YAKPtiNGwRmhgS=I#46rR|^ZSuQ)l>B+$-!oJq(SZ%#> z<HGEl#^GwK))XJ&zxnj^di`CKFUCr^aKwkyxbJ#9WAdH@BHuhMT?{uAs$R+VKX6Rk zi+6v$gOs}W@0X?lDT2jJn|AB|3QBskrnx(&F=l(t$)n2JKG7fdWi>e+WKHM#zv-HO zL2QiQT0fR0Vd>r0XXd>>vwGXZJDbCPGyCR!P~hgY@vBkXQs>+6X?I8V{@(zDs=~#G zrtp65`DeRpf$^GpqgUU4D;!L^5|Fwz-^ncJ-K0}%*Y7drUp3b@iNC#Fzr*eG`mI{0 z9v1T3TR&UVkhCe8T}$fy&Sdt}_0KP*zPnlwYImb!-M4DDWpUE8`(0wE?G##~!?@CB zPv=IBExsC47Adlddhc?#K6Av#y4Z9z*NL7cE5`GVQj<HcPBgu7uyN6@VqJFyeQnLF zoVy(ZW;cZ0o5+}YWLkav&Fm%x;lLib86U2@zEWGEyQ7Uuv|-Zk&xb!eU0OX~dxM~v z^dUzsJ*P+)VV}3(_xj%w5^8uH`Q^6=OWWG!>3nr(eNRqVZhYy;ukyOJ@3Nm<K9kT` zz?rmU#m9!6&i>S&9B<Rk^~7j}c^*9=yz=oLfnzQ6HMd@VcD<v8^{nZ#9T#P0A6VgQ zec<ey7v+clMPHh=;U%BBsx{+^Z09{Gvm<pCKSi(Fc;>)2`F|@j)FX7BZ#%WC<XCGa zoBZvR1bgE*@n^z0`eJs>S;6ArkX!t!>R`0crN*b>%UtLFEr@>9R?_jZXyHcXp8Na( ziJ?V?RcT`Ef+ow~yxH)nAg<L(^onN4-2D;pxqeX>%>OsnCa$|Iw0G&1)+GJw%L<=X zcgg;|`F46Y)67K&7Qb5YIXgq(-rd<T&t9)n7i>^bc_4M@)5^}oi;3UNzH3#iIXk0> z--ogEv0>c{rzb%@OF6F`UKKdwU7TI!6r;$-V=EjCa>Mp-Tk|;f<Gt;lzkdDU&lk1B zY45e_rPJ?}Tj!k$`o;0^#Qt=tsEvEA&$)~F9EvT-a%yZ_dz&ZDLM38ZY>{_tu;$M^ z!E<j<ZYVf(((e1)$EMd_=FXn}xYu>%-2elph?*Cf-)`zP<)rS7sJ^vVq3Rg7@09di zbBb1LHGSEhY`4{kqgn2y=ju~?&&@veZeq$7A@2N@M@qJMeAiiV_0+#78*=Q8cjlEH z$xpIxoN{cVVZgGFo}Z=}+Zi@zt8xfj57-#oxABn7lwO19Vxp-_0xVjO-m!nY^KFec zuj|E<Y}se~E|vT<{;)-7tKn_mCcecvJx9BqUA?TzwsZBzUqT&+9xc|~V7z4Emqo?b zx_0`l?zkX$Z^yR3z4yf?1|9m$vA8VaoUp=c|ML+JxyN5zpSarPuK4^Kr7MB@Qv>>< zrJsE&yfS-k$9EG+t%y09lf7RglqKbJ$?{z5x^eZCHusj=-6ab0O~==lJ+*JsHfvtS z<z+G7?4()r<|i9@etN_?)hFlnpNZdMP(8okbmX#WFE=a@`@d@6virYHb5kGJOYL!) z?;Lp4pJDmLd=cIkvXa(zUu8G=axs{{e~>1ee`1mF(wcR9GCf-R*?gVCPN-$I|2S#W z`FM&>)TRltyZnQ0y+1U)T%WVJ-)_>UvwtG*9FRS%u^^;q!_x}M;2Dc-v;G9tG*?}B zo3N$BAkF$`QE*a<WUbjr#*W9nj{TB%GfUp8Tn+it)VqJ`<G{~p##O?i>CswdeUJI7 zLKW7sE$EBY@SJMAWxuu7*_gupyvD|oOOBoLes#IX=%ndKf%N#te=;Xaz5A8^qCnV0 z<@P#DZ{hVKJDIgMJ?2sm-=olc%=28rS^w+i50?JX-*A9!>d_@9bN;5u&+^O4OX%af zG)bap8<)})zY9~XI1+h^c}$j9{XIX$QqX&n)y}C;T@IzH`uuYh__HC?W5xu}cR|;G zul&lrPF;9~dQ3u4jn+v$jvqE1PUSnVtlQP|_V%<VhwCoK>|YnDwDU>x!Av#vj~g}| zX<i+}>RX)8b8SsU+1I?%`{(%1#C1#v)?c}FVqMzW_dcH=-aX;H`NGx4xc}9gW50B5 z;h*R0CpDAPYLnpa7i)~3teqJ1`@u7rB~0sV&3xZ9udmTqV!d@I&&h~43hkD`VfW@m zd%kF@<u7^BcV%<gvh%g~PciO@xO6MEJpF8@ck2E3Q$PQo%CTYQu0O`>E=&&GtJkLf zsp|N`?TMX-${rc=d|?W5{p`9gf5Fttkw?l@k2~^}tQDU%<@<)q>i*a7*wjDN*>Pa9 zN~a1NuhWJdEIvG6Buf>Jxtnx_{H-*Rd~IzcAaL@#=BEX@T}7KN?)RTQ-(S=JyxEgC zj&l}o%Ct&5%)CfbA-QOd(jnKap4;>OzM5UJpSdB>Hp=Y(B=@guA`NVlQi`+ZO?S)9 z{Sa8TZ~L2rGxa-{ygBZ3>52<S>!yrN_f>=Eb?tRuc;>~9rt@26leT`nu|btJ-jZvR z*UtSBPS1EuceP(xuqv_Hsp<3WL(v7tmD=`I&Z*q|x%oot+?+h_t%+GDeO*{iAN_yB z?gk@Y<tfpa(CFQ4QO6%mJFh4B!9;DE^XC?yzpsKjPtWDde|jW|v(3zzw>DzxnT*~w z39M^ZNZVvRsOkGv^gd|av)+d*>SP@jtu*vo<G*Z`@KGg0KT$T8WWWA<^PlDIu&m!( zxx70mqod)J#+TnYxpkt|o`uKlEw_v93I5qE9LYFu|J-W7#|sudsqXQYO1|Rp%p+ai zn(s+gU(2kFET{829(9rK`r2vp{3hwhED-q;_W8pWtBS{3)3dCL9$Zy%sOPnoJ^dn` zweIAFoXSMawf{1&+QzI*%&EV8>{YI!&)&BSw>|cJe*Jcc_<YMbrLU!14Zci&<Jy01 ztCZdisSbg_(ple_SAE;=@L$VRX4ltk?v8KTi{33gWptrAEzRi6|9NpwZgi{VaInsu zf4BDj_3XQjpO{5e%GyscsU0|5;X5l{>GcHl7h9!yek}}_xvP3-e@uN?mQ46;=5taB znZ>1#OJ=Y5%sz|vg~6c<f?;tzj9J_5w>p2e7ua0;^XsKo8jFRO-m8|_z0r4Z$)c{v zgAXEw%3oSN3&;xbWw7L7+s->{&V=NxjXyIxTm_?g&$7uU9-TCi@z#RJJI<fvtna(l zv6)5u!_20F4Vx5#9Uu7hN@RcEaM19&n%W=JR6Cp4)Z^<fzDjIf+c@#|kDt@N)oo)* z(q3+$b+Z3@?fdm?OfMBn1rp+}ElyzJo-BS^=2>OP+Z8sqE0?T1Q~mRM)%R%^HicWy z*358VzuAyA-?w#fg_5e>w6%YBHJDqvY1<0TdAnm>fu(`RyGZZujlUFv*3R_cudwCX zKDFuhCzcy5XD=q{nXNgSmHGX_;@rAdTKZeez12iRe_O89IVNeCVK-?RzsIs^cTcPn z&yuwbHr^3FleybLdvD^+jR%F6O+R&P_nFzxSDZQB^5woqvbtd5l#}l-z1iT<@Z{6h zfJ>Tja_=Oyu9sME-kfv4fqSRC+v`&<nI31S8pdA|`IA<m5pgqeS<|1SxBT<A-D>^8 z+IoIQ;dwi|X|nCL6E??B)>c`5@@q!xVvXL_whLmM_Hi3uS@-mSV@3Uzqasn45^U4f zRkCbg%a%Pqm3dv9=+(9N(pfLIoHE<2Gwb~P*i-RlS(mq*)d&jzH|qu8W%EFOp_wy+ zOalr-zn$$i%`JJ}EVkLnD|+vRXFn#k-`%tAU|hCgQqO~wiz@z7SI<B4JlXcD;F{6Y z)NQMtTx&k~L1*9Tbs1M}85r*?)=u|je(8T^xz?mb9IsX$xODkK^QMzC)-O@5UpGDQ zmHG}%v#JSx&d-&f{_t;*JJV~EQoHZPMNixIU+vc}yk9o`kk(C^_4`cvo_S9&{Shy= zXa#?N!P6H@SL<y%y>6=S$18_UxClPr(a$O1uUW7;@4x%od&>;0JRBFE{%X3p*yfL+ z@50}&7jOK3{Os;chu*!IH2KMrv*8b~adxP6{XA166mvjtclfRsCT&H*MvLkzzTexo zbg6D!Xz!!K)n~u``NEvLI`Q?tDi%|B{zCT$*ISRDU{k$$a_I@4%uY+Q!wuiEzu(+i z?tbXS$KP4IEl&J6eq-*F9v-Vrb2jI;x!5tBy#GOqdB4lV7t)+_8|AqVJX`ShkByw1 z^GP{v=W|Z|rjt5uXZQ7N3ci0pe{03ZeeYfQPON+x^(LxswcD!)j{~Ka^Lm?Qs!NL8 z+{k(Cn?2KiudIhJruk1=x!B%L?^0INQNEz>43`!h-n(Z~v14r6-<;2-I%+%FCbWtO z=BUS({_xo!Yw_Iv`LA}DszaVzEnO1TLNZD!Jol_HR<~ogwU@Og?C#5av#;u_4(|KE zYeRqOy9ZyNPMX`eukGgEDQRsBAF3<cZ#A2}o`>(^ZykjVZyv~BUVofN=tK16B_~TC z@ZUVYo!c$<*8B6hpE)PTCEL54eB#l#CG)U-@|Gsy$$!%_U!Ht9CBZMQGw8qy-G#nY zU&21Ui2qr-RDW?<#I1{x)5R1HO=DhPf3NSnL(fN!{)D5tP6nEN%*N4=Do?9*rY+A~ z{*ckm=F=>mKJ6eaM#(uFdsv%YFZD!c$+oJ${d;ATf|l|Dy*=_9E?!CAUAAG#Y@ra7 zi56KtThFJy_c(Q6M_;t<Tpu;B#m^V-IMecsE61vZqx_`iMS(ABzb&m|uHSvQCFWUz z!D00#q4oW&KkpPeGqr6H*_Y~=v-%gGYl!U`+n)@bym~XPv>ys__Y~9X`ePQkL*mok zj(Oj`u0QN@_^){X!@teUY%e0_?oUiUyFvHNR*{2qXRkE;ujd_eZk^74EB;HbDhzJl zYsgn=zrVyMJbJ>N?W>n*#U}E(iGB0jBR1KZ^I$q-@QFePKRc^~Cj_Fd>OYBL`7+l& zEBL0_W(5g-C;d+gPu$-4@%)V~Q|#UqEr?&ZV#$W9LcRR=xen;IKlhQ`YPUEez*k4P z@z&*u`wD%v;?kx%<y|q4J*qH2Vd3l5JJyM+dC$(eE`F}b(%{kW?8wz0rdu`eT>2er zf8vnB{kEyr4pY4kIET;5-1hd8@bg3E_MZ=MGcW~bbz5r*-T2P=gFoinCBgE!fBqXy zwQJdS*YL#ju7GQ7FWvoI=O3%tQuNcy&_GD_Qu05W*#8nD^SGw?Z(y;T|KnC<54+Ia zupjD~jHxkv#?R}^Y~Pjm%=cih?DV>H=APjh)#-(TXD+0a=W*O{tI1sJV>3BfROf2m zWHWO?+pWv51*wTxY{~Kx)e#Ncvf<scvrek#Cvcu{)!|y^FL-(Sg@A9N=aioq-{Rpr zzo7eDVQXOY)7BeX9z10Ge)wH?!sT-o#r;O&`o0nSMAt0ulzEfURB^%WAFIQQTg?A& zwZs~yEXtKFuH321+wY>!^)o`{s7lAo*bUz+jJqF;ZDW4)X?mQb&AJuBtZmK5BM)TG z*&J&d9;tpKYRzZU9rhDM55L;BWoyLN`rh~XD>fHzj6ZcQeInb$D#^=68Pk{&kLR6U z)3CmAy?E{Xb9Y}n3!i!Ase;38W{Dl=uU@$+TGc0Cz9C}=V{6(HnF~GZ)5~TG+s0+I zwod-99uxI^VUpS1D*s={?O6mK&9%LLKYO11296!(-kjdrH7ROtpUdxUnzK%&<@))a za??B4Pfd~VXZ&?%=V`^WDHl!_q~35%FV~Q%JEhf~UTN~2f&Z5GSC!J^yo-~*cKgcb zFWl>US?;lU?A=O%8S&Py($`O(@3e2vJaJizpf+c-edpTrHvV|Vbn!@b><k;e1rz7Z zN}IpH=gc_+-A6vj$IooL+PZ6|183>HH99kQ|KdCv^iTT53?JQ{^N!7Nj{IbOdG7V} z4Lhp#Xs0~=BF4XQi=2#;E$bDRq<Nk;Y*Gn&e-xSxAFj<=Rn???U)cTStr8)t78|W4 zPfmTkx9LO7V!px!QY+^4zx>JnGA{PnLVu<$0jeTXPrtMNz11P!TbkRWZDTgy(wFNe z?6jH@Cp>vyq|*<dJ{8F&zFRWB^b~b0x4Dr!{pK456_@!p=dNGx%=&oFO#jynr-k?4 zt-I?nZNg2pr{~r;N{U!GUY#X&G&19g9p5CD1(Mg*QWkbsxgHd)Y>v);`LQ<8)<)sj zCH|FW`*Oehw<^v(cv|0M<|(7w9qD1+Gh>_HZ8DRc=*D~RMz~d8-h+Kon#<ib#+a(s z_o>Wyv(V$v>dR?0K6+dK26IL|f7ALpKxb{~*@F6(h0l(&{@QKz;pUZj@7(wf=56vx zh%NRMb=MKF4lG$@GjZz7X-|zqcWo}?eOHk1dIwLrPF~**)>UayGK|+<ADF)6{JyQS zWZk57k&`(uF5fIQvv|$2o9F63$(hUua_9BGwNidv*wuxMD_EM%9Rj?MW^zt>FwyJD zy^0B+xBk3-bgki%s`y@)_zQQqg{L*R+xOb#-j$oGQ!vepMQ{4_=_`$N`-}uU59t;> z)bh=U)>Mk$E-A&~9?hu98hY%(Jm!_%zpBfZUQd#}x5lcsWKP1|b&j|1R9;+R^ya`^ z<MY{b8b6AJY`WRgmiI6J{e+r_{I?l2e>J=`Y&*B}b)D3jm#crh*m>f)hsb>Sy~kr! znwInZd-w9p{J!X*LdmQJDYxy@4%r;P`bfnvFXnV<X>8Z=zg53CK1z5mrk-$blYmHD z(#mO@r$pr(i%Zv!STMEhy|ALinO%&l6q=gm>wo#PUX|hfoY1+ki5DGo3peg`yVHAg z&$LUmwofc-erCTosP3WHeAuHS^@H~&wr|Q!8dB1B{s*j-_LR9@j=p9bd%k|2e^$(` z9U|MG-JRQ1%O1P)<%wIzAMcoX!c|iE=@g}dm$w|>Z|YDwH#;r*PssAuN?QD@S{7Pd zHN5#po9Rdee@Ni0ruz!P=YA_}U%a#FU1+3O-h)HdVUMJ(o+qeBohp@?|H@F2ll%V3 zNlOxcdl)PIs9$}gSHdKvzg+uc;L3CAQh8eYdYuj*3|{E`^F&%FpQHH;(RFR7e{cK$ zw)RZbE6<l5(uWf~q))1K-I+3{pW)e}UkkoRb$#H?diP|7@O0)XxhrSb^m7vG*-yUZ zFbjGZXBa(ym!`hTENT1rr(G3|0&^U4Zpytai*P8b?D?7ZX`TL6DU}3~4i<sKpC7IA z|Mr=E^PHncnAtXqPp^0DaQ%5t_~^}98SVTTVr}!X_b)Q&@_f3WsllUd-n@IwO6>(% z{g+Q%sXXT0xx=kBi_uJB*Rwjd9)snN57xx)Nvt@q>iHj$H3Ii|IbKf=h;^y4vg_%& zZK$-8t>nOqmv4{l&5FL&)4a~6;d<7;O`fYKC$G4)_}`;z7KRC*pBhbY@8)~EBqc3w zs_?UC6+(I?$0Vi*sEFr=T#S5m(DeJV)|vxN{Q)cO^Yvx@)|(`(Il|GZt*m$Ad5>a` zidxIu9u=F+Ympv{UPV=_Y%H^QvS&GeeWYBamC`KUwN4&Vean}y^?Tb%sqks;tK73N zA~R9NSMX-vn=EPFXzRaIH-?25<|Ot0a*1F6_ScmD^)8$CZ4BJ|aO$hlfbKc^KdUcn z@|6k@O;J&Q^HR9*+L17(Z?iReOZc3(N1Th2+s7idxn13RpQFK(ix*z7ST<QIEsoP~ zHMrif-Mi$+hM5JzryteIauhgC+IKtt;1>I<FMC_BM$DRMEq!+F(yPZ7a7wMax<@<K zI5W(vU~<sIY^(Me&C{gsEvdgK<yR*8?bCO5%ks?2`VaL3w)BW}9#*<BLyhH9ZsQAk zk>I!DFAR2kSjF|^n+A{g*|W{oTj%BY_FfA3CTD8%TSH4cQt18i+h>)RWj>ZIYTy66 z`@Dev{pP2Qg6mJ3x9TZ<w|Thj-ZYjz0ZH*i+l}TY-SPbUbG=Z$<%?Y(zY6TX|0wli zWr1z3|F?_LUm0C}Po0XpA5i7FTI0k_ldA!*!)8@ho4Ky8*;;f+cjtk~g_js^?Y%!c zT=w*zv<q%tkvn%;Mtzyv*Zg`NzvzY)ZY*_bx3ag--+$|Ds^J#F%F-sSTYDG1*&Orh z^pOK{7YvRnl>EB9KW^JcIVR!Ri>Fpzp7dddU!wkm{kyNq&)p_$cEMP8>gx^Ne#RR( z(^azP`Ix!)vEE@RDGUg3-NJjj^y@XfU-MVRaJ&xx-1~h+y=UfU-rv8Yef}|QekH2Y z^mtAp(~>hM&ZMv^{3=wN$kEpx;%MpBHqZIQ1YTpmPwyf)x8+<H*#EmObV5CE!zRga zfsVGVHb<Q$pGCL2iRhg8vwIyU2h$2ySCPlRBpqKjZhgPt&ikVuVwP_=?O$|R=78x! z<-|^&S4lTizsO9tJD0i0sYfJg4@btX{C{&jFQy6m*-w43NBkxKnV_2tuO3NH{*@MJ z`OC_uZ}uzu*Eeq|D*p8?UtfIaAK&J;+&h;tgdJBre!t8xDg76#_|lsbj_aS&b2^p$ zIquB46M?m_(u`ymc0R0`f5|1<<qAj2W1B}i!)C7W{8q*;{CU~gb4NsEJKY*um)9Qu zQztBS*o@J4X}^q$)=E*S2dr*Kbz*j&PyBWHs%eVX)|+gzw~1f0vai*>bK-=g)YOl2 zGE*+wOn-dlh;`E1RTH;v%U4)A!G~?W_5Qv?+e6>n6FOGD<dl|G@6G*ta%|gWx-YTZ zdEwk4F#GqbAfBxH-Jdmc7fp><UH|oK=Glh_MP}tXSAEpWE9g1=_xtyMbE7pDI$WRO zn<;!!``5o+Hh)d8|H?ivFVvbtUD2>WO?7VFoGB*~WW;ywN{GI|eel)n2m20nO_upn z{{NQ%=g)c>kxP7=?N99RSY5hzdYxy8%c=!e+?QNhq@(g_`Tuv**gi2Iei5p+=<$}v zerJEBd)+#8U+k>Q_PJ;8o)?>{pCirdWNMMbH81vz3Ujrk-|cPcclY^aUHEa^dHT-Q z=&D1De(!m=`nTVk<7+i1@N-Xnb86Y<8IvDAo?@^p`hdo2jrtI0=R5y-4`|Guw$5zx zvm7tJ*H06s1e<P&F*NSiQD1goR!3o-_QIcUA02;RpFN{$NmWzt|DB&sb_M(qT&d`p z6!u}s`nB=#YBK*O?p;3jwU5G%mc0kGMSW^)op`?X=2q547yfjL{Bfo))}JrpV=lX_ z{LiQZK}mlDD||x*gFDtP@V;Pkl{4_0z@KM_x%bOvT;()~SbjzPZ1u0>@BY1X39CM~ z#zs#3tD|`_ul1Qf_2u?eH?!9G@Ggr<-14|HN!Y4?+mE;Y$@e+mZ0%TnrSg{upM!&w zm*SqwP0A0y^!M)eiGO-uc$2wpq}TM=z*en;0uiNOtJei9CfFZjx%dBl!-C+S4^*z~ zbbhnv)KTyKUE53xkKI3?ETPmY!x{IxW&Pvhj?T74Zzd_du=*v|68a*kEHP5~#qWTa zBNu;eKKC+dH`jE(>^=>LU(OE<U#G6jOU=IP$aT`F%4DrGS086kSEr%I>WKDb?(M1F zfv>lH2$uL$-^k0d<=};bfxGuD_*0+|AEEcicAk~dqz2Z%8{9%~pW_Ry@b>XYeG?hC zc8P1EuD05S*<Vge`Z91XaEhJ%aq9II{TbWE5;yXzn7&)N+<leFoQ5>cpnsqB*#aWX zd8P~IxG_H3(tnjx%P(9>_^{bd<wLzeeQ7S!I{f_SE>)~cy%ld3GVv)B<81pif3>%6 zT+ywkY+0l9`_+Xdo86~e`2I32y-wix;({%k<C<59hiAEI?cAQzaJa{QRm#D&hkJ`I zcVAB4w|@n{^Rbr9y?rj%rShDAZfm^t%|-ieu(G+83$t-0<BlsWGmFAjHEsE5ZgcI@ ztT(HkS0}rMaJ3vrUAo}<zK=J430`oCco->LJ-4abR6A$Bs<Pg@2g@W^$W&Eu-O>0o zmxJrArpx`}>{i{$p4@Y$OIB{0AriUlg@V%UMV!&Qxt=8YtW8ddnz}DeCv@(_|3Az{ z{>BPyub(&f;j`uE>*J24OJ8N%typc!R59s${|$e|y*f#+Hg2)c+?u{nvu(pi=fv|) zt72~--#5M2F!PuAilF(d9h^4D)!3zK<*M)a8AVJubNI)(34zl@()<rvdb~F7*?vHM z<6<-U_N_hcy1}yxe2(5&Y$VaWtGmtizR9Pk(m2V8g>Q4_@bT9OXxzN;nrpp>xt&gD zyy_S2*qg^bU*98;EciNTokZ=+ts>9#ZcpmYy}Qh?)%eBCl|J)J>KjB|--k;qdH!wf z@jaS%=C0qgXb#i9BL%OYGYafzw7IL#vA3ebGvSF>^QuGqwv~M=Hl?k+rycpY&NASJ zUy=6<_j60UmM2YGxUqMy<Hn~aUO!ag3Xv2wPkS^!M{$nb;mxzA&TN<&_}%<n#!m}z z1}67H<H&s)F>zn_x|q*Yl5iB)`P1^pQPG>3yG`TGl*1YUMw#o2rar$>yq@n|_H>Dl z#&=8~gnWC?60zjhwN25?rE8e<a!)9f&RhH9-MtB>-xFsnuv@r7S8c<pAng-8tkY&# zXs&y_b&;W4*}9&dcXzh@={=M#uih}lQ{s7)d~~l;?uP>7zWJLUa-HNoDbanWrtxUB z{p0K6B1;xHY*BOm_8?tJ>2b%CNesP38M#k-xIV})jk#J;wW#Q@H0#6SHsPa|>%}Ig z$em<<?Y-&5oX)HLHJV$aC&pMi*)HF?;J;l0$If*Lw^Dv7y_t9>>~5hkZ}i!(y!O4d zGXnoFuvzT*!8@mK{d`M-yt4*}z69T_6|6Fw#`ZVr(8@gt4^6e!3aw@Ovtn^?$(g(l zkK`EVo?rL<LD8ybOFg!q|8ex9E~DXfUuiL(Q?Go!yt`93VXe}g>^&@gFA5{p_*@RL z$YyL1oOEih?j*+kC7cZl)7rYN3xg~c*6EmjSZlgom2c8gt)1nQ_)^wIFA4l`@9VSw z`(IbPq@?f2+t(ZV_{}qMyFjMc?-v5Tl*Ui$WYzXf+N%Hcgtyqz;Iu-O1K+mJdQ<uO z&hE5;qV57wE|wFo&-yWLVcs=yBA>3VrawnX+1F`R6MLE&rUeP#<Ga!7ZClv(YOnIl z&Ua4oy^S8q?Yy~rgI#zXybSJKEq_sX#paHidazHUz^5*j)w==|RIa_W+7a-+>cN!j z`4dxXj<IH3EI%VD8uib2)}w;W0&LQMy^o&qO1)L{@VH}|jq$lJ{dUL0ySiff?F@qK z|Gx8=j-L4Q!GewhAM3twXj}MBn(g;yW`FFrxwH2^TPRU<^7K}%^IP(AqZTS|480N{ zq0_i)5|2kiibVO1r>DL)_x8RPkUYC0bldlx?~BzIGOzk~%2$#3*{AulD-^eC)Vyfz zx}CEnr_yMJ_=Pyj>=(CVEV6eAraU_k`bmVH`}W0%ieLq)wI`x3UfAQK!7s4moG9zQ z`}@2c8k^;Fe7{z)B|Cm#R8t7p^Xz-_?i<f%e(^lcmUS@W=gf)TA(HCJ^+t~ryWIAF zxnX{3#vQqXi}L>M?woT&A;;|b!J3#k4_oi7SyW?txMCUq`Wf6&rOV<?c4c!hDOGz1 zKS_Md6!2d2bj2ob{cYBlinh&e3*XrF;K|R!3$w)apK9z2S$5Zd*|g-^eRJKnio|6Y z^*m+JyrS-X)Yj`vuf6}YPbz2390J~1d2ahx`Si@cNk*M6Ypp{+aULn!s}uXSbIYC! zJ%;QHwbO+5)d}ZLNPYOjr2MrI<BpI`CY!Zf+PMG3SNXpTSnZSga{K?e6TBAPUCeML z(CG4`X*%&qQ)Q!%O<uTff%t8S4<FWl{4OeK&RiQ}xL71Pv$u+K`C>f*f6wE*tDavo zJC&S0+e^AUQRFT|eyVGt5Zm{vmH*i@M8x{uoH1$hzTTi1qj~>jL}Tq9*C>|bV*eKN zIlcJvXZphEMRI$-FgLZE>~VMA>eKqN^NV$gxxI4E@61G3=2R)6)6-p-<ec~ysJLv- zuS>38-oLj^KV&-j<Qro(`zP$5Z+BL`pYeKD)Zf|hzYqM{dbdo?|CEOK5~0cL8y8$` zdaS!$@>}+WecDcv+jMVo-f{h`#=1Jj=h>sbQ(kd5owl)bJb#xzc>mw6@h)yWIvp&n z?=E~%d*uGlggL=WYkS3+ya%!VXT|wqe+946k}aAQR<J2p#nyBGD=~==pE5Exe)DqI znp}79HrM9B15N&J+bspxp2%Sf(U_>z^o?6~Md9TwKfnLB@>zJY_Dy_ygJJ7?gA?&< zL)Il;I-xo5BhUNwbHsE^%NcCFw*1r2s*~l2DLG>MeB*U%{gQ9tq2kS3w!NGeb^dxy zu75~dp46sKzAjs8&$;Cl-k7CwcaqxWNxrN9O#c%hVZ}OO@v)B;OidRS%|7>N8*}mJ zTUqI%YJRq-E(yd<yLNBGg^Ky?99j40ZSBa^UlQcU_A71=TVV(9yiZamg>5DXx&D<F z+;}J8tweWOlJXbN%s}4{w`+bk&dG9A^VE18QN_%;^ZL6@GcQJ5H`r}#u-^XeL(7%x zZ+onp^;5oK>zVlvPFYNQ*c7ah-(j+rg{|gyTUmImN6!DoOA60Lf85sWSTIGg;a2v~ z(w+R=rxZ;TwjbRb_55Lh@cFD2g|8n<3OGnryyKdEl%wwFUhcK&M_g^6%eAz~?)l#P zU@Na@)6R!~(=Jcj5q+lrpz66BYv*2CUKO90R-o!J(}C}yp~vCkRqY4PUQVf7lezDn z{Dx&|w-dD$`CEL?x-5Snwm??p)Q*n~m*0e)TQ4e{<DtXB#+e!C5p{TD(mTT{lc#%2 z&Kzvrz&nxk;NQ<&FD~`A?0B&y{3MH#a_^p{chX<FTvC<0wL{YBXV~KcyOLL~OkQ<X z-#;mT)VifKuV-fbENkidAA9y~-V(S$B=e|??U5y{tT%Q)teNR+F!xi5w!(7bTc?a! zr|eq$Ol?xs=dR8L=Q#HGGHl+S8PT95Z^te5Qc=4^l)d*u^BVX4iGlWqW33kbIdyuX z(|ex}`mwVn{q5NOx<s<~WmB8_S6iN-`HCHX@*D5Xw%*e1m^xi$+Wa4DQ+>V~+5fw= zLH**A>#@8a&LvzvwMk{ij5|l|r(ONBC)#4W#G4<g>}%u_lfT~JKeAu)mQr`&n_UZ^ z9I}7Tt8-WL*UOqRldp}78=k%SnfOR@@2S{{!6Mi7E^Q8UUePhbQFE!zj1O1Ktrd?> zuMFPGCz<%+&GyizmvcN~tj?unD`_SQAG^pgqpa(qSGkEmv6=UB*Cn&NsuEobrq%7q z_%LVJZ<f>&mTMDBjOO+_eP-27{Qtb>wu-0nmbyhxw5P8w-l@I#&WrWDzarHt?@lT3 z-@~{@flFd{rux2$=|PdcOA;+E@qJy)p8s}*bL5u|d3M4-BIEX}P3wyk-rToxsjKyc z{hLBKUj{VWw<qk{enV>Zikcb9?7uv`0+O|hPAThzrB3iapHs$~Z#vJzHaPqSuaC5f z?@`5Tx5XdE)a{S;p1x)C$tP=Xu&>$GExjQ(L{w%4m$6vbe#gl7J67yrnVNm{#s~e| z|MjjFd^r?y&E7HM#80Jt_4EGO=B2;Pk6tl@eXVY`g2>(MtNZ62u8p!y7j*Pi(@RxJ z@;)V8!~NYQbU}AUnf?Lmv)YS~pSq&G)Mm}$C*krl13DH;J3id;F7o8Lc$O~J8PeR6 zCuU|BHlKC;UAcob^hVvT3iXR!{aW*X|GZFG`SQ-k-H!ap&Af}Fo=U%%W_)K_%ekYQ ztnO`Xi9Ed7RsH<MhF&RW#knh|+}O__`TXXyGZ#fyt=q*G@j<R)<Fyw@_8s`$F=<al zq6zn@m=jk;Ppee4eVpt(cgZ1Fhv};q%yhqh<+YH9)Xx~BAK&?zwlnUz%26E7wsS|B zk80K``6uPv2Y$^6Qp)&M$#`DNTL0X>OU%z_=St6eqFk^>;)&(b4^MI)d{4i8CFAAp z$R+2V^>2LkIrypi-%Y2CHhCp}z7q4aJ?~Ocy-{%6XU?>@Hxi9Ml^Qm@`tc=a$>xHV z0JY-7ucjn_-hAhjfY<JQdz9qYc<d6{uv5%t>6@AQhTfCbXw9|sI^EN}-sAeKkjXIx z0U4iFXCG=wu+MZl9Pi{YK_j;9C5v3&-7SggIe8j;d2g!;YdqK1FKOHMsW7^DZ@13& z(1{1~48nJWyY%iWyu|u!y25i|qZ906x6gQad2mWiUeFxCm&;S4xLCee@X;#scWNJR zIy3#9kYKW2Pmk;Ty#-pLO|KVxU~3L$=9hQi&W(3=J-o~2;48C4Pp5zO+bp!(m#k`U zKhL?@yPPlM)zht$ZDm%iztP?qr8IHb2U{!t#$PS}UaifPS!b@1)5K%2@u9`#i9e&a zY!SZTI4x0mio*n(eMK=jFQeAiT5x{8XLDb<#CGBN$y@v7N^g0o1Q)(~e#GaoV)xv) zGizqDKIGxy4+*L?Hj>@f&ops?-xSW{i&u0U*?Q;UtxcVuidU#>{$9UVG3Q0Hr^~&U zGq3kLNW^~-4QR7wbK%Jmd443a=TU#fm8#yot{(Sx`A)lLdFb=!izk?V#vj*Xcy?vF z^c^+-TmRpe_CNi|d*|rkN#(5#Wr^WuReP44x*#>{UrPA2NsMpeLyuYYh#2qxG*w23 zHGGjF%Tk+H2HUciE!leU)Tyd7@9s~S6#K?gip#aW?~IO?q|~lE;!kAvZd6>hZ(V-d zjtO6N%^AguzrC9O@>!+i?a%MEcdwimvGd}qaITDnTU9@HwZ(}&v}QkSc)qM5*vBjS z)y%CLXI<8B<9f((^a0PNj@FZZCA<%v-@8?$S3G**?X1Za*Wd9-H&yH`y?U)jy;|4n zOm4kI%fA~ezdZvlq))7WvuyKJNfpy{v)GV~pm|4p>hD`WS!1hKnq^bgTb2L#BU7#T zgJUcG1D1Lm;o*C}yY#Kv=`9U&nf|bRKfY7?+1I8uwr?wbJ}QtZnU~^KviA12m)F=N z?={@%O!8}OKXf8;YjRK4T*JzfQVidEWQ$k6mzsC`%4y-fA^x{Fo?$CJZ^8BG$cih= z#k9h=&-r!bVdTMO^^Xtjx*gRlJ+aAn4#To5rPrjtNt`feF8CE7pI5Zx3&-^J*%^lp zJh(Mex!3kzZt0%s@5@E+%dY<0y|0Pohp~rR2+Q9qsgY?9|Lri*yu13``{^-Wo%@r0 zs&4qN3p<fBf6tLK8In(a{`R@=mzc%5s`by~Ic~>GCjKsN{BWvFZ7$=t^(RA8HZR>C zDiZ&F@wM+&U#1CUER!lo+0y^2CE??*4e}Yv$<935PyVQ-C?53RzuSG6r!JR;d;Wam zhx2kQYo7jjUmu_G`Q790&FM=Fnac8hEvQ;+-@R*FW0JV<Ti>?co=pj2tG~ou@?V;j z6|2+YHgk{HuDgq_WJ;)5u^;)m%j*2OZ)cew&s|j!zooqTwbXXaeMYAp8ZK+O@|ry^ z{kvHFlI7K>8!pTazL8M=W}avH{SRzSY;O0=SvG4q{0TU`yXfI8k16b`m#Qz!O;o>K z{DLXQ>9)av^;?!WSamptEnM+*>V<o{1|MruwjJwekq?gP$kOMPPdLuCVrA0Fo<&R8 zzUogkU!DGJdP~>VEoY+_vN0`eQHke@xcOaQ<3=j;!lGHnQoN@|ojIbZ^nB^O<`&6m zr@E$nh>x?4D_C*if1L8U--T8$*X&{`HdtMC@}k|5FBe%48Yi6nu&&QWn(4YC+b@ff z+BGlb?@jgHJX!br3huA}Z~d;~_fcxzviOZi`5%re85$Cus+_Mk&T;W#SGGz$A)ZmU zR9E=n%|G{5HJ=|d+I0K1JJ*qSF1+HdhPTw_6=eU8J>9W*M~_2n^nT5{U#C{O1-d&v zc>R1?fJ%vK!4p-Z`?vi!nVd+`+8u0qZR-@)q~m*9Ph39vVpBp&{q7m<A|~}!y7pWA z{;K!s?vXs@owPsC>c{U6wRvBBIe2$$t_b_NWKPejhblYGZfZE6o5n3~&~i=g@yVSJ z)MMsa&u3u?IdT1`L#Vv^y{xGsFYT1V_TAr5<+A$6txTP)dkt)_cX%^e+lIaVG<(*< zZ@;%Zm@swSlk4ki8hJAIC|)Vx4=qpQ+P{(EhS-sEvA4Yz?m_t(pJLf}=NaX7Yn*&_ za+4#2@yBOpTPh`(;&0u$Bl2!aSmm52&mFzQ%L;c~%%7ODATc#~iDU5Pk31`7XWvtw zd;56mtU^(RiaxU+WzXiu9}Ip~pt3}BdEg<5XGW^mLs<^JHxT1%of`OmN{iS=ZgKhL z6PMi!Y2DqUIOWy(+SqL+|3AFGcl+oOS*dAf?6a3!IX%x-e()qjeT$m*j4g`m{XT3E z(&sYT$)ovtZA|FjzBR?4*$&+{lzsZ0d*@|$d5b@XcIo%9ED4a*+V)mtZ~L}a-{SZB zNzbl0mAUTE^ZR?3ckPJHd!EBT;q}a3MZ3+LU8c^yx$n9p>%#Y!?q=Nfxb}~yXtVHl z?UUAWGY*z%&0IQl-o8yUnq^+>h<P^C-|^{Ux3X9*uj<px4ROk+TlVaHp=h%9)-1Kn zdCWNx%K}ur>o06Rc=VEb=I-sXHu2Fjv@c0o-`w!5Zqm>EiY-?sOIu#MyD&D)bZv`E zTJW=$`MZSF=PwiGxz?j|QCjuB0EbAp&;940=C3-_x5ia}(TeHDO;RO)&WE_(iO3WD zxaeNPj`>Z$mzzIhEUDR9yG%$=spX>PS)r$;>mEN5tVt5xu=hbu!;kA1t#v1DX|I*P zc=ueLo!IIFp$F}pd8(5pWr=P3lew(acjn4$_ZhFwZhj@W?`oO;`|!o(A6h>usHDm{ zSVzP&o-K1q;L$mHdU|nL;<*SjL+d0TVWY>}y7(>yPf=b~;UA^4%KGEq=9~Q~k-^m- zC5A;#WqI40OC%Si99mK)snAus`18q0x@HU&e_wh%+p~kIvC8oD>LSHWN~X?slQw1c z<?i?H%3@CzUdJ!#F16~rIm@^0rCD1U776G6IV8AkY31$@_o|)R#1Hwhg>%N-mi+zw zw&Al)VQpuf5~k02*O&IUa@C(N-O=wo4fB_WpPb-nb1X`1vxt1jX~w5h1-*@}&T=Nd zd=N4%;C%E><4c-p1!m9XAJ;DZ@Ihhk<rR0YMEp@NOS?8t;lkYOf=oLPn?1N8m^OF+ zCEnTbGlEPX6#bTYVz_9(b_vJ%R~P=c&RX8MG=)Q><o?(2NE<Or<{-tw%49aR>Ha+_ zyVG>4LnkIk-2J`a!Gwm@Pqu_!PwrdOE6q}UVrf{~)}5~c3)$XV-j;5SJwEMm|6|{U zpU;*STk@Zrm~--ygb=%}{8I1CE4{L@znH(D;yC)|>hDuh)BY@|w%#wX;q_EzuL)D4 zvl=$}@Vqx&sBQ6L{;ZT}OP}cC7l);K!fafoUw$!ftN63RY5n1Qog!9dZ9dZFxYpy$ z^HtBa*#*?JbW7)~`y1JRi;?rf!?)aWN9t#~Ms%vbooSgKBf!4H<e>DcY^Bw=!)+EX zd@FA;{dGUbGj_K1ztcj*P0CJOX<zs$;Odf}wM>rTJ9-TJkI!HWOv=mKAUaEt^F_zn ztDcFvwX4#2We=Mc8Rl#kyfNXezDtCq!_V*5H4Oh39Gl(u$UVNk_RzChFMbwl_GNia zNjZx)$cP0*+;6Bm_#s2(7KgBUNAB}O_s^UYJ$FD}R?Yc=O7rKRY+dgHrrWsPTXyH( z*PjuWA22@bX1Q`N`iHQ_S(aV0;!Yj6z9cAKU%c~FsF7oHV@Kur>qmvv{O_me{W|mM z=Y{abX$fix=I!%jrA0kWUPhn1C0Z5ym|K|l(DDB-)^1$&{fx@u)>X&y3@2~DGX3}g zyTBQ<Ia+EG=R|3IP&jvR^TsDlDsB1gO#u^BWR@p322JvokGvbhuDs^smxy4^S)1FR zHQQ`4GmD<ExnT2&$xfGT!ufn}-SN;-vXeZZTNorTv%miEUk4eL*y|gn>|bbiZGIQq zn}w-&I{2A<56(D~+w$vg>AJ@Wi}QLC{lrUnnw^)QwO<k@<RKT5J7GTS<tRNd*QHBu z_0H)i-My}9zo3f_=UW4D7WUSVib;>P5*dHE@;}S@x<&H!&D}R#&RKd$c(QJsl;|6} zPFm&J@3c)_8xFr&^SS5usl#!rBmRDfjY@c$W`6VT50`I!S<|PnG<1dqxx3gfn<kiZ z_N}|twy?h7uo-L20dKu$R^lIz{m?sEEcIT~yK2cv*EJj3XYV;Dv$Ax3R{kB{Y{}2Z z++@rSByQ3=dm`ZA-Q6lPpVl9k6yfn?vWnN*I}=wOPjVL3JDA$|4BO@PW)=npkNP^8 z0>VDZ|7BX;+4VWGRi#sX%R<SidKx|#->GqJ$bB&3#{UyL4^2s0c}L;E%Rk+3y#Dcp zSKNG}a{ctNhEru~b}!y>RUD3S+wy;+<C_EGp`TaX$jngtBW5#|cXj5e(1kAr?KKx& z{B<HNWJ{pj1%cDy!r}Z(m!Cx#^(x5od^BWv^x#v&{~l3|11h!5wU(usCdUqWTivkT z#ix@RS)}j0O>+Tvi~vuu+4SG%r?N?H+$A$};?#-%Ci^~!a{c$-;^1V)*-<~OwAt=C z{0sb1@vc7RnENBQ??IlQr+j$Fx9QcvS>+{hVed}z1x%0e>`j^z9{o={Q+LIb{Zs!q zbt%1j_v-Gq*{h@V{YqsIi#pEWN%mgu>k_!S)a=F*oeRcaXZQ5nKDqy@a#G6Tw7Q6Y zNAt3je!Q+J^1bgj_r$qYl?LC^UYUm7mo}tL44QO~X{nT7$;L0KNB&E<KmXakauNfl z!?*pnlXrdf5nHh9uhY3j376lU^w2hKtjK>I{blCfxo2vZY3{0U$$8nmI`O@(PoT-( z*3L(41{Lk^CnSh4g}Z8;ikY&*#qsu<E$g>#TD??I`R04Yin6Uz9v6Mr`0~hd|4?{y zP3=kTpI@64i}YXH-pyMu>47JY>)W<3o(xGl-)v?|fBuWZ_OY$d{=UWHj8%eHb$)U0 zUC}Y=)tZc(mon>f8F-^IWBzhaE~{FbcgFMcnTapccoqjWWoJc;emQdfU5s9|Lt8;v zFKf`FKa*|s>KA0{cl4z%y?FVh{f>JVo6VD}U%Ky}-F>U1E;k`>byn8;e=gV3CKz$- zR(!(Vq#=DHNmzNW1;5O-{ijv)-o^gu6@S|KB-*L%cCmQT!i#L&UF)0QJ#Mkie6%Z; zU$pkQY}S!@u?G`7b{A=%Je)mWHuuQs?03Z*jDB7f>^ga7qeRoHca^$2Nz&Gm;p^8Z zyY5}I@bJxf8+yK_vd?=XI?do}^TUwl$@eF}|2B=S`@`R9uLMI{w|@OBsu`&IW<%uk zioIWaOHNLn_5M%!UX`hP^WN`tJ;C|$v#fT=s&oC{gnfH{Z7UAT-k1;)b|otQ$;HP~ z8yl*39-7cTu{mI(-b0a$6U$pNZnD0rl{%CY9)0Yi_?<{eMsFRloeN6VOi_AxP^_Z1 z`$PEa)%nXiKUL&D4cM*u<MrjGKhFFAV_Ghu^>sq`^*w2(tuv-;6&>I8P%SjQp5I^% z<7+F`#euxbeym-2U#b3pm7mBL!+G0nx4mC-yZm~P#L3F~@(BCKb6eB><>qm*o@SaQ zd)2hwt>k}tvhs;p^=c*7nQ|pE1)9^Dx7G@4ex30CY=QOHQ-`&Wb+gQvXT6?Z)T>Wy zg>}^W#(<US%mGg~ui@}1$?5mvjpi(JRX0BL*UIy_|7ER!l`lEV<D^YZxLF=n&$#&L zc}#YdX<>8M^?g!7lX^cZU3<_IynBhPjI7S22lAhP*v706?fLw(&xVa9ZbsL%pL(rp zF9#mv`1$G6m-F|VW2I)r)YZn%O*{Bw<Da7^zgVj6TwSsHZ+Dk>#!-|0n`O%<ZJsdg z07vCY-^I+#W+s)%*?RGtEal!L?5uW3U(}&&`{f9ahFLXp>0#BwGhUknm^|bOJ2Bz8 z&_&ZQ1^X908&W;I)0WsKSMF&}6pY=veTwNnk&_t{?(Wxd_&ELB<+b5TMPFG{8@Fbd z?LV+zk?C$jy59b%`8SvEQvPDI;MI)!zlPP*7$5QKncPzUcrl;L`m^|iM_b!gso$)e z&KNd(vd0Ehr(WNQLc5I|PCZsUFT7wws9H%hi?^xEn!OfU7k3_PJX*Ls#BN@(+by$# z7aiUY4_*+7&x-nc{b$3((k1r=0^j%WIPG#e-F>m^uiTsk>|TnqKVPjYSs{LE#zf-} z3(m}L{T0@%qapSnF7mK+eZ#}Z-x(=|dOV3952Y)GA5F2X)G40Weyr-mG(TzmIr6V! zYgu-d{&halpTMwFD|Xwj=j^-wo#Q=Q_%NsPf&N~`wT$U$#T!5FSYfbUK{rX8&sgq- z%2QKw-qebF63H1pSIwj5R@{nxmcY*bR-rNai($Z}eLOQ~P4`Jyd;OPdbCklx;6)G9 zH=ob?b%pV8#My;&rgLuM{+h>YrljG*mLUE7uo6SkcUQiw1zzi_j!hP27r1dLwP9MW zcet@bCv$1WKJSi8YCH7GBPa3Sbk@`?`4xTX+4%{f`(gu6`m9n;5qW6DmYZXs)c<^9 zTh_$`k}MhRe~wr_`O~(2<GS{j^$Z*rTVMZi{m{DPL)bk2TS=ig`8QJcPRo-0>~L?z z-UpuQ-=>xG|CDXL(cM(p^|HiA;MhrFHm2hzl6b5lUTlzg;GFu%p3N%Z4Wq57e>nqF z`{IommwX~jlKx(cs#;OD`<T{UV>h!o+PyipPEp%RGWI*q7cEKHp}w$t&kc>$lT&JU z?OOPOiPP5o;_;WlnQ`+r%sg>DcJ2n_9J`I%B!AAmcKyRmYpr>6r=55E%e_2J>BXCQ z-}lr8zsPmzSSq`4_f&b|n>`ZidKUi)%8ujjzbasRZd!7<-Hl0(ZNg5c%BD>Jd)IQx zYFC9F&GXzt0{2c_Su?lR{fl|ca-G^qQ?s^M{o5~KsXFca5{J|rhwU9I-X+RCGcz{W zss%IDZ*Fq<;_0dO;7SMcmihBkMH1fjF4m5fZ{O~}!8MXM{M`QoOI)UL1>7ooa%H_% z+SzKcIE7QQ|1GvYZZ`Errk}}wSHVAyhItjIq}=uh2%q!wocBjI_~{XGg#$<H^&Aq; zv0Ym5n^}C?%`<aPFdTZNw)l?e(u5`K0s;Jcq<4MUob=J{@GtdM6R(!a{Ly~AB+SsV z-Zu6{c;NDT295L6Vx9l>uM~(qb^7e;g%`SJhDO`->^r#j!K)v}-qAZH&gZqAdN+5f zzWT${;<M7r9@YOBV4M+`@Nhe8xWIY!na=<Jg#HOU^LOV4_6^^2oGKI6JFoUX@l|Av z<cqc)iAr6St>RxjuIa3PdG5vX4u-E%60F53#urZSJk9L(f5o2dlNW01^~_{fXT28A ztFHEWeZ-=vm-;Q%bbi@i8)LyfSFhkhw9}gx-^wI*+S*@zu2YyHAhtJKApT|CdGEEI z8=^%WetXnS=dYgMpHcRAg|lhU?+3m=q~5vRb2#O&Em!8K|K<M^B`m&fS=70uJE~&k ze#MR!i;n9IUv_)0nzKUE;{R!u&wQ;p7LzLd)WWhJ)M~B%UM;aZ@c6k&9C_{LD;NGZ zPG-u{U6Y!%?9TENA0D(bX_ol38qCjMR`+wVf6}~tY{!>AjC{4>*PdCY6jH8ENk4g{ z<VS_hR-22H*0$%0obNg^!++1BzHL*P-s;^uCzAeSt#Nxukj#|7J0fEQ_iT7@N0sHr z-LU*A>LNxbOvS&x>~K0NtujBoK4I@nq40~kw}hD6{8G!Tx0OuFx?+FHXX72e;DgSr z8k#$0Y<-SjzSy@$b-Mi2&;Isj($}6&%`Lpb{eS0-d(KnJb51<uS-F4V1Krp@`O8&} zKb+S+`@|^OUd>@@viR(aNt|Ccgo>;wdVKuC@%mR!9^6m*)zoLVU!mIQp}a6#=(>U? z&qIq16(5QvB*)&~DieCB#^YFa^%L`{&VgR{W8WpECCU`(&tmi3ZtFeg+rwvN((4zR zD^7a2JD@z%+}*p1@5%pzp$!E>>(*y@ez?iPb;Wn34)=53_WYVHN6uH=+~yizvu)>} z&Q*W63!RC7u`<%{QH{xowd$+%`d8a{_B^W*Ogb1Y$057DKk!7Dh2u$&w|#H5Cw5fD zvV1ptf5YAS)i#DByDQf%{Q0p!O!@lR0+T7qA3v;0_4wu{J#Y1~Z=z2+{Hk9(IOWva z>m73M#o5|zdTei}uDW}{N~SORnCdDs)iSM3k57sCM7sB}P5t%bs-b`Pi}(z?7a68U z^TLJgZMYeYmnrK_TvrzOA?QjbqhIKW*&Qrl_NOkEESeVREA8_*ZvNdTGlK#y-QRy( zx%XF%*BRN2O0{~CXU~%F<)$p?OW5C~XfmI7tJm|dPs&c0yLJ4E%lSFW@Z&Y<;5z<V zX1CI#(jHE`uX}H2PL!T8f3m9D+o<&GR{oo;L_X_nnYQ7h%aaSDXC}lhTQ~K@_wOq| z>dD>d-7fTfS^5c|pod3QcLwlnI=Cu0`)A-Bg_|0$l)qdSntAWq(^JkBG4EFU@OGSt zI=C>MZMw_K7xD(&G3UfQFMN~^vF!D$Y0}Se|9@ItPvq>|nfosPEV?3)zjFEc=e`*q zo==%9Yu>uht~z)%+uv6nH{DKiD{v)0IiG#*l*kj+b6c)_@BbOwU#GXT{iW`OjM--{ zENGCK`tOZ<NcT3o$QM})4y}|w{DXC=8B1uCf86C`D!huaZq4D!cb@t_RR1WVe_Xmw zUtody*&Esi(kBUTIA~v)an3?E;!UjX{*0$rX1(Re>+D>Yf0FOtwx-MTo_s&<GD9Q4 z=3Pm-WFf=f>x}|wd`5Y-J>9F9_)0{6n`LohDYMX$c<)@3ONZplxgAY>d0St7wT#=& zX>58%Y2_dF;B<}tNs}07|GRZ2^7x*6iZ($4%5(m9e)yKB8)3E9eA7*iZzrr<=F1zu zTX8>y`Q!=X+1z)MZ?8YtT{YWy-#fqCJ6wW)+>~_v+9c?CY&%Cyo2dH3_pD2cFHhwX z<>C6s;VB@!G$+*4a#P9gEk{=z^p55<Jhd!cb(4aoUe>4ge?HFOw&0VnE<C}#-=BZ; z;(Zc#+Bwv0KHs=_wrzih*q5*ME-Hd+%pNxdD9&qcReXI{Yg*sr9g8+Tc<69!(YX)B z620mBPrR}aVcnls)NK`!w266|E1TZD`CT<jeKcQgKI!yl5#Nr!hvhOSMb?}>G)1ca zFXNWXvq{?@Z(c6F{ki*tfITwl0+*hyS)3U2*)W_-@!5VEHA#&-E4v$a@9n?vuy{*d z#KHq76AvCa_oTmc76;4!_WJa&tIua$-*w*c)#HoNUke&)*kX5Y{A8-MB`Q^j*+2GN z?UgFg1FI_xs=wQrOuv%edG%K0z1LUd-ru=rJTLC(-=a79)<!oxZnxdo;_YZUS9hJn zB*h(f{ahrE#zb#dmjAu_tDyew3t`Grr2>VnUHZRF_i6sG5;mXMrrS&2waw!By<*K& znQ8_WHs`CJ*%ek(PwEFaym1ogn;*`crt{<_$Mcn^TmR2~Grw;3y%R?+t3}-2_hOpz zGN&g$(x+YVn!kcE{LS~N<(<#-SFxrnTiheGH=}Y%<Hp|eMkU*$_p8~Sd+5JRVP{m; z3zzmE?@kN9_?h9OY;5{+u28CZ%c371%N8^~Zjj2=c^kO@)y!M(w+f^v@EzooyJ+&% z%jeR|B{Np*y4jZ>vhe!-Y~Gchk0iMce)({9y~m<;J*j6RCDILUhEC(MdV2FP!<V@W zx*rB{UoqQXdP`%GcHm??_A^T6p}LF@940=UdVnkR{^rZcKQ>r!JX~3(@HcR8&5d-= zedieOY_Z>EZsIL&`P6Eq`G>A031{y+oay&CC3g44nIl@JGVNcD4EoNTs^=8#Xt*i7 zjOWH|t1j1`+Sd<QoI5W?dx{xH3TSMsWc<J2&D?t`1+%s7cc-YPwL0yISr=8~Q}82e zdio9fh?onT|9=aeHc7zg%|r%fX?K?Q2N@ezE}1xQ?ep^0{}@u6QudqcpJry!n0f0; z!wMbt*E?9Azl@A5zxh~u`^l}h*_FyGzqa(8mJvQ@94d9SF3hy#IM?mICqLhB{&g!f z?_GY$l^WBXl_A`vKTPkmCGxrJ2p%j?Y<ijatnbfmGuia;{r1~+=f+LX4J!Uxu=hvW z?5<gIVVsN>kDsl3F^jRwV&Mt<X{(GKYO=1m{AJ*MRR6YbL*j(bQ8ns+6D{^O^1pEt zP?RX&B>CW#)o#YA^;T*ed)9CU?fl3Z^0h8D_~dt^ji<`vHGdx1d1Ffc^^FGMHy<^; ziAZ>3y!UHf$c2#o0XJh7+kM@1F(CZQ;a1+0MoZSN@tx1tC7ZHsQJrmR1V^B|S7S~6 ze1TnyvW{73_0Qv-vu^#*-oJmXPZ+#o33PS3QT0$i@#6Dk9o?F%?{_VCuG=Hi9<tz% zq^x+`yklQFTGInXrNW;t2>+q-BBYP4i)sHGkBqvsM5Di(gqJk9O*g-G@J-&CP5YG( zA2R+naq;mjrIr`>{mlFOwf1#&vG?llKB=c8?%iL1^M&zEmnmO%F8}z&;qQ#R*)1`i z0oD26Z8(ZIEjV=anV)C#(~OHjlZ&5roM!94QWq<)S*zr?@8to8L*=;>v@GX7pBudE zx~1&VnRBl;^K84Vz@VEb%&R=7|Ev6_+*5C28YXdiv+d;irQTGQX7|@hC!x<$RYENN z(8n${%|)!<o9+fYF5>oPFIb!Bxa*tIRN=n=iymBa4E>(DX1e&)*V!3M&6Z6{|Kle7 zIay6w(JLZ{m2daI%0~Uu&GB=ueto6*<?0ukPYVC%Z8^xHnYq{E<=LbA?%S-|D<@I2 zLwd$)t-LekGrb&xR+|1dU$i(SaKHG|kRr}y4{zQv-(|9ZvEDuO^LfA00{$d{H8N5{ zo&~ys;+tJ>Uy};D)1*G5>*~YfT=omvqh+TWT?iLkA5k&+XS?98()5F?dzSn9iiva> zvDSU!`54@}-sUZvQ0_0;ozu5^^@~SspPK)x;YxGF$pWeA!av$M-c_YIS+we2wr~AC zv3vajgO7SgSo8k<y;XXG{oDUj@z-b6E-8`QGOP6cs>ct02tD2)<!?Bj|M(6KJ;`HA zS8^2tvsb;AkNO=ilYUPuDEk0k?`?y-bHw)j&r;yK`D5?pUq6oR-jHsuwq`@ss`ZW9 z^W;tIIh|I#3ij1;c{%ggq2*0o{x5wjKN^V1SL*HM{ji|Smi2Om+rvHiGaC2mUsH@V zJ>ruuTvKE6;zQWgvO@Ekkj2$J(X+P(<eG#`QS8_$dHS~B)^$@1nP&;F)qHx$&w$Hj zd$huu&D%CUUSIOobDB|rWd2Uxmkul^v_3Pe73k?c`StjGTUkNhm?MvjY-Rh(zNi>J zjpW^Vcw1|C-F?-q$7Z*^O1R9^Q}oR2<!;p@tx44@zlP^=2Ka9)`gmNYt~Xyct@zT* z*Z=QqNpjgVT~+;mYC+fPmu%cDn{JtkUgT$c^CoW67H89FagkkdM_ycWW8*)N|LlSL zpH{Y}-iI{`>r-W-Q!{n%1T0obtJeHDz3Q;V$L)Pu4|g4REt}76qj&OEAA7(C37zdH zOuOdPoeS;US6g~O?*7)|MYcOHo~T;N(3QmGwRKm<tUbM3@;>#&T$?X{S!$ilWal-0 z-BCQNA8{mIE7d*ws>&_+%!V!dKN$xIMt)CyD57h0aGCqEHI+O0!gtuqiA7`_&n*a< zrD$Dh^#6+3)$M`1T)j3foz>3q`y|`HuhX~u@bAsI8GSk3%lY2qg6Sr&6xXd-=Q71X z{P+}}e+3sBo#R6V!X_@>nq;f9`fk|nTAhsxn>`wTpD*h+I`%y_OLj?L!sGKSI`i5l zh%0q!TvKf?UZ@iol$x;N(Jd~AE_bv4vOAuI+J9TID#pknqv!IPs~Hl8p)Hr{Hq`6h zo@yeeY<suOJtN`N&XvKL(`+ww9Ncn~S?o%}wLdc^@TML<Vr%qZS+q+)eQToZ#{DO4 zq}vumd5JWCXgtl=uG#wf&&ee_<yH1_YTcOq=kn%&V>h(dt!jwWX}eOr&u5X*Ve5BB zP2J6ZRU@jdu>I1yXutZW_?3lW`T9+dzWZtk&STQ5IJTl$&i^h4>zhWQpWYYNd~BWl zOPsC!q`EKz-(r_DjI(`GLtn3ZA(imvz`m&OHCwpf?*1Y)i#PWSoA&mT|7P!~V!g2V z`!XT7<aNjNLauRgtu&sJ+Pi4xq~68ssaZ<OFP5KK*0{@h4@<6{{p-a+YnXpGtDRxG z5cS?<en^`rU%8{xY`t(X{&%h}k5hDRv+vxTSXSM|p3<2*rBBaVpp{{-K>W(Om{?a< zq5W@GIWg^f|MFPb&Ob@}&uP{i*uDPPig2;R+7hn*H-(gsR2QxckDtGOW4_K3vCKAM zG4a!HkNx^+F!f7tlcI{WQ&n5nlAC3@-44>TlI{h%%kv~8Fk3xbqBG6J@R6XF;`PF7 z%tsEK^KP%&6W+QyYM#fvE|KT5xo$yqS$mvz6brv{Kle*{_tR5~o44%iVp|>_X?t|N z+0HW)W229Td#~L$d-^5Wh=8^7wZGK1J*X(}4rrCSd!XGg$*S}Gwq<+oMxEPeaoH;A z@O<Z^iwfTR=3UwRu{rl9bHV;?lIfFInRg34{~h-8q3%)km%F4|?}T4FdZK6T5rwe! zzYptU?^Gr2Na;Np(;PpeF3tP=4!Mks@Ut`jyqxfH+J)8a3l1)O$$n7SX!BWB<$HxQ zbUPY<X-}x&?Ynw##jRWXN7ZxvKkJF@nwGrL`&~x=Ig`0Bo%R*nx^~p#g6z~wp4xdE zo&TL)e$LE2cBx&(l>5q({}#+YsCz0hw5PNr?ri_GM8_Xr8f+&%Ig_{`)wAKNZ(*wS zMnRsgHAOpLv_CeJiSmxTopAZM{X}j<z0^rtwUrtb!rL=b3ZA`x<Miz3-tP(3u9v=j zUeNcp`gYZ%8{gk-l)A5+ck_2#nw{5|+SMxmZS+KDX+L_#bfq+oH*tenigeWiyT+qQ z!Rr+#h6trT>5-BzIcodw<v+&Ew)6e(?)E&`bpOH17b~@^mZn+@&e6Kg)wEmFuBcq? zPxQr~*VNrTkN=aidM&d)>5$|Ov&f<u+`$GvS6NruD}Bs7knm``uEdqU>nG^uEZq^Q zrS!!jGB%6LdH$uXjr=$2!cJEmI=<gOzUfeO{IqP(7}w*WE|$px4qJ=sXR*yZv&i1A zO~~2PSSlmFcG->3zoSZi`kBpJfB4bV(*oa{SOrQKva8sdaW3liJNV($-s-TZTbk{g zxEs9m*G}_|-R9rE=D`cQX=|r1*<}BZMfw1X)W$63?uqe>l5TrM_?`~hQ}Su%*8lt5 zzubOv)iM5kxwj<yM%}hDof+(TZ?8|B5v)*>5w3SOT(x9#y~*@bliYn*tclbv4{q+X zE7o19bYy#@m*~PHEiSK0<qCr=E^0*X@^}&bzG2-Ghv<737mNAMe|4coa(3r~_W3TB zf$Q@3|5FV<w|vk4B^M5{m!6h(w{FqCFS@<AX(_`^#U&imr={;Z<SrS{yP?_P$+?J) z3>QOoCTkXHDqF0wQf}}2@}fhFJuvaSL$9XQx9b~c&bV)JH2qYyq;YcC*OmiwGHOCs zgvA`mxxG>6(n^l<ytn*EAKun^-6z3y_FRyt>n(>)ZBH*T0}b8NWqIqZt}gcTzoagl z&{@bm@%@pD4_58DH}~!FRh_PfoY*TIA{d4Jjz_Hi)-2mwFj?JwVMWUZj#iIHm-6O| zo?jwWA8*)hqP$-7)sC<0?-Wk{aWil2QiH0xs03Dd<@#$+dH;QFG|NA{ZHxYd-8*+5 z|0~XS#LCDu!nb<uUFRE$(l%)pM>(R5XN5~;&$fP9|3f&DzxT=P`8kW$_^O0A8Q+)g z`Xl`|Btwx+ucq_;lV_LyeMwkW#m<za*Av2$Z5xrKk!pDLcjfH$r>AYqy6A6pUwzBD zzn1-r+ogMx{ezst{=3e2Gws@*2YUtgz3~@iseZrf$%FUHHrFjyyuPsI8Ap@&RHk$4 zzR@`oS`K`76V((DN&cO7EF@%(CtvQr&7VK=+?WunQB`86UBvOf_#byNt6K7X+kNSQ z-R3pt_C2s~yzyaz^@Jxj-S3Nz+}vhY{7B5`!JDVk_Rm|ma+1i@DK|f?J5g}vY^8v} z<K~C6w8Xs`XFr<Ubw4sC@Kw#Mm5Y9#);Y9j(xh0of1H&y2l^S_Ffac6HNWfJy2wu~ z8k+g1Z))ZW{fW+U_!Ru3GdSPkQ0TwO6Dq<_Uz^^OnHk0Fso1E|IOl&`PJ!h<{%t2M zCN>J3zxPM{+a(=Qrs+-|dlat;8}TlR-q)OdGS9x!<G#i8>`MJs;pt*wQuFyM9CtjM zJh$oR%6ZqacD$9?eWj(aecQL(Vjq!REXpZtQ-zHuORcgOYdU&E_oZ@D_BDo|RWD3e z%<C?bU`sLmXrY<n^DE_DK<BFs(%TPyRNbF?|GC{fflbR3ax%IziZA6%*SY+<$XfD1 z{_UKNy5215^Kz;uy}QEMW6#_py4bcn;g{k67J<0nRrRx{e-=s<UGLMJ>lbt6E^DOm z!-D0U%UI16mq$Fa7q4f&eUc@m|LwuN#*3!Q#Q$x5AG7k(lQ{3Y-G2`0_GTL%;3)fi zYttW5bLBIyMW&x#P_TH<k6>}uLpzlcpE?FQ*Ig`q;qz_vTzBOd5zV~F59Vo^Kl@hm zt>3GxULX~&rro>UEC1nwv%fW7ty$`Gk9$Mqsu`yiy>S2YZT{EaCSh{>vi3Wj;>k*v zZr})d`!c=h<@A#)<rM#mCwzN#Fm?^^24&l>=X;qwI}aD!oZ#2Btb}!PPw|HJrP_Ph zx2AeL64P>7uDeThX{v`o?WLsiZ#+7Wa5lMQrC1oRIXUgU+M@LbXD&Cqqxk1fx7*Qa zSvFpJp<mX$d||$5+hqAampO_ziO<>L8+}ld_0fK})5bareo0(W>-@F<@WjG5F-vds zH0~~Qak_nW{)bIJPX3f!(snc^<Bk^JC)ov`t}zLm?!W$i<=QT}L-X#~T+%zCboaOL zJ@JQI4oE#&_FO;e`rb;Og<pRk_qxAqs{1SRzs)j+;<An#{}^a3iDOZ$Y+_k7`Ogxa z>kb+j2jh!!&K#^<HDUc)&6Nj|*_YTYs@&QU^XA=!j*wW6b9&ZZrI+r0I~>+8Cslm? zM6T40@T1?BY|P3k-o8CMxOz5YdSjZ#!wLOwqD`YB))X$&365UluvU7}&6wYJq}D%o zv3<So$@Axbvc<WZFWwBEcHsE)bH^SZ`jzzI-93idR@UTC&))nt34h?l>B__XlR;wE zYR`^atbCIX%z7uV_WS;~J~f8}6qpTP&ePrOcxH>=FB_A!^{b9cGpa0%SUO<^^Q43Y zw-*;)|JtK!C3f*t?lmh{b4mAhzHf8Zw4M(3{1&MZ!17bB=)v@QkGz?GdiDC+Q)hd* z|5xl-Z;}?OE#dXSq&$3WNoT<9MZT6*a?iJZ$gvWw@7~@mTD#`jW6$8vTFTb1Od>m9 z%?f|%`9^;7UG6joJ~O_^lTvHD|JO3h?{yV;QuV|2NW{ZcTyuYHdh;Xh6d%X7qn9f} z`6jdbgidO(;}u-O|Kc)F@@s?7-W~JOqQ5Ur?tIx8nbFNB{6R`=^Ohe!mS!;DjM>g` z$Cv+(d*_#X&G)A2NH3Clc4~|F_8&jaHTDOxMX^oWk=5<gRHJ!zpOI#&$>lA<9CoSs zit=2Nn;%U)oXx)O_w=J((!o|=mE7*1`ZK3jR>9-=R{x6F$fK?&ZXR{oA8^p($N9VY zQz~<o{{H6OCL4LL$NpBW)Z4;I`}!1CX<uEpd%DRFF|(|B!e&<cL|@Nq|H7HZwtG!3 zGZS|c`=P@o$5me+UVM&ecEeuBExQhFX#IP1PlCm~h+lk;ITx*`g+346yKe8JAEDLW z9P?foZP^rjhJo|*a<_XW2e)2vJR~N*n(L@JTTs;N*tWAJfeT%2%k{#0Dt`vaT$+?C zP&4Nb$Js=S_A}ATA9zpQBHH!qnW3MaNnH5eFNc+P_|HgN#(gQb|BUScFR4wc7FvnA zLKzda=dks*NhH4cC%^l1U+8~xFDGZU<4fI(k8Ea>G+L$Jra$fd{r=iizc2B-ZRA*L zH^fzaso1e&dD7HtQ}=D08F#mGqRhLCbz%pf`v?TLEN`jy-6NQ0_~Y@ykCKxF%BL(S z|N7~Hv7*4SHynY6)?3-8T$*(?&;50e%xkfICK;Di*3UK)?arFN#!Y{=!!GHwPxEAa z=DNJs+gsbQZNaWJ37qn~xRM%nZgKeVB)EB2TwmYB=L;L^{w-U5T|=s8^}jo_3p|z| zSY}$f<aE$Yj@8%fo0Rv++3FshT~fqT{`BA{k=LFDlh5tpzs7r&^Pk|)AFG>tAFa+_ z^jLN6vD@?0?(`?7oKd~9!QEow?CI<ctby8vCtChFS1QhrXLnQnl;L?k^l$Fj=?l8l zI5%xQE#u_1{2&v1fpx<^t?LsKSf$^+oPXr^B1=!L$W3+@hI~O2ru$5~6v`>A#&Ui8 zKi4Pq&Y#zvY|%|&kQF?tuw3(@<Sr8qvHI9qMjJ|||1`4-dA09|9c%h3`yBhSgyK89 z73+8JQ!0pwdi=5KU4_7r&CRx)5i7XdrrI6SGf<GbbNXo3i>bTCIy>{et*rUB`|!H% z>ASpArV6OkZn&*|;EP$Ox=xp%*X>E6UB9*-+ZK2*UHQSyXzr6O+Yc^cDqJToF7LD8 zVT8z>*`l4zK80c2i}G$&>duLNZ`?fLz?Bra<r5qy+<Wq3#=oEW_H24bH>xElSDwoB z+o_haXU>ehPt0olJ=f~;KUZFH^ykHudIG)7a-JW4HLNdV5IgK}$6Tewzof||ap}yq z#5m6F?K;lq61ksjnY709xkJR(x5k18Z?4|P{D4vF>c3pBl<;31b_;*|-Q<4g#dg|x z^V`&SOT6aT9^ihs_1hjZ_8X5k=ZGKkmR|eIr|az@*(Drm`%FK)KX;4SG3Z&;rCEEe z-fe4pcV+h9)=PPjo_3*+n>Pq#9oZcin9j4h>qybj%L>BHUFROS?L9wb6T6;4Q<{0R z&4k|zeJoQwSWN!?-ERAzySO2X`SP+ETe6mR7c0oV3A|tw9AdU8hyR%J*>zXndX&^0 za<$<9QE&O1<xA$T#2STp##I+|?=-0e{4iQ0cs=!`t-HWt#?SXP6%)57*?kna;MB6T z<~7@o<W%qeV~RIfzcfE8S9ORz>TLgR&iu9UH<*4p-kAD1KriWArTz)A`H{LdEfRHJ zL6W<2J{ILyaX+5?IP+0lTu#8GO&^x*RG#OthF5W8_%R(#_6z;HpFV#t^tso&eQ$kJ z*o^l@cP8%maj@&yF)q$tjmB>$O{L~}EQvVlxA-LE<<(ioVmN%m`V(`m9=yC$swG&t z{`m&2z+%&^gc8*XdA0*~xqD_9nMf_HS@1D|BffBY@v+ArvR^64&19SQ%J=TW{V7XV znf_;PiJan=_=!cz@~TPd*`05;=+yY1%b(=D(;}rkJxcv<pVhHLvmVs(eLuW$lkYBu zzJ|~5cjp?tRJ46~-8)tJ_7c@Qzm4*xN<S`PO*ibjvN-tbnRvC$LaIxWj9fO|%5OZn zli#X4-bJFo`_w{*eYTDJHM|WfHRPP8?l-l0<$X6-`Aplb7#@q8PMi`K5C4}`owuZ4 z+w*JJ=C|v&7S4S;Ta4%KGbQDJALVm-HqQuZp1S>KUVFBwKd<5UeAUd^p--mHJzHr0 z#!_XWx9y}!=W>pRu_SdC-nPr(D_NCpBog!R*!A~^*gkJoRG!d$?rgnG@YVOT*Xo*c zZDGE#P%VMy&dwF>d6(*h-?@2wQ1M=;G9@Tj^<L4}y7N~ag*t_^Jm?8Gw5u?lx8PHI z5krZ$=0(}~dutyRNmXWbq)g@gb=>dBH^+qvWmb#A*!DFiU&)+sWlI<HsVQtmhU!*& zGS4SvKArvZa7|4|Mawjui}}BQ^UVlq&2E?*va!>zE%Vq8-%mEzOC2kY-TCxtNiq9% z`K+B~acuYZdP;kp61(0x!9?|Ko$~97d$op3A`TxuKY96!HUF2~GqpamxVxIMZF^14 z<N7sX-~IE7Yj&y?&M5mMnPwsNHGiFE+4s|2Pu{m*nKq~O#5;{S^;zF5m0XuAr>t*E zXI!};_>PJDRmGJH=5KIN@;ly?c*0<r&#Y>JM`n!qi&T$a{G86z@si`U*f+lAFRuLI zWRPo`prQ~Se)Q-OjlWA(OLl%;c~*!!;6qclIrG2!#x47pd>5Kl=i2CmCC1F}$=h0| z{E*)~&A97x?fDm{w=TPzV%(eS8eRVXjI!B{&?jYEJw9?i$n9n@=$~?&u{VDH#jSr| zf19@YuDiKGfa6T&yLV-}E>0**o~E(b(yzea#Q~i&E|>p2G(BV!;w#r>@$J~*->3g? zbgG!YB)*Wp?){1`epbDWR;@=*+!a03kkj#Yl0BPsn*Utxk}OHaOU!5G^INJy{q3KI z9k*zGx~Q|mT`)UBczwWzy4wDiZ<?1dEL+3UmN3=cV8;F4^Vio%<m~>dRK)(%?ftcQ zMmd={q4vLb=C@B@B%Zs*m-+qr^{Zm@nmCu=|NLZn*EAb3Z&|182Jxl&%}=D-f&=Pg z)`WUaZ<;6Fqxv@el-5qBT@rqIkJVRQ^l?>4+EHq#Qzde()>?0i(+=~h!#q#-X^Cj0 zd%Q2m3H(?!`*~LxV}DIS=Ru#On+xxJUgx!Yag^D_w7y@HW?wfhxyAnGUWS)f8T<Za zZ-2})Uo`b<v6#EbGsAbE6lcf02z~3Uu`{ovY3H|!gh`U36CN3EzgHF^<Qx~^v+<YK zKh-#WYyVD_+n;aTthlSR_us2myel7nKlj~o+A6EVMFu7_j#t+%e!nJZ>yzFSN1HBP zeDXMPn!weE^(TakW?y^YyIM3$SJi*QG0oZP(MPu~aEcUo%eXEnfXC4`E#L0#3@;hS z?fe$m$$`Dzxe?7<)&v-)`{$@D_T1e2wX>sw?fK96&z>zO(nD1H0?T#pEVSnpXLj0a z!K9kxrM~9qbI+AFlg^cBZD0%+7chymEp#{_DI?qG82|RATsODMpVR|WmcPAs<I>w5 zlRp&mUaXP*@N56AZ}}np@isy09rInLPrf53#8M^u(n&|;=4|iptA6~LQuMOp(Byj$ zz05E8NqW6&SFw8jYwa(0^<{~jvmg3i{rcW{=?<%J!M`RHOYA+muV`+L5r1#!#LXSo z=k!bZA1istxip*O=Dq87A#%^n7i~*4idhx2{@Y87RCC+={rhKGUa0o@U6`wy@qML1 ztjtya=e?p9UK8$qoM)=<zwcg&?euWgA~!)x#l1c+-YhCK3uT*lc}3#!_QzX&O#X3& zxUX36VS8}NQlFjqoBb_nqy08bVo?lj-*LxnE7Pxw+ti+fFr1g}I$Zhvp24cT({t)$ zpM78B;I_ioedBG08Q1Mz2dSK0X;kGoGdwleW4_J9Yd)tAX7b5z2(-H9_+!TV^hhD+ zwaLpHrryh)x?NdUWV1okzIi48g+FcxJ^K5QOOzGUi`Y8lT`#y)>;;dj9eO3e7-=l0 z+Bfs(BY~vlO+U57?XUW5o;joSzyjvQaq137H=OZ%=Iu6d?yNiA@75XdKQ4Z#<tZ`u zpWBXQOXsi5(b8jQo;7{7+!eQ(QC|{Y|GHGk@K|z{k^w{er_Wran>NI(Sm9vS$8+OV z@(ZDk8s4Cz?>N6Cl*}-F@otl8XztR&kgfMzckoQP82_*Q{gT@Ydd<&%T&EBwIAPxB z?CO77lV#>JFFR};u^{(skJyW|lMb2*1X!gODSfq4i(C1ODgCsOMa2)<d!Bu6hSoOr z+}aOYJbE*(9sibe-bemkVwRl4ifHGMpR5*3?$p$mtc+f*{P9}eN4|?oDy28;-&Z|+ zr=`^=Ve;A^Ju^eRN;(pHuSXR#pHFmM(0w^6b&CH!|Em?L2RBKbpS=Cf1xJe?Rer~x zv*~XQ`6trE6T;HZ?IU)tRz;ZecV#=z=D#~S%eEJ4O}}(uecb!A-y+&W+?QP7xDpb3 z>bXXM=f&Mmo%T;q%XC^(cW0Y^*D;F+Tv7QBT=}xsBsL{=9h#~3?TXo-?30fg%1-OO zYo31cn(0P~raAeU&Jpr_b2`^O++)|B-gdZZl7R7>&2u&v-D8!U$L4wIyUx9r$5<bp zSu9_z`rz+|JO5cXZDpRVEy?=3Zu^zobPWaeNivtUyjqtRO+WpJ<Noq02eGQ9a($)C z>W{dZshP&9eA|*Ax8Xv?P4`Z@P0vdcJ>8_aeKl4(<e3ELG5j*+KD6%eWro?^*>8mu zBDvP@nCm@Ve$uX&OglS|$8NA&xJGeWvRt_NEQ6aT+k1m;0>0$0kC>?K$E#nr=6Two zL+=XReSiK{y{KCwBCXlgD(KdmdacPVa`EQL=?ovH-N~Q%(&(Stfj|HIO&{Eokvjc; z+Qi9DS0{T`+?q1qV&97xv$yw87`qC4|2g4k=FK7XY606LYo4NmlZqqH%sHF3hUw~D z)|a{*{`*Sza<pHcR>raD{pzb+srL@YCW<kaDe9K?{ASEf+;WHW=ycKLYn7k<JD;TK zQ7)x>*YbR7w^fl&(3jeeW_6ttZyuTFa9OCj=US-wuD|}#_e#9a{%CLcb600~5c`j_ z;xR%T`Zr>~DV3ZM?0Crk%JrAGc%Y<S;R?|bN!OTPrO(5TJM9(~p00CXndtlMIKIb0 zA7YNp=U>VwIqmeffO<df-w$4{m%Sx<a@|AS?uL`Bt3QVANn^MjTeaG<aLJtG=PtCg z_pmpJvI<#jj~4wO74qrOYzyJ}VgL5-ElS=#RdVmH6lT#WjH_mc&+9aqdPulH$74be z*X`X`Oq#2nz5aBK&!HnY`Lgs$=|7wEZ)C~+cqzYCL@Z<DogEH*-xh7VyCC6fsl&C( zqvDQlN;1=Yr%rR4lltg-L(1vn|0~|x7Ee^Lnwj(V31<+e_dA6RPJR2c)t?lFRxQmp z6kj>{-14K@HoQ)f^E(ZKJ_Ht3Uh!m=6xnpB`PBD7l~10RE$=LRP{p==(TvO6vR=(# z-E&sbyYhwqKIxzStbfgQubGQ|Hkm!8t#pSQckkZgcK^6bI`f5eHpSV#dXVc|_xsM< z8%0tp7$1F3p0-G`ur%g<E5kXFeST$AezV>dKgl<L%8z{;Q*Uw0UcBmjHaqS6^_bA< zb1LjjSIB?q&v+c=HtBepp!t?5e{Y}C+P87?=lN^~TB+tre`myB=uG_mDfHaNJ(gE` zPV3~PPU`1)c4xP1zx}1E!)Fiiy-2e6KkHFD*Yx<+6I-o4bzi-9$>E<IY^$^SO{)5p zr^aF-Cj)N;#r)Rz(c%9%`IC=Ogsh%)T(RBZsAcM^3K#X<%)dLF)tc${ds$TQ;}eCK zrq1@c+PeF|?l)gn7|nBdS$lHUV*j^K{-g{2;%VgA`MF>RuXUwB&{E5#f3!=!#_svm zDiEg~uf&zPYNGuDo9)7{ooBFz_Bc&c*!`nHjo(+i=wjs`u}x3)dlLNqh=~>d_4uM< zeoC&HUH*_teaG3dEDg^$JiBdELT!D*QZ6JsH_Caq!`Q^oxApVi()T3-N{gnSdcql{ zR<<d{w)*yxl<o%Qr$^je>y#Gqynke<vrq1p#^pIz_{3zt`LU=TD}0@QFTM2cymY~P zKQ`QMmkNwHBEF>K){Pb4<F!^D=?klVcj#8pw^f1F+0t!KpU*xXVj7)f;IUUfX_?5Y z-bL~)JI<PzRfNfVW%Ot@#LCr8n0)wl<E)8`&s~2k{M7ZY40nh}ip|5WH72){-lds} zakni?D>_nV`YmViRO@!DV}%X3Ql6@xELF4NHap?}Pig5Tk2M=btF2ZXKT>NqapjSP z52r2UnB?otyZg%?KNowa#9TerYNM%YZx6n@cG7K`(1oU>&W9@X6izZfKJaE+jnAdW zk*n3JKYici75>6BqFev^tl0qu`}j+AJeU$=Z%&pgx>GD^tvIoYwIi&x@yZ9*xxPAL z+x~cPo7^wEvG=j$oONX{;&>)U>fL0Iol-XApZc?>j6E``PwRYIPIH~QdH2?gdu)?m z*)S~ZKk-QWe4I1OkG)PQoEr`&Kb(H*v%Ib6LV>Ak3_pIJtf6hbdxFdJkXVNAZ{D~1 zY|xxBL5=%$5GU*G@UZL2LC0+)&c6{4UFLiHE3;nJmg?H#H(NhNG4}X-uB<kGcVo*w z`6qi*vpQzH?hy-Bj^JMwu<rPFzi&J5imbSz{(o{@!rDnw99F+Pm)N=Fa{B&4`Icj$ zn^#(XxVG^1Dp#h2Me2dgT|o+EM>;qJB$;>ls9y>4-Xxs5^3Den`G%_vKQ~>~I2%#6 zJ@D{>${7znh8pp?|1q#!E}dJuqLy)cn)zy-`}_ajT=n9aNd6>Qe^2@J)wg_3YAd!a zQJcH)k;$5puJ@gBVj8<EDo(vq->qEoo&DQk*#(NHU(4ieej`${=8}ZO!lJlSx)pt# zHnAsqTKtbSr|p$esoU(azsshG#XGuQo0IvXBU_4|&5`(;sSOUbY2H!M#=-eEY!Sl7 zyWd@ZAt#q`EyeC~!R&$qw>E1QT1%PS-zXL9bpMd@=P-Ll*U6IUnt}Dj2OS;nXmgYl zYd%;qWl^u}6t`5HrS1<lv3<W1Y24V}wKk$#aK**nm%Wd;o@uSAW?dk^*JGo_{kwBL zf+aFcYBnvpp`XCi%=u*Ywb*&9-R3p!^7}sftb0M>nVZKhrcPb$FDBsUVA1!0tB9x0 zzoS*D&57rXRnonjhD%Mi5>BLCX1F5tpj^M<fVO}(_uhHiR?l2`;egvhm&m-u>u3L~ zGL~P-!ldy0-1Eq5)_i&8@i)cpsy_7;ooyU-hoibA!qg(?)Uo?H+Ip?C%G=ti?xak3 zpd51WpRd>CW0^+0Ik)f6%cx-8rtXp;!o6u@zh=f-3BBh}c;zS7@b23dv5axbIhWa4 z6O`Arzxi^rS;4k)SwKebH2Y(nGCRJ!sQWJV@BF&!rv6Kg_uk&WbARVVmc_FjCKO7# zFH{$7S#r`!S19I{aezyo?}Q~Q%GnM!^IS1lV7^$X)jY(|CD`C&e?qOP_&fathNi17 z9I7p4-(lS&_>YxuUX@)@j%>z)FH4q3#5Jzff8Dn4jcs_d)UBo2hUyJdC(oK9XIij2 z{L8#`{Bkos=c@OV{r>oSpUv;ijp>YUGMnDNm9uNh%2?6LQ0d*`df2@9_2IpWGvwB; zt)9pH&1D<=jU%o1e^)4%3z^^8+st;;Wah!n@0-sZ2s1Y9?wBF#Q`pCPb<Q@^kcjHr z4<0(DZReQXHTezCLN0~hKU`J*eXqYA{_^6!;3=7f);FFl*vQYl^Pwj{hq%=SA=m$A zQOE2r)m50Sjt-JKD78_}D5L&c<<q^kbHdH-SCy@wD{CLL>dL-p-aQt%4><pC;f~rI z$*6he&&@XdJs(UHRtRi<_cTI(>+!&Q%VXBx(@NR++p(amNhyEwv#@vPJx^=jD)&)s z;M~8r;`2NMjro?w7HeGu{wK+&2TXl%Z;z8@-QJ)7ugr;wvwk4HIaIy)!ObqC>o@vN zyZkz@shZzwQe9+!%VzCX&y#!Rl^x^ww?#}bRr6_`JD)@Ymo<0qef!swcGhxF`d+gA z!7cIW_a@uCKlfhZu<j>Mf!sX-Wpj<Z)8qa7YJV=?-!wfiY)3^w{zpB*=|!IIyYBtC z9ciw<;GVCW%hsPKAGai*PC0pMM^@y~5|xmW<Nwb!vN9$e<~?F)um0}4i`6^s87{jx zqa!Str+uF7rqpm@&w8;tM|HNWQ@!)^X|X^C!$~2tmk~AhMCbgioW*Id<WcGOby}Yv zZZmtl#P7xXF5WZd%TB%YUN01Vf79~qC$d()RC#~pkatzqm-bEm#wG^qm;Ea_;kAEz z%9^Cd%MY^u3$E$$-TWYRtA=EV{E-<o>UJwG%wBHM^W@ZpJ@1yC%#;v0))3vI>2Ye_ z&aTJz&-A`dxX>h1t<0-**RWJz<(YJ!PN%b1UVcarus--?W|BprU8!?wSgl#rNt53@ zev}#PH<)X4V&(V#7iA6x!X+`%HtYt+w*9Tqwf|sgc;#?<SXxS44{L#TRbZ2~x8pwt zna2V`HEQdBH3UBI<zB$wsCMZ^=Z#5g5AE`|iR>(oSX3kPLs<Gy^X^Z6sn+Qw%9RBf zbMhBe1qx5Oax!e+Jm>v-Uyf$H7o1Rj`rebkv?A>i&*ar-zOFSm{^aA0C;H5sZr8th znrvTvuv2o5;OXG^yo#F&A1|8`XxFviu)(UV<0=t{Z_n&cNlA0`?+IAGPlSJF!!=9G zIc}e0JyuNMHE+-M6;5u<-N<37b&~zmM{nb_P`~Z<bC*s^)SN$K{SLNwi7i5m)0%$m zH~RMC>;mRjuN^{@d$bQuN?LW5)y>B~Zv+1szb_s(VrDP@&%dl|aW_Aok-;bOOVf7| zk*T4}qVr#^Ij`guX|TbR%RKaV<^*Z+Wj<e3l`=z~PMXZeVm@c<gb;13e>#dLj!ViX zE;YTDd^32Zqg8eKj<-@_ccyA7w?4gIw)9m@kb+gsbmo?+Rk_LWLT=a3T|d?9?p30F zGRFFbV$odh3*F*89526w&y+j4!^HFOG9mT+=W>NpWOFX8zO+5`-!is;lbtmlx9q#4 za&wl|R_0y1&e{Cb%8Ai+*=@UiOI&h!$(#2_+M4HNt@eNMXzPzxm+~E3jt0s;y->T& zQtN7`Z4Im4?mZi49{+yY{fomt27{B<&K06_zT|bUaBVCq`)7FJ!}53O)9Zsaiw23` z+r!-dX_t@Y=j`);D$a|#1v<|EImiD<L){jsOY1J@8diP%_BA7qXU*ehlVty&^%I;Y z7cpmL-<yc$_})1`@-JVCOVl^-Y52xl6IfZ9Ej_KugJGRem`ihu(6c9I><9k;zQgV0 zBsbY#;_D~tV^S@)>kFlRUAdkxMYXBz^OBhzYz0Bb7X7)NX_r~%_Up5E^5ZqvBadvk z6U%H9A%8cHJ=n2da*K76q0@(jYreEc-d!^5kD>~j@MFj0(>K09#QP%9wAk^5cj~fx z=kwM^wMFeIJhiSx@5n5M=h}Z{U+bIOuFQNPTqnY@QqI4pVsWB<uzmUKPWhc)(<aT9 zeHXW{PtBL(+>7-7gEqasZ&p^Ee%@RhCd3;mmy+^(Q?SxPfdxJu-R*NUPCZwDJ|!al zm&7H#1%|sGpJCkm>~3}+m%Xjb@8^%d6v}4h-TW>1&!<ysui>kSVy2NgY+1|o{W=%* z-|(5&VkV?pS+MQ6mDrN&CShuxt0yns_+|H_>8-KKmxF)Kov>Dq`*#2TrCS0{iFz%l zm>0}<&ADsxE{->kI9I2{uXI1;*BttuU!d%h&%cc5@);3!S1zY@e&GM3cPK&q%kMIu zXM6WDeh_|{8*pC8;`aILZNGE-C0E~z-kz|DQJmR^f8sL9BH_r@rhB)~YF;|Q`oV)z z8}1iA=IT+^2N%v^levFWfAtj&&)(2$vt=_4{m$i7JmA0N7Jq4e!_`Gf{IQYYKWAKe z-uZV+ZJpwL)!+m7<rB=NUO24(bB~wdp3KOTLZO$Ib;%s=_!GbT!x4Y)KNE9=3@1(t zn$JF4^|Hi#*R<ci+hZ#X0w*{8`KIt)?!`Tc`#jr{D>5o?u79ZhVf~{`h5HYF%XZtv zHAO$@;|}p#>)Zq7wmbDFMkWTeNZofeo-j|iqVvTE#rt<=p8Rytk5jXD)skgS2QKP0 z|Eh?}a5>$=xbL58;=RLtkK)S<9VgFB+UIT6GokJ5#WgyAoo1D4-L5>QTUl(}&m!rR zV!z<@^3cYB=Is;yoMK+)lehY!mawt^;+LfcE8QLJ5??C)`m;gwR(+W7y`qd5#*&7A zQx!a(rc@lrubv?J|MkDfS2y<D{xP}kUyaq*4X2w#k8d(GU7GM)_Ak#h$!^Y^c}b0) z@6~wSGJZUKd`3Py@8Z>>(?9OsblHC5N6%7)hL+VI{>+fGo;-1{-?7v2zEj-Kw{7cQ z@@D5xVX5=p%^GJuzx*k*Q&*>5e#!RO)U-+O<I312xE=4A|KlpWmc}4_^-IH7zvzq8 z7k<CFTyWd9iq$^5uM3~tru}98j)v=!x(y~Qbq%*PH8sBNv{}2!>?*TQMs9Yyb;eEC z{`qaWf;xvc1hr|}TbcF-Cgi(S8BVLxZjZkh#^HZa@z*W>2mGd&DrU5OmR_d(PCR+_ z#u>i+w{ESA%s3(v|K*OxqJwuu{>dKh*lD!$_mbtClbGM6X{>#4-JqI5YWm)ie9<$n zI#Qy3OYF3o+Hvw(n92@o@rt=lnIEqPY*}{h?)Hyqk#qX4#x49)_2OoFQ_ro9%Ul`_ ze@tr&ZLv7w#rC6Vy+ru;+@4LBA3FB$y6AP=Vd?u{{F^dgByMJ5l?blU%YHV~_HFgO zxD5T(7X1l-RV1C#bHDOD_I+Z-Z_8ipf3@mV0WbfdJPsFOZVhf#<-g{P55DdFl(Qs| z_1?i4v0_G^1K+HZ4m+#H<T5t$PQ1`w`*h>Rmtlf&`hPFCa)@2)&J>VX%b|Da)VoWL zCFNOH4zJ?99;r~+vuPWr*(L8Qsov&YMhbidJVsK&ac4F3+!%M?Zb*&ay5w2xo4KDZ zy6&4hztei&#~GL1PMyy<z<8H;Qn|CniF>;q3O?{s?ULomsa|$4!~5Ce&qdc6(!Sh! ze<EqqZ<mLW@orXfcdu`|k-A`^O;v^DtoTyPXCIfZ7p?A`{_hW~*?%<)-i8|+W7%)V zyiXK(yKVD)-JknycE7Y-n4olRX_@Q0S>JkmpYbj@<<7+DJ8Nc{1LLW7wUZwfMmo)O z7QEscwdKOi^qOBCXM&WqA|3wxeATyusqMp@ldJ^>`)^t5e>pz$0;jvcf=y3lKYvzk z===H4Z>Ih|t{;cj=&Tj$=j(j%YHISq7yFdHryS0%4^Jyv$rv@WQ+00QgZUGGC)R4s z7XO^&{rlI3<p*!c1Xjsj(`d5T<yBiQDslQ=XoAYKNlT1bIjwTO7_I!1c_RLph-t1& z@wIDJnZZWi`u6|+cJKCwe$6ZI-pmWMDq5Yf<z`R$Umk9+neo>dyDtkq?s;#*XS+tx zZRh0qFV~r6mV8*)RGKZXI{W3^&n8y3f_l~!5@%aCa@OvON|P4nj<1+i{W7NI!;Xd5 z?r(T|Fz{kbEoaL|&kVjafspVmD{UtWoBogvna3aL*~!q(%5EKS{~)JoM5UH{e&o)j z4wA0ZV<JjS=Uf!$jl5j-uUoY7$U=)Q-k_D6elKf&%JuWBqc?l#ih@6~@edZB(Bm*> zO5eZ7=<=&IO%Km{6z=-HXTy2@gNy1NujHm^Ge|l-+y9VhB3JbrlaS1UP1`i&Ty5vJ zoV@fbb92I}br%I=Zgee}7g=+LAytukU)*~srmo0@^o_-v7FDDx^2J1pzFxQI$`7lq z&yFue?zbo&p6qqeWGU<ZiqA7%<j!#3{?26f(mAPxGtA~Qo(Zz^I6hI$%_i1%k5^w# zoVk~dTJpn%DXq$kTP}xe3I5}Je){L98)LlAMx2w+v;TbF!@(=OBVy)@HJ{FANbEcK zR&ien+g7E@tf)O_mR}Z_uyBjg!gJC0=ICy(+I+gF`X+DYyjvg3k6&BDa^v$g*4Vp! zYT+Hho3F60_fa;?_WXYP<i6Fc`}T|UzZd`Ou{SeQ=F-nI7yhcfSQ5VVOx61{>rGY} ziP;&{uK9bS<Bs^tQoFBP6%8Ufjdy)%em%2MuD7Fc;fw6n1qaqFe0Jo+<<3L;rU!eJ ztk&H6aM<hoFV781ZufLKWjq4~kEaI+YRBF0Y*n7f-6*^vtuxy8w%wmC+qbi@)$CZd zO6Ihd%A~ELhyOj8oBilI+i{tD`Gqbu_xBx%-g#Hw`pWG+2UphH>u!`R&^3#d-hXsm zypbY@-OuB*&);38!TBxZ|NPsF-n^7t(7txoOH1D-{TFVUA3p7~;Qy9>a9PIpMIUmw zFDiW9mK2n^en$f9p3|Iv-q+f8pTAaF8lw89F1Ks({{Q|mUFW~nX&%e>Y-iCp#i~BR zr0Qj~+=n)9(*T>_2b~XXF=Yr8PM*)ud;4pi^u_i3A2a&z%}V6d=T#D&QNBGp_0sXv zDO#O5MGGdVUeKveoV$^0+bf;5k4svZIgZ4nzAOzhf7eu4^Iq%GpRyP6Y)kxi_G>NI z(>wd&#w}-o8oo~X$L(9sT#<_F|Nr-Nom1?k9r5p1Z2BCw<mBspH5Z+p^IZ#F`lRLH zn(Nie9Wz=&7azN3AGOV5t9li~BKd1)=UsA7J$A_{?BUd9l9%|eO}xS+Vlemo`Puih z78#}=eXT2YHSn?L%XxQsI>MRRBt<S<c^p^jHnqzme3EH%#Z0%7#dTuLCi9k9`0U~H z6V7a28a5+o9cNaJ>!u`^B$ESI4{W(ORXxO{g6*)yg?EPM*RD(A3%+#h$BUCo)^kj_ z`R4J{?VC<`RyE<7E0|_q$_4CrXxV@JpR6yvpy$p9RjbVqZP^!@8W=y0<TKk@)>Q zWtV`>SG!zkn-9NceZTnp*1>eMFxRyI((O-Iv+SBKQ$AsP?cS(2vzBbRYIDDWO}ClN zPr$WX&&n(>`IM%ao^zk(?`if86*KO|<(}O1vH0NDpj^Y(A3azvOyfv2Xn%M#^~uM3 z3Q37pDNJ^3AB2`>J)7bc%#xe+y8Ybe2IqMkho*RMSYENbY*xyzsSA%UXZZ16;l`As z|J4hp$6meFvFOs7IB~tZ+y_%46snc=KCDVUf0C_k=JB;<E3Qs;T3t}Ue?~-cihXQz z!4=nSM-^;!{;gz~JDF!=*W5;qp2?}@;<_uF`O_+PzyG1Uj=@(tfo048Cwjs2?v%gO z_~r1z>i!(rj}AY$|2{IlUBIw0SYKq->cG|$!AY63RW2u*yx8$pPe*E2)BfKp59@_1 zaZGHv)pYpUy!~p@Z~p(8Ep)~9#?P~N=IvgcExF&6BRO=b^faZDfd-k<iE_$zA)H@) zp36CRO1;*q47Iz=$(*k1vFiK}QO1jD91nTZ^mQ}m$W3_@`A~BLms^9pQNpKB-_^`^ zCvtz5j#esqp}A%My5G;9#a8=G_<iXcPol(cJ?HjG6VAP;Vcj_S{<lui$ES53JPpb0 z*;b^omqmE0mBGE$SvH{zZ2p$Pvx~*Jf7_pRyVUmM^~Og=zg711{>aH)RJASJE$6ka z#xnWU&bzl*&Al?YV1;IU-uX4Z*189-m$8qvJl$!&=!;i&tIVE4TlQl-3I=i#$A9>@ zS$@uUzV#|ink(q=XU5vAr@yUaP&s<$_{OFKbsN-tF84d-hnHD#=-%W0Z1S2t<J7++ z$y4jH0w=3~7IOV3B)Zcs%Kpt#Y5UHWxyL77UjEPG0-wNtp*K_hPno$vb8bX^Qczdj zLJ92+Pk&}hJ$cnPZ`$whmnBoJ{lER5U~6%R!OZ;p%U9)0FPDl=Np8v968D7X>kc#J zbGh+T#Y?TqO%4<(db}#`-l^hWkvr+Pl*4cS-r17Omm>|k?QUJTnfmQ%_r0UHmx*5d zQg!&9zu*$Kg)znsPqO~5n6*~Ed@<9Fd98s$o>?`{9<qzMXGonm^R{yKYlC#jcXeWm zUL1V>OoQQt%ii$q`d)Kyu{F-9c$|ASvHwir7pWP~j_}saKD+yYYEjIBiP!Ju%U)Al z<=ye%z5V8>V9&z~S6WwzxBtB2?dksS+<Wo)Da$9H={fIo`uKly@13bV$MeD@^EaJc zbe{YC#$2J47Z1(s4u}4K{m5!(lCSSeIq$CCg-f2*WsBN~J)ZGftukrK$GG>Rs|2%p zqgf36y#Cc`AL#L&RJ)SFW~*$;-ZsChXHBO&iiqn+@f3aM&AP#-Dz$qaSM37R$##r& zlWr7#yYhBwZdvTDOMP$6nm=`~df{OF%66t<Tp~yDm)3Ts@R_+X73}l3$evo}c+M?y z()EWtCEcqguaSD>#FFT|Fem%5Sl-8SwIa5ob00XiHFqB`+5RRd?DWHZ^{jqvSAT3Z zefmoB_VzDLtxYDaRm~~km)=Oqi`m>^y0M~EW&Jn#AWvEMU8dJ>?>6P#8F6vX7PZNy zog43S+>t!g`$NKfZ#MfemID2lSxY2ur>)d_wY!1W>vVj?-><E<A0B9x=FRlK*WAp{ z96m$E_3_WkQ`ZQwwFvo7owY*ROUFje!b<$Ug%D55BC+BRH(O+H|1=3de8fO;?t#0D z`n7!WPW3AKaJZdRZEslruPBXcwrW+FP+(f&RMuZFf1OXhyW;h|whU>*&O5&@z6mYl zsJ$N49Z~ZA=eFD0?P}5ipVlM@DodP+=Wo7J>-1{Y!h(ZpQ6DeMJ?L4i8|Bnu{(Eir zo}5?frUmZ`b6RZV%yjQ__Vq=o4d>(J5*|IPu)L7+%T{;wh3|j(nr}}KYAxA&{rN?a zg>#dN^tYPviT&Nucfx({xs~m2Pvsjt`R2#U#(A{nN!0Xx5?h{DP4RL(aj4=<$)4`o z%W6k<%~W#Zy`}7=xM9|g%dgG$={;0=C71r~a#F&SmwSJwE=~xjKE?T(*-Q7wydQ@? zabHXlt-KvS<7ipEwwt2rbe$zFw)bx@HI>aZXI#HG!CEiq$&@{*D<Z!I9J0G9Hb3jv zp?^<fOm=8pYwQT$De`7#viBk%Ket!H9=?nEuV&2WEs0)K9B@sP<Js*Vk!~r&>ra<h z*y&5e3fgZ>IJsCxCv!qhsdqx7a=gsVgv}azXP(+uzJBkI32eW1^~_gUyRZKstD?k} znaL8*qu3dIxVHM-%$&RW#X{A;+t#RVn(ieUdghMmnk6y`d=9gNiYK#2Z7T_=jC=04 z&Q^#&=jL&?N<Wj9LviyicdoF=K9-#JY_UdU_}hQqcR%IfV`5VFs94LjDp1jO;!cfA zUtRMam`e!mnqZyv>!dw5lmD?x7p*_4%v~bZvZC~jolX8U9#eId9{a!EO;K8gSG`;o z&u7TB68lx~LEZ4#Ul;yc>kX$W*A<uKKiwVlX=+o4-<Jy++G(%i`;ravdA=xB>DD|F zyCGRWBPT#j;iatCcR|V7hb~XeS#T`VLh)EY%JZs4r{we4@0-mn&arwGSeI*bX!HDi zy(>1a{66hj<|!}b)69py{atc3dhg|lEvbD%N1p`0+r5D)@`m;cYr(}`3Ac8M=UiU! zl~*%q26uj|s_tytH@ACFs#K?Zx^Xnce4^^^`ZIGvml<vRcZKWrm0aGB+7e=mXJ1)< zL-hacWk<NWEsd7d@%?H0q+5OR*hyix&yiY7YwG`>;ZxlaG0%u|-|QIEbGrZjvG+M9 zeqQmsuJ@n>kI)*yhC^nW-79Z?W>kN&V)^aOw-c?jvtkdQ5!d~%eTI#1>BotU|1<9_ zKO9<C;~t;%ZGu!mobFw#R7q==L-%i7S#W9nUXNb03bPv@au;k3+?Hh8EYl!<pzxN_ zyHFbqMsFYS{u&XP(=Q+Aop5>OZ^7LcZT?ok*nE55sd?u;3R3!)uS$|(Q>mBDwPBLD znZas*@}^cnU!M8%rRyeK&YH0`e@R7NMnwSsG>;`8*R4DE_4y9#O+s=l_M8&$c?H|b z=QCz_$yqm6uedndeTVRDo5_#5_yj7hPhsCv?pe4b<NGZ|q58v<c201SX<c3*dVa&6 zROO8iIIUdy0~7X|FR}7WGrqR}3DX)0x%MAD&vV}C%NSTF<_cYxX#1in^WeYg;ls<P zHRg)D)YUcFeetmh)DA!DDcHTS#qQPj=xhfa)~zOHOa*tZ{d47XcxGpR_ncV6lT&<^ z_9qU!aDJfEA1joT9C75GZR4HrW4m&k4lyMj&6eLcujFiuG~?XJ5UKY+WUfRq78+Nn zWZrAo{O|(z^!(k|S^QKbmNa)y<KYiG%DJ*Rf4i#0<Rfv1uBIh_UcM*fj8?>o`<V$V zWwXokCGXGv6)n~*oX5cCcCo=+Vs^s+X*;*7E@j$eG55;7M@u^nIC<Rj>~5X-sQ>kW z_WS1*FMJ8h=Gx628+ui|c-fs*a<i7iuC0;yCu-yDYjM;`ocpZw#HmvQ!}8jn@3^vc za?a63xmmI|R%TygZJE959P{7Ux4NR6FG(J~6IZ@-eJ{I0TTQ2y_QH=_6=mNn>{_uU zy4`g4@vDp9%SJm#7dXb;&0Jo!>iMM&jtn=qO}e>#x7C88ZV^G(iQjt|_vpxdx9V8S zwX`g@D8OuTlu3eDi*Uw*&q?O1r0$*af982bTyBf`^R8>xTF+=N^!oWJsiC*@_o5pY zFHLS@PDwiKqWa>X^Yu?(Q|B*Zz33TOA7vTs&6;#UWQDHMf{Ay7=Xidae8u8!?OA=v zcZ+)$R-Qh3<>6!FESIMeC1NXm@0|F{_{#kA%(m+jHKN^=oq{@9trHB_xPEAMGCRc1 zbD$u-mACeEvFGxj6w5D1s?)>8Et|Vs3|Xc|?oT^<$kpCVYqwy>^>^|j$+6vkla9Rp zvu@q4n5C@0f1F(Z{0C=_{dTWzgW~s3`WF3iH?)m%kY3xW{lMe(=T~~GeZ`i<ro5CZ zcH0$KTlU+;{MZ_{2MLO=Evwz`Ei>KvsZF(EpTeXY%5Gf6_QrRe^>$?GYq*IEOwbZ~ zxbDvH%JuA@4wa>zVA19Iv3MqnO2De6M=mXMK5%^A7PIav-+G$frO4}r39R3d_@r^x ztPp3J))QwNr?VYA@@}tm@U4*T7O$?A8#!gTUeU=qZS^on_)tWq$FtwOf%blL+RiPt z-?o^?(IsM@g2Hx>v->n`8G|Nl*NT00SIn%;F|GJ~rAprdHcO|QCSr?9Gmo8DcsAG8 zR77-2k41S~>6wG=B?V``+R859-h4go!McQQ=lHM_)q)bGU8faqD#`uI3(K9_dB$zM z`e*wMb6Xa!F}@U(CcO6TZS!BQZ?5yLDw5sFBcOh6zmS6BuiN7Gf4igJopzZJeran% zdca-DJDzWa6qVgWj2DLrPI(=#GVQnY7Ed0-;FB)J9Hs)?DUrFo_dM($<rm7gr|ZqR zCfeUV?eY3Y>$#67v0Ah|l{iqjl55?T+UVy_`d7?j&kFdnYbsr3H%|$fc>i4S_a7V_ zK?lECPV<!B_UD}Kmj{^#tsg#Z+;ga=(C=PP9ODl^)n!i(Bs@7>{B!PfW&QqNPi}nM z<8767DleI@x9(uC@W+zKyw5XOC$%lt{Tsa{_7Gq3#8#=69HCC@_a~D6w=YSzDE|9r zkIWl2gA4zQzKZ@{#XCosl|k-{llS450pa%&6&e_BJmZQ`_w(6v^1P1TmPOfhKZ~Lk zrBx`MeZG62twdn*jmP&l9lUfbefg0RBTttY*FE`FffIkT)ZWXlC|PgfwE5Mn{|+l< z0-suWvER|FGm-j#Q@VK@UznCkUcuSdehfbt?i~JNrt);T%kJP0p1(Q*l&eD{W-5G< z?hlNsd@{9DDP6*)Lhj8Dc8?2XpS&2X8<z*`tCcDkssCK;onLU&S=i<0nRwQaj|+U_ zqCG-ht#YoNKIdiVc>~c!XCu#Kp4h*R?deIUzca6@Z?Oqo+bkV+aZ7`-SlT~{J<ffB zf%g>VOlX?7aGCnWa__B2z8VLF{F<@!4NKwPgInCXFFo6v$n|HH-e%2=*-=Y3F8XMm zdhS|lyXpnE``!zhrP87o7cMrsSMS-qvNpM;%his%t&yjD!5&?%Qh`%_7vHz`W~XoH z>7HFD_J7LZJqjUKADS6nzn{H0B~;}8p{HDXl5OstnLe>h;*C+JM5=AzgT!?+EW|eW z8J+*8w%e4?^Yn}D%C{L^<1<Tq67E-Oe~q??x+c58D(=?Arwr3;UNbyj?Q%D3<95Ap zg{wsk96CM1jnm>hzHB>NnDLZhzwd?DliBso2d+^TV3Xndwe{u96-kZklLez*SZnP+ zAz?qC$8(zL>y#CHY9Gv7Q`@M1YPtCKvTrFK$0vR~p!0ur#DrSuD~2;ZwD@F&ZPB_L zvTDUau~WwK#_1b>>xJBSW$qFtmhB*Bnz^ASR;lxiQ|cOTE9P@~1v-i)xzWWUb5Eoh zh5TCe%s*<!fn!fDe9hfl&Hd4O^P{U;O0hyAg*PYuvK8KOU@Ir<!4><SFRlpa?>n&V z(PPmktu;0)Q@7m~xck1a=DEeT;AmF)n+rBb_qFly)qXkh|HYvb5w8DRpA;>V`?x6O zkea|zfn5hO?X$%hxx)UR<MWypvFQJ?8s54WzwE!tyq)!8j{Avk8?3i}{&%C%bj!46 zr}7y&*DMuyldZ2E$$qz#{fPtT^n*oDm)u>x%2i2&;l$P{44nyH3$3@U7P~OPI@pY% zyM%Lh>O+$|OTXN{tg?-R-MQ^}+CnXblZm;>Yu8BU#2tM6PQug3`_+1z7eQ<)XLP)$ z?Y5Qs)%EvL(zn15kLqWM@0uwe+|eBJ@rkb3onN8x*K}E=I(8nYFJSW8UUsVdz^Bp` zshiAoeii-?@Y>VBCCr>r>aTyw`^c7Qf0J^{m$2^pxGv<;FVl&PqI;`9s!X)(4c)cz zc>V5|b2Og*GMJFO_F7r_?qH*<vXi>6Ngj{QdG=|)i^b0OU126~wpma0SomV<|A63( z>18d^dn~hbN||yxzbY1A2`Qhf(Gs#_&w&fwZ%VWcKS@pb@+s3a)#-pqt~dL;3yWr6 zv=GoVy0J<<<!4KJgj7RmWu8~MP@vbZt0H0*H&-Wajs2JLu6;{+(V|@+ZtERlo?)SW z+tIwjd*_2)yHAOyHoeK)+;@1=G5g6iGM^YXcfb9_ICn+)2Y%+sRj#tv`Hi=H{HtyH znYUcAs8&H?YHjzjH)-X@u9tV%ykV7WKDoxY`S<2^{%c;Ijp{D)le)t9a*g+s{N?i1 zY3iQ~&QGcikva9g!|KMjlzx-hKJ0C>w{9yLI^VK(%Ito>_@QU+!7UXQ-kuCc)UxA} zro1Xs``+6$t7yiywukE(Pgpiqc}z$#xI2Gh?Y!T!-H){w?tH-cyZ6b)|9PxR)(snW znMuxGeDZyFjHA0FyMg=F;~|VH=L3Gc41aj5uq~K*Zlu~juXuxmuPyKTrmpnUWMynW z`)%vlD-T%P|0pkhe#A-r(Z^YhEk_o-<5}Q+JCrSXQ#{Xw-Uiue6U<X3C2l{fZ3uqK z(K{hVZO5m%b6aMbDOOrua~D}G6Wdkky=V8VCyy7Yu$+sVuzgc8>)96$QLWd59#*?e zwMi}BsgtrUp<8!NWLUM7vi?lIWm=O$_n-UvHZ;Dezh2kn_9Vg1*Ip@8=4`)Vob~$O zw3FGzPwz9H68<P=qLk++o;Gg=<7p+QhnMrs-e=czo(Mc#yS;b9{^o}){1MKV|Aju} zI(z8JoHr6$n?G~iZ8;Jg5fSt_>7V}Z)!ZH7hUx#;PjGveoU<i1`qbyd*uBAq+c<X@ z?RvSeVWHSvfgQ1KTHKO;mVtL3ntoYb(i7>W`^qxpVL+|4^jG;gBAK$!vpTeG{_YMl zGoLm$lRZakPtCTl%M1cqwZ-k5pPgP}Hf^th_aVFa1yi}g)EM7<@jqV2dV5DQhh|%J z_j<O<fVvb5O|c1&rRrn$PMhv`E?Zl5mgU6274{R=dVh3E$j`TJP&xC2i~pJIss~c_ zpI^@mUY0J$d7-6g&u2|m*@zX+QUyWRpU?Xvv2mlrw1ZhE0v@LI&g`GH_^I$@wu@Xc zyLU&(T~6cI`jGT)PArpLcykcTw3NDE=h*l|j;&Cbkoz{{;(f)}`EUGfSl>-8=QB%J zU9&4CWYN>J?-$+t`?#nuam&p0x1DSf>W&ypG&nbX-+ryR*FXP1dFx)f>)OJN?Hykp zKbvx(D#~k{z^uhT_4f6({JrLX-^Fp`<rjf7d9-(AE((0FY}dWEIJ3>I^zZ8`oh>X< zjK9z9mA@>zDD-Vy)%UXc-ujvVhPO92mH2Ufb9&oY*|H_^pnsVvuW9s+XA+_3-dZ!x zXZCk<_PM(>#cbDuNl%YwOZx8=3CWCjJ7?e4-qgo`vM%+Uc09Olf%7lP8#SFzH2X#B z(uDNZOq_N^uXt&25r5d}*FSBqU);|vF;A>x-RH`*Ywmh+iIwYkKdw)1a5Yh4{Q9?l z)l{*jM^_(<l>PpGk~4R^M?t;)hV350q6+%wyxTpJ_dD$n-rROgPKkMwC-)`cd%I^W zH+Kr~U_0x3eN!xl;R)T6g`Yq2h3e06@?z3F_jAK_u62wJao+>}{1X(r9eqIZs$cAZ z#a)k-IhwW{G`q$d<X~m^>xQz^9Hrh@=^ykD*@*33#$qD*V_8+!`87dznj{+@U(RSL z>RIyteBY0C2Moei__Xl0+R5LlT<;k6W1Zndr!4u^if(@M16IzjYmkqT(~r5zb|-h8 zc*IAw89nARcY1mD+kT(m5b)Hz+-xPo#=ISwKaT!tc)MorefC?k9v|JVUh)2P=!8zO zACvUiz8$!b$J-dj8*`cA++P#3fUNIKeGQJ$rxq*~w^04n#WHn1|M^3{ua7Q$%X^|` ziLm(Z6M?&}%r>P+Fe=KQ`+s&-*plfF8GgK<<rlMK?FZ$!Ej{weF`t!p%;h<imc3Lp z;fQhOfzJECJi4D2ZCRq9yynTVV=E5p*K)nGE!(cX{$zEXSFN&+cISk1SzjBY59)pm z+_FMBbm^nq^n%~z4>jI=uvxstSJb_we{B!PKAT@tPeuxyvw5Q@zpCkK+>Op;d8QPR zEQM2nQuAjm@_iq^QYEumFIeUKiIBvpPp;m+C%*f2{Ocv_>~`GNU6;80nr^99dDTYg zms{o=hp+0&Fgshw^D8=W$JL<R#}8%-d+d?Bw`zqeYv7KF&vmPfl2>zo{1NQ>L{xm% zbat&<{AaH0^@+Jq*5=4l`&#Q_kolL_-^8n=CpR(oi!a`FS|Y!LVb!_PojmNVu@($_ zp3mN^zCn5JQhnbjdByPG{#z!_eyrW5Q^wPCaOX68Be@0Dj&?$Q3yKycIkPU%-#05Z zXS431BliQZJzcQt;#}|TX@0fm(zFVfYV==JYGe9%N$$t@xa6G2ww}i)W*dC)Ogq&j zesr$i$M94CISqNrEuScVzCLx&0~SB&vm9w1=L277FPP$Wo^#sP)?)jd71gT#A1~aI zY$>>JbA5;O-t6@ETkBI7$Lj4^S#P!H&gzLAaUs9f-eKcS&^yHbh>P)6+}lMv9Jeq& zd7W?6A7A}J(4FZ(*6p=Xdu0StoZjs6y_)}FhFkfUz{sNd*_I17erO8X^hkKo&F^~* z{h1DBw{)83M)WzYcp)2f)aJ49_7g`gx95iboXX;!vL?;ypJ$S$N#CT`U%WguQe{t8 zw0fPdc6zXPm5jgRhNkSA|9(@~e|qM6&+W5$*3HX@S{<J$oSByLQt$g~ZDrHBFB&(! zG~4I7=#_KTVkY&eLc5ow?>xDDZB(?`E`7U?NB2%$QD>HPYQ}V(8Dh=({c=m+>`T6O z;nw5{w?C_zJiF&(y72u(uE?n`8t*1fZDHs?_h9{MM=Ry>(u|cqCyV8rl(CoGQuFh- zbLg)$eeXNQpHGWaUk&{9;lZ-KPxLkW4<_+uJ3e1^^{{{G{BP&iD#}Mo@O-<W!Qq*| z^kJ;VyKQYtXZ_!NCoxfg<xHZI)B739JGBbB6aKF1I<bDk%MYs#=w4iO_4@CJ_F9i} z?!NtXYwEn2%+l@Rt*o=yV^-F_Jo`tcY1OnvpH;Na@#m)P;ODfBFkaPm?KO|~pQ2MI z{$E@2yCK|krY38O*R+?5cmuw4@7^f&t>$L^v2$w6r%9^$*(}velAhWZlvH{mM$x}E z;#cm*N5yj=NGjC+Of&k=YH+xwe!?Y*wgVjU{ND?1Drj6V3w-hM^_@lc{(U&wdc`_< z^`}4Og)K8@di!6yrqZ&tPVW4%z?$2~Mf1b+Y}c_G++g}&dG+(JmzN*Nvg(F4C1$=; z-Y&>&yk4opT8y3L|JM%d%RhT!CRkkaX)!DL9dfY9d%K5<g_fV>&lB5^I&ND(jpu*g z(p8P-`zO!%mwJ}nt5HrT$-?rZdvbSMX4X5$bGLjXM6z#nM0L%2$IJ6B-}6tNET4w4 z^5c}<Z<XV&^06I#ap1(BK%vYZU4kASPj-0Dc;Ir(x$NDGRh#`zB`9TOer9;4X7XTt z_!WuI#hWkWA5!*SD%)T3qV3|7!*5hRbObTU92Rmp?^kzOS?PbGcjZpeD8VeT<mj6_ zLaSO0gbV{eUt?Q$RYxL;r7TeQY15Y$ea-b3f*-Lf7su|14>NNW$Ui@Q`cKtd50i;} zmzzIFEbqB+v8hk!+>Q%73w{LsO0inL{#&x;@}JUCBClj;8XVVn8NG1E2E(gK&$%wt z%>1ykU|DPJ`|I;f8Tk~Cwd@gEY%1=#s*LmQwWNkW0e37S)KvH1x0zPtUl9KJ#D;^H z!v*~w@Z=P-|LEM7s2j9ZWWmKZkDBw}UDuC!%^6hnz#*`D{*UCIqjsL@E@J<;1pg?w zq|cC^6}I$vqg}83+O>X4hk}^uzkDr_KCsWC;*?3zwS`}w2CRSdHTD8`!F03p>@k+V zbL@}DDI{dyifWXZ_H$Zo?WWSZ`+XDktXOg^sOaz#NjLi)-6`S5?JY_(cx}_8O^k2v zTVBBL{c+Ci*`?1^*;BTyD{0MQT<|JwGfT#+sb#tQ)~<7$ug$RB(Vy#_ZEwl(X}sU0 zIX^!YWosz-{XV=qMEb9Rnd4Jlm)uIxQiXNj1tr8}qWx6M^iN;E*yVLpa@wtn-*hI} z7j@c8_jnm9{`1t74?g(HL9t!gSj=v*h3@T!-pnBTBi|(!IjY?4TF9U~`>bha%AJ)b zSnT55RjX8wGV$l1$xWZ~YWkDaX+>r1c2`@N9vpvmuY!9{cBi=ZS_QscmFw31=2T>x z;*})RU0rlq_4M~QuasI7lbtI*Zrt$x$fg+?`uUr3G7j^oRhZdL4ar><T=mPwOg=3w zO!S6y<?SupYB^~~rmQl`xIOvFrFa<&C+5y$+R0Nc_<Ei3kh;H6)bWL7?3B63QsYxx zS3PqrD+|51<a5cJ*_(FXUY_})roq-MV@czs6<o0=Qg-f0Nq+pux#|<^vO=Q*Mp@12 zyQSE!WDC4x&X3I0iD{Pl?ayenjQg{KY3H2%aVHgYHqATsRUj|zqXnzYvqK-<r^oWg zK3y+!?(a5>kj#W{>07S9-+iy6!+Sgb-8DO(#y5-jitG<;%(->)wR5Dtq4we_v!2(r za+pQfvAqA8xNLsv#vKuF0}~vtYwcdJqK&D_w&tR#-HoMH-!Fe=YuWyc@9B+K7CXxr zxli>Q%!+vI&?}cW>ncB6Y*gs6`FeKF+m_9lx`^|{OaEuA-djS&x>r3kePW{~#C0t$ zNPdMm|4ktcE_2o|t|jYYOpi=(dEWN+HXqB<j%o3`E0@P*1+hilFH+&<@m3Y)Ddu$9 z!Mj%f-r+8vvOw<=@6Ats9d;M#KK*O^J>ldP>$xRg{w(iTv3POp%;E)7zNg<y@xIyi zBf-!s{-u0J&snvl5`O}AUtVxdDmnS#=g?y(0uL<UE1x<i_srXj50Ty7-y1txY6O0L z5Ug`%=`nqLym7LyG=EB-;MSMBT7`F?-hAh}?DT1USq7$ZMi0+TFFn8ar0cbxpRd-6 zFF4ld_x9AXHLo)-&e2|8#~7;I|3CVhi*4QpcYnw17LV>_IvcaE$leTnlyNa9`D(X( zjah@WWLtSX<CWmY4S#+ZI=%07|NT05*N(@(XUy+Ewf-IJ@5<;Oo$2>3sDC!nvFn-g zC4}E;g3~^&&Wh!^bIaHd+&%ZWv?wd{bK0c!o@eDld>tbSZdHD}V${<Vw|q9|>jRsO zGrvx_;+VE;lTdP?`;rw74RcG+u9`9VQq0Omv7(UXb``N{r@gecy3hZ8B=Js3YU1~s z#+LnO<T!02s`tAa_=KDijp|jMzld>?=8u|>c5$r_k4}%2ZI$}6b|Y(9@rsXfyYB5T zGhEGK=yOc>OZd#{1kpFSPaC)2XJClC!mVMyC!^SOg7{sVUrzINKHL2i3tHrR>))>v zL3J*td#q*s_g?D?%xSsx>CdP6F>fY6KO=O)J$uUR?u(ypD6F$$h|5`Gn7+Gos-V2P zjL$1BwTOR}ZOnF3D_E}micjCSI;6mTer?JtYo|aXt?x%(cyG=98)LfEM1C1ZLdcgF z3!d#OI{ruaq`L3bhvqtUvtr);YR(I7oXh<n#qs{CR{x4S%Q(4Kl*~BgT>d4;>aTjX z{LhvK)^q+Xwi6Wk5}$`0V0e5$WTAS}thtw?>%RA24}JXDM%hgF?W^=lpZ+a;p}C^3 zd8ycLi`MFxJC23>RrRLVnM>T5xn+&r(G%18=cGh1E&J)qyJ+2~XAhO%N?l;(wcxJ% z-1ziy*xih6W|lrl+UGA_yZE0YPy54*hK2v9xa`d0Y+~FVV&B-XLY?J>FF#}SybW`g z>LyH4U>Cmo@>S8to!JfBKWfEoxZiy?>3no{zIOuiT<>#BX1Gg8g?rCiq3Wtrlg|`2 z_f5{7c?v5|+`l)oi+#$Qc`{{JeYqmfN`|_5ytyA^Vxkb_wAA2mt=yYC-`+_bJ>47P zRVyFqJy#|nzHY0!S8|B`{5p4UZ9$9RH}dQ2T{AyVzWV6HjQRx%Gd}-v^6$A9n!ba_ zIn-s>+cO5GT@UtjizuF3x_0KiWlY&@*;(s1w7ET*m%eItd~hB^%8HZgHqOjsn5gi+ zk(VpaRQ1a$g&$YH{tgxOldk6X(~z0l=r)hpMrhH-+1KBwg<bh4%sFSr5--n}!ncwy zxJO#V8nB9<Wem@sDJ+`kK1q>#qA2I0FRR@GCo|b~Md+9-&V88iJ?wtiyzFmn7yeBs z{PH(;mTRN*eH%um)*ENv^7${BZ^*u7t;LDzinm=;p9$^^TPj&3%_*`zc|A+Uzb)Ou zNf++___cWF#InFdW4;i9_dW||GRj@v__9{eclF^@yEdAH);?={{AAk8c*)4KbGLM6 z`a4DBRD>B?xH>5)C>R+S7#JuhC@7d2ni|Y=n|q5xa=S&tsT-#*Ets=et}knaJny1b zF#$i3lSPmFj+8EBzul&{HtTQTgOjGIat6~DKT<EakRf@%@%^QMWxhTEmXDXsocTp~ zj@-NOCC9|Gw(x8yI~rzouzH`-p?rpnx6(Ws8Y^F&T4<VbTxz$5)s5&mRe8}@jdn_O z2)qwIHsKRr*OMh;`z80Q&TE>zQS{Q)yZ?{zl)pH+#nCZ;LQewkj+P9MJkwX^B}ZkX zmu#@z&wW|=K#Kz3i;ow-RxXPRD7$@AI!^8BuW4EV<<nNyp9sG&#osU6ywJnWDz0eV zdh;Wn+a^5>78PA``ta7-Ca3Zu-p#OEWIkKu8+Y!<2V67!+VtXVzv|?izT>AkS0~ZU z;t9jcx(R%T-kPiH3-_(fn-ICfP%p4Hcq&WrR7VHHH6_UlHHt-9eu%N%+gH0JJHq`a zht)p*B?axqzI)&9vg2_3t+RC|Q(^>*Ocb~BpR6*;XIgJp|N4A>N|kBtlH$DSTX&f0 zF;pt#vHkhlq`B^k(j18$cQzMKnCO+c@!!AIvwW^sIy*V4eKdX`vE}&TC!UY4Wt7T( zt#8mTJw2s`d2fW{{+rj{hd*3?LDy~BCFjpG_pl#ux_!1Y)H5q-Yt<DF195wm8C?G^ zRoqFcThU+9_vtvljcEMpTF1#D-)inXZ7E#%TZ-}Usksk#_VgA6zj&~9>g@&k+)=Z; z&IayP5pF2oEIf5>@qr!82d1!l?_=5|-gZZAYpML{s~`6{o|G<#n8NzLK-)w;%-HK( z@V$f1raQl-9`?HX*k0roa~^}`90e;6E*t%JFOmKYuZ|RlPqS$f_pxTbF{O5$_v+8R z({ELoU6I+Ca7!zrbM<<^cvs=xF!A_j6S%hr-(`F2@g?)b1lhw1x2skpJuQ>jp}(eM zXMkDsT-H0zujDuE(SO7jmtyq7BZ={RR8v3SRgZ}7v%6m}Tln`>b%c?}T}|r~oT*3O z%N((D+Rl<2yGLcx`#=-z#-ER8zTk4a@BC<2TXN=Xh2jUVeJ++d>(;&YdD?ft(DF>e z|Fs?dOWrQGxqR8HUc~P3x5#JHP8R<RePwG>XyP*eo9()eSYbCgA)m-|LNBN3zV*^k znzM?14@-t3;~bX1>2um&eak#Ml~L%AY3sMD`ZU*OneDmv6Wkkp)5?DPH2)TlE2&&5 zz4VyvYGsFtpiQq9Wrf8~*z~#VLCArpVqfJNOZK+>JK!o{|1>Y>aO%?*n>)gcvn{F= znWvu3oApx9Pc0{R2XC9iHXreCb`D-og&&;>_&1F!e9kwu-lYle=g-V~^!`Mp;C&m# zc_)8=|KAkpHtDkEN#1k`rcRwDr}8JPIo0s%OM>0Bj=xuf*XG}P>-?_z@a=a2wJKB1 z|KGZJD}K+kBR7Se<*%u&x$fwvE}HQE>ANbOuag%Wy^o7t`nzz;REdTvd82}h9P8$> zXdS$^d)=#mO37B|{bp5%k3Cwo^`R1f?CZIDtG5fBuKe=)^MajcOS$7r=D%du+|B*e zEa%6`f4m0{EZ_X7OD5U+*a;1O%Plj0?=gJ8N9X*a{{f+S7q6r@pZmMu;?;u_on(2w z&yq?PpLoe_(X#999X*<}4&O3a?9(nFkT1TmqoCbm=keRK?z&DknfbzEMsI<><NV0} zz5h#o-q_f8JwsMIotZ&5Gp@7f`09AxNk?}4_|>gt*m3ll)L-tGyN<anjX%eC)O4MF z-;c?^tJ_<?ZY)|i*+x2H^O0Z2U$wpu%=a!x-1+L!ytJGMOa8u6-LDE-{E3tO7HF7F zoNgI@zoFUi57VA!<@#9<mw0_)`w;z7Xm{wfSL%OVf4JN@!aHaEoom<Eo@0A3jj>zl z#JQukIYM(v=J$QLKTl@W|3&64cf<?}mtXvx<<<M~``=sLv6Ef>LWNf`e6WsD%dz7t z4r$9M&-LEzydrH$TXfs*eQv*XUG7F?3h^XJa2Ie*S;Ta*sqMES|DO$iivKX2Z<@mK zr*V;?JwyEc;EL@J3{!pePmBM3n#G*-urI0OMR4`2<0k6c1A|JtoJA)txo2!AaJ%vo z_r@y|Yuj_C&viOD-{@zKj>yHhMc#SwVoM(HkXd?9#_oz*gQJ(Q>dy5luQpUDuXDX| z@A)s!{_Z6P;&PlU5^LSInOm}yC_mHsa^sSi`-zU{+xVXUvChhAzwThU{lMY%&E^)K zGI?_zh_2Y1vL=3o<m>jChqicjoKoGI#&FusJK%U&_?D9HKW9UdZii&wci^ADcBZ_7 zg^%7<{p(95YXe`bnzqg@ccVb;o<|9)!C@B7^7Gfd->z_K9m|A%`(~x!ukT)z#y_{6 zxX&U`?VwWNf}8_d|F75FuT6|A`*P@Y#)ZrW`bLNIbmYT7T(9ZAr6}}J_4w6vhT?va z?9~<DiyG#tF{*O=9OeyrcjaR1!4-U4JoicUy6=uR(SMgxTF(BcH1nm@of}fSoc&}C z!r4sKT0ihrRR5JP<&=J_>C|AlD(v>3Wk1gH{yI^evQ#0dhhw(OjgaE?dG0$5=3KbB zHE!$g_NV?|bQ%u(EDL|ie)-t6_pA5Goqry+Im6&#kJ4rfVJX(9f=AS&PTDOwzDNCt zis9193v{iE5B&;PEI!h>^m(Q4A-_ZWSFf6p{p#XA&f2SI46nb{$vo%aevx%~l7;T| z(psMKD_@0mwlaB({5;6}U&!Vn)0ZXl*6mv^S)sMCG%e<Z!aWg-4KFmK($`&Nj=Fk8 z{I~b79v#LV!A1K{=SfJLtADIh|LLV+E|Oat?!sid(mdwg>F;xv_tw0gS}NjiC$n;H znYZJS*D_iQm&ARUAntQ^m&YqcoxRVM=FRb#bmm6QiMOZZx|9|PCb-7h?G6|8+~ukH zQCsWSSGluuo=P~D`s`_sTe8dfs8%T>*H)`z&fT|iZr$R#w!ME!O!=9%r;FIwKCbZo zQaS01%;uD%7cMN&Y{|ZrERxE}61M)wnR-qB-E*Dpu6i(I&yFImxN>HrKXN~>obUP? z%kb+;f!gYs4T{Ng7accjThyK_&&SylFngH*gKw!#^HHJQZVk8gA3l-x(ND3ELtm$( zX3O(8A#zOlHnpoR>XwT)cOKEMPu9w++;+wPRh8k1D{tk0?aw}^lEj{B{MdM&#=@oF z3|D)a-&@Ccl9|szD&>1sT!5yPZcJhAq^u*)yi{|&vl7|0_fNlLV_3*}<<608e%oTh zHJg5(-u5xaIPQ&Zp!_?Fqb@qT#SbK2nd|p_`CUe}8O3Y{m6K;lW{0RxJioZ)*3Ohi zN;_69+ERJ;$+Fu5{|$GYE8Ogn`!#4|YVNC<OQoNh^6V?R{y49^!dXSJ_0Xo-f~((` z+btJ(oyf?sCb8|t)gp&?T0x!$>K>OLf7)vG;Li(@+rr6v>@4fo=WA*{4=q+<Pd^i< zu;5=-jM`s8j>H9?4QuBombff=`mo{14U706L9Z-*vJb8?QIHY(wSL<C;KLT`+E*gJ zE6jQ>S**fra(>b83F0cZK1WV}$)Z$PJ9~4n`H8q-n`HM{DreW1cc-6Oc4WzAwPwMa zyyBO9dVbmo9W~9Fu|QGYHKo0g@pa;NO;d%01yU@2MgMs{FD^;_rl+ZFF!Q@#?ukvu zICiot*CrcX3tQ&!agNsNQ>*ScYRH5e&E0YLSJ0M~YM0&O&Nt6pez-bto@~+HyPyAj zyD2Pa9B6)Tzs7$NN5<{(H>@|u9^Srxe%$L?{RP2~J#Ay}7N1?kC%s%i)M#y8;;a(W ztKk|kZl2XO{oINUuIut22VQ>_kj~(f9=cMvIA_H=rKp-K8a{z3vW$}!zV1rBc=Q-^ zXF`3Mu$scl_W$!;->KVJF;AOUv~7L5pVL*&NdfEEz2RPdPy6*-kyAUK2Hm=lyttM# z&4wXj)%p9f%T}b$3Hf+;&*R9eI@XO@$xj1++`E2m;pL?J!mW3lE5g|XC0mNq87G`k zG6;XUkav-Q)L(J2oYPnKbRM{0FtM<Ft;AxF-v`8{w+e~c?_6}R;dHX-#tpMq9w^<J z%u;gf@7LE;RS&+5_pKLx#1_6-HfYwlz1){mIN!4OtrxDIRXHVn(yK!U&i?DOyeJ#M zY7m~jF3H_X!u*hK%v4_m!Pb>W9y=E`-|71Q@-O$_XZx?U=WU#-B0hbsc3(#G%gP3> z<XV~iSDhJh^}olR4vK6%ukqQ{%&P0N9M{iy9+~exW)VpjUu@|Uug&-y)^Iw8jX|C3 zd5B}fwGYZmw}-Cj`=GxlxKr@wzn9&U-Gx1uskBHO7oIkwIm%0J-gL)5Z0DYBiB$_{ zT>5bO6W-RRj(k-uIz1)!*JX9I?lwp(3(eHNX3KM+@K?aBnWwhNls|9^%X;CPXrF#P zLg=}A$h|iE`u?&t^N!!nJP?u3$GV}*SmVk`^L?z0AI$3nMD1q&_dmmNNpJG}6P!hC z9RBNX``A<+e*Em@_M1Nf8k%$MC0TAT_=#+{PFecr(di#zZ3%InA_qV2>xf^mE|zWQ z--}fn{QoQ~*vIqg`cs`QsaTtP{od2guVQh1?S1y`y{L;UrTyOyyJ}c0{lAU>oQ7xF z(#=y{ig$@m(vM2N81>xkY2%FJRtvp~XWX9ksH$R1rJ%`vnK(oK6X*VIUA%LHf8-`@ zo(8_;9>zD3b<HpCm1MUv-E-T}6FhmY(HTZgf6o=psg<Wz{s~BZ{YT~S%|}PRUR=N4 zPj+92lKm~^$4!6y_&a5cg`8ezT9tclZ*_mNYNdO<?)v<HJYE09d*cr-%?c2|xpeN= ziwuHa>fD!}5y(C)y~yJ9+KI~T72bAQ)vr%z_gsiQz9#JA{hO<VS4=8ez}s*>dC>x$ znE4%l4+iD3oR-nqHp|4kI;n@x;r#Q`+ZOS+P8uwCnY~t+Pwceryoy75(#yI(I^2@h znQGS2-w}L5w`$1@XOD}Tsw)kXCH8W+u+02aw5Gym!M}ZymT%51`t)aNjMX9r*~1G~ z{HXq1-(L2=Jxx7J@7j+AeuuVHa&PcTIkP3*?*W5TOP6y`$bHsD>GPhxsg7Q)@#e90 zQF%k@43-0DwkLngdusaVnacUsx0cT=ajE-UQoGV|<5cq{&ZWuMZKr)n)bFi2Kh>*F zyhGw(@)v{hEz=WpA8gobJ9FRlw?4o2GiN*qWofTF?+{vdwwRIAYFUW+&x4gr7k!pV zb@b1bo5bw5-1Q&B-MeWoc2rJWBxL_`*}3?-+*glg{PZvux!q_p!6w%Cy|UOW*|i;) zn-_7;*fe?C?LX_*uUqiwo}%`mX9dAKQ>QC040(9{(~{=*vbSUl3RyIN<h_oW#-cg@ z=?S^2?JT;6`=q|??Axv7*H^HS@k7YX_NI8F&b97GmN!4|+pYfAe~-<?PghzvuCpk_ zC)+pg?R(6)K;z+xPr`Qgq4VsY^SHlDx!$!aW@k(6`{MSS+ZiX=KiB!A);+x@b&BHQ zvwU?6kLa9ajy7DNo+Z1p%V+K9&U;te^}TJaR|$TLpKq-E&Nxb9vCExxc6LT@S4{Az z_uKY#v688v@p|K}Z$h$HTP}QjnZ+Tqcxr<Gda?UE)`xmdyfwvf@oOo|X4wh6+9iTD zbuv?KzH(CQujM~q{4QF>GU)8`&aS*K3e5Et!umoP(&q1Xzufegds(?`JMZ4=9dnqo zc79@9(=eg;{bpW?-Z|=5H`z<S+9-O$%=Aj+`8PSUC-8p_|DUJF*Ry@&!tXQex2sfN z@ex<hz3KbqWL&_$!vCj=Pj1ZMHd{Y&)BH*OQD$;8q6L?jD*lx(3h!9)zi*q~D~k!? z1(PIynetwUlYU>i+Hce6614zsh0n~NWFpVL>ee>r40`oMRCrz8F1DpAe{4;I%}s7O zaS8`cne#P9B`!y2F2~a9Y4cgNn>t%6CnYvjahFck;r;#ahQ*DStP@+bd!9E;HOcP1 zUwzy3c<~qQIj-M|E%n#re_V6v)12^Y;;e74r=Hum&u_a@iB6yF_P0u99g-<!F_P@E zul^U!a6VFLah-9``rzWqMJp9N{yEvi&AoWEBdS|!WuC$rO=-a^br-L5`~E%e*|O<g zZS@T$?oNrDR=F2`?YNb*xN*;~$TyezpS_>Kcy&)A_xs@M-#mDpmkUhk%={zGE^#%g z$}3URDoS9@vP1I0OU{cX_o+0R%?(`Gz4|}L=NUKWA67QJc>LCZ_#1|2?O3mEH8I&P zdGxY|t*_QBmZfjpntWRV^NyTuDgCj&lQ)gw6nE3v(nAkhZWo{2q}r#mKltf$9*=k0 zKf~Cb{7>Gs%6o(V!^-uuxnCbldA00l$n;xk={|Gj_9dNq*jBpWlSGK*!cW(GvJD)P z>(}eJbv`?`gmD5}e*wqhdwZYx3tX6dzv{x9p8V90WgC9f2F+aXeqmTwl*7M?NzPl^ z_c?snDJ}UhH7p|EKB}FmOXU~4r**`IA~hY!sW&5De)y@fbcbixz2su{7Pb0E^O+7` zIkxUXubt-N(u`aaXO&wfuYYxSwfQu}x+Lc1nXA6PcRu#x&Cm^B`u`{USZ<X`HAp-v zIkAIB;O>`iv)6_0Qss9)?eYGNQ+a-RV)2aor;i_xuRQyH#pa}_ju(G_o>1s?ZE|W) z56-Wc@hYIgy7<qFS=O9^cg`zkCSP%8$=&QRiMQGQ%MT9L0+(g=CdMJTi92$p9qv?T zTDpzl+_%?n<}MHQa=JC&q_4X66Qh3ikFVTy*Dvf5(tq+?z$I@3NBLE&!krR7HJevf zRGHPz{qxZ)rJV71uD$xs$u1r1|20T`QIKD~vN0t|vo*wL`KJcP5AC~k+*CLpE6m+o z)jl=BMPiChv0+yJF^(LqX{@tjG#$323T8GhS?<f`Vfo?b;qOP294kJV>`Xk%9s5-O zpqV~<i{!LL`|qFr=i2k+s!^}z_n^chZ*q1X3fULzpDy_NbBf+u-FzkXLxJT1(l@TP z-<uYu+!vE3$X&9P@nH0$jlU<&uZY?Eu6pz7Z>HZfUis!ZRor~>?X1pQ=E7PR<5(Rd z*Ra^m;8XICijxBh=SbQru4bH2)^G5Jt&Df;|LFgh|5pX-uTd4qw4C(h=TCKma_*YX zfB#Ioy5>&)$-4Ua7usv*T{!Z;_Ltu!f1g*EqmEnjUo@2os+_y-3x}Amahv7AY0}X; z`r(@trNm>rjML+u)#YkD*jssa;S&LNnL5*#?kkp=%wBCfEh1C*afRXi8}Z5$TV5M1 zo61)D?Y3l?-vZ%^HD{-+GU}RbW&34yZS1sL)kdjmOaW_N#C^WH?SD+Rp|wSz(4)`A zbDibdw&_`Zni=}h&?%37UUYD4iQlE$!F#$pj;9{H^?aGdK~?G7|BSrzlK0nbNtxPv zqAu?D_wU{6QzaCZ@hvZCsoSo-Q|;}7zss9;_?WtHE4%0v9XPpUvcTO7fAZego;3LU zdG3}ATi-t9D%QJMaNh3IiOs#%XKF4N7(A;tF8IH$=v1Vmt@fh>GC%k7&QUxRch+2H z>jV2cjwv4meyzCwBsq)qsLyx(Ss!AfC*3Oc6^+zkR5zR)ut>diNw+j__u58>U$-y( z6Hr*&e*W~$<Si=$XG;ZbIbE<n`1=0hX1Az5m6&Tw7frBPSZVm-c~-$qZ=dS-hPVFZ zzu;BiYTnLXz_NbjzaU+CwanL(5_%>^JzJ15<I^bt?=SZ!Sf5LMX!zT*WY&VjX&264 zWVm<loV3O=k;g@g7JPYr%0eI_tZN(N@y3~a%I8}q{@J(ToF4b0Y|ahEi3y2E0|e`) zhBR3J+Z`T|8Dc)~*rBxs2dgSRNS$8c_J1SS&A>H3uDs(9s1LqetFSa+x=d<ci~6M* zQigghc@K}UF5^BevqV5d#jDO~sqNX0jAe;x%cWN;F(p>ZEdG02rNr?|%36ae6Dbkt z#z|^t#ETV^8yYpzKVMHZwqE|!c*ffs2RL4dMjd(Ednku*!b*`h{@e_a@7jzb--~kn zZo0h5yx`!ns(9r~fe9>^E#%e~{+gUuv*osX<bD^I^WTDW<mD%{?|ZePcDJU$Bu)3v za*@?jpFE3k-1#I#=B`bh{Is91BVJv9>GM$QEqn5@&L_uCR86&;VD2{mj#dBJ07w4p zg_a+89Qgg-mv5J&OX8|clXU(s(%z<XJ7q?~L+3)h)>Xde?><=+Z1?_x-ho<+V?Tw{ z*Xh|wK6<Y2FtuuT<{Z<*FCvX5JiPpHU&MRQS*J4uKJWa-Y@Yr=!uFhXEZd)Z-?aOj zOjV5?8|^mEFmO#<tUv$JyJU_a&23UYFBN)+=sO063w>wo`1{_xGGmFslMCUioq5CJ zSKfa*!G4+6AIHs=zivKRm0|zuq4`|*i`fs`68d6-Bi?@gdNrB*3Wu9d*O$lhS07wq z72TtnG09NBzA5OTXHCWxzne`T0{uDvujXIVqFwqk+{$rNR_BplyS(PG&TkYg2n{>0 zap!^K*&{MRt9v|HUK|Z--01$4m3P$|wH^11vsUw^7GGTc@!UOw+~<Af9D6J!-M#bP z@f4>YJ^kF$)yu23fbZqj><5NjWmhJwXmfaG;mndR+tK7L`h0m@Q(@vXq1ivCf6(@9 zR`0cXd*c3Aez$w>9~kwnuRp(9A?eiHU-PyXIrWRKK6&@>YQc5uB)eJdn!lMzrB7W| zwUB8F>#E`#-Zr~3X9pVozGE=m$-w0HP3Ln$HrfUyM^1eHt~Ph=`B@*LPVi0cp0~Kr z(COxi$E)w`*xjrtWTc^1^K*fDKf|rH4UZeLFIOeJ?%8s8-c~amkAz_TPi2;qQqDBp zXbiG-{A3*?<f8AYdOLTPvhI}|Z>3-IPu+fS-DkV)7k>RwN_Wye?q;Mv=lJv)*;^A^ zf3)rYaV#*J&905x-*tA%v}I48PYSyG>G6*+r!J#!dNTc27IXf!NSwjL&=j$dD|_kY zQ~F2lU((1HV`0*Lz-7+B>F{lTctXj(_>Vs>*}XKKzTH!B8FSdgtgqXizVU4hzuSF$ zqRaj%TpQzVMIO0)==lq=Jl$(M8yK1zo*%r)cICFlp72)>|DWVMbf)T`y!MU|_Udnn z994hjIQd6yR(qj;RdToDxrlpiJ@w%iuCp!YIX(4)SllnGX2Wy3I_fj;rr7k!=6XeN z>=5o(d&)BJXUjshr(vB!@819B@85pu-nt|D=Psu0Hu%H7*`?=Jao^mj%zV?guMrH{ zYO22A($Pzg4oiLBuv_#@&Cx@>kJ-asb)57vJD8++`6s9R_AO;`;^q3%n_m@0Z|+>2 zzUg2_Qq@n>w~8_aBDD-tk3XEUFzRW>uENJl0vzTZ<=XY-`J_Ytmc<K}Z4{IjUq1O< zj#Yg}+)0iG^({x9FeKi77jI^#5xi8TA<cHWJ4;L5iB!vr5vmz)&Tsf`>nh;4$jWcY zwI`F;TwAq>W0$eZ)0aKc!gc4{^}gJ2cZsT3U%{!_nrmAcw`Z6A`W|+j*FN!|UHD#H zjoQ95?8Z6iLdLY&Q6WM%=9n!j*uZ`I?TUh#k*g&`xpclfnf*{TPMqzPbHuBekIk)E zYHY>6vdk(C{mAxmE6<F4j-m-SqW_$H(OEF_=_x)&X^HJC4)Dx=lz4;d>D8{d9a|O5 z-fs*)Zj!4USS8pu`-xG)^vi8q7ad$~Ipx@{>f#$|pI?f9W?txDGj00KKl<*^`h|VI z^B-k>q%0Et*`nR%)5+~eEkyp@ytHabbIQF1+(ye{>-e){gr=CDHLUmh;O`+2_4)L- zmL|TuCHuLTR7Hx)?qt6s5N_0;n6=B;_|mzaW%A{Qzl8)Ao|EYL-8A9RlSx0G?z=n3 zZ9;Wp{4ACoo*S8%7n>Q&@)RtK`M>zY+w?D@L2S~q7yO;QlDj$f)Y+apm$dl|HyQAX zT-YP1-PmVyM^{6qZt?k=)$OixQd09=okJ{qv;THF|NgmPm;VF-BcA=C%bvS%h#i*7 z)4A<vCO*NO&9(7|T!+-ZN0IgS{<<w#`#a9+N$4qu#9W4Ojk9z2ZQB@=uzTOmZ%-Fm z@v+UCwou*m>B8#M=XEN=?BtCqU%p%7wR4BA`;BEYV-Buu;APLex$eak@4Q1N7S1u_ zvb?Ml_N;rd^~^JYu}k%Iq@I00b+=BDb>GSso;S5Gzpi%Cck>ofY+q8W#rQw!qpI=p zJf6Jnxf9A{qTU-A@q6u&xS8{OAE$sx&GFjT62gzpzPjh0K6B%(bC<2`!#mcz$k}z} zytn8SMmf9vSL#<^T<Ut=G~h%c&ui;@!gIfJ^&B#`d40fN`RyLb1N-|{FYv0Kyh)Jf zY}xHY8$M4t^)O?1jDUmlf}9(k$B%rRCp+N>ui=gZ)3*NnYii=L@8$<)E?)=s6jAOg zzdo?=ZOmkT#-?d*cqP$aeC?U3BAWBgeal*}c&G323yGOc$NuOgdZu>$b1r7T_QzFJ z^3t-M#iw2<pOkyHS$UDW;g=s~M!V16shegR{5_S|hp9N^0`rAhlaI%@X->`w(%j7C zY+K3a{Ld%fjMI5@+`2oTYK4r>f15W?xTR&X{nOX$d}mcJeZ+nLIiCo};>(8^qvJg0 zg)=SbIlU|GMd*oCN6zQHrNQ+qZH2*|)oWjTw3w#(X{nw&k3rvw2Fsn#SoKuOR>aJF zDtzc<gw^tY&gXsGmhR~MqJA*py?mBOOV;}q3%|Y!#oG<>jTy-avrRZS&Q0X>4V}(@ za-N*$aZbPf-yI)UZMm`j&^2j>m#d5n)0W<e?@)d`E4brc;+qobNmciaita@1xq80x zrRb*OexX~^i9UvPvYeAX<n2Gau(*DOU+)6_jpZ|WIF6lTc6&a54$GD}6In;QIl2a0 z58KV##`ExE&!nlGXRDOke#y*xcTfLrjs2B1fq84Lc%0VrS<N;ra82dg<{Mj{%I-Y> z;@9COu7a-y6;l|ixwHZ=id<we^ax?p%ARuQHGf}GuXTCI{Ddd6(dn}ke)BC)`^5gr z=KK!!w%3RH!vj-KzdzgY^-shXhM#8>%Wf}zsi48tKke9q$bRn-{<WWFMKxQddse?l zToF)gI8&hgh3}!M+jO7p2sK-K;2dYdy+^SeZk^sQ<{eR#o3Y>L#{bt%Cym?o-`IAz zCjLP4+TbM%R?Zf3-#qz~Op)sLUmQo1j%VE}4(8sp`p)0^1~)mc&ST+oE<dQ073{J7 zjlzc8&JD{l*!^5~2m~L<++@Lh>rZ=f`9byLaR!kqFRIN<5?2XJ61LIVIq7c7S&m}{ z6}%H>P04UQzBKXGAqLj1PuHvqP&eybTix;ciOuczseD3fCT|Lx!Zvliru*ZlB@VyO z&1>LU7OU&Cbe6n(%$3?-GPRdY7wCj0Rqa!_!C}9mVJGvX3+n^fn{sd3ax=cPw(j}( zrRDdeX(0#IV^$b{+T&u+$>Lbs&sKKr^rm-nAAj?G%3ER_ep2PH#<j+`wh^)1c`POo zVZmQscNRDVZNJ9<N<0140qy=fHdnVizS|>H&!YNN-f`296Fnb)UTN5R=;QjFGe#Lk zwx!Is5AyB_^n7%{DB8GKuJBg7=W3gF<)hCwiJV@qnep($`z4hOAyZ1<dijWRNEB#1 zyS?Z6GfDmvQySy02pDg4pW<crX6dJkFR#~DK8tx`-4=1^>E|o2vvm%zl_&b8*eu;~ zG03t%<`=KOrP<%JcIO>`*)Lstd|Kh<WeRUuG8GQUc|P2gv&ei-I?Jy5-IoKUPwR&y zX!T$7yE{X9$?*&G#G_pzgtpE$wwN@v-)PsCRX4ahFVEh}sJh<2IQFjY-0$2IpQb#F zO1y5teTz3%VD@S*zBP3+%j(*v9?<7vDV|aJRQ%7jthhk#hkUD_q-*Lv)_$>VedRH$ z*k*O<tLNKq9ITq=naut9dGn2$e=<$K4p<&{Pyblkk|C9EA-U?+l{w3|c9mvl=^9Uy z+VgT}@@k)hD{gMA;9`o~W_YczNBq{3o5ls)YmBaPZ`o<{?AVH_2MR7ar#vm+s(5ts z?e7*&zf8T;qch?pKJW2+@vzeNS)PY$je>)dnEvXk;;*BuvM0R|yvzH-vsBJZtv4}Z zR>M|huXi)w#{SIRmUK|$$wM*o|5i+2UOco<nO%2|ap##e*B6#PjgaP=_mh8}&^G-G zCckP7ezQNAWB#!6nG}na%7dTt)4!Zpw&Elg`}ZIB&rgZ;(_w8eJNP(M;8*B`x*Un9 zg97#epKtGoyd5ZfPfqr3-ytdaZ#DWCLPFmzW>FCMr?N^;V?w-w)JCU>`>Uq?+Q_v) zcDMf;gTkB3>iv{H<a>p&|31_m?kEzFWGw!=dUo{d6Cqn~v<hZ5Uf;gAuz2aw7sndb z=iH2%sd#?s>`I1b`+n!$oWI0+VU)}g+Z^@@+~TQSOahanTXX(LRj=A|>WcnkFPVAH zei~*$9j3?BgfrI8OAD#&c{Qi^+p7Ev2KBoWSxWX+YDb#xTI!VW|9V9d*9|Sv|7wiW zlUdh^{n9ATEI%?+!dp5;?`Z7#W8z0d%qz8}POe__TECxnC11_-hZ!HPW{EOY8gcEA zl9^=ry(8Xn(~gr?DMe-WOSM<3YLqB*?c92Q&C2A8)o1VjXH7U!Yx*qy7Vk=Ksf|ak zbR;VzOXM%ET#|N%_rZpWGX}XWQL2BK_<u&GOqNcxY2({cx{PaH3G0J%vzx!gF8|la zm6Ruy>A}9M;dbmFHr?Q7pO^eTY3I+&SSx7!cM6mFeUAm*^ABAyj!E9uxUMqlpZtxO z14|PP6+~EW6p6blaGOiD2wfKV_EvLB?5~!fgG+heMxHBeUO$oTqD8)Ot<Habo4YaR z4jgKExBaBR|NSjZ?22qoSAAB>DW=^DS96cJ#M!1Fa(W8)7xP(CJwa<;iP*|H%zfw` zUgRj?ziyFBUEWNQ=GAA@kBKauvE*>jW`_)IwV%RmP9b{SM?WZBkCs%5Sd`=T`rGVQ z*#|s##HFrwJ&LsI_t>CyM}Nhsb1$w0eOPJz>d9Xf)qq1h2d5}M=VbnLdaCC$W#$Fd zmv(YrNETS`{I4~4`F9QNIX3>0-IJerYR!nxZM!TdTi$gl>0*vu_qubMYU{5|mGXb` z-{rBvmam(b*PhOp^rmd;G3TaVw^{qLH@J($Nd!uN{{E8f=5fQIi)?;v<_uZWUp-n} za%sY%%4hu(-cRd2zW2^kZebV6KXZg%Dsb}cP`S5u`Nx}bkIrkX2@0-1-tnquUz^vY z*F`0LZ@ak@?}ooU^o;pdP&G^6)kRu5r?ifr`H*E0TfQTB&yLe<QZEB<2>M$kF&)#J zzQ*Lr=3f>o3;R;u9qWA?6OeG!Q(*h~ou-|4Pk%8lW^KLHnz=J6>I=)yx7lBJpZl$F zm_hAa?1YM4tkc9Q>f)Zhm?*bp_qnt<<?rTu3T_{}kZl%{V_BMLG-3bW!gPLCK3>7v zr6+9X{nUS_yC%wK#jXiQ!X0)d>P9j78C!*(S!}+DV-3GS<C0f)o-Y_LPgwU!xNVh@ zWbna78H-w8?%43wW@1EuLgJ^Hldm1S;V<i$E7NX1qjc}KCtGIAsLIU=k!ZQ^y5{H1 z7TxcA{C;Iyu3YhL$1XXkgD1n)t^Bzgrue`8z2sEgvWXGp*J8gG2Y3pwubY0<_EUK> zZ{eA+8(S<_?VeSec_+K6ThnN-%CCzLwq%%oW9GZ8eoDI~oB#6{bH&GQ+r+&#p6{G6 zRb{cqtcf!gJLVi#dmcI4B=uS4|JJxKQg{A2+)I!a>ECoNA|mtdr~EqMbK8<*47Xlk zpYcVD`QXR9f1D&wUsx89AO6Ghdh$)x`7_z~H)e{;-CDIHJidN?pWK6x`GxK;F1u=Z zJknlybCzUEs=`$(b^ZWGzT+Nmj-P&VBV6fQd|;?L%Wbn0Hkr;xf8_|-+-%$4@?%5r z3Fjn6?nRr^0(o<G8BcMjt<v3ftg`Yg<B}uw<;^{-venH}`+N7O{kyNCUwR;wNo!?& zP~7uewKEs{PwvrLq{PGMDZqO%(Me0(Z`18B|6a)N@ctjYSHzH`DsjDR*(B?2@22fd zxh)p<@XE|B?|vR(bDF>t(3n)WCo(>DXXCXSA(#AEH@@8VP@_dVL$%_VpzrVZ#;+!} zN1RN)#CG69gv7ROtkH+KJ%XJk$QdQSzp4H0YlGv)x1VHl!Z!&lV=?%^8x_D@S>|?6 z;Y8j2#nn5SA}xE^ICQQV*d1XtZgWomcHkNJLWlKTwTG^CE)9qaobMR4<>GGkSMib- zX@6$MU61(o+_W;SX5N`~mTJod{eN96d7uCC-uDkIk1HzA@$0uua>|}Iq0vnG&<f?) zpuf*{B&5$>8NGb}ol`Xh^M76Y@xc4hx?PQhmHV#CFfLUpYrarq<#Bm=<Y~vJJ3i{$ z8djwrPfQW~>Yl?Fp;JEVM%B`SS=Ov++a3zJy!>5OyS(9%-VqbIsdASleJb_Y$XAf7 z_D5r>#L@XRw|lFSf31Fy6d;%TIj*iJb=uj>(~r(?SBO}C&hl59nyWBZ*R8^E>D<Zf zuHI7)hU~m?jd9N+88i1|41GtwC9XJnX<~dg;}ZS&bs3+T9lBI)wjWZ--CM)E#pCvj zX{jqc^#V>FS}!O2CFu13Lc!$e8?SL+UO8c1$NeL6EuFS@tyfGUr+j<g{`bh!LbGKY zwbRt|qs5bCzB#R0`h7{qPirOTeB)nU%5MASosPX;*B!Y>vh2_kiJO}aytoy(jpJ{J zy}Zi#IJ3rc{L>`+dz;(?9k%quB+g+HuGKJjSGm+h-goh|#N_0q6Q(|^dzkR1Nl<jw z-8!WNm03=+Kb<h=S@my<{LF_%<@X+MFu(N3#k8S{!`J?%X;#-+z2Ecdg(vTy_<cW9 z?ncRqnG3vsT^BjKZT*45&O1|WEPg&|Z9l#5NL#Q=irs6A%e>C9XToRyTg|N@o$$ZJ zXw&u&#S3o!x$d;)nut`|tU%VhHJgs`uW!%)&Arx8V<|_=X59yReif!t?M<9#|M;f8 z+ZJG)yErTOeFLX=Ad{ZVZ?X9AHQ&w4@2%RR^D*PgxnDMhLMyJi9=5cKw~ctqb)mu} zM>IF>uu9qMEh2rP7s9_3`WzNH<D<_lWU*oQnX|VyT+9A)-Ac}e=PaW^pSFG8lm9m} zH+?;~H^{|f*FGirXGJ0VCZ){Ncw!Q%)v$8D$y;mAGoib~nY(U=y(`Y$f9X-^glVTT z{uDGmHY$izV`<FGiD1__pF3rC(w3&bTrZ_#l8%ThpQA4NIqb<Xr+eGJuDL&Hb@%l- zQ-k^>X6=1@@b2kK)hW-`U41oM{BZBGPmAXSTxAd4ss7!j@Fib$wo6z@kn0YHaPI^B zudV;?Jm`F+#M=LN!po<-{{7sVef^JZj^{DwhNOfe+cr%J%&N(o*OwUHUsi4Y_hXB< zNUu`JLbu~V^O@CeK6!sc+4bSsYhoW(96Pq#J9^t2N1@enn>C8J75rVxkTZL4-j?^P znw|eFHr;CZHTzIv1B+60w9;->lXj;c`@Ao;X0Y(7*9Qv(&Z#iel;2)nsKrn*_rw8D z<Njuu<9*Axc5b|(x%buz#)XAnmfV(`bXi>Qxc}7dNAK!5%%ypyB0txzpEx5w-C)1x z$)pp%E^s_Qu_s-(vGlamm#;c}brF8|7roXvIkn-h9k)h_Onk=NMs3}D52bI;@iUns z5!chO%E$W4BIn2aH#W_*J{4;39K2U=6VIWdZ>QbG?=w1FD!FCw>3Q0_1Rmja@6P!( zYC64J=F@xTKqr@}@_z%x4?iaz*<B!P9(nfp>zR|iZ)(<U3%}eTfA930x{oh^XQ_&R zbFcfihGlnTX;;ev^<@DU=Qr_-ee1D!?_bF;sJ(FEC2qOjGmJ`iEzqrx=;k#zzy0K* zUlYtOth-?zP-VukR%Y&gFM%hm%{Pu~tNL*q{hPYs(<yPC$(#Or9WIzEs&BPsrq1=! zPwSWj-{{qIbWU&j;-;`L(zkA|>Q4_vPwuy;>Km81H2Fl#=05z)c~fOSOM>sz90&Q+ zo~zyOZ#nSt#W}reg?D;=z8S|q-#n}SYR8xUUY1qu$4uAUI{V|GSTtu!-0N_k)H%KR z74j=vE7Cu(^>aGNa<7_Lz30vIr|mvx=j>m1D8qfrIioU#`x$!A*Kf?xw4T5Co!jJx zmmXbhn9Y4_h4(^jk@*qNSN;7H{qzNQX4dh#12c-W#ZxvjFFY8z@za(!+_pUh$}vA` znReRvHX2PT<~L(F_cOckX0OEkz>6Jw-!q+E5-0amK&xrX`}i;Ec^gXFQaBT*9(?gm z*5LcX>D4`N7S=9*dg1rPQ{H<#{>WZRJ9j<C^Pi`vedL$hy?*>nf$>|sj_a`=n)&y4 zaYcl8xp84{{+Srp<&6oJf|s+7@0<UmrgW}d_`kzn7H0gZy<<A<Nt@r);E11lUq_z$ zd20E&>JEvf6=f<>E@1(0HNPHTcaT-^Moz8Ps)OY#&c?j1zfm0d@y|)S`KNdIu}UjV zZr3{>mfU+#|6cIt?Wbq)pYL<9d&O}$+phf)b8-FQo$uOj@5)Z9-S^2@G=61<hvEs< z|4CP8%re}->r||<=yB5ImE~(H{;kl`WJ{ea$L4?1_3fd+@J4R_ThpiKu&++@deFT* zMe(gj%dUxA1e{hKD*MYP+#`SO(zJJ>{>9dR6@q^rSY|F`Q`{8A{-(%c+k+KtR;%3e zc79Bm?z8Y!nZm@j*MCzsJ(`?na=f6?Lh{h<&pf|w^ORToKD02Q&WP>E^erl^?XSwa z(rRV4$#h>~U@_jSv-W5Fl!tnM&XuPd)ah?<53aCJG5;oaVaegI3*~aH?=Yxb-hab% z-uZ;JxvQ&f_a`sfx@7*X*zUR;C#oZYKXP5Yy@>PbgC(b}zs+*;oO;B4lK}5J!Aiz$ z|7Pr9GgW)cS5}#{>fOzK8{Sv;rzGxrXrm}zp!oOP7RO!1@4jBJyK}f&C%2lTvLNf> zdSmG?J|8|W+LB?h;?r)yjvKo?CY2{G_WmcRvqMZ(^5H4Vb(g1Xb<ng8er;oS<9=;I zi{bVDpb6QE%k_n_6bk+o{FH7zsjw(AvV!;93tO(`tC&^AgheKrw^@oi9(r=M{;Jcx ze;J#(HpySzl`)Aioo7=|hD>AoD(P?6V=Z{vSmxY$@^k)6hMKRd6n&E422}ljdZl5z zJk!ok|2`&c+$|S-K3G9!Ui|O<kCi9XwKQt3vQ9V=tj78M?S99TTXvr*Dn1<c!oYOu zi|17<j(Oje&sg?&eb&>6>G=ZB%eO{G)QkP8csJATg3uFznVnOXx5$0#47xD$sf>Js zE6YXK9h06_t~tX0Mxo=K`I&XI?&j2{*r|!_{OXl|;F4m=&R<&JB`nf^>Ha$M=X<No z9?>qo?k(J$D{a3sO|qWY7FM1v_akj>V*+0__tbN(x9_nYDW2rBJc;GAN>X>Jv{Knw zwXbtOT+C|xu&83gl#)Gj=J6hybLqCOEdTy!4X+z-*<3sNlABq+3!bvLBvl$8p6A-0 zxAM!;hbFC;<+iO^{PvriqSbmA$4RlN_urp*%=SU--$sGNxFTiMt+!=8KP<7%u{!ho z#BIrIJC~^(`geV0)DGr__untKIXcagch;WvADe5|ubg;ue^gk?iYZ5KN!_+rd};b1 z*oE)kBAo|^Ti(e0Ui$caTkc7h)srs1ci3Q2+|c+^_uLH2gU{Fec$&s1Um9vQKWVCF zghPE%lIGdBQ7Q*HmI%3RxFn<>_`R**y2S?7Bj47^@~|Z{Sl>x46I`hlvbMYB(|pOf zUSBiw^UmZ(Ji2>j!G^-02Q)m{J@-!vF4^0lF<)j^?ybe=^&Tyj?bghSsNQb)KlIuy zhIKrv=f+oYiX2~DbK~?y^@mcs>+ck-Y4GuFu@X>qy){#-_JUi1oPPCwCL6!w9S1M# z9Bek*(yPVxQ)Q-QE31#a@B1^S9~I4b?~)KT^N@<~>prV5JbQu;?-%xAVwGI8WA@G- zZ;fDipZ(>YcbSwk79Fel`pADrTk?W5zAaybTIBdSWmSK!WGtM#`NWroyjPA>>fXpN z{D0ATw^F0kQEz2|ornHy`eq*Tjd_cFUZ4Nz(>|8%FF)mdjnF(K8t!SeAcAw{Pv!>Y zU-Q2S3Y28YEfqIin%Fq$^?ClsZhk+7gQW5r*Q|0Z=HDb-8Xaw0F`2(}@AfY{#jf_2 zJ1%X1-QCk^&$2wovTgQ~Rf`_Z3Kl4m{oj9!?@YktcV)W9{GK9~@3!^27lnRFiLySy z`TxQ34yV9(;zu(QN<*A4J@M(iFP*bFcwTu7-wdw(=E}V;2X|F^`%XJlw0ATA*Z;Bl zN;X03CuiNf`#~pBa+ck+uWqaD>UI3PFLP(+)V2xED72XQ>QK$Ub&FOjY`**8@apPp z`CW%rCWNcb`xLM%{!#DD!(!TMdRLTAzc}{c)4oli4?cy|8gtFayH@ux(<k9j-+qy} z*k9*N&ii*S__WDHh^@-v+m$`aQ=6Nvy_zYcZtyiL@MF2yYTundo;Lj`t!2;a|JgYI zkVMX&%!|(}=Q=#O`7CVmJ<IKGKIM*6pBG8pxyy5;eDmSu%Sy$L8*90xWEd;1aps%- zc)_EWrK!=DKcZ~QkKg<660l@h&OD=|ALBaY7ryz>liY6lymEzJ=Eu1`AN|=b2u_JG zd$}}k+BS~m=N(%Ng_RS2z5ea{eBmF4+}W-QN9S+cII-SHc<H0ZWnES*XVsSVYHh7t zt@GMp?~7IQ&L>P<z^}5pFIc}X``IMpf5PTAr3a@x=;ylD%)ZVrQaAO=^s_hIG`$b( zYniyFaz*`>N~bTURdbYD`S$Hjc-ZPS&sKTgeRqSO2LdB!Uh4f=GI#yTdwe2Kg8y#X z>%Qm<cZ%B8r)y_?NOzj$n;y61Cc|;N2L*Ee`%eAtD-NC^r1p89f3Swz?bNm#!js<B zJ!79MRrEUNxVxs1OVC1t^QJdhd2TA!a{c>#_w2^A?;r1;TVA?XUce#ooYx93sji5< zUnUzQC>I{Jo_yov_N&zr8(4}pr~3Md?J}L-KV9=#wyN^X7yILxD*B`gfB%$x7{A}l zbE1!~Q}=b#dwUo!Ci?Gf2$x~-PCb!*{Y5!%kf8G9M02ZPxd<1DwBH9N{0iLv{lXt+ z_FazVtJWQ8Jn+=TS>DKLkF(xm4Q-9x4NJb|7&18?+@ixGwBpmlrVzKYmma7o>O>t| z%A;e?lPA^s?W}<Qllb?ibo@deO<oq7*E3U6;!dUc>~?R#JIaPOyP8ga^gR5;ZlP%$ z)8D1q9pdcM;#)#XBl-^NMsR4DY`>>^K<|HnO<KZdXYYq@PIo7t`=#rza4j!<)(h{v z3+Wx6s!pDEuD5hgy_odY{ZD}Kf&0~^dR#*8Yu>M%&?7G&?<~(*6xhGG>}EviVO{1c z&t9qhc`d|}v!R)f>xOSrS-J7i6JFm-U$Yk2#TX09oowOsF<85ptt}^AI;_@pO5d-* zsXE4i#kVIt>GUh`I(V{6Lcsat%Jp22*cn-RGvzi}7u{`eJIJ=b;=I+xmR848T&!NX z<~~CDlZx%H7*;P&v$(JP_e#L~r41gcOBd@s-mv$}<|~&imlcSXu{@KAyKr%xe%V%z zClxn5c34~pYzd0k!SE~OpjWJ*$92X@Hp&wouP<0&{N-kF+*<Dq7K_+7tF7JgXzs~> z*{n(Shu0bER({iHeWNzLH~x0qB+c%{4r+T|em8SqzNjD>I=438rDw^EO&d#Z&-&x3 z@`_DR!cbd{)#&!q>guakqBfV-e|Gcge|&dgNn51(^gfFZ(dwBsT&KG;-WXL(-&~ow zai&pPC2!fElhIxWR`Qeuq_l`g9G!V`%0jVxiNLj+K6badUw;rhcTHkNQF9%8y49_D z4{J@0g^POD9Punr{5Dr^b+!V7PyHp0*7L!Rr9AguvgM^M{&YC+PSdSJGTSUY{`_p* zdC2jG#;Q3z3Q6I~TQk-7?~hX4#Ck|>$-$yTRYMsbR}uf>8|nAve&4DX(YCRk!R(T5 zQNMdn(J_vaYGKByA9}Kv3wYi97Ib{|Mz`?PXBw$iCiVr_KW#kbcij0plW0`fmqUGv z)i(d<v`8#}cdoNdkwGzFlGE#K(Y{%B!rprm?KhZxGd7v*eX=jaZ~2j5`Kw>H%~mYD zXY6an{QB(0->;elCf9}gZr?wfBRr!eAoViagEhRfkJ%Z|x+1(^x$0QV+53y`E$Gy? zuK)ehi)E(pvggy~Ix4Jp&N%tt&y3T{N_sZV-Dy`-<>UP0WZkus_r<TSYky>YvHQh* z|0~Wp^&5n*U0BBZ;qAN-hZ`TWUwQxJaaw#~k3&y#<y+xWk6Na6MGMvW*UT^sKb<P# z5MV6zbeUjK_vKUJNpBlwT)doF#8k^$dtNk0wdAdSnQ-{@X6@Yt6N(@1+qP^{sj$@E z{fj^7h?W0)E>JRC@Sf$W)S~Ol{~n8WX3Jf+DZlMLkL7B|@N*y2S1TTH>$Ui|f?4r# zh}WUHM&I8X9t`R(x?O$q$Iev>`VYg)iexm@#M4*WWoVocH+$@<6k+;c^+9DG36bTl z@7#{R-{4(xZ%6kO&7#;NhnG&&nV->}^YzyLjKj`<cYZsf8B?j%#O3s%%!bqT@!q+0 zKVmFS&QGm6C}eHaR_?Sz<`UPX-_;A4wi`*xpW*nD6X7Mg%6CzR$^H87i8);bjMEH~ z9v+<7!dP*2^0S+FJ(Efj_RqbzcD~)i3EhIvw(~wbT$+C0;r>EDw$FF-Y?f9jg*;AS z&O2=p-1<*uA<ruD<Y%q9(zm42tUv6Z_;R!Jq#eAsmmBv-d)uio70Fq*cBMDnxXjja z@AHF@eHpP|a+gQMl=?_M*|tUQguLQkm$_2Bs-LVUHGg5&$hhod)_RL+$C9hnJXVU~ z^XCRRINb~WAXlsump<vQ)Xwg*@7JeU{EipizLfjz?ibSh$1bs7<>G0QUE?a?{vb|g zVwhBk@ru6xG7UX{H_fs7Az8_n_5aDU;Qjoo_h^?~a^C&AWBCOxgB^U;`fvYl{`&hN zlY@wMY*f(WD@&FnZ{!Y~Zuiqg-%c%fmF~sZosDN+J2(C1wAwqx+$xV*<AcLQ+wJ?d z>Iqrt3nn&PaB@F>F4cLS_N~zQZHHJ7RbD*2+vC`S`DX(cObu<*d6dG#@y^wG{;e~9 zOa6%e5Pvx>g6nT+S822=A8$);tNcZ-i;a&>yIA?=%87q-oLqDHvsmouGd%HsPUk+! z`MLTe_rnJ|Upz&gzkPl?>)qoO5{${7vCd3CW4QksB?Pys>xUQAz29t>FB5lbe^C04 zQ^og+e;n?ako`Mu&4(tVD%P34^Vy~T-K={4xLaSH`;^R8zI|$e5+zeae{js7DF1@r zaklH}(v+=@Zx3!=tonI<OzD!B@{6~wR$K6<>~br2^~V<t6_pJ8uRqgEbDti*j5m6U z0c+9HR_XORX9YG)erP52UAV)#)5+VI&%{SPKw31aa8U;Dx%UnK`)V4i_u0Qlx}aO( zz>`}nkhv)1_!@;)#pk!Ye%@=&;QYE&Y3tJ6xlAvazb@>u=q(I9s_4|TKj6VMh2wW7 z{J&xKOF(t%pI`}>F8_%4?0UI=H6M>X-k}x9{Hwy|Xm`C@>A@ed=PexE?j=iH4@p$i zm(wuvUU6J~X-0al^v9*!YoivqI=t6rz4d$D!j+N}dp3p7U9dc<XwypnLk)AHWH&7_ z4r^by@>9vBRWWxxPi*Bm_H^}{X^JuTbM8HoskKN8O3PVuqOsYU#d{U!&x>Kd>hE%B zxj1r{KmGr>?iKSJgHMaQI#^t}h3>u64bk_xYU0>^TVA6nR`^5K-~2`LY=3_~IZ-Zi z>WaXUS1g)Qj6WXFi=EoDf_0rB<CVzGMIMaJCmyeBzh$`O-j33Mz%6`NLNm6yKTuIg zx@GSZswX8pMd|UA*c9Q=8!blKQyk@fT>Y4P)<MKdL-J&*n36{N*@9D{U$@F=t<h^v zZvLjl_<c(BrpxK^v+rC9$**}UE;Q$8R9k)o?`(^R<sbX1LgbgVZ+{#b+L8P^RA=eQ zpKEf(ybsP7OXe`{aF@Ay>fI7I$&3jK(LKROCeCV;Y8PYK`u^6heczMCO259J^nBhK zsUxR0bnT07(bIGGj(xRHXi=7ZU2evPt{FSROG?d+;=la~dbD=cOD?xpT~>;VZEw#z zF!@{4L!oLXzBMJSCGQU1YVGJ)GQ%fdpp{{Z!G4K1du*3(6={txE0Izy`DiyQ_CO?C zbHDksWB)TNV^uS!=@-BMWc$_F+Iqvr8KzC!PVf9Wb%ON69m&~u3#RLZS7#P)b!z=m zW3VP^Lt?_mu7iA2`S!$l^V!?Y+`jBG%Z!f-bHYS|3mx|cryrQoH~Cg{Xg9~)$*UKw z-}~mcZ*Hedl!Eb@(`>1o>poQLZ9jUEZG+Yq&3mV-F7Gn;Jo75wSmASX>CV0dKW}B^ z?sO4T+VsBHvNbQ?!g$UH^_g#6J^!i3<rFh`ZhpRO=4AQxnq}?13VV0oJiPhiGSyjo z`318#F)y09gEf5mx3Ad_4Buzo;xo<`)0Yao{r2gy^!EOOeAA0hOLof#6>xl!S(m9~ z6>n~$#vYhDm#bFL;_asnrUx@)*V*>XJmz>xR7&Wn-paZ5oF8isf8Vk>L2SGGSJwmI zoUXs!s5^DBjnl$?)=9cDg|q%BeJ<;`rD2v?$KJ{sTqGWLU~+-MmgZR|Zh6HkHonRV zjOSqp<F?YMIQDMWk%@orwmY9W6uM;f%vmZEU;4jTT=#Mg%fr}n_hd5mI__{hXYRhQ zRW$$2k^`r9Y^zf*cpJO;q+k8q&GyQd-FVmNy1wRSGAm!>bzA4vkJ7db0fF|#jH_on z>bY#Z`PSYKYZ<mMYe$$YuGld_wKK@>(ORdVe9yTRx!cw@X<XB{QSEJDIUsW8-k~s; zU4=>4B`<drZ?pP%hf!`%)C053E#hBmE-yOEv~|ytNy6X6y||q(vIRew+-J*bz0Tg? z0>i_Zlcx7Bkzw;;iTzTea4CuTvuAu`tMdX;miu?J{AX1xGqad<PwwK1)T37xu9}lQ z<xk+p=SqK*4I~;1*^L*k-YR*yh^hP3--)}vUpLb6YxsBXUX{Aw(%ns-9&&E~L*<=C z_9X9Sou<zrZ>KQFOS545m-PED>U4@&W}iIn>nwcuUg;~9=ws#k9{a29QBjRLFIQ%g zQLtbI`$3Hjm%4%;$L}nY4O?_`b;6&5_VSC@4n7IYliN_pw2SY;#=M8irgFS=T~vIl zaLWJxj8nCkw5KH>SQB$wzqOYqfOW<?7FL-DViVRJbiZE~s5ED1?Q}8TP2Pc1k3I5G ze0aZ3MkQ?XBQ{mX>pyyUwdAk%yj=5%eO5=v=MPt=HV5!)ec!NE?9u+aJ7)_mKEE(8 z+F{=Vz1)8(N((~NKkxi<UTl)Zl0xO!qLlKNOzvm8m!0*>^;0s~b5G{lp*5e~zC^5+ zY+z1V&0e$F`TtUzn3Q9@zSBik@cp$H4^BUJ=4+$p93hXgtxH%ZoO*O1;LYz1mpYCy zale}}^Q6I>;(a=v|4tj$9!WhP|K``i@RWtB484cesn)dqeVm;7DCqI2+jrWnEI(HB z+Za1rw7$3d)*h11@1?BMV7VuD*RlB$&YWvv><@pGkUtl1D`NX<r}&cHGTz6tG}N08 zWgDp9k6gK9opp?v|Bn@qI$y9kZ(kNG(ETVecq8-tqSeRdCs`ciw(f6xxb%|yB<cUb z3+3WYZ;$G>*eBqbuG`!k*UIrn=6Kt^6j!sN&1Hpoi_NsZooqPyUGwbrB~5iZ-tCrs z*ZVH{QOmS`4W@3}jEpt&OsiAMa=y<gFyB5w#qn8-y?>?7jDAP%?de&Q8(n0Cq6&V* z$vfQQoGn`O(j`amaj?zXpgq;=Oqe7tm;_6AcT1FqXr251yZ5W|tR)7yGFDNp!dI8J zIhZuQjy7#zIyv8I##H6Cwmr6bv92x^pT9+X)vV^6xjwFMS?58P=Pw(#eSW6ABIj#! zMAP0+x~w1PXU(y*(!HL&I?rr^;*wPX>Qalmcb`*X-q^l9^1RzJyIuSAzNd!my(Gi3 zxma@h=i}LFH-x_*T_e0KrEu@OkA7P$WG0?>-ahxjKABe;aZ{OtRi9;6w4M@EuTh@g zlbo=C+uw)5_rRm(mgcgDKd-pGeP;OZlIN}SE9EXKrcU3pCFb6t>t`m&HyfBAJr^%& zd-YPIVs7daSyhh75)}~}_AWnqDQ3s3bIcA*dk>lk+GlJwFn(Ay(WQNH>5SkN@zM8B zMTNWczN*ajc_gx+??tlFrw1F1zMb}ZnfT=V$t6?VEKY3JvF~XS31QoxSCo<$eXu*n zu*=x%&7XZ=e@h>-Og@%<b8aKkm3}UlbsrxqW&QZ#xTqj<S!u@)Gq3eo3eGEgoYZ$Y zM@20TTkgW3ZC1B;QMO=6@D`ur$HG0nIIODS+^ZRSmg59#<eOW)o_2q-y2}&7kINl* z+_u%efU8VI?swmV`;4uNo{Gkn+pPcpTh2Pm@xEflnMbG2B<k#+u{Sv0BYxZQL)FuE zYl*C|V@c`J=ndcD<q-Dng6s>G@bbtoKd*Upx^vd%{gV;)lzso{@BAszJM}k9d(NA- zJy7q;g^lN~rwBR!YIb_d&>f#F#I|se4M%O5SI9$!7ssCDR=;k(H2GkY^yX_X!xLxi zh+b<r*?jp*rwzgflKxB%OKN?vH0n@2*K4LihgVN{w~B~vG7^3%t0JZ6zh3rDm*!7K z<4C!Q)0z{e{AA}dEPpQ_Fy+XW#DH_Hduxq<GxFcO#v<<3cl*BuztKfYwlm?Ampoug z_B_c|m)+I3wl2f|O5Fd{+=Ph%R{zCS;?>t{?!FlMYEQqmZcgX4f2ACsB33$V7tP$o z`}37b>h*VdM#nm>DlGEY@9vYX6TSWN_|;QA@BaTc*`<8`rmITtFSdvKUd#-iFV?v4 z>b?_~6LV~D<j)h*{&d$ev-QWu=exsNC-p?$*I0E@YD$QKmAtfPyr-tdv*)!l{oC!n z*!^DCT+3W&mdrf=owm(BmaEl2H-Bab-W3x5r$U1x!8VZb_r?oTySCME_wdJ^zCZu` z@jEM(KK*`^f0N%=jp^j9yF0?d`1kZ}`Jh~}`O-qgO;K7#QS0^?MJVlFee20#BW71S z>ElbCCq*1JJU`oawfr~3v&%Xe`jfb8zR9`9-oJ96(}2-?{gy4Vy9;WSdW-+C%AFP~ z(@A69boR}@{m~Vkjt(!Dwx<W~V3*)+_CCRLbk^K8;qFfgR@tPyi9gI9P~G)=#Rqqb zRTeunbsmc!QhIT(YG2}3)-@9z8Y-<i78$ox`M~?Btkus?<j4KeynA5MJKy#KrjsiB zrcY;>zB}^$td!Qb<*C78;zIfhg6!w1%v<P{{lN8=x2VUYBXg9vuN@cYvvoU|$rsxa z#dTwkV)2!KHm2$(Tc+>Qw2M2fT`^l?!<?Nj67PD{9E)AM^L*^&M3rmzoF28Ve{8#O z)~nB1+pbGHZ(w`p)3r9XXUCCg4<bE-oOVnPPPEl%ihUjSOmz02@TqrLXIMxm{n=iW z;GSu9`gX&Azo@p<4W&IxFP4RfSepcF&3a#1+bH%?vu5Ag3l-we^7hC%_k7Y&U9&es zZgtO(gL}?7ncpxs??2X^-nCy_ZdFKj-8YY&)k@te>)Za!x3q1k|I4%VL`^cssd$@T z%l}q>e4U}PwNuje(?lVga+XD(?=?+1I4yNc%7d%6pIJJWpZ*up&vy7ozbuR9^5iJr zQ1_dY3z8xhPMSN7+4bk`eUp<UST{A!IL7wp(J|l4Q2{0%&kna}bGdGf%<|c}@-;u_ z`PrWqP24O~RBrz!{^@s)HT&P6Wk_|2Xg|Eg@Rr;pi%(`bE~}2^Y-s8+-+Uk;oPAfv zx`@~WtMBz~JP&5x`gdcu=#hgO9yi4|9tu9NwS>R%!-n9le|JQfX6`gL-#5>5!o*oE zuM;_inY6d;)}Qg_-GR-U=Ehc+a9UYRy~ufyV@K7tq+6S3By6&Zktn-VpF8ib&Xp<Q zJ!OV-o>>|{T-lWwn6kll{pqFN`x<mgUaZ^kGo<IpW}c_B7TwEVes*PHJR9qw^PQ&e zj@rjRjAU%lZNJ=?+TMO%&^xgB?UGw!O~rQ6N4rCXPE8BmtXyv!o-oaAL%4?1IW5I? zX+2w~TQAmHyYa(IKZgR&GOu+LAIZxvJ?(hVN_i@aO;lTq>pj^cj#nI>hdQyZsXoVZ ztFv5Je&O`H%hzB0bnK7jrfZrnS@Vnz_P4Pt)u`3e&33b{nl($pXxf+K0#;Xk=hmMx zs;l~zQ#NIe;^L^au^|N+O1><G(^s)OEWV$9_~C-mdlC+hwBj~T&w7@>&?lq+kJ+ae zGOE9~eT-jjasOuCn~6-xanbiT?`~_FZ+up-=dN&HYjm?K=fwLNO?+bKtGj(J?PKlM z^#8Zd=7egBhtBdRk5o0z^I3?0G)jJ8e{hXK^XyyNRVhLGQQRM96&_qM^-jI#$}Q;+ zW(H`l<m(Nn?yl#OQU3Y*H}fT3#~hynbFZB8-co$i_dcIc%3IZ4O<t{UvTh5@W&SL9 zuy%sRqNC#P)~VK*Yy7>o);Idbgxu2j1C{xceIx!VD=zRbb^038Vo@R@!K-bt%WhfP z)dtysS$@}+?mYL+BaOA$@5a5~Yd)Si6T5eZVp2efMERv-x2N*1h?veXGi3SSdy>DN zImn)PqF7$<F1#;&(f?m7S6mI@O5by*&MwgDii@=TmCUd0^LFb72mF)Q<KGa-HOu(& z!DJB+&(B4QLH{nvZ&+G>p7o!{`Q9eOW!45eoZih?-0or6z&lw^xZvddvYltEo#yWe zaO!iMlFc*8?(1Ck1<n!Pg5M9X`qOr8rR8FuJr`cK8ZwA{v|jve|BDh&zQgg|Yl1@V z2jon-zG&^omq+(qQ#v8Ye&%ybQ2NJ{-0ut5>}N>T+hB3~N9ydTBAG3L6PAfoq(*&; zIK9(LYpt>(=VCj_jmtj;>@ISAY00X^q|w-PcXqz&*1wCxc++m}*tg<M>w2dhH>_<% z6XSmImq_eVJ-lw}PQ!_-=YDuH<8f8#-<5%Ri|^@_f0>wLe{0oKu8L`UL|$Cy71;g$ zSFQTb{7cV5q&80crN`5kp|(2uc;*+C$IZg2ovSW(YR?D|lT$wW)6XbkR&b?u<lzPH zC!{4S$6NLB{a1`LmCQ>{b$NayH2?my<h<4U!@e-eFORiX`{XHowT`pf_NJ`s2DVk( z%%|8GIJIhe{5l#wjXB}f%9C%(t`#_R%@Yj@Rrud$R+$j#FF)b5m(<0C>o=b-Whzrr zWzv+rJ!9_K$ky5a#n+xPE5G;r?7lq__e-A%=yu+{a_Wl5%$9oY-A9&wkn;Ig7UA?M zNAu%XR^x+GcNZ;BJlptE@T);mOxD-;R%<i(yc{zhYn=bRskk%A{g=bX_Hg5=@@=f= zwq)`6EHBjRzpSP#XVU6&BG>baXaDlE?By5zJ{xT3zTo=fbB(&r`t!l7>Uz~#MLbd; zOJ4B*>i#;>il;+Y_=?@RV9zsxo1Q(?pK<%X=P&K(jqey7tQIZXFkQRof#TT>H_x=3 z7TP@blbZYeu9<QyM?y=QY^JdOm0_v0@lu$2TgbWWb5+$QD^1ZohwdBARC^M2bB(fd z&G%=aRUS50Pggu@H*jL-I~H7D-PAc}mr$bqNgJ8%2Uk`2NMx;gp_Z()IllC$*$W%@ z$59E>V|0$B%v>{dRu}(+^2^&xtKA+*B_HBlwN3g)lGA6q^^1(<A4#%QoxF1PLuXcY z?n<`x@zIZW8U4A;z50=KYl?bnOLpCy@9THz^r!^OrXLV!7g(CV_;2(NPK%k@w|2EF z*xJ;5@y^m~SlD~YoBQE^`z00jTIXa9HFmz(d$RL*NB5SumZ4pZW`b@D*1bM;Lf2d1 z+}}@EqYGRfX}P)uR;^TZnWFS7Kj7|}Bx}A2j_mQLst^8U{u%K9Zrz6zr&~)yQ#Ni{ z*<;Z3IdA#lXvKj4CninVSH&H@h*91wzq;_^Zbh-PA}yPl8kSw>Y}9Zu<A3yN-d*); z+#)4h&d#ByWN%E^uW0{UwD!oGi{C$UyBNnyJ6sK)8=!F{pkdFf#qHPp=4AW5lk&M4 z8OMKQOR2@|ml<qPVOj>){$@LVS<7V4|8U`#@4uAXK5OpV$Wd9rb<&ve+ii=6mizWH zAAUdjrzCwgS>V@G9^L$y8&W@)FYqX9epj^qPo>ER<)=DXZ)Co?3e0)^WrZ?hiKr-7 z?*q@iO@=X=zoqySHoZQz<M~dOM{oAt&9V!WvW~4Xy`?vk-^L--&rk57_#uzk_p4_1 zZkxdH(MewM;57EBt&^@DTw7?>5%R0?hGBkAQIO;QlRvUGIou~i{cQQs;E>$-qUXlG z>3`H^%>Vg_-+g;?y~u${TY_Jf&iC(1xjFOZ3HJ~4?ro{zaw)%>{N0q}t7cHd^5DsH zR?B4Mx9lmLu++Y2<=?<>)tbtyj7-nn)9VxcU(EIX^LuNBpl<~nZ%>?!T)8ebcUQ>1 zpd^OBn|4S_J>=(LOAl2pHhzEeC)=#;{VtIiEUG?%3tqTQlGFDJN))h*xcuHA^7@2D zKO;l0KlE)~@Z|SdF#*-%UXKraz09i{>woQ>FCTm7^nKO7{Y|orJDyLf44ba3&2!w? zCd;6)Y+mT$kGZ!O3p}58JNW+I?WvAlhrXLvW@_Dts+_tw=tgPl*0X<gmNBK~S3N%^ zG=GNa<QLbvPG?jdW|KQAe(0Mad;7iAt^I*oB@;WE|NWBxxH$X3B~IP*Rbi&5L{pug zd%r%TxlE#F+XM#p+o!mB?{J-PikT8@;ADCC?bN_45tZNEg2Or)MOR0jENpqz-Py4H z``OpIe{3z2zgpL9mTQtfA^P54%4_@f^VT9?U%r32?73k?f!x%inUc#^p1jTU*H&@S zWc?V!eE<J7|K4q5o}k;>>eDN9$jNc*p==A8%?=v6JEpvPu`^?Bpu}3yj4w_*#MU1^ zxb{d~)qgj^4SRZ$zsxp^`*rHp?UmQ`G-inebuhJT6v{d%V5ojz@rNKy*<%jTZF>}> z{jYY+b#J`?&wb@m7oA-bcGP)&`)juI<<dWG3A61h?mRhpv5s9zYSxYOF*|43zNrjU zzO>_}uCLE*z87`x_-4I3DEvczlB|gO!?Hsc*DuTZGj+j@r#utN9!0Bfm#ja#&|NJh zM3uR(@#K-64^w`hh)yvu=$w9d;;n)+yPlh+Dtr);k@XVG>9qKq#w64IymsEnSwaii zX4Gki%SxF~73J0`I>34F#xM8(kNiX~6uo|}d?xqh8O86HEMxAU%4fHjsXeXPCd#Td zXjUfM_Tx1tlpW0%Ua?d?CEf8Vq^w@<`2SCGznbi0W-qlr|0sL8SBh_fv4wflywV#D zK@+d!eC95<eKh5i>&$|<OyT5=6=_TKC7dU14E?<B#E-o9)q6g%J2G!qR9|`dkGssL zB(@Dw+jneeG~&M<c;R8ti|xl4&ptE=5ZY^go$;#Wg+I6By=4FFu~nCe$<uqeWrf=O z)lXtP6eZVo72f^0Ol)_AMbMl>T-O-NcV?GQt6b;x$js=n`<LzBYgvzV+Rxar=Wow) z?WcNmn*_5jIhJ@7evUYvo?l@9<@@0TZYH-Saf;po9|hlM*Pqz?iDjDm(XIPGuFvUl z=GYmN|8-}Idcir>IZNG^z24RC{Pg>OwQUcL@;WY-CT%=r!soo_zis@(3AZoQ3asat zerIda{Ks=A&1?%&6WX$2+CROnCs!&YQ?~P8=Wv!*pD^*0_qO_Z?kf9}A6lFhOTTz) zz3HuQ&yW9U(U7n_rzR*r^P=#L8CQN49eWnZ-7D7me7Dx#+!;^04xO^kF}LjLylz;z zefoKY)YkQCofEXU7`M#5*>*PVq1MBy|Bp}A`+43nU-5c_cq~`JwncYN{rFVUyIw{> zMren8xz~K@)PH6Fw#LtVp1Fd#Jd5iA>)P*P3cL}Yj>x8#{`zPl;qLM2-i^l-Y<M=# zS@QIppX91F501<JePHG*v{Y{UrUHWqW^q@IeKXE|{MWXfb&}>Pdy|^IrzUFpO=6w& zekad|JIiLR6`gTZ^110r@6c2Ot@f@xbHa`tSoqI%<{pX9MK^YA$mHiuJad8fJLj`M z%6u#)2|?0-lGpED6{9eFN3Gw*p9ST=Sh_CA9zV${UE?`N=z>T8%-R*7?rhMk_&g~j zXvW!hXFJacPFwxw(<@)kJDEGHw?A?8@?U5+<%i|l5VnG+iP3)pYD-fcC#eg#>u-?V z+wS<pY70YQ<EqIbnkOGE2rtiPOR##qjM>-S{n>}jZlQUtiMkTbQj53rax92hpt1Ya z-YKUI9P3z&D|r7bS`c^qbZ(w%_YBUA%#C)*-%<i68Q9tC7%}e>p4zokP_ByC;H;^* zzu+E&>65G9+;-pdBEq5N(f|30U$(E1ICz@xl;h4nlcMHnK2h@xe)er)*0I}*ca_P+ zX~i7ycy4*|*9RM=Nu|Y4zwGQ{(+%U=?<lVN+sM-_MfK3brdgHi^Rn~W?sI3YR_-`7 zfASsPvfOR5H}h7UYwez~V)d*|FS>W~Y*3FneBo!1_orjZK96sHl~cRbcyUwPWR+<< zJS!G|JLghiam2HGN!J3`o!`A?<V0Wg`jC8aYrqpuz12B(XT9YMW|X|lbqM;lrQ&je z%*+ore;q3A*sWO2q<iJq=Y~mTf9j8NP1!WJYEoR(_2SqA|IPnsTr*NIfARO<ldhsE z3>wFeS!@t1TC_z<y6N&<nNz%(tPfJ8LneDnWp8bse^=V#SIct0l6^DpUyX8`c<Usu z?PV>eHkor$*N(ItU~8?2`BeDyo8AuZMN5`wTkpCSl_Y+RQ)<`AV(n#T){6=)I#&BF zw3B7&tYgYQli3#T->bFtY!1`C$Ds?(TQ!9zY6lsdWHYh5ks^AJW208#&zJEZn0NAT zSmgV^!S>XqKbt1rG7$OmdFH*A^YiZCdibzr<)bw@yL|79cRig_c{4&?W%HJ^ev8W0 zyDvPMWxc;a!Rb_fNS;_w8~<vBd+T0Kl-RgS-BZ4sr_P9Z%j+X`XJ7qzZ6TSpPqqD- zckSe`Qwxt5*UV*IF{NCwWH$d6#?KpeUi!4)%Fdau|Lrd26O>mDKiyRLV-nAejF2lY zXVzc8{MtJq=J-eF=L%W|CbNAQzx=6cl1ra@rTaFsMgL=M`#-U_95}R}yuDfR$Lzv~ zD?JC*`4pT|O%+X8INd!Ijhf~=FG)%gxFpwF64rc(o8wOMdQYVg@0?Tb=UV--o5FWo z*)rKi_T>vf?rxO_E~QFVH*1#9n6JD2le$P>XnWY4*gL16%$I&(-?Oy+-l}ykM2_FR zCN!(qdRhD)rZ=T(6CLY9S--CndEwH)dB|^@bNh+EZZ4nY`;~hw4#mcm`x~S+%$gWu zpnovl*}z*Taz?6gj`5>nqwRLvJ{PV$aCCo?o%J`DPjN5g{!8XMzv#U4-+MYEx8Rp| zy75jGbFBnIU+h`*W%tkLLf7ZJU*TubxG+0vg*cn`HBDy)%~}7x?7I0&;iF^lcZsb@ zJ5GqNYhP@k(sEhk?T!Pii+K3A6=($JS8M*-^D<#dF^8|>l>-cX>&*_%p0ZHo+xBLI zFM7OwGaTGHPfaagXq_s&-XUE&{NU7E>oa-h81m(`#!GFJec6#96dCOI&s$^id!`3m z_fI@qHqULcZli$3iu1F-tkZgU>QU@fnJs*cjMf?xF3GYKhOqD-J^WUH@s-x&iY;@# z_V8VGIccHTd`4gN))(zkuJDkb9^Y7h2+ylqHt80htFC|EPE(6@Z#atNb*FmvcqsK& zOj@cg74_nS*x?Ns-&E?hvmK1wzh_PU^g`SEm&wL~vIZjQ2Q--Md_)}kf_02Dil$!i zwypN_7gS<jUCL71-l^V_G2La(2Y&Ouc%{7MMv+NP*A$;E>M-OHtcp4$>RkHh0m~h; z^$oL?zwmr%P5tQ7{d5xBe)bz@6}u+o_1nMTW1Gso#cRViFTL}v`=4(S`qXJE?sVeZ zzJDRnZzo5+II}(d(RZs8X?rvbvv0iMTL1o)(cbuLdZA3)x}H}tTkr>N-uivTFN2rr zo)=!tWS#FBIYZ;$6aKa}>K`Yne-U!?UGqu5Ci!nl#Gi=2=i3ji<MTJUARI1pRCr>O z@QN9~e2<s>x$|YZtCenQc|v)@|Bc6gq&LOH=DoR*e~B;i5z7ZZ9-rmx?`kd!@%#$f zmaJO&b^2CA{u`dk)kf1NMTZzV&rq8`XU9z=3*OF+iWjulANQ^Mb~5zmdkw$ms)p0I zO;wujpW(1~)|~xnN16+ArtbdS^goT2>rRZ-8jt9*<r2LNiONT$tk~^Ucm9(SQP1%E zw^Cx$y?z;e!_THX9ZPCg)vVlpb<%{jd0H;7bxfpeJVW2@4#;rt>zO@4>x(gu=9~qS zGc#N?zepctjB+}(>-V~2M{acf$zA_7XN`{NCe?2&7pKjhWAX5R)?Z2Og*ShCS3S+B zixT@DSrHd;Qh=@Y;fZ49y=U7GR^HA|`_u3|a`M)N8L<yPDy1&w&Rmo(eM(U2POfp9 z_zBNXE0aZdj_-~C%G42%BK~yx^cJQ`x^;>@j7*dBW~mqy`ecaSn|;LaBKOuVi9n<7 z_V2;+9ILJ=FW<7E;yeHA$aS8Uc3ZZaT7T>8Y~LJGy=A4cz@i>jm6-{a*F=6e&UF^~ z+%x6Qv@Acp1-t=zEHQt48-wIB9H!ZIy%8#Y@gV6x)4Cb`GcC1rQ;Q8J-r6xkY103T zCnx=1l=;5rg5v%i?3tQz=Nn(1Fc)KGb3Z39{`G9mw37C-ulu>am$Xi}+_QhPetS~J zjWenx(kE}--1la;{_;Z2r~ZCT#}xMK@h$!wmNC1EL25<ivCJv$=F3#(NvHapmytQu z@$=i79IKF}iLGBXl=SL%drxV*JllsW`l6W5RN2#aBMj9(Og0pdcL+b%Ftf>sab~{l zuc@2FY=2(leYEk{l_e)aiWVv=-}$8$^5MP7gj>^3GQ@4~Y4z}%t;mpm_WY(GNsfTs zSGWzX&0f81^M;KNTI3J^^4oIiwl8x9*X5}nXT(|xo?kcjvzh$1rzJCr&zhO1rF3!6 ztK&Glc<-zg-o2Z@9Nf#~_v{U`XuQZvy)SPyuNA&7%yba<i7T7`pnvkCd5leeUKiI# z9-3KnDc^`g@7f~crsWseWVtg+4qaTjcTeVu_}hM`U1GL!8NT>$r&}tXGyCAw`{&lT zPIo)~vg)A6S&iK@wlY6`!9QuASnt!hyNngTs(Gx}IJr6}DDqdJ-kcddO{+_SZrV&= zt+ppPZ0%~{JFowKw|l-m#&G6lrO#`w1%8Y;d~f#Fl_6~YU!#6WNUphF6<k{MFVO#@ zX3mqT3!a=_WVyuTM5zg@+iaWr@6Gx?2s-#yuKjUY%J758<-;1PD+FzqR?f`vdDvc% zHYLWM>zBT~>{-V=&Myx;S8#9byR;!;*B8&9>mzPF6V+8e<GNXYet=B>bN1&Ce(`Zw z#KbCQiCg<$d*z*##`mS*^FD@1CC$l?g_ibRUiL0mL}PJ|UVxNZt%h{fv8Xs#sh0=i zA7{E{32Z6$X!ZB{yjt;6c*N)P5+`ybf8Dn?Q4vnr%C!AL(zMA(Lap!gytS!W7O?8# z{Fgbi7bI`VZfUfx^s=^|-=i+x9MN1lZ|)cKgTG(M${5d8eYQ(TQLA?P#cXc_uEdFE zTJL1k3}4k={yu;6v2~I5;-Zm>l3}I|2N@!7&YT)1e#p67r-^Un-QWLMD`lG7j<aaT z)vuF!B$2lKD4S)zz`jnOptu<i&Mm84c-r}_OVjR%^5*j1*svcno+hfUmwg>ozfX1F z<d{ZBVX1jrOrNZ^`;qbd%qla(!?zc3vQG?}%@dZe^k;+BEEAP2CaxB@XK_?HuD&nJ z5p_yB;fGd_zUfu*S^fK5ramcM;wBd4vSP8%ibt&PuXaZtXyBf=t8eM&J3n63AG|t= zjeYs_h&yYqSL8mQm44%>;}eg3zJ<5t?r6_aIeI>F7WbpCan5@S-y2R|z4-W>wu^SR z?`Zt_@bGogLZJ%%Yg`WRlV-@6zW5@!t3;yqol|H<UBsMcdg=BPFVzX(k_*tf=(B|N z$DDQjLbs+o)K?4Iw`3dN@|`P-1Qvc#S^QkwpzZU-7t6Kx<k}hfs?;6l@||~VQ{i84 z-g>^%_V?c{mrHp6kiYM3j{5ZiyE!s*HdimRI9XYLtZdG#R2D(8DK4^1Vzwu(enuc} zTu?B!G)Mv6xS(KZXwW~Y*i>wVwb{BRnVN=glL{GmCw{fQ$nard?=m~TVxjX7vmTdQ zZvRkpPV8!(Ta4(^_q^sAe9yhNb!-hW;JEpHuJy@3hKp90R4o3r`EPHh^NA;KcN{&^ zsNG#?`7F0)3G*UZmYEY?zI9$3!TD&?ta65%)23FJ6zdr#7vEjva?5LWY20~cW0e^x zdF$6U+BPw5-+Nbi&D}Ehe8Wk{Lr&kxkgIvT)qO>R|HR!wnW-Tm`!ZS22FK1%)Ry&r zbnL~(*ZqB36O&KZ%E#~0KYlMrVB3^+On26ccSasLT&19^c=0x8Y~aP3w!jS=orLbr z4u9Y4b-6fd<JFA^9u{1U%c<J(_*lA!g_$AOvWUl@bQL$t*vmaT{$R<1b&`!w);{-$ z{4RDtMEv=kxj!OS$Q+-|!q_(>e!owR1&3E(#j~{KzRYvPzBLASWY{__@m2kC@HjW~ z!OZfr{28}cdRRa2tXDmhtT4wex%R}dnTG={&WR>z-TCx7V7Ww&3>VYolgt~VAC@>q zoGI^jQT@9^bk8k8x35#Y@})zMF?kv-YFhm{OnJh~PxsCEEo?9OOw?iLwM|-a`+#bL zbEfN0pBuM1=3U}TKRRWd27{1o^X=MO?!jB8`CJrs$u^IYT6Ka?IR8U~Y(;18&t2<{ zZe4Tc$vD9`?Ppej)<Tz;>u+oge_T3Q^9~PFRZpwwYj<{q&yluK?9tzDJ~^$V`Tp1v zz9}!{O3rh0=Nvuxb+>Kq<6U|)U#;bRTEcw(dE{cHX3w>^eA+AaU*leW;q?lo-fbx} zA4M-acwhbrqqSW0mbwL#dL}4dw!e35|L+jBMPJ@toyNR&u?5@PEppl&Euq=#R86!u z2MfNsq@L&QRQ95-@tJ1b@jl5175jR2O^g)HU%t~mwIe^vrYYELdaThgTMgwH{`RM( z9v`@m&$3g#TK?wSj6*AQCv!YC^I}+Cscottzg<jlX7}x?a@L26{0FC>e4RLf$tgXf zt7^ix-Cqn<pQ^@h)T%kK?l^x-Z>9U}qt{ped4J*M$0bhdDjK;z_-!m(Go8iesrFlj zjQdM{9_q<?T#o9B)<4<N@N$1PBj2pJ`Cd|*c8nF%COosf$GpfwG-174k+xn_=8BJR zeqH<i_bf~GJ~@UO+hvw*$<|fN6!fjVJY=txhSsfUC|%0f!Xmf&gJjv8IhXXN^{WKb z7j0e5miN2sxRkJt{vz=?o)gY!pVo|gw$}c+X2-%O%<8A=IEs$SH_PW9O^~tt{i}AO zxo-7+i?Z16+0lJQRSGATuFmoI<y-uHy;xl5ZC1}uEI;<ns(Bmu+jHmDlC+$tNPZ5{ zmUUt-KU193{bu^7UtnM4UYMYA^W6RPz4BJsRy-`v8?S9|O7`qs$~>ba>d-AkzT0a! z-~aW^KX`4~z0Y-~+}CfWK2!hx{|@KHC#jD5qHp}4%$aqp#Bq6E_Dmm*^e<txAMZU8 zU(xcp-Z8vgGNfVKvRmfb_VrHx{xis!NU!_B_sB>4#^?3Vb(?MWo;X_eE8^RV6N!5( z6tYkEn$IvhEU(#GZyU97(wxp}y$cg+yUzsPvebAa`SX~D<~f$>k77)+Cw?!_yx14^ z=uX68Z*k9`QZ=pSj3*dF_&dICKX-s}=aL!ISNR&6Jif(cu~ltV_l%0!|CgrU<=Zcn z$#T?r#-l5r|LeL(y;aF@^K~uqWPdj)=vnsB%PQP!ChlnVv(F6iZo9F4U*GMSy+4J+ zKV9CReVs30TDEyr<o?V2sYUkfo3rj$s$EoRv^c%m?COV}V2>H4PW;W&&!xM>T&#`f za*jP<GCy>oclyF_+ji>m#BXz*@F-kH`}R%Vs|T;_-^U?xG+W0aXvQ6t#r!Iz51Wm7 zeJ8wrk}Ki<M5Z<2>FzC`x@VjHX*84#5<I%3ve59#yG!rpeBHJ5jfhZOi?UO)x_aN? zeX{=#JXBoUzIOpnwQ^_m-vt3TXI}VuD?hbI%^;<?vLY=wn)SrxdwbRV_%2$m@Z>p{ z@v6)1g}2CtIS$(t-h2-GAk+V^Lsoa@lSqxHE!T>UuYPv3KK~GVbam$ajSEadqkX5@ z?JrxySGQ;SEoZf@SK`b5|GUcW@B8AdQ>egd&xH$SSzK?i+%IOf;rsU)D|XN6HtUOD zsrhN<C*A!DOL-JEBf9I^eyML~TU7S%T%yME<~bKEz8zVkKil=LgJtK(y^ISj_da}H z7c}SK<<~!DC1zcD#l4;31zTUpg-yq`gw<ugJi2~Es%c}joRj2+kLgTXHgD$6j}w~F zUOaW`(stH2x7M}(3GUFWDxR9SrtozA#6#RWzx`}`Ju$!M%VN=%!xxI$)R><B_;Gh) z@vE@Buk-a^i0^hyQW2QM)2myZcigGH-um%$$7JEREv2avEIX#G4Nl$TnLh8qmb{l) z^8;*-vwRKfIaXUO@=Z;BhW^nx?4dkm!7TTu#`P@Y%{VlXt!ZL*d3ylEw>K(g;U$Nj zw}kw0xo@Sn_FU-e%PE%pg5gWu*U!1GmDzZH<GPtO{5#n5Bj!JeO5u7a#d(tJ#`WWF z4`W)3U!?!ua7t+HiBtv4KHa~yE((eJgMQv}d$i71bB0%3(zjC=UFZBx`Tlfg;sn{> z=UEM26e#Q5I34r+RPR0S2S)K5oi1GSR+`n#-yBt2`7yAoHs}Of&g9>9&UH&(e_d|= zU7K<G0n55I8q=m%EPC|ehVN^Y7NZ?cjptgf;4;b;biCfL?DOMr+?J4V$<OAgn<q?W zSjs;|)$zuQ*7PINPnP!7Zu#-G`>DadcP9StRHv6c70KlE$}?l1THX=DJD*9u|LvYh zHgoQBs7y8baCFgXvF-o4ww||LmTC7rWLcd9lU{9qa>Rop`PQ+?b`$chu+Ev|V594% zE@XRfxy-!YuFJg><6EVl%{F|LroGGj;iR8m>KAMboBaFwb*~rk2TdQoQqel-a#cH| z?XuC`cc$ll*UVU2T~s2^eKyu@t3dI+1+o5bWnUOh?<zZ3@>V(d!#VDs+VXriJ2z?> z?O*@6pk>Y342{!=w}z|{706URRJSoi-;{k`+%}oz8+M=FTbas|n3COc?Rl|{a^1am zd`(`(HCN+q@{~6ezs{SoYD-$%^PO`&*x0P<wzKDHuTHz2XQj8My8CeGnXKojH+tVp zG?2I6wr|(X7rJN6&v@$wcr&wzFfcH1Ff^xZjmm8f)KI+1z~FbAfq|ESlOeG*B{M&u zC_h;*H6<-Hgq4AL+8W)AoL$8Q>Hc5TWNvTEy?yP-w%ps>=K8GZoLiH0d&Z4zbKlf# zzgJtoHMIJl#fsatdGjxyzZ|>$Ro1PlRhx^tx&;IUHyZpEUi4o^Oe}eo*fD(tUz3!C zw~yVmmi;=hu{dGbf{vKT@Cz1))RSc#x+RmY=D6p|EIzFp;qxdWYt!v$>zf%L%1@a8 zS2sNzKfzHzR>0NTOvxi%>BOqsiW!p~v{s19{V<R=oAZM)Ymd97#go8`t9~&4n^e4y zMPuXM{Fp5+5?gn=`k(F6+H+z;VanRBf9_|GbaAFE?g|n0imcxD$F=%snUt96iJT*c zYCd!%mEKUWODU{NF|OGsnqct0_@a{1mJ12%9CX$d2Th*PxK&u)X35j@E;@QlsX_Uc zE(OZ2UMDUDHmW4~?QCg`=h~<LZL3Ome`xZmN2!7dhg`HCy;0Fxa%{Kg2Nrc1=dK$O z60SiDlm%~F?|M|RlG%&<Xdk!MrH%_qrUrAK#BS<Jns;Dc^Qsr$LXDY&d^V}Qy%3ms zDWc==t*5Uigr0UtX7b{<jJ+5m5@h;8;^$)KMJGc8R4*2-*u<b^ajq|ZvQB~e)j(C( zs2%Ul?5tmLY-)>e-Od+<2X-x3v*5e6(`wUmjak;KW=kKRaG?LS;hL6r50}2qZF#vc z{>)`ZKgStc++AbTq?fvHHF&-&hP}^a`}RY-B2s^9T)f%6Btj`ghJ)37;+20|mriwE zP2VV;Bhx>1*D5Kg_&S#Ljd`-i7tan@=-ifg!SitN+l#+ne@i@PV4FBgbr(C&fyW;E zFNN{UNp5UmoR;h`nU}S5hTiKPi3L-x_0AD_Eq~#zfcdtjpNU*nekxf?`Qfe;tKA<i z2+6tb>auuO?#92;T8c7B$JIP#RLoyW_$UdP2&Ao<k~x#N&HJL`RAb%bjID(su4ZZ6 zlRs%pt6tc#(kJ&+lkF2mre@~Zi(1>tczH7ZnZD?Fp)+-f(v=mhra~-cGH>o2Y-DiW zE-95%^L^{OHi@7!5*1uJ&-bP38*T8ODko%i;?lD4-`*wt$yo<B1X@{0+}$2NS9AK3 zh^{4KOlnFeg$%WAv%>BqTh%T8e`LdTS?g<CX5_t!zhA+;)$GpNfCn}$v#y;eIyATA z+oZ`nE4OIQ=xr%oy-;m(o_XxLSNh^QSC95}nLP9pcoOko^4FlpZC4}~wJ&^N)bjbm zg+_i;+ojVSG9sm?ta_?pHe*rJ+?%PMIeJs`Z5vNA=4?^iJ!4m}z@pm!j-48twJx#F z*1d1kQ9Dohb%aYF4`c48t`ox380X|{o$TH9GHLy(g4~S8MrVtx9=)epmG9z@A7of7 zoc6hG#Y%A#mnhc%*Sz1|5Lw)w8l1!aJAP-!>PP3k6gr=jefi9{mO1DvgK|%a@v^M} z2^(fVH%M8rDfp4@jjgBVUEKfSt|e1q#LmTqE_O3g_WTIyOchqH{$?56`u)DWdH8jn z^0kje{z=WP+wbn0^`LWEnr}~s`jX9?IBr)3|MODM5eVv-yTO-fwnXB>)Bi3Wk(67p zl$mdOXp6ECS1Sjzg^tuZ*`_PmslS3IhEMMO*4}wBW<}FQ&&HRBRw;(PaAyy8UBHzt zlKP)-o5mWiwoG@krE>)onhKZoF@*nhFTCj2a^l>Tg?6ejD_Mjm-8~R!WZJUaLCIoj zM4I4=z4O_7RWjbkNWNPx$FRJ={lWc}lBSm*RLpRy)i5=9FVw**&irLf`6Fv1U5)2k zS!0d9d~n<(`pMH~&5wk;!AXT@lqQ7)E^vNz;-{3&lMnog9-0=ZA9Pl5HGTZYe*70V zr>l|AwYtMh@hOe2E7xv|7qL5U8C$0Fn7=Mm#dt;5$B8QrZC0yPuU_Tdufp;4Z{qG4 zR&^oIhs!GO)w{`0R|;7&y?MtxF6p_qBz+9p&wS$*U2##=QG4>n6A8^76IR*rtPQmk zxaBZs(=r*Qn!vz}OIM|5skd(qeObp_GAYD4c+JMt!{&t-o*D!u@=RpRx$G{st=7!P z@OxyB(VQsp)r!aei9XO3{l9Vd^PS!YKlU%a^{~3)UDd?N3(Xl~HGYZsuY7vk#VC{0 zMaW`blE;$|FWgFuZ*hLTBBeF=e~)x{UdiG;KcW~!B)F}oCNikYpXtt;adLm;s~4dM zu15#2G`^Cy(c?Y?{{hwSeg1dj=Cn?m)4sd@*N5aimbcayDeD^6^M8L{u%&hDT3t70 z$v2Of6*V3OEO{?~@cex}8H>W~_4aZVlU2U&-OE^bO-^pvvzIRq&soTCAN}WI1>@%4 zX@b$)A9-aQN}gR;8PZ##V&DC=)OLbd#+^KaCF^S^d@+1iKYQ(gUBdhR9{91ciSx(` z(NCA#<C%Pp&sB|B-0gR>TS4XV)IXoJRi=dGK3a9=-HghZjV%j37V^mLT;v(`l11gv z!-D@yc{zT3%ybgVH@ftx_wS!SKRt`Sa-3)vx$!Gp;o<ZpLbL8aK40^G|IKp?7#fcM z@8I&U)Y^RF_1SM<LQXZN?6O$D`tTDwhH!?Jn^Rq_AOGID>`LgoTPk15(wP}focvj_ zX`-cP>coa$H<?mOS+2%9&HH)I%&jhN>-lfLwoJ8o#S=4sZ`GX{(?8DtdCk0DBI@Ml zxLestZ~h!veCc@4-I#7)sp@Kz%U@I0n$C;!s5rDgXKMW?4skJyMQOn)xxaEM4fymo z{N=n>EqHBd2eX9jSAB_9Q;ai{ZT{@;dlZ&G^VrnOdrzAhpE5B#Zow|+H>vllRlb~` zP-mrRwxU|}TfPZCNmEmI?44t4lQc7RMSoFjL{(w4#hY_I#VR>AmX0+}Y};(gcIlg+ zv7TIi_j~Mu2M)(ur!nR+oQU0#aXnhRuB9aWTm9Wrxp^+SP7R4Vzmjb7Wq*q7T*iJP z;-BH%wfrwUTvnW1IZx4B#)V~rq^Zdq!{7YN{oby)v_Qh0J7<du!<oVk5oeWkECuCB zbG#padp-TQsYB|ONUb9N=Srq4*E_%6zwOqQKmD`*&i8QGX7lY^egB)<pZPN;a$UK8 zJFI;Bw9gMaf4<f>UcF-f{~Y~<<LR$Ir~j_L{ObRGXYu}R{})@lP0{Gz(H|74wxK6x zA;<n|W`<ljy){i*Mpu^V8Q*!j@|4jHwT)+t@2KxQWt1PGvp9L>DI4{jn?F6>sC915 zvgPw<SN`7|_Wl2)(*e(y251Fjn;tXr{=;59@xMs-%h%`6pTBnf`uX!;|4%!8dhObq zFK4c-|Kxx0sbKxD{M@^D-aPp@#q7Dp={Yiet4}PsJoCso;oWWu0<-(>e*5<C&%eK) z^{+ZKv>!ix^6R!go#)dI&Awg#ASHF~5;N~qxrSTPJRB93+qRpt?`6yQXPv^n-q~xf zi+<U<b=$XZ+ZLm~pv>&w`m}9pb`(F`$g^ig{QsKY)q7%Y&z9d`Qn>HO2Q%5ge^sy2 zZ|r>WO=`{8mlL$AET@J3Iy3e7z0Z{!9vX7*omm$t{r6P;$BID9`jCf~9}DMr*5C9> zpLoDZpw_kMd&S3@OZzQ%|Eqc*H}~(Koquos;y-&k`qQ4WsI$%A)Yq1zu92G)>%(kT ze06`!57Y1|;{TP8KCZD!+$eqdzq{d~8MC`W*c!e_h6Rcl2nYytaEb{?iR=uon>oE# zPDM&UgiVx7PEJaYPfmzSOo)etPmGC8hL1@~PKfD8K>Y#{xtJdT&04=yG8Fzjn=E}J zrD5|Vue(duH_cdlKPXgoN%PP9FHW#*R<yL+bs*I`SX$`^cb1TBtH}QD%8$-7LT=nX zI`c?pp!pxYiEJwt&bqwRjyFr-Py6zXOIsdhGJO7WVcW6$KTB61O+EEQ_36vL%H77= zqNdFP>spRn6K8s{|NB8<+2o5&U$p#>2d1U%*~sqZzr<1?aO$l7<n$W>iH3c_mrwC` zojMhFLerdY#!exgh7ZNlEb>C!4i>F3+Ufpy^~2T`KP6O`zj-q0SmDzP3k@c0NST!q zd8Ktq%Pp2-PR_@_J#urO@0QX2Ew@WzXIsxl4)dB_x|?5cEb>y73fdGui$@^2w!8D_ zjn<s)TGj_{aGx;ZSYKwwy}2UbkEBq0=kH%td!io39pHHAAiC;=Yz}|l7u|)WZ^U^S zf*rr#=T+aFqjIH-t5i#BnyGA5!J&$|zCK@=#l(JBPT%*=Ys;5V)8ZOi&HnrM%$Hto z_>kX`QtKZg?Y`+_SpICS2eWrIv+VR+Yk0i#)l1<}pInj9%e&4LG<z*K;NxMqIO(PN z{<eE_yIRV9J<OX5GY<<n3hRFKI<qX2MMM4DvCtO}-fwcexYpt6){QL8t3{J<zP2)d z9r^8?@ADP@%r9CZZ)&M<ZTh+C_Se^3T4KkTiW&{Kl+AKy6m8kr)FAF-`IoWj*S09X zAYr==xvAgoOmS0L9W`rxyX&;xTA$daKNGq`1op(-*IBgbxQFAS%70rHR!%r0$Q8M5 z$BMI3T~9o&d^~x0h1NwC+0DDRPpv$cBxFB3WQ}9T#Mw%Lfips?RxxSu>IO<IHR+2{ zbSTPDn{HtnsJuEL&45c*Lrzy}V%YrY1_i(FuKTGN(I@@<Fo#s_(%em}e|azG=-I%w z%x2;}{foz)?Mj@El?$1^4;ORYWZ?CZ&Ghe&N!~Z#H}_n<cFAD2ck$QeI#;%ZL1x!I zeswLEG@4x*G{HLiQ40HyCdM00>kKw++GdqDYqo7ruUbK&t}2TaZ_hD-*F`2z*sebD zIJ7E$>N4k3PtKh0Dl_t2uqiWP`i1B?J?Rgo$F4p5oo9aj^rczz>MK?#ySy^{D3-+9 zzIelHrHZ8!(&zYAu82-f+W&X)%hyKo3F7DW?0oQ)t8>cNo97N4S$AjmVYd}u4$r>& zBzp0t`pKuVob^9jtJpjBSShGJj!E6?{prEu+I~&m9g#O>-tFq+JiGe9`g_faHI1p$ zcP6}@HS^2m#<`A0f|{lm9?yR(Wtph`&ueb-!;qNGv4tmhTE)mp9(vLx*CiZ(Aoieu z>lJ}4-FL|<VMq6s6+h(lW`E}LZkNm#%l4-ZcNRLuMSMKcR&RH%gLD4cxB#Em(Y{K& zJzxA7PN^Nfu}?VOA>8o84Y3B9Uh%B;3dh|=cU=2E-Q`E$n!QWcEc-e;Hs$teSvU37 z%$1j!U*`KVdhFP@Zn4v&)AwZc&i+5xXULjV_5VSFirC+G#@BjndBb0xP*Pf}+ICar zA@4lqIQ<m{Ol8i8nNEsE%Q4@HjGtXyA(SDyR7mEq=8uezasLi3PoCuZXld>a`y-qz z!AlBXc`GZKGVxpd-j`72C!5tUovV7uc7HF2oRrgP-3_xRht)(xf7)=y(Pggt!V5D~ zijp?k-uGy|v}3Nv{f3D*H`{+vn-((nqIk%%t!ze0tz0?Dx7R7L1hK47do=5W<n_!K z@0Ffc=5)^O*~j0yfb;%G>5h7Bo@sh3^Na;=R{hx*@rtwS+AiMQ1#x}htC*Z#wAV9v zXPDTw-tjfNQ_k5jvm(EU@B6uT=BeNMSO5FVHOtE_WLva-5Lfa&;WU?-6@?~E6%njD zx$iPVZ@$$$c_ml<P*T$LWH+-mkNIle{2yYT<vh}zyzzARTs}Pp?RE$D7d#B+^)I#N zRGY`zD$LlsV4cfC6>nd@?bTO!R5~Ir@E)_Wkc)}^u<Oauf0Fs!bqOYJD*Rf!Y<=t0 zf1Id(+;&c>#Ohj&(HjM|$y=v)%(DGj<e60D|8R)`_hN~{D=zv|RU}T|UgHq?)XPG5 zhu)8X<ra+@w#7LmudYh;G#uX~bux6*l=%mj-@o}rzT|Xj>5&g>_h0HdxH@Xd$-rAH z=9Vs!7A?&SIMH-pw>$HjSJZFUB(}3bCS8x}9xJf<o<G+b+*-A5#jgpzGx-_0f8R+p z$~d*7IgHEm?UhC&yW4u_>L;>x9yZ$PxIH?@_Qn4rw|s2R>G(VO#0BK8S|F>_AKGhr zqN{28or{J2r}n;C{psyS-MUlRpUM`t?|uFA(9f&WrzmO9lA3IJ;g~nG#?oUcZ)zhd zCOq`?{i-<ONWi_xod1<(Ek0eDF?mhgiHnC@r<4d-E^w$XXBB4`H{7)8*N$rDzI)cI z|5y|-@+PVk$eWn?JY5=c@%e=8UhbX?4`b?%mh3FtfB#U7mR_&)$&yLe4;`<PI^Zz- zOzwo|M<19?J+Yv8en;trs8eqkj_sY7q8%2Vb7%kUYOdGp@^^}vIQGP{JD-d^V0v08 z=DOkk1Jew*WG>#bHbDRU$|`-KbW!J!zO^kv%<4jcpT5fPaa@|-*YvbrblnuK17`1h z<o?9IR?M1ipRufI#oEVfX4Z*5ys#)s+^Dr!@O9~&M01H3r}!UPoPEXS9(d=C{r!ck zoF(Tc7W9NmSDuT|6J)7NY206z_*439#--ybYBI7c!d(x<KTCEPzq%|hJ-v!|?w^hC z<}SCZ)MkJ8$9s>?F|~!}0i{2(ENnU)FS4|~JAbf_eYekxgZq-o7nzG5z3<h!nW;f` z){8ST2MtVD9(+_j&%F4|tLq`&s=c!!6D!{?6|lL`@%d!Mf6Yzvzb${(5)g2-a;p03 z-sjsKy8o=<+<WQ!fwRZkc$VyX`n~K#P7}|>zz^o<Ee+N#|NHKW>I_Sh&vxHuC<*6I z|2m&BD(d<rmMP~n*F-7okXpf-8<V)*&M@_v&?QxM*CR>)ouj|*`_;B}>FZY6zxOu; z9<Hj_Q@ej~?Pk8sN=yMKQ(d;bQmKA<PH*<q0@23z9u~H#UV@#i;kP6Gz3r;ZqKY@H zUzNrCZSS59a)%$;vimkZXcuie&&u+=Q*+<r)#CRUkL{|@Y}3j-DI}S;N_%JFW1B;v z2R8~_I^*KTaV{v=v_kmpqxTj|s{T)CtXXLJ%#8W%Vb{%EuY%IE-fX*9q)^1VQJ9-= z%8Y9}gkK(czM}D0o~K-@$c#>|fC%gE#r2QBX<go}TBR;NIjY*E#qKV{$=NImw+h^y zFwLjo{I@SZTi-V;*0n1fT%0M@{7XS%+gstl4~8mXR<bvp`O+NtW~fgNFn_4ueCs~T zLa}h+kK&A1&Kq=z)~q<~S@-aahjp~$)3VDZ?U#)uTHiHQ-~D>?*zD+c3$89udv^A! z>(4^zS1DrJie1lT*zMMZJ(l|=E&k5Qbn~0PPqzJh-^O&?pWUzel=1V#C5dNx_AoAd zwBf=b@vPlUpASqnReIPyasNHdqz_^Zb9Ttz?6hdL7x~Y{=dNJ6$BCV-BzY_I(rejk zU$-lX``GC}RX%>E#_gZ4RI1M&&%GaxcNKq+(LHf+>845<t%WoGcPla9s9<r3T>PbT z-txBAt1n9yu4-Ap{<a}KB4OWovsi;;ach5>a&h`ClaAorzO?woEyw>$^dIM#=A{eu zdzZ9K+^Tz>(d|#z)x6D>yiqZx7W3|hfBkN!pYZDF%#T4)t};)Y?zl1hx={M|dzRrp zT^}jNa0~S+(+@s%OCsf`PUF@JpTZH_wp%i?t9YeW(~(l9n4+eop$~MVdL~u1ah!Sc z=uLf(V3K;#V!n50Zr*x&sVkH#*SPH@$BNWzlFA$6-0hCF{r_NEx%5}y#t2oxKMz-* z@~{rs^_$DiV)CRzPP{rZ=k<Rn|CE2azKrk4wzs}t`}L1|SRMUwC-?F7x7+4&?z?RI z=f+GXxun7(#?TdWKfT&K<J?A`O($w&u5C%KRd9;WEPj71U+F{V6shmuOa5<&ow{K) zf8Ep{zjv(Y(VF&t=4Ab=J2|g!nlH!bzCU#N=gp-*-zMDBTd!ug`c{+7alX*4yXV|e znE1IvSNLSX+3oD+?1IsgCN;&YZj_c)`%o}{%e(V0gnMT77c*$-eZ3>E^lro6tS_gP zqYnljs5CFCi;2Fzb>hZ1r}ci<wEfhaD#BIuV$(0fi$!cxqAE^2JG0R4!lsw%)icXP z^v=bsy>2Vo)2;VLzp&>J{}1tEv(9fDzPw4zcG+b8LFA_C61O9%6B}f2>Zx9tabW4x zgR{A={CShk^=tRCM5EPfUgvqX9}E9{f1lpY^Zem0tylD)l|GVubNbol*qmb-Kju8p zaM^cYhjml<qu$&@&i@potQ<J%oF1RGs<zAcapM)!ql?bV9u}|4UlzV#Mn}{U^AFqC zY~8T7@KDA|lK}gNv+fJVNZG&HzGA|DwG+F%k2S`nh_EgB*CimU9`b*QT6c~2o#tQj zn<sIrcd`loOuRJN=4;{0qlIq_CEulPmAvxm%am81Z&qf!+t7Ju)0W@cHeFoBEl~QQ zZOg-~qp!9wvVWW*mA%?tGgl+-)!F*QRVoG77Aww~v~*|TQo*oquP=PuYO*FwL-xe` z>=i!$9^BY@ceQkV!2c=dev8g}y(r%PhH=WLh#nhm@ms$mW8-G85nDY`<Ad+f9q+c^ zKeFBT&ePXZw^zk8NxTVpK0lnz>T`|XObd1QFG&j|JY@F?ofe8Ves;8O!X~ksjyL&c zO`LRQwkW5k&nmVF$9KN)6iZSJV*Nj9{=(Lo=H8NhCk1;VeKcpK@jgy}^PDBl^RB&D zqV>{%W$bI?3O927yM1WlrMfh+dtOoBlUsy?f=#UW+_`>k`@8Wx+s5X%U&`VPH%(e@ z5+m~WM)9;DiJpp;t)FD(2F<_G9<Lw$lw-EHYTxr$Px~D{nLfNH-lSEubI-e3sgvK; zpX2lMS*xyO(U+lhT5{=6WA16sF03sVxEZecAk=&QU#(j=Lz_d^@)}&UjJK+JcSP`B zZHb1UkxbN#d4aJlSG4y9%IWi&iuh09cHd>5#MSb3lect7&r4wy@ttj|+vQ?su3L9i zZ>o{vHoxnRP9f9pZ9J^DMdb3IgO`ooreEg1W0+JXo#MRXE|*EBz($?e*KBK>Zb;Qm zZjH$O*{bM$r_ZDDp}6(@heG}8LJm6C;phCAX7N_()rEUCaqsh;D)%Cy@$$AMBKfOL zFYhmTsJHC2w^>rr>?yI=ME93#PSM^OmUeT`ruEi&b&q}=?>(~fTbuL_qx`~OiCQ=R z)@t12bF=3E5Z>9~_`2%Qu|Gj20*X`OmEL{Lkbdz$+Dj?7b(T|l#;v=T5?<w|8?2dT zyzG_x=9cBX;!+0-)jp&}##kMxFt~VT;l%W&Cz{unss5U?%G}VYx4CU;<dsSPl3hbT zwX(Ic{o?vobDqJexG6s($=M@{L6vD!k95+-IfwL1|GZW*J7}~x;7MwmL)!L7OE{1G zHaBcofA8(xz2aOtLZ5Z+wl;~%TlW|poMbGgeC(&L$bm-vvhtM&GH1*Fnw2Weq8qir zZG)QqQL{z1kIN!WG=GKOn$&h-PigzLXF`wHDC~0m-F}f%zO2sZMOD($9gFUF@%3)F z<Fn^(#*Xd~O`}i6%a^oCzp3<>QM;sHQhi))k?8atb7!kvQM<4;_4BV|eVIMGXG`&> zRL-~`w>bL;``ON@I!mdkoL6-ABxpQl`Lb7O)vj4rO=gBJ<O%w)MQi?=iJ9K}FF4w* z&YQG!?fe6M(Qg*r<XCI|c8c6{PIK1ffjgx&Bj>uCd^l_5z9g7)zj)WYGVKF%GLBEE z-Z8h?DbMF)f33Znck6o1XC`{b=YKqPcS-hr_5}w2p1gnY*R$;D;;NZyr{jI|ScGo< z*^sR$AnM&DKFiR3!z}%KuS>b!-t=r{<~q4=o|w~vzO|<nv~GpSGpVz3-1AtjyyruM z+$(v<-`|h_lDjzh*^k%$A{WjJ9$dFqKl<dxM4JggGEuQ+VVo0c`Ako4`Za5>-^G6t zYHGpDz6x#Hemz$E(dKQIrypKanw-I|#p2rEn#G%0YhY5ZBx19*qlSzBnwRrE4;k+} zKd<%aX<oir-m(0|J)!!5y(LF}YxS-ZEn&~<zrgoU^Tq*n*F)2|G`D@4yRk(7WLDU` zGx5DVs*0~?a!x)_TU&X<^LR?}4bC->v)!Hrh1*@w;PdXks%ns+q4u0@UX6K-`mTpk zQ?~yTe6fsmhfvyivBH3jiY)2%51tr>yvo>iyO}BZ<fl9}_Rk$I9O>L9ZKc6yZ9iYW z?yKN5p?5`l!3VL753bi!-|xNRw9bO{_BP$ts7nU?)smYRZ@8o)Zue8lrDyK8sx&)8 zt8?L6Yq$P*aWy4VdHaOLi>1sJCx12xnY84^#|~Gg(`r}JgqP_YmpHZKuQQAM%tWVz z0{wY6rX1P6phkGbdFflyQylI-%-p*3g@B0LEtmFba=QaxXKv&CYqp(x{+(~JCvJW$ zUf<VREjBqK;ln2Z_j&(<H16zN{p{%KjMii3r(=>kLRrt=JO1m9{)_F(6TZxeS+(A> zwE4ukb$3olq))7lPR{%L?niFLwvt2oiv_)$I-24h{=c>(t4zw(cuUM|_8G@lxZiL+ zu*ztsLVR~c>MOI0MurAQa?QjFErpY0zU+SP6?^!on~h)Q{5x+hN1lGOyL(w`8@t`) z<Bgvr1ipLU&l8({zh~`UV~615g<N)TicF(s99n2!@yKYilEt>8(U(~6>ZkNAx^2bh z)n?35_qlgg#hfqNx4T4wZLK%GNoqgzqfOxA7XBu$u#lUzSx;A3Z`mu@@Z*hD9}lm4 zAgh@Tx1!R4dZ|v?JJDi}@89K1#8fU7|IfDe@G0NwFpe*ICle?6y6g%y+ms?WF?$Ew zL-o+o8TP#^Hk1dpMVL#bxy60kwOTIl^5z+qv!-qF{o<L+Yr$zSW5?0+E3UX?ub=f& z=rNn}mdt7KPL&y=f8Pd3q%?dB>ba0OU;lu|$)=UdWxuoqvMqfwab<_pq)6RjPJMIt z#;*B=tM8`12<0y;m0iMLlxkBe6~P`iv$5&Tl;_&bUw&p*EY<h>YF)AUGLLVrOKR4^ z&{F2Pa}S0sK4)$F_e4d^;W~!fcmIXvA3315@3%>7x8En;sMbrXujP6P9dljgV#R)! zeK~W=@`<T7=AWOhdv37CSk305#Mj<uh1>F{3hI^0%h=50uvyQ@yu(Vm=)%{AM$VXX zOZ8V=wBY`CY4Sbpqb)g7`*-M{*~RnKaFV0ppFhT1-W*CjyV`A&PGHr+%i5>8t9P6@ z7<u37lm8V(Ymb~PseP8#&(+@waeNPXzGH@A*2iM|U$JI7!sbPn7A-fwxo7E~vxo1@ zZq{5UJjdDN^YQpSKNlt~+3G&)ev-mx(T!!|9Da>9xn_w1a;w%ye)hUC@Ac!Kxm6)o z(;7c<FmLV6pV>QU^{eS`b{u0`w6A;pf+?#mJUvo<qJCbt;?g@T3XQM#FFLo#|MA1w zGnrIdm#XmdFwJf0>X!ZMni_d%!i$rpTJI7QuPt+_+$P6Tc~AZPX*uJj$Yl$c%$48F zRMUDfuX)!Tw>qoda|gc{SH5xGDeh@~LcA-1Ym)uq2V47QoM7_&y>Z!_1<X@l`?M_K z|53sHuD9;`)TJj5yG}C}o9JCB^xSKn@q*`@Zy7&|exJ!_^Y7rgCP%(Sk7s*S7`)6$ zkdc}@S^V$?B^}F-kYcaSj8eyoE5g2-m}|PU$EC`MX8*ofFi|nLf~invt<%Dvy1#;E z#usdy`)u~ioRx2mZ@U&#Ja<|)w_na?4)^Oj=Ko=N6z9)iYnIa^vf98-|NHle^NaE` zHO~gQy<Iu==i773mp8wjW6ALHn3CougH;JhHO7l~y>Pl*Yw*aj_o+HB)7nO>kL^rT zq~7c6zglbl;-aWv>xngY{;gd3`1Lc{DY<i$x7K}-=;~M=_o8+Q|H8N1*6FQ^@Sd`2 zt$L`F;M~yMM)|#$r>dQ}Dv?~aqh(Ttea`$IcB?bXWwr!A&C=Vj$Z*xWylt;+BmZps z=%SvZw<G=Pk)@nx_kC>%RXylq%|E-vH!js|k!nHVo#My2(X$c+U-?eGaiiSt@AL5K zcA=4ft7jZHZM<?uD>nDVyiH<1BW}JD`Z39UkLp>QEt%~MQi&}$5BzG{RQdaTTHtZh z_~eY4>wB2ixUF#5xo<Al9<PsACWOw*bCeK_e$(it*}P<V`BEwMHW7>JuOEYqzU|n) z<l|AR+T^*%Q(1eBm+W|SZsA<!y&B&xomO=@`~SMW%9<Frnu-G!n(Y}OE@2b74=mnN z***Kk^@k@sFVu8MYo+dM)SdIC__mes%2TBasyZAZ8o5~x1RXec;L1{?<uiScsu^GZ z&ZDJtFeS)ey_0h>yK(ZjR2EJ_@$z|R-%RwMR};#@x!6&uoHhJu)GC?Vyrog`)~fNj z50j@<gjjr7+U4)VZvXn7ba&B`g~4~!Bh;>M4c?Y&FTGa!C(o2sd<E$ZIdAm+CeP{Z ze&TbS>FAl=4Auv(M%lKUh*;Ns=it{PSF-b8Uo(zesw&M_B9jp@G5GxXu=Q_O{m!Y{ z?)T~GwW`t=-tXPLUN0&2-u!02#a=5BlN<)!iJHQ*S=~<EiV2#q`TN6TiO2nx3$oom zYRhc-%0sMW@7mOzdA_#is?xqKFP?Q)Z+YnR$g?3?e|2~6GR}MbT0QgTp0!cWSA6J; zx%I^R!;$UB^O_G=DZ47Q`u$y<v+XgHL;0JYCXcL>q8mQ0cWij2c+_ZhOW3SAQ<qG7 zdHlyj$(%J}Rv$0kS*yJ1K}BAJ4ogO&N77TH>k_`oJAMDn6O(1*oK(s;TXAxze858Q z9QN18Lw}_^9pcZ+7yie^E154cU%Tu2yMG)4G8+WsN)=YDF_!i_V|jD$BXRTjO0s(c z6nX;`I3%Wb+&%V-XLHZ|U|wTmnR#m0Gt}}g_x-hCmz(`iX629bey`oEX0DjAQP9}X z^YGi6)xVE*-F4I6%Mmiq+vf9$XJKL2!q>-6mi;t4@q}UEi<oJreVAW+JUbRPuX*P$ z|AyGUSRTfi(~T?zMJ?1l4+j}d@ZYoH=9Rn8rf)iP)3bTjifx;=`lyy>MrM8cUsyCX z)h&I-{>d|J&#ezPJQgl<hqq1fy3q{(ivMd^b2=_7M>(E+bMR{S#GBLAb590OU#4~X zPq4zH69GLp3^IyBP70*dSKX0*HQ#X2+)~NR2@BnVmpCXUEk5E96BVJRC-c&vZ^n#< z#_v4059w$&IxabUV8fFWFaEu}60pl4fXQY_Y=R3*iUF6%f-;4P4}zBI9QRpS@3=*Z zM~I2%sQvm?T)e)0Jxsd6T^e#GY$h8^&QI#(?Bix`(U98NHC-s>{rmf65*ORn?%CSd z7Cw8~>Z?|t{n>?<RIK)^$~>7GsUB<nRpl~oo9e{AV*ZNRGj@IdXdr!7@-f@l7;zz$ zdTwuf$rgh@b6C}@4VCt6<NO=6=V0x!eU@6*>5CPgE%DG?#AW<|BjdvVxan8#irZMQ z_*}AQ(YdrkTjmb?`u-zsQ%|b8w+S#xX{DKLdz7`J)H~#*kYx3>i(Ar;Yv}W@{pKHH z;x}P~c&v`kJo{zUVudc%XXX{fCd~}5tUPO3o&Hw+@Km#?+{c@)?Xx?3v%=-hxmca$ zMxX!cFht#rPnV2-fA#&B{F5DPbpIQ0n{Qe+oo_lLyP(n>Kg+l&iHBG(c;=|eZ@>2F z`eN~=#TPSqW@i`7?0S6f;;e7?Gw0@PW!$iFU;Q_eTWi*Ak9vA!NBrN-?T?*Kmer?Z zl%LUYzM^BZ<<d^Z$=qq7*SKEu%1KGGI<`Nb8!BOR`k>baX+HZF*{+MnPBL76&Kn(( zeD#FOwdUFF(?aJ~$y)WyD6M8Y?{d7h_sX$HoHIN_xOq91Yy#Z{mwFecthmRWb3N#B z{q2MT?kTC3@@po{(bEmvl4~?|^XKc1dTTwVTJ5@c@$emA_r4yMR^H4M<JYHa4=;86 zd2C<lc3Yb>oy~_GFIQ>hpIyi+_AQ|MHLD=Yk(DLd5<*@)wjrUbHo7UV6f@M%=014y zQKj-0OW&;7Qd6Wa%&l)uFO0e0eZB2JfBOec5z&>~XLnt8NYI$(z&^vF&!yty)2N+7 zQxnf;G(L!sC`jRn(9tL<k*EkTFwijYkSO5r@UU>v5PWpdG04SWVnEL};X6lyymD+f zcrDuxFR4AUvPLH&zVL9ZqR^r@Z^eK4Jt#Hyx#zT$yT(I!!7Hojy{8s!+q`6-n94N) zedQ;U&8F7tSqCIcTfg1&;Z&J$_QP#!?Cwmt_*!NAf(!2~;`ENS-+v{&==43GIWuRN z+WyF0zcntkB>KN$@P?B-n}6}J^tFC`E!aHYb-HZ->6hnDzuEWTdM}gagjI{C-VZXD zJ+b_vhx+k7U4OE}Z>ijx$Lei0K_#c{^5T^fN*N0}-dqu$dFO87=VzkvLT6Q0MdzO9 z{`Y0g?X^vdqjo%!-D7a=q%zlw*Fr}=eTZsQ{9n!-cAMRHzJ;TV)=P(#?rBkd&m2wV zJ6Bwpa{6w!B~y1Mk8F6kn??c8zQ5Z&L_Xy6UYRZa`NW*t>t)(4WDh_1UH*DGuh|}x z8C&!d7A}y|omyGUTEu>ZZBPC_Y4y5|3$B@NlQ}w*)pDYfwR`esfxk8@?(f*zwD{(E zme(ehOaJIS^_;aTXXQUrzU%p)1$Gzxh}%13;pCkM-`0OwbT-s&PyAu$g|epJcR7E{ zFpF)G3|RAN%~y}_j{?@Lf49c+Z|fNm?{9x~rA$i>%ssPdiOBDm6Hem)Z@tcHp1<@; znuKH1ik>U4%rqXZPmfu*ufFs5sYlsQlBXo?moV7Lxq8=;s+WbUclkN$b~L?S7SmGE zBDg86x%1YS@1}2`mA721X8fypvQCSwaeqsD)Z^d0@~jp!J{|Hdx|X;7qN#8B#9WUr z*>@){vH74j!NgN8&bs`7X2f5W<>{^;noS=3I^cY-etn1KT?h6r$F~*QOq;l_CLwRz zS=|?PzYFh*_KK9aFaJ960Dp3bW~P<y#JtST)e;w57mHZ99WH;$BH6X#lw7!Jd%+~P zuKQ{mRc)GIW~blKTDc&6e$?a#VFHUn`Tm-5UJI)*bAI}Jvw3RT1Uc?YRyq^wrii=9 z`-Z5cBo_F+4~+`BDed&8^VF;>8;-30@%j0ZlfvCcE=UQ7wk%)7E)=c6HSc(?f0(d# zdW-VxgvSiu`ZeadKRT7dbm`bPhw7uNvosH<&ie9RsPLNMgyk;mb9?9A`*ut!dhON@ z5ntiO8`2bRl-&N_Tj;&vBj5bXpObU$iAR3UJA6Y{VP)9;izQEzUe8~y#q4(B=9B9& zjYoc#M$S!X<kjPxt2(<>mXT+@VAQPLJDFO&HZQYSI_>_t7n}*x6x2^jY*sf_<a=4d z**-%qxITzka@xBCW?$aq-IUC>=Hys(MdRRwLYBt6=Vm!xb^Cq2RP)l~1<3;2tNf>A zcO0v^u&m-n#oeu+7=GQ$^Gcap{LJ@&;4^=Y>4KA1zPw|!DO>seXZ9VI911BptUlNB z&L<iwZ9C3%b%H{`35^NH-EvN8-IupK2;n+ndXxKN&y=#$>B7H17Q1!lS={<D`|NDi zmg<tJY5S8n`MP<2`*3l8tS&v%6tqzxZNq^^jZ3{B)y1s;-7!g&z2+x5_thV>fYOwZ zuN&X}4%og+X5K30*jIb9S4@1AxoF}`&9zro_RQYZv0<6qid#X;teU$U>^EO3DgV0k zyOzqvHJ8=aBwt#R>i4PO$b7H=idQAXo9|yqY(1GWVfD-q2bl;_gZX!TJ`2bu9LvdP zI_){_V76!by4AhzRe1+`e=a$nS&?)#EKjws>T+$`t5O}AyvgU|TZ=!wv%NC&_4UGV zfoqlg4(C>_cg%WnSufte%CfUA;{RLO^ru$Wj7@eMKR;ixN_bwr*Y50lQ+eO4SYrCf zZPi0nIlX_vvb>C!8MTazYR`Ja3d?<-kZW-1>;+rvlsj`{K8DIxTEwSj%nf*CA1iV} zI=4uznB$f2t$$w|*IkL0Sbg{d7yHHg@~s{BkG&9V+cUH9-a}5o^>6CGzqG44DRoiw zm&}Jhe>dqg?o2s5<6%kk#pJfOBO7mDGrjKRIWJ=AgzvTc+U%J67RK%Gl6-pWv7=0i z_zhK_U4iH0=1n{DtWITa+p#r*QflIVO<BInI)3Ur6y2$96;ramq_MH7eb;urMKwO9 zR$@t0rk}kWcy{WYC-YreQ!akE#uo6CGl*|{is`iE+b#NM{)io!^(iuAQJuERf48rD zUPjOCjAahaDEt@7k>nQ8-Fb4GYbB4(n)tUj1YdUB+`CmZcU`j~=V`$L&c0v8nkBhW zCQ@zR7p8r3bW3`iu;#Qyz(dQ`#t)aZeT!_A6WcJQdR?rIO#iYq+5P>zwJGlf*qhk+ zR%jPadF*+F<#A(-Rg_Mc#cx&i88LevM16@!jTYM)_+XW5UZ?&qvrgUp875s5znsv& z)ws4s^yjS9O$)z0_uai=^AC$%9lv+1ah0(DvT)L>-yh@oAGIWH`rz?p^SO@+i+_jS zEU60d&Yikl?&XSEX>m{YntJ*=az0-1@WZ6d+5+FY`@X53yd!FyQu*XXVQkynFWI}F zHJ@E(J^Pf!@hvBgojvSgs(Jr?N(;C0)5I)~NryF0wVYVklfP)jvRu_80eb`j4^=kH z#WQX=YVh}5@x2DIx6|J2zVbudsrFM~=c1m;tVWFQ<^?XO545X3I78efGEi=D?wUDT zzN=<E4HTUA^`v#_+1hVB>D%<~JX>HEx+?2X_7>X<;-O_bEe_w-@wHBfN^wi*_~)F+ zq|7G}TpCkr6S;R$;|kSlOv^XRRGbRl^;zj$9slgc8UG8F-Lec$E%ZP7Enx4>nspy@ z>t`fxzbY%6B=Sj=;am9Ke^WDjub8}<)7IfR@6zfga_P}>iM8s_5?YUjiN6z#HAtHK z{!q5o9EM*~NgL;IKR@DrU-|h0hxQ-Ezax7}jIP_>KUTJDb6~H5jiGt|>XY|m=Puh= zw9ei5?A<`!=zt<06|v*N{^z$TyXsG5-o2Z1D_i5`2TSUw8Fqd$OLwUfuXPR)O5M<H zUpM(Me<Hj1-IaeIeBtuD&3Ns<SVelC^L2@epGykjH-zZN@1OQ6?6BG4d8?M&iwOi; zJ^r&<)F)KBOx|Eh0LM+4$J$Hx{n;C1FW#{J-679nw|`Fp{=VbZc_Mmx<um`SoavjQ zST3$@e!OeCXu^kP=1+4MAGf-qKJ|vni?60LR(`9L+7a>XVx7t&E~B}3q_1ngZRg0V zm1H<D;dK3^Jn2={u?j!_o>}s!Xw~EMUpif`?3<9V{i!SCO3j^D7aTFXyVFIgZn2}& z!kbr*Io17l{m#yFoa@NMh|9sk{{rf+ly>F?NJihynCmZ})l+S}x6qycz@rBT7*i%* zsb1)H`1ZG=Iy;vgx(wNW3YFXC_UEv;t@Ph!|E6)Vm70Cl|J(e*UpGZxWs%P5ef8pU z)tca}lGxPqmnSngeBpR=-s`JL@SdwBalQ7;+Z?ZpvfWl!{Hgaf_wn3&CHf(Se?nQW zF4}VFrru`1XUpe!lpNn(E4%q?amC%1#-op>Z0l%Zsx1Ba<dH$oS>|}V9R=acidNIv z-RJSC>YF^QKah6v_}leTWud0uf9QYj%i^i8H)_5fsIO*ca80Z9y|yx&)2^?F1>O1M zen(iF|93IvT=wDa_UmO^8NAZ=b*#~!v9;gv$_WquV=8%Df8=U3^tQiQ`SHu%oqD$q zRI@GaIsBJ}ck=u42G=!C6K0*t3pPKHJ8@QbX3QNy<BPJ_PEOD-x*2oIEA3dpbDzUZ z|L=#^TUSf-Mx2zHdP&Np{Hb`+@rL>LGhG6gt+}E)K~L5CNEh#O`FBRY74J<HTkTqX ze0F>fcf+zZ-Fo%+TdpRWs>v>QQ7HQ^s?W!JCuZGiBZJ**=2}>IY)rZvVN?1lPiAXm zrSJE*_O})+XrI3({808TH#`5m_RD4NPy3kR*>^ZF_|$)+D^h`%-R7FvJ8JJewRid~ zRm161*UDzOY@7YkbLQuUnC&qJcYi)UrB!GBm@O%{<@iEAx1goQI~{+qna6Rs9?0D? z$7{ueU1?#3yHcx<zq|9fWoy(!8-8x}?9S56(#V`;4q8fa)9e3foOL>>F!P9h(Fv`a zR#!^mlIGdu7G(&}tlD|G##6Yz?2hc=m)kQI9>11*;lolbExV=r-4B)K_$+OVXvw-0 zT`V@MXtKiMPdnRHGZm9QJY;Czy-nlY|LmOGr?rZ{^xCZK3t&n=<n5y_w%p_Dqr7@{ z%|AiA+B6uNZmry;aLY%mlHrVf?0@#MMcndd%=EebbJ@I|wVa)CcU9k;>q@_b<fayU zJ(FU(=-_GdOxC>SU2a=UPYH0|X8b+v{hs)m2^>#my6sy&t>)C*#zNk8?bEdOE$F?G z&@#i~iSX7n%cltoHmh*yFZX(SWow&2>Rz+ShgNnZ9&GI4yZ=Vb=~SKgTy~RF5#L{E z@jBl~I%t+vyP|1rXX=GNe%}pQrLH7vPqlmgM@EEm@|siwt`(nxO)mXCceU%DXWH7f zw+ENofA?7Y-~Gnx&20?VI~s~)eZOWFmLFZ-=w_I7QA&5#oES&G7S9t8^=6p%Et&Uh zTJ7Y;%&ZmXC6)%96{>H4yCrSGl$PD=emzYRFX;Op&t!1a-@f;Y<*BJYyo;LNpHy7G zerry`ETt(uDvO!K3NGH@DtlZmChvTL!$R}i$~jSj*2bStJ>9>o=#25Y=IRvo)|rYW zD>kjua-J$DaCZ5%erfhU?C<aH{U`aMTHh*s(b@BRE4N%{PqTX26)O|HV2`zv^NxNq z*;PTIy?NGqW?TwA_n&8%toM5N^IT69e`)-C&v$vH?5*|lCr>`sVLf~MuWz4wPVRra zo?V;q@Iu8l-QD^nPZzf{efehT<ofF9MXB2ruICMzU!KaF`|PD!((CYIe%CcScNpFL zRI_r|d6xT&<;{vcsz112*<}0NcgJf@rq(ZlF0Aw3KNE}B{7~1ZZM^wBSJS1ypQ794 zPt-@h%Bx&@W_8zz!f*3;hWukG+iCfw`R1=Cvq(N6mzP|ui-I~*oeG*x%nY<_I>qBO zOMd6A`dLr5T`kTMm{=ZAx6gg{BK4H}+e6d#byq7^JXqz}ZgA>a`nD6MFPHl(Oj`El zz%QN$%B%NWzP4|j@HFp7TQ0ty@m^W9mRI?3f6Jea$_ISXlXX;Vm@lVxN+uU;?$Tv4 z58E?edb-nttFcdh_Dk$|QRTtC;6$w>?-^AFMcu5UZeOmp_wAKGv}vD5@q-&?%L=vb znQ1>;aEW``nW%k|L2hdtrd4S!&0eLpdH?c5?14O<32aAv6qyUu>cyi~1a7!YcrL}c zqwwhF?6{wm?#gbpqD%h8iDx&x_lUPy^Eukj;_D{KB-USf_0mg?<T{Ur-IDKI)p69Z zXS36<Q=LD5PBrzpzD<#7@;Zy)-8YL{AMfs4QYd((;4pvE(VabBkM2HdlGwGt-@-l1 zl~25KyVmRFQehPW8@tb6*r5OS{pP<Pci+DzdGFfy4as?vuBwOz_I}^+`R&(@cNo%N z8V1ZZnd5F|cgFAdrHNvzi??o=wD6GD`v{}2S0)_#D9Cm6G()t9fsn*huI6h=KY5+J zoc4Zxo5$gHn`h7TqnB%Ap1=3u_*N3^@wMRZ#|5)n&rNSp+sd^}Gi42T+R=v_?%vk) zJujog`#FTk@nvb&_l3TG?>aSfQ^kdOer(ZPUfi|&?$YV3`|~1>-#<3{-ubJaj|&*z zHaT&cVfl~KJJq&NY<ZU~s&!@u!(z!pu6oBg52x13aoaK)l*`11-Q1nM*GJFbRmgU` z_o)dF%WdvhEHx@h6N^gEoL*crZ&%<vZh?7or{7t7)JDE8zN2XCeraQ7*_Js>4L+?G zj~<@6&uF**?1n;~f0ladT7-V@`kW*>IbyQJtq-bOuQlEJC2;@La_{Mjuism-(!IpZ zbK!#BoD=8E=FNZUWBgIG)IxY~^%ecQ9#dyGOsx_uU3M>I?!g~3;=bx8Z`qLB*Sl+n z);=D7{^K%?cV)U>zx=TH^)dU3P=m*dGF?6?yUq-_IH@Aj;_a71>o{#6Dzjw2UU+)K zquoj#nw+N5GuJFxomkLrwD{OA`Sq51K^wM~R2tvQ%uDY+CeFP=E8>VUUw+~I;seL* z?VA%>_AT+sy`EEcT%uQ`$J^@G!SkEE9XXP&Y__w>XOysP5;WRVazmWs*mB2$i<|eQ zeU4N+k+L~cR4XX4Z*sEAv!g;Yj@++4ey&>j=$6yVCW%Wcg!5E-9iCgVqWh6r+p9CP zyfp8XO$a=?F6Q&!B2!nE#y__*N~JAZxr=9sGd<UGn!}vb<F#)|)66B^Ldu#Kl<H=@ zWN|&u8@{9D;0im&vl+)$JZDW@yRItkYtGsQO#N9+PEi&H+-Zj<Y>wJuGV_t_+H66Y zIRX<k8c*Inrr>=%pj}h5cjwd7Mb9tC>#fy0ZgsQuwab0W6szvQXI=e=vmf5zzV>C8 z<Fg}A)~`#S_x6%#=IcdCf956ckJGAd`MbsMn!KR7x8?I)(=F6$ba!*zy=t&gc7jUF z1FH+$xmo5bPS~pR?9i1tf;TRn?qxlAc-u_hiWR#ib-KJUR<JzJm_C{R!JEpo<{r6B z)9>pzs}A|BdZ>DMJ6{*)HS_f`3U)WYC_K7%K`^Otmg?OkTZ7IIAB8q8nY%5<;)Ch( zUe@I~XOkvtuiw4L=dV|Uzi7YR)!*y>ib}bcs=at>p!h4ZuBE<6a>Kk;c7Jz$i#_`+ z#XFzx(y7G%wl91GPNz3~URUwu_U4V;m;TEBXy3KL=h)iL2~!*F?l76`Kk)x4yJObU z%N#3=Jy)-^u)TLM`_|(n#{#&dZb>dRn5`+v;C}A?w?7qgGp$Yc-AKK2!e?cHfSKLo zSF?LP55B&Xv2=c==JcRdTN_WleLQ20`0fi@t_cPc!Yfs_ey;S$&zo^(4MXbWT{?D; zewfcTof*{>f4n5nRc6_e2<2&;D%RiE6O!82zhc|Ept~u&XZSQbrYpS+&5}BlKKsR| zEoW-EER3yYeF)@WVVu0Pe}=p6i+^`E8k$&WD0VCKTy|n}X0v?fy7J_QuLf6A{;ZHw zROevPyZX8Lh_MX&)O-`6C(|$P+OSk@#mi%CI!<#;ZCXQ34@UZW23+g5b~+t0y}Ljp z*#79O{$9@VWy-;yYC}y@m0t%gXXi3mKI`7g3p)$emz`hm$o$Z9pA~0s=E>Y}3oK5$ z<W+rkqT*t){a-dsS-{wLJG!mMO;h7}=!Pr#j{YB2VjSl$>WmG~vaSA5GeOTpWQWbA zE7roNHu!FxvB^~-r{j0_q%{iyFYZ5~cl*n_>r44$r!8kxofQ1uZNXxn-FIAlRHCk( zYyJD@L1OI87=<T3>{Sms_T9FBsPKo|E_9oY=K+T^sU2(f9X!CS?6N%ZuUf0YJICWq z={XAwG+s}LeRew5M>^!IQd!bn+t@vl3%*S`muPeK(UJqAKRF)uwtjf?;~aBf_o;|` z9rBt%QPX$7*t9sJ!p&MCHo&)BWYOp92=C$uzQZkN#5=`gLr!wE9^YWUa^u=9uA25d zbzjooUYx7(_}cTEcQSV#=e?KG>DA56eYsXHmmz!C<LyFwuccd-?4QW)tNT`B`IA$Z z?G2)3nyN2OJs~x3N4ar*M!LYB;P_4XKi>5&zq>Qz-1A4<H>~-)Z)aC-#;n+m`>)-1 zCh%AnYpp-c&|dW5-o5Afw=%DonJix|rNzj#eCAujS3&!aEj60Iw)oioU(9KHo7U@| z(M)-sJijg?d9hLkmo`s{Xo~fmi;G{ZDn2I^<|}-C()u2SVuq=nQypFw?r-u{Qu?`& z#mDyGp5KKPor~n0L+$%}rx!led@d*5S7m<x?NhDik8Pq2mX(PA5i{HD`Mt~c()p>} zud`k9&nlgI_h{~(>#iBzU*Daw<B6D^_k-mPo3+RE&2OLG^Y_2BQt8We;fa-khodK& zPtNGs`9zR6QF33js@assPKq^mG(N_>_O-64Y}4k8Ve{13@~m~w+czqOW@R@vtCuM3 zJoMfs`a#mX)h`vE2{em!355J!-96=EaLdg5!5sSkCmbyK=I8s-?4+&p=}AS_XZP<& z&H5W^v**9;29HafHZk8Hd{#}rUZ-pQ>(jiib-(8c{x81rq3mJjpAQ26HvC&)zIzM* zt|L3V+p3nnpHuu;iqkvb!s`r)>+jy3u&F<g!TD+9#`&ddx9mFH_2%{K%t>Ao+FmHE zs=ns@=BVIz8>`cMgCljM{?|@>y5h^CMKd3!7rnf?#ykG~lg?%D->U83Vty>+!3_<C z@Jc;zl{Ha~r6PRW-?aXDdEotv`YYk3ti}gs-2SO%n6-1Ui>zH+-TNk1)k_O_BZ8*e zFIBf#Hp_|k{I|UZzIWY!zYTNtdQrQ5|1@QNrSPqd8S;+BhvGAoZgozx3*8ZBwmo1M z+w_xhMmssTEt~t~T;)miDJ~Y?K@;x<C^szsoE>v5a8+u)g~FZ2T~Epv*;xFwyY6|+ z_k;F}+=OZQ?B82N^Sl<cUh?vl56IT|nV-&VVZZtD<+iUEyu3;(#0r)>{>_+k|M=Pk za<L-SUQai&u97dGxk~Tmq#rA%Jz&1_B*kg>uQwM~IqeU0XKKFRR6l)pb(6>9K5eO$ z_P>}@3e9Dv>V96e!X@T}m%pvz_3zg%$#q=Nn5HM3eOu09vJy{ROR3VUN%Q`2{BU{1 zE%IZ<nLtBv?G?VOPg@-@<-TUgHHlqi#$w&YeTNS8ZIvxG{k8N*!itIBQ9M$rcGc07 z7}!`QDla_pqUd+{nG@SHG>o}cS$$Wzy5_USK_`X4zcY0fe@Nf;Z_A$?P3bp92U()z z*~+z^yk;|!>NaUG^r<;>-Cu6@*U5{tckM50Hnd{7<J~3szq`M@@7$7?_uSrF2;T;s zNRXSIWIgl7tQ*IUlo@R-JU-*bH}mtK_uY@&|9(#X+|}P-UCj;;FTK9?b^p87S>g%C zg~lIJbs6Wn$i9`nERdeIN9^liQCrUsKVrqizrT^uX=G%VtXWkR!D*Aq$Ri}q<Kf+& zcCYHD&U`Dw^jixzzc?s$-Z$NR?S$o-jP+XXn^r9p^bMHA?Z#gl+gu*G_R}~0#U9IS zYoi<rS?<OK{Jp@e(b^$4mo<38lgY<fr2RB29>2=mBXLw`>y3A-yWeix_Il!#N7vgH z#5Tk^9IqB+X5I6BqoRb|4+%-NJB4Y74rd>zKG1w#a;~BA4O^-1{6@>^54m+_iI^!r z?_I+y8_L%C(fIxKI-X;DTQVno_I=%+<1XvjJBig(^}3?FoA%9bGHkVy6{2f18UH+= zt5;Ys^=w#khn$)6%Way<6Pwgmgk~=HIrLHUbX&zwuZbHNO7u=yHH&gcDfm7qJ8jFe zseaM3j#qP5*i;6siSVxsb@?jy_}Y%8dyWMBS-$lOhvqclvww~l=r#YEG&4!tU2~;Q zn%DI?EwKeB*mv|TescBpgN9oD-ODT&%(2ZBWxDS1`*e=|BI^aQ8!x#8&eK^czUX-3 zG1vCv6+7>W=x8;)@2{L9c`)zaOC6!(H>P<pikL6`6S157&q)L8l$%SYpI9q!$iE=t z_5HW8Z<)^B)hXAw<~N)D99ysLkFcHk^W;k|SkFFqY}1A#&QB%SRe5jyeph4I)^C4l zo$^Ax)!GraZK5|m6eyh*f0ye-z-@gw(+>t=HTNw33R{IenAMs3(Lal~ZFQ(cWb`Dl z7LDMOOX5HK1YXS*xi0qN=dJDcY7<2TvN!KsGsEb~vZU_?#=UI%7bAZ;ByFp!PTRZ2 z_4C2HGM~RIo@yOcD3e<d`}*F~1(#KLS>2D;8{~T5?4H1&+o6<D-rbuex|N|l_uJ-f zjkCL(I%e?;zJ7JZbFI^Dud_!5q|=vkaxK(dUz{J*v1sYX>E_|#@9zg~H#FaVS+!4m zZe`21{^!nzB}>oUYkad@|B7gKZ%Idq!##zU1#H{1CaPpyj*6H!pKrfy%ipud&0gut z+@C7`n%%>@zIM`$_9PdH9mie@>o`ugTxHE8aL)hJ0?&z}?x*GYkEfm6zu8t|>yj=V zg&p%^igfmLv9kwE*FPd{7^LiaR&l~M{ris-AEiDGGtS9-QRip<!cH<NTv%$xwCFz@ zJ~Miy2zyp(E(~x>u$VY=d4QYhBX1Mw@HTzV)>Q6wNmff+pC0auVH2vFn|XA4Mn>1$ zMP9vUIa$}GN#8ZH))rT}|MEfd$`7U~Q-dd5YEjvEv1+}s&twlBrItO1d_0rZemf;_ z<B0pwV-gLUzI-_)G_!SP!J53r_o5s94pjbFBb2H3HvA{w#w9%q9&B>=QF-#bXx+pY z8G(vZrM5-g+x?_<UPI>IgRC20F(>fsmu~oPSEE*w{%D4W`5(oslKiIh>C+lp4_Z8Y zyW*?MOxHD;huwGf#iy>xlvkXh%%Ug7`E1d~?ggH@rJcflYn`+>^d_!d;5boqig@+v zWhU!>X3Pzpcqg4Jky-5JMq$2hOQbgKl$fD%xHvbaN&ZH)^h52hub3~GYT4XB*URQq z@A65Bmu-jJflD)@1>d<<{&)KIS$Waa<(pYJ*j}~WwNEXyi}*h~?~Gni8mIK0JzXKk zyX()cR&BXrF-<4bwvFkqvOCwS8?kCFAAal9@jHLowPW3bETO7nu5Ev`Of6Zz)+zpI z6Vbh&_tM-i@=R!^+S<i#JN|xfU@~~XC^j=;vf8<WHXASgN<YxLVsqVuC+lX+-g@h7 z(#=<styBLe?4E!3e{L(^=2lDXAL0*8JUBZ;JgtuEZc$u({^)&=D>FH+N4(NWDw7qd z&FNmM;;Pag>0j&lMf3yD0hWW_Jcc*VUVpUckVD6{fd3o}YMm?puK5+S^VH^yEwx#G z$$OGLzU<tQv$_8E1sV7C{(&pkypfC$j-M{DbWxJd#Z@LRN@EsY4|#PtsQyRR%^bzc zVvi=z+qXf>>sCA;8v{dQ)aw_Q);Vn1?{Lw>LtxWm-%G`xCGtyjb`>xz+J3~vO|INw zVnWQR9pd*pCaX>=J+JvTC(oGW#J2Q0`;-G~c9lpPMHZVE&gGYNpV(M=@v*N>Ua`#- zp~qWG^erlUR+Mud3sjh~pk0lTkzZEh+mu&_%_be1^s{7&Z`7@9%cZMd70y_(_r1@{ z4Qr#X?B^-UE|>KBE9{YSX6dtwe5~zXc%x3sorvLMJC`D-p{k-{rok2V^uFi1*AMJ( z9P<hP#89$fX~xktg#khF+kT~*^(k$d=cYFIOMmO6)~<uRX)8jU_AgoA_RlIq@=y@- ztG`bdT-|py&WiKLaaKves8v^$w%ZA?a5+th+FNeSDHp~oCnopqMO3U%Et~mU?Mh=$ zo!JprON-X+^Y4gV6u;z9%&wy)hgz?kX4cqhQGV6yo`NX*mP5{N?rwcoUw)|l#aCkg z#4{`UdC^WIAGyo3H$--S&bf8rB-5_r*Vi36sZ!eO$5>iou)^cPkqd5D?Pct%Wai71 z$X<(y3%FJMF?xB6bkx<oj#&-5-wucw{fyaltiH;q?;(TC&(|BSn_kH(3p~3|;<t3$ ziWH+vi-N=@`^}Do2>G$da;{Zdy!M}B#(gh+-{j@qzTV#LfuWMR7MHc#F8%Jm%y^=9 z%Hv=DR{!e#xMsJXiFtVCexbMc*KBcf_Sr7FjLn*|!f!2)8O?4ty1_biuBEUL!z6_O zl^God9vqWScJ6=NU?<EY8Rl|a^_9!D?A@%lVt!ATmlIjx@mYSYQNjWXjrPE#2WnlN z!G>vbc+7Ssv2d8(%lPxJxPFm<h)yL#=PGS3u0v*GCv_+LOw9JXSYmH39&hnkVe*X? z8z)}kh~sk-%j)l#y+Sf3g{OCgM8Teif8Ot&^-<<&!h&e!R_Wy4gq5cPR<EwNWO|!A zxxi7F-G!?@TgP(VWSOfSt?q}8)a|Ri$$awo+rw|?+ekHJ<}ALvIq>!N{$H+qn?8%3 z4PNrLcjMXbzkXSGN{f|k`8L<sc<YgkTDPrcCrciS*s*GVv-&hG&HIg8i$6<Ntu^}k zbpC(6wOOkDEvto-wZ8}(a~GI?-gtG}=4%I6dR6sX3G7id-m|pe;e~VSrj@FfCf+}w zm#DW;f8weqh3}@m+cLRV+BwfxXSr$j*33iU;p;C&t}+Q+Z~50M`Ax0m?_b=<_dDMG zr+#hr=g8Ax35BjtzkmEE)^NZ<$w5$zf%i;nZqmGNt6(uf-VeNdLNc=4a>8t4@^Xx9 zTuf3@QoLe(Txv!Bp4|LuPFwctKc08ASa3~1J%8*C>qH%vU1n^O-?YxS1p8=O1)ZNI z);m3NO4N}z6YLXBxqb(^J($p(zT4{X8->?;O4T#>*fFh*-LZ7Sj09!PO^MTF%5<mB zINbE^?uuz1ABzgMO<Mo%<?Z0G=);`Bm3n8acV{fWwIEVoSbUa&<)!XzF>I3-m9p(V z$)dR>?CsGgo!L)4@2Z{K^X}voca@(T7ndy(ne)47S=#Qup=y#w|0FK0KQq_7)9CWY zrlMm`4osJHKH2hY+s>z?_Ue0gZJm_W{eo@Dp>L0rTd&+Gb#Lu=PRs0P--LcAc%81? z{_!2xW7VCLbCw@jnpL#7^oqXwzL4xKDL<;;J}*s;cw@pPkhQiZy~asYZO_^hg%y1w z!Ee@PYDCNs;LNq=yCpKmaP2Ll_K7AQl{3#&|2nkF<#*cGKxL&w2HvW)rL0D|{HBZV z_rBGaK9=4oym;pHQkN?$YOPg%?Pfh1?7h6{mh5{U*Oy|xsW${JNaRfoES|5*aqRX! z4U6J=kA0b+xGHhhe!hS5v>VqGo6HUGHp^a`zUn=Puf^2XprTXKJ(s>KS9qIUyCohK zf1T^`G0}Xk7WY4k=QRq;DOaWj?QlBjH|>@2TOaGCGi3B`Xx!Mh#ADrpHjf|sKN+c{ zo{%WqB7Lno#WTpk_tmVkCr*BSIN2kvBJJYDh54FC#3mWXOqMdK4ZFhDc<$)EUi*Lp zsfTjCo-{@nmK(6S{a7Kd^={ehOO|HK+)vNp-+u9u!=EsfT}FS7__QVVe%+ydGT^g) z;gR6ylfFq`|CN14C@3;xWl)HFz@=aJ6*o-WdLa6!t@fEBnVB}>yDq8yo^mUMC3nsK zwa@%Jje~Bua(61}ZaN`e$lS~Ak!WK3yXe3^L&;x>W>=kWY}o%Rb?;Lp<HCmgub+ND z*FGY=tKTStJNo>`?@qdT7RpOpu80NSp0j2@`{z~@`A8qlnKGI$=j7OkzU^HR*!Sdw zx4N3Z!EE`t#d{yFj%}2xDy=`hl~t%L-_1|t?GLFlF+XFYRsSkll*{;r-`@Me*{I}A z+vPM-<;S0k%_dB<aCJPpEOTLp&Hi}d(?ZRsPRuv=b`JZ^P|hWAP%4Y>{Eod%%g=G} zC<S>w6+K_R=Ep*gN1L^(n9p={)T%sv!MkajRDtu|^P1Zd3@TIETc)0>td(3`y)q;` zcaA>yo(h-W8~1k4y>zTTbnAooC8sVw`?|1u)!yezR#n^%Sybt;&fT49v2dBmq>ANs z*AoQ#O`U2pGQX96eQ$oNa`r31IY-ndTPKTDt2{a?apzJ^@*Ho2;3bcz=813Wkg-|0 z)u8xUwP)?T9h0io1u%v0IwpMWNy3K<jP7w6lT3asSo^T(t@eemOVX7mE<|g3cuaiY z5%qk+!&dRFd4l)zZcFd<7M^K-*l(WT^%E-gOExL*D|{*XLut#yiM#f>#D4qmE^d|3 zVvdD7dzbo2Tz0&vnO)oRV#coIx9pdW`__nwtXOXRx_y?sz~W7nArmbQ@v<%O?5^2= zPi1MU@zskiQybrvzvZ!us$R0gFO56w(?)KC)89*<Nx4n0`FN_tbB4>~&&J7H0w&&x z?2$QnVYl36Z}s96?=wDgP34=u!9sPj`$y%6(_=UfWm>V_l$iXHq3_w5j1WiWiT6D? zE^6%36?wg5;=Y!rqKoCt54y=MZn)I8bb)3<m+(A>o}$3Y&2KJV$hzvMy8Y;#xATwf znrv5d;(LMkJl5-6x0v%<6qP-0Mw=FWTz_TJMP+9j=j4!mGw<JU(r0OMPh04JwlHON zvl@doSIZ<Nt*}ok@4ZgGt)LsG>6aSeRGpQjfBq<M%|G8)N10b#eIi}b>m@X&g8j7x z<5LME@wa<R&doI3wb*&e``#HVRPTp;i*3%VS6!}kG5PEKl>u{>&;0P7D{Axg;KVx` zvSJeqU-pUox)U6DPN_-l%fybiDZE*w%RjF&+q!1qLqV;h52o2l#3`$Vz1rZ+kt3nG z#pur5?G6%FTPK;%OK2-^*&3Pivh2YOO(u>LTOa&g@Ah!X%WHT3@vLFU?iGsO9K7?| z`mX(L-Fu%e^pv~P(b9hRvdSv23(Et<WPWVFn`3(0ym|kupC9g=k*kbnj&9o4DnH@D zQvuC5+kWQaKQmo6IVK%Ylm2-5$*LC5{F*l>E}s@!_Vd<-@b;|!3Cmco%w4x-iK*yG zGkb6U`4>W&Lmv1^E^3>1Ypzp;@Yj_Kj^*2j@>wPBy%F>$D|D-t^OH5nl`mu)d+gS+ zYXoQAa@KSD?D)lHQI_4_(DU-IoagWPm9Q>>bwZlH?9sJzZY_N0rPcW-W06;r4#)95 zNm1%IpBkOsyhEq(_U1ErOHUWyuq{kJm0_2ZVZCT~QhAc?N4NWuUVEZX6~1b_tae&V zW(Q}FN#h^ZwMQz-)7{$6|4#dDdEV>Ndf|+aY#+r>HGF!XzOxo^W~Frmp6PDeeX8x` z{2WaczWT1+p;_lLr%%fJFnd1J`Ga+bLR;@V-260ULNq_?3ilujh1?*k0H=Eg|MyKv z?Ct&iG0-Av-F?|-zH55#Ti;w$K7Hk{$%mL8amua~yOJXCQf%hkiDFkb$$yygb?KDI ztN%aB{#A_p{N%L3<O^N%wy;$D&5-C=e=PcBnzFiLU{$~K`uRC!ALgv<kQ8g1(>%H7 z=KbGHrMc#Xcm7>;T=aC?#ZTTL{V!*pH0(Iz+i$V)n%T~&57y)cii(}L(bGQncHNVb zCpqd;SDvqEKh<+o();PZE5CD7<5-*Dc-IysKCU{nbLm&Dy4BlEZ)}{t{_;DSACt^; ziWKBD<G<w;oxYUadxkImjKc)2rTw?wKQU-di~SyTe|7!l=*az*lFEx7Y}#?7A^+fP zF71rY=bYE;T6V^-7yFjm>!dc_<4UY_@7^7Y{D1CYYWozMA`~L(;Tj^uBjYQ{vRt9$ zfX%F32fKt;Pb{0Md*GPYWn0cAeg;cggD+R!`R(S|T=})_X<lo`>^16*hWX(YUQ1^O z?L7Ha<V{Vym2>ZrRFOl4Wd|AGKdS4Fs#bZDwRnSCyY8xw28TkOV-Coks)^-Ex-WXA z;7^Pg^Mo78mD_8rE~WbKQ)m7&Gr#MP))kXk>D)1b6_z(Iop+pIF~M<0*KJQv6;rpp z&R!d;>bA||-jX2d=;-QtQ+|%WS)#99&5;LF;&jc}V%G03+FE|*+<(3o4G+BkOyzQ0 zdaBX0k+q$B*X^di%cqGq{(W=za7IPfZ{v?{Czeh=zphjJURwRaj_1#OB=22!@BGxA zkeL$l>G!=r!E>Lp<#~M6*p-?0uA4lk+@SWW26M@d*_^6u%a{IVw6n<8HMF$S+M8Ma zW|BOsnWFrQb&k_G4)5SIITjn@v;WQ2w+?cb8-pqy{wbBT`BvblaNgR{X~F^Cl{&t* zmP@pkhAaMJm)iQjL7~?2#4!WS&3Bh_G8{T{IP%lKfcgF`v4`0mW$I=KB^!U;D)p>* z!5g<1);?ce-_w6(Ea???x=l&W`mDqD=<CORPTOdDQAyA$so-&#?KRceD?VCA{CwX& zHLTh5)HBDeG0azbX3TzJk?6i`J9}_wtK<EN8>h~QZPMwRU=pyg@YVC*WpPt}?VQ{d z;}J3Gz#;Be)9Xv$wYIBhhiIEzRg*lt<A|k+R7yg9V~_Wxi`jbKC1%&(TI{t=o|JE~ zU|+geh3K_Q+J(m+cb!@vCFscQy7*<ih2F)ssc)Vf<!>;R-}S5doM?S_qO5)rqZ+?+ z%=I-F4>bGu{rWI}`k`Ny^KwLgYM0DgA@S6#H(^CdS*ldXnuGIvw_2W(k$SV8XYV5m zAG`9v8yjClzI4p|H|==etH@7_f0>y*e_fVql-Y14QSpjT-4Qld(Z!SBRBtq%XIs$r zx9`-4jT%>H+`4<XF1>h>SfrgHliAt1ZZFGk_q3K5afruzcfFp_A)u$_v99NH&@Fe* zC$qoIwo<)nr5fJE_`$T%_u;p@%O1`P3l&ML7MT2yCz*A_gB-b~-)EeD{KD4wA@gDW zKO2|s&U+bPS@<X}VAk834MBWKRfq58ep^-Jw~2k`Q32NwgHWS`yjdxqCMPm^E_GfX zw}Z*-kmy?7HuV)D(_BuS`g%lF&-lBg;?lG0QZkLAif=UKJ(XC+_?5@*(Z1EcmOtZv z)sp?=CL7zX&$f+=`MZlB-`OKE%~z#WnPsuv*&@fS!Ixb{5856+!0*v)(Nof8a6DdF zJ9<`xWIN9#8{5vvUr8^1zSvyy#y;fRKD&^PU$Ynk7H~E{jMT4|C=>X2Vzbm{cFE=) zW`@P@L%to4DX_2g{QdH{0Efrt^PeYAJjD@WrY2gsNwjXO7XK?nuSsE<ot5IpTV<9{ zd+2q_Cf}wuGHT!X&*z{0TG$bt`gY5_<F$cH{!CeG5F$IN^y0@=OO?&OFYcZE;>qe- zm)W{)6YsCM`Zb8J!e8Ke<aAF#sXH5L3T{m9So>Nx-)hqzA@4cbJGf+A)@i2S6>@y} z;?;E9DSOnve^{u{5u$tMNR&e^?>*-i2c~vto6gx1G3&*_KdJ2oGyA4);9aKr?e@|I zs<EbXZiGFZ#o%?rJMhF2mAUS(%=fsxzI37b^|b70x#R~EA8W@6)=$~$v)0&kM(7No zUtZj7y6dvut=eTP<9{Y`#tF&b%WE4|E=^eRN9|AfJX^kV4%3>yv+K^0a19F%3}=7G z`AmnGJ^aSYn;BF6kIde%{>yc%M_Qk>Bh(U36hxIBExUiKp<QSHK0nr5)rr%}yJjUW zE#LQb>Ftu*rs8&kZ5^gUvkzzZ|J=2})AZWh<~0FpnM2nFKG>wFH}CMWm+7v@Q!cS) zCP+Q{Vm;^A^K&Vg+jmTMO;OP?x$=yoXv5@*myas42*qVh)cRrb<#hI2p-D}~d_14t zJ=syNRP^$z-*Wf+6Yi{^)oytG09(zOOA;;C!7i64omMMQ>eNdKJUV~EHKT$$k%w%b zT;E(#zWd^L-YWY!pO5rU|1l-Nw_e@2n_DmSPL%lX`Rh*9-#P!BwPercoLyS`VncI& zru=N&HoJ0qNXU%ZVsFvwU$qWJpRm06BOtGt|I?fa6>CDYwz_qz?zQ>3Wo^wV+q-(T zyKR#DWY-%V=l%A+c%t#%r=6yWRUT;-b7t@D?=JflIP;O@lRmRVk)744yY%Z*lsrGA zcisJ5x?WM~$nq`iTYd+XpG;n{X>!>7`*V}eG^M&)#vbT&JJIi!)RNTqOH<u)@0avR zU+0U*-JQAjj8~71>e1!Pw)2*T9%VdrT|9sKDf83ex&E97eZRh3)^O>LqWAXpZqxOr zZ4@1!{@HuVgtd0n?$6U5E(_;Rzw>q3F@`C|k1q;*I#6<Jvr_!?)Z*%jAFTg{n`cB; zw#R&&{nl07cxueb=$(buzdn@z>b>5#Mugp}uSV>^K{1;f?7M?_?_8QLB=TlMi*ky2 zr>cY5{^Gu4%NCv9{xmwmCPQ$qX>ZW|#S#a_w(iZn_d@uFLCCD`{DcqRjQ!6(`zv|Z zy58IEYR)U}^o)O#b60QW-F9ZzidsX?eUAc?t}LCkl8tfdg&MCd3!{(vPmTE@?rrt- zm&A|YIUg^*cKyD`{XuZ*u{Dph8&=n;ZtIY}SE7Aw(u_TO%BrP{eNG<ppPj$?i)HL| z-aGu)SU<U~YPX4IZBsDLT@|o<u>#X>Cl}^G39Gv~5BcA&J+;n(^Uk)fM#lWp_Nd7; ze_Q1D<@<$}rQf)7ayynOuF$${a^=2-QLK!vi-DX{@DIh4GriuPJ+5+3x}tXFO0P%X z+p3w2Lb&emi=JI5_-JLKPC#20|Lj#g*@1ywJ^O$C`=F+H!Tzzf*0e9rb3z-ZhJLx@ zv(e_`T=BT8>t|H8Khq8S^>2x;M&MNGwCPQvj`OD^EZKJX_}cT4R}U(SEHqX7p7*6K zfT8N=>}6@(!RkUny^ih|5B|?lTXHQUV*Um;>FhN@A6Bj8{cmcs@?2oJ)AK1F4F)QL zwFmEJ8z29Esp6GUvk9Zn4W`+Fo240Y#h%qN|GQ_{d|AS2lcs;|+RZ}20t<RCCg0r7 zY`O9J?I*d5E(u8`t}9$xT{n%P*nN@TbjIVm1FR-}4s_Odvd~k$FK$KjlR4Ah#%PH- zEAT!z|LV-MPQEij*S4*Qx+JS@<F@t6QJv?L-y7<2n#}#&<kRU8e^;DyM)-lm#j79n zE$cb*ZMMk9KZbV-SMt?9J9t!8Xl|YE&F_thmnWNr{Jpq`>vb5n+OH!@ksZ;`PDJfo z?=Bbne#*|Tuer@QTUgQ`O)V=}{l~pzLQSQ<r;M_rmfErHR))ErJrCxu%!|16FhKCd zpEGQ;c``39Oy1LFEgfY3&f$Xo$?58QvxHVW@>*S6#3*muA!1!s6s}>@`Zx6H=cE3; z?z@89+*!6xb6>|K5N05-QAOh56OL!6vTpHnRaeIt*3DFz@myIlc2$bb#f*#pCLC5? zUG-RFgXFwpkL>UCB|Am3D_;!l4%v3ZKK7oZNj{5Ej`>dYS+<IHneXQJ@3vd_G2yv< z(l6zE%>62JI_iHYtqO8KzEQ*EK<H1Ni0=1(lR~fjn)+!$L8<e?N4cVpxtt?^I%v&( zad>-QJ$Gba@s-DyKc~w$_euMUibTE)QaXD5`L`!rrR|zou?~(Z@h|lRLRN9hyG?)i zNI0v<vHR^2j-m@u%^Mb)T-tVv>HKyNC5Ba9_Y<b)rLjf7S$Q%*we5VV@w6Rl^wZwR zF7LQ``d;F{4Eaw?m)Za3adFf>e!}$N#)^mBM{->E>3>kknH}O$mUp9Xc|g%z1>J|5 z*&2V1j1TLWGWD{hK66?(XQyQ8u69X{+dg&D*H*2J%v^k@c}YRMYuH^jTd$P#!UC4G zR}!Ko&rcrl68Kvaw9<D^oW{x(b~~Ra*Yn@LCb;Xz;Y;n-r`KNkVtV?NR_<Dhqrpu7 z8S19Fhsf+aGvR->r~Zq;g@?PIE3vP6KmGED*g!?ySBJj*IPj@IV!?)MmPHx2n3q_+ zDxY}RaJJnUw%2X0?q3yE&$w+oEWhdOt=+%trZxN!d)L4_^@U4YqQ{gQb7#+04`Iwd z5}_YCC1L-~hkpZd*6Hfx>oi;n(miOZQqy&}E5KCc#(9C5Ct(6#-YI)1Cx3Lvy<>N> zh&4U;IOFM>IrckSoj=%J&q!Lf;Am3)nTc;oqm%XDtt;7f;!EeZ^QR?toU7IRVHBR5 z^1y?$DQks>^ee~7+J`ujwuLvXxcW3KL&V&9ZI^q&zT@vLcW5{9B*gQlDur*I9`r9~ z`|Y%t-#Tf{U#u<Wz0uRFKehFV^HTYej>96Mg$*gKmJu<ht{Smk?b-cw4b$zvDQ<tB z8olr2SSr|4wDf6K$Ul>xYi_;?5DEXtHr1f_neF<5cLm*^Geup#2KTl#PPZ|vIOMR4 zh4n`0(enx6Gm=a@7qYo57WQ1Sq||3iWxw^*8<kHN`#BjsE<Iu#{NGP;TgJYe^x3Mu z>VbdX+;aC+l1Tk|&C~v0!rue-t4<4hw0&n!>}=Up>BIjne}+%@Cee%LR${{4W%g&L z=`T8vcd<Y^NOMoM_l{HBymZsfm6v^+{D{3tNz1A7<yud9jt|~v<UR`gJS}+lL)%gH znzYS+AyPqRX1rk!S8QA>K7EGS*Za#?`<ZPj5Qq|Dk-AZ(!r8K~^3`oqAJJ{ab^PW& z^)bu$&94dDS-~=0<UyLy*K&pLs~q1<`(zl_p!i<WOrGW4;xC*F+WITj|L0kh)_IOu zyKdR?zqQLl?{xb;J?ODjSX}4iADv$}1*8^SDhhVH{@HrTK`Dcp@EeoAeR90B<iknL zJ-4s!{v)h!>tyqzw)U;t)-PQvxs1w~u1~+l>td;@cjosT)9lWwAEy^27P>wE>JiBQ z^p0^yapgR}1J5rjE%!ejw=Tc?N_XQq#wQl1Owu2$ZvJ<r;B@G>@O2xccfH<pe`B2j z`}%C(h|?dJonddkr}(*~t>X5NYiVC18zUk_LfWc3HM-83_H1U5wLZ%G(x!Iqk{#B| z)Y2DBmbF^4BK+SPtL-*ZjE+t;d2Dg2ynCj;|NK>p*Hrhf(W!mclO6T)L&dYH7qpr7 zG)XPFqi;OjX3xszof{WvKA+R^MS1E~e~}w++{BMfuk+IpuN6M|JJMor_sco_zIVJ? zKXg5v=C$U6?Z>;#T5~I7YXWvWeZV?f{^s;)`yPvNEEf!$SZRCEVUusD=DKxE>1$Sc zC@k;dXcVlU<lBFHhHocJ`<(lKjTWug6tL^n?Azi8xBuE0G*j^AReAg6w&5$E**$Vh z-o>uz`*r1$wNb{;H*`ErsaS4zVa10?W*P40vu?g(MNRises<Ei-DmzO??KfBbAj}~ zzV+qGg>%ifhh*DaR`<S?FXeD?wq1h$?fqQAKUH+MN>w~Lu5j&N+N#ZK-hEG6;d+?w zuglui+l9kQ<l-G~B^o!ss;u0Q!Ejo8`=2^K-NQkfuNuwiyz%+;?PUk@EFRw$E(nTX zFge-h_IZtYUAbcSDMyWbuj^}U{$5}7z{R#G@#&GDkKN}Om+NFz@-11FTXy~NLSK)g z)sc&C?a{x_X;@i%TbyN~-_NP+&yCeL^(r%m7A$<Kdp+jGj-cad>H9?_|96VcZE-vH z>rCLn&p(8`nXjvuusu2@cuQa4;z=PM?={NLD;U2%Jvcw&>9VJRLd9!WZVh_C=HF&> z{#;Y&{iYA)&vsaSzIP&|uZ@lSw^rljiH^}mulDIKEojQ};J;fr<=de!hYN?MzT-Q} z(Q(@5*Y_DK*5-u^lvxCCZ&^RJbHcX|Z_LFGK7VcBT)3pzJn_yK=lF%oj^t=e`n0)? z{lm0>0b5UA`WU}o(7tBFrQ)5*;t3ultmUcC9!pM1E^M)O6EdH}+548ybNQ`0*H!7x z4iT{$LKQb>d27FN<99t>RQXWj>0BAhsrT=lN!r%-*-hw=S5<V1*WA5_0u+DQNzS$i zT_AS%?D9)fiw~w<@Z9<P#fH7><(fFyvvhL*?0QilE_S-NZ?3^r)tyT2wGtt2@4l$A z-<1hpGsoQiP)XOUeYUylDpqeyQjXPKXgb?5-ebx^Y4rrpAO@jqg=ggr87YT!XFA;5 z8^dyQ*+TBkeS*PF@`C68E`6%;Z;@ENsM22N$R$~OB8$xLl*t#nl*~R<IK}BoF5haY zJoA8kA$ufr?oa2vmKM1EvGc1#iri~2%E*g#{z=jD?u^o5*suG<cz5)NkCktxIBHop z&vIF>KK-kS-3@+=v<UwXI%_xUYwdX5GR-Rf#Lbz^wdXI3x|{Eq_h5p*R7y_&++(j+ z%)h<u(Za%}s2N#@UB7mG;JW7erT3WmtxLNOd{uOby?4q&j$iBcytSe?5|zupOWQQQ z&@J`)y7C=+#kXR4^DcvjVUO%(`RC>+obo;>D%`bMJ-_ss;;|oWpJeYUuH*1H_gkEQ z=F}$s#;SAwS6u(oJXN+UUQzgHnWDp??&ooOM(3i{0{yyKjf1;*-j`=<vTG;pH%R%P zEII9>b-vxMopPQ+n~H6ou<NgH)m++lowr9>?{9aftLBc`&p(^#Z@H4TQEEo@bzh$O zH6|V68lk#owWgi@U2(#Dw{yHt4Vtz1Wa(<fWNz(aEK))F?VqOo&pZ0?t3>1agv?H# zD_2w>o#0of{a@JllRLX`E8AJGg+WJVgw=_?_+R>J{@mH7TciS3nsWN{c051CKljJP zw?Av-AG!X@RN)A_<lZTvsTSn1X_?Yw%kvY1?kfJi%6R%Z?+(^Ej2i^K7vJoedgP48 z3XMg4bBgNzbTl^HF;6=1FVJz(`6DT7{<^m;$vB@__E#l)R&VZ$x0lW>y1IVzA^sc5 zOU%zF>D#4zD6+Ji`874-`i(Ek7vJ{i&txfMNs3R~vhs49dU<gDF5zW)yMBsx_D25L z@;&$HqO1E>KFwX7x_Qzzm)w;Sp6idwzRIZG>iW3w_n(iiy+0lkeDNXlTUFfbcQ5Dh zMG3sle3^Lg=eLcq;<uM?J75;NW3$)=&NXsbuXyV7*K+=qEkE;jna$lL2RBy)`zb|U zXkzJS<GZ(q$Fu2DUN+0!D@o_2F6;~vwOW^Y%*9P@iledrH2EisN}_IOWOFZJwRaWb zp2AnssUi^0CjRfO!K<F|tuNg#{Cwug(0J`9+m3bb=hzx-tN4?3^9aYOEBiK_h+A#9 zf6wAaL7yK92ur_-*qq;*x#^p^$-ZLM{ZECwrt#R^+xx6p@qje@{?tj!9J>>WmmT~O zR&)Buo0-a;(>fL1TWr|4X8&B98ST7ra;BTUk{gdwPLhR5u@4`2E2Hc#8#XmIKDI4J z77<5OW_4DS_dn4qSYTkW!SH@?XJW_9CRS!<6=hrBi<3%^<$1OBUtbb1XKhveXZ;V^ z-;IpD|F!;Xinw(~Fzeps^^0x(A79?;uK(GU`%_Hyot<~<pEaHLD0nsf|EKR^k$vL( z*UOkoq#iX<2{e(^J-F;}n1X9d(z!!2C)Hi1bIemwT^w>ieTkRbJjY2d)Sfsk^P1)| zLG3KlbO#ns_G2vXIJ-mj+f`d#TUj<PkaA({)DY%c#k1Vi$ggX1+x!Og*bi$f&UN`q zGKJJd=>&JD<n;UN`D)E#^A!uJJ>#ttda2c6Qp$Xnt@k?;8$}l7R&PJQTWL?m^)Bz^ zL{s55Q!_@Md97dnGkp5b9sd93#{d5h+E;)3?_cxJnDbx!pUnpz*5CfW<Inn^5q3;( z8<*bNvcmlG^R*7qm+!sXa(4Q>t`i^H_xoL|-*fr7T<Fey(m9{F|4aM{+;?=p_1DYO z%{OdttJ795e&pT5#4v$@A=sxuKupT%%Lc=9j`3%#M4}WVY-E@?CB-C}*jR<cgk(&3 zc$lPkn3&qwgk@SP92W?PIX&6&Q&0H1_Khme3kz?*Jz`~h{q2&_>x(bH-I5YiR^z=> z`ttMNjJxM7b7xPTsoP!mX^!RbXLnumeBbW)J>^c<`sI%npL`hPw(C<^{`P-Y<8rnq z*&JMXeKL!^?@_@C-yiN!GGG5SWar7-39G$?UcWiIyt85VvlmI1t2f$i-~Kh{-O1m@ ztNnxu-aWPV_>f@q`PlCa*LR<H-qo-y`S)q&>HZ|s&qpg4u6Z?i_g$Ttg%6*ys%*%! zwfg*7@%BmG$9qEjKEAw}HQi_bmrqv80`F{gz4*qs|D^BpJx=q!8s2y{jc3oNPgTkc zFKw;<d|+gMvbG>j`LgZjuWd&rzqwa?;rFD2&ue4finZE*{_1knnpfWT#cwfVP8N%I z;&1JKmmNKxEPKb+i$g{AEJJ1dk`NXNS$@yn_+7g$JwEyGj%^gjf|Rp9CHp)qIW4T@ zCeHh#d!k$2df#szaSyFIJ3sI6U-IGhE6q-Q`<>P2MPCG*tA14Dv8JN-{mL%!XuDfm zzdK((?_FQz(D%W(z((KegYk>JF8}H;yJE#QFv_j{xhK-{xujK`*xBD-OUjQux2d$X z<C>5o@4IL3RQ6|6E#t%|?*IIi=a}&uS=$T0XD4#j#onEuw7=q~x^S1g+}_fC-WxgC za{f-Vsd@j&L%d~wwbhpYGPCZTtE&nW<ukZr6_)bn$BVcVk1H#xu5buM-kbOFr-V6Q zK}n6y>c2l;Fg@e6S^oR2^0WQ<dHWBv)!JFrt%<0WwXJ>iR&ZZByTZMr^*?L+#93EZ z?_2k!;)J16$<w&@`IF9H6q1}$%30lb$N9_h=fC}Ia_=38k~(CQ`quxihk4QzGlkuU zEtbSjE=}xtCHDDup~CG099btm<o&-VmD%v-X-(h0C(dE!NeQ>kmSyapc6W_sR>$kr z{}b*X<G3VTqkXQpbZ6+();}qqf9u>kJniB+h3lt(oj)%At}!u{;ZL8=?Qi?!zw@4M zTQec~p4h_WADB<+=w1`Ops-=~3(=D6$;rDvzW!phrlIhLcHO6!+CN(hB!0MlYO1K- z8T(1{+TTNxUsLyey%w#1<JK?FlA==UT#c`j-tN55{qJ7%*W+{d1ZnRH_^|k!&-aDt zUu2)o-7d1HTU^C<_V-{GY3+Zj`wq-b6}o;uw|#=$=Oa&VEj*~4wdM7`)mBLt%hGPN zulYSQJE&-fSK*!hm7mup=G?ygL8gvro1pQsXpLESIJ51u#qY1&anI=ux42M^U>5(^ zT?V^8O5WX~RiXVP@BiawajT=3&oXlTJ6hQyBL1`R(0xI}CvBEn9-Nu-Y2h8Av#vi5 zGjTnvK0AH;zU_yuUvUcFpK)%gxAmH&>6!n2TRoYyeRB7gk9nTCe?Qs<wjN!x@C)M^ zwmZMuV-k}0|0^$MVE9#SSyP>I+Q06ec<dJ0Phl?}PCIn=5Z5KgZ`|s=4STI+yj#85 zcW($g7IyB~Y;Nv5->U1vZ%RD+kzr$cd0v6Kjl~%z^{96Y>7fSwGn&3V+;rUj<ea|N z_0sPi$MP6%zi#H`5s_nVKb>b?z=o=Dxw%eR9RbzV+n45CxgGVn^*~`EZxuU_xBm47 z4*S+$F=O%jaPxM~o}Q%no^p33WcE*;&%S=)Hvj)j>mxrckAHb!!^EY3r|Rz$Tji0u zU*KKdV!zeF%Ig*<?0$Fb$JGzrE%!QdDy>pK&u{+4{&nW7Pt%sHKk<G4{KxP6C+*X{ zecDoGht;huuf5H_gz3vOpBLU1`*BO(+`Z<1-!2MJXun$!?eT|C;gE;ICyz!C=R*bT zPA9(0q!jshC|*5O)6}rc`bwz%dj3<J)?0sPXkCApech4#!qN!yQa0O=bEnNW2)9?J z&S+zkTEBhb_LEayF#a>_o#E*&vgsH1h5G@@s&Dr@B*qFInV4qM>e9z<`1E!POA%wj zC(rBbyCz?_YAtFpL#d2O>LgQ##!rF!YwncQhpNPirOo4H@vRoiJ?(t@cZbfFlH2R; zu37knhHsi%yrBHn_J~8TzS+cBywkfq(_g{pkLFyh@c+9uSBBJFzMHWy-)0S?UC{*X zlPgc`bKg;)^nGu`q!|&*9DZ!IY4Ck`BE<AmjBr!z#w-5mET1?}zf)O}x{2j`mf1a? z3sRCLlluI_e$4S%pPi#yveBc`=AnDzA0I_K-nPpp^gE*VE;^GM(&6#7O!q0T&ZbKX zzwBN3^1!|0>8m~ECO$lOgI8wiw1(I5$E{O8%zx~-<&cYlZ!zP-J2mEeTqAxxc`V=i zglp$whsc-(AsW8f4V71~&GKHOvt;+-A6#i44j)y%Q@4L<6wi&D<{BI=3KklCpW5Od zHcV;GuDtC#Rr39`^Y3?*T3oyI-8pjqn@zvgt(h$<cy>a^!UWrpv@Lo@;<NJlZ{?`Z z>h^cNV|jC)XR9!$-J-W)%Q)@U@jjiuO40AhP6n@C&hs`-QR|6Wx<>oMx~xO9y!B0k zSf}#MSNpzFS}5z>>W()TS`L*jefjU^Y)MYNsahpRHtIGTa?C3H{&8j4$FC&<{Ho{w znVssnwojaC#=6D)H@9<~J9yM&!M(tZp)%IZucv&H`I^N1{DxkO#(k&v(|wtqUt#jm zn|8i_;oZaAqwnfVH5JJm-!x}>meF44^Z!0}sRkJSKC)?o+}7Uf|9O7RON^W2CoOmX zaP*~K&R90T((a&ZE2o^>I#bhj`|*zBD{PJ&YuuR~Jk?f9tN-Lfd$CUCxaS)kO?MSM z419E5vdS~d>6Yo-yfuy26FL)XPwwq+T{q(bFO%3)-Rs+<KkZ)Q>GrEEct!R!CRy%< zmomDTDt|p*d?DvRx5BB}t((L?xGQ_<<i;wccim8Zu`XCLmTT?J>oasxkC^{rS3BQe zCGm8h@AX#oA6DC5ZmB(D`A({F^IGO3R@ELF6{>+>%#yA@<mFc2S^1*VM?$r7+svEa zj>V}o*Y-CwE9^SWKcDy6xg-1^7F^46F)^DkX=8<w1Y3l1Yihe(v$os9rX^=$Ta^>V z&o-%Z?2>uB`IoC}7hkQ2PgCa==^xGa>QZN1GD?4bEZVi8yRES-AdunD>BJ|;`m7%~ zo3C<ya8$nX>y<f&UoC8kRSKQBUwBid+k!8m>50=?7q83KzhvYxBQ)uD+=A`Fta|?b z|E%|Vzja@5cKbFdM$L1--*lC%Yd$dF$NtCpM<q8qTjd@$TOAGK`I!8o{CCYw4&LQH zho+{daU9ioCN+=8c*QB6AO*iJt?%wLi;XNNo29y(`PTj6=)Z<v{PD#iiuXLb9=S9h z$lF`^Ur@tW-so<d$j=wdrzbD`p=!Ikef7C_4Xn!M67AQ1W=6ZO>P|9TQrrJ4>`7c0 zN2_S=o1D(w&UY096yGlSuDkGv#*_o=co)CtmzJ~rEf}<)&ueYLq=_*Ll78HM&sFlj zySE{&?KRKe{0U4`6@ETQkY37UymFW6JR#>zCaX-27`PSR)>~Gqp!7I?uEjpT*7o~B zJI)_ktMEkN*tXKjSti^qxBlzwT5a)pYFvYNN!8`~Uuz%veVsXF;o{m|%a4eAt4|E| zOH{nQ;+yN)O1ZenejFc5)^<Gz;B2-^%=B4!pf)<z<45AGx!n``*4f^Saoukcwf(Pc z-h&x?vIU<$x0<`*hv3|T?b>Cr8|>Vk=P!sk9J-n5U)~#AF|S3=J73LP6<ifi{W*4p zG|OB|)AyAdTqXYg<(YNiKvUw;jj0X~c%(1B^IRMBwx^)l-}t=aq|cp`_HUkfYEq4Z z`x4_vuBNLloXbqywyv3NMeBj6PwUjDeeWzjpLFd0{n=}KkG4M(k`G=zCy@E^wcQhY zdh8bnN(e7_&&n+pp?llr%Pc+Sg4+36DW}upw_BC?2HpR)c<ryRDwEhh`hNN?tKGEl z#(eW$+n4r<6ZBf1JP(=PGx3r6k%q-=Z?vX!m$3RwdSJQFJaj`$?6S{N_I+*_bCN5W zHU!UKs`UMPt>&MMeKQxcK7TKCU?)S<C({WBZd?4?Sj!~7a>Mtzt}JdQijRJ9=&jfq zKO_BpLdwr?TGp1|ejKlJNwkRhp!3*O+O5<7Bv13ISpsv}jF<3vP1?M!^?c||?ONe1 zKh2w=g14q#Q8U=Ir&hCPQsp)4{|BSY=e*zFb@x8siHL-(Zp(|!(@r!`TNSWqVa4l5 zhZLkfukzlgVaU=M_-~m=O-97EH2(*0B9=#Pb<aLDciyeN`|fPH>g_2PaDn5X$hPFu zYo4b64^8EJ_N?A&w%!#p!{+R$16FylAH5?|H`~04n}0Oe|M$vu_m)MpZ~VQWT5rkf zt+&^FTR#8L&NuRo67%_AdWc5*)qX9#z2Ky#Lg58h=7-nCU;lfg%sOqE>@fv17VEID z?|H>%t#4du=+m~#V(*P}8!OK*OmSJ5D6;9MfBheE9`QWgMSGHdRwyezHhAkH!|_$S zXRgP!_Z7T}-|8&*qI|FR{krFxdcjUC!+GZY@>60fpV)jj?83SrD(<b;L&IMI`+m-k zd%WD{-ObMVj5_9S%YC>tPjUWy;2?VGcJF~I{-Uf8=0$QXhd=GjT_$_XocXQdffF~v zq{R&#eJt+YJm>b8_0`$gg>N=?hF2y$ex2mDFMWbclkbKr?ar;+oy8ArxRI+n*Q{mg z+l~89pO1{NymTS6`b>*@_V*bFh1Rd$yyL%9fY|YmE5&BMuQ1=_@GaW!`0N?)7P!~k zHo5j~%B}T|r#c$ic)g5nG%)>I(AD^2X>+7OplhSZtH-y?-@DvS<5d&ykKf{cXQT0t zjhDP9T4wm|*1mG_$rmN*R95kyLFYLPKCCl;Qe`utdMStJP9cUv8|U_Q7|1DF&wO#g zC(|J<I6-<(&pt=*7pL;s50@TJEZeq!Uu{00`hU(3SH$jcpT6?YYjdJXJ)^ePp3>TH z+rv|*f4<-3df|C!R8B(SoXb<U#V&LBQOgo~`(d<3wD{cwKDLcbbv&<baGjLtFIgjV z`SkruFJ2e#3|rh%vGMvRiL*ZogjpJQ?21qkjXw6q>p_v0$sc3m)Z2-!rd#wl_`mdX zF|84QsP{e1Y;#gbn4T8brKt1WOC04T{;!?zrRdkMbBCn9Dz`FCxn9V#`qPbVd-ru$ zs!kMrcD3x~7S_kbUpHQO`{V4sn~dV;(r2&X-W2wsUBT3*#>?yF<d(HIb+bH-o6b6w zExx&+_+ICirq|c14c0%C|7ax<`u)<1*D5P7cT8%I-YPVUefs(SJsC{iNlpxZ|FPt} zG0Kp*?w_{CV;#f)33}X93NPxfD-<y5+9I^Iy7i&X!I|gFr^oLo*->X>zRa;qr)0I- z_SkM~$2T{{FI--FWnqkT=3mCGzsf%)x!C(V%Rl@fHECk{#ftr&0-UB+2NuO!I?f5W zqAmSjsrFI(lMJm7nxTmi%jVwr@cW;%G;4;(N!B}C%>UL}zW#WbSw;BDzUYX_3tn&k zzg1;h6W<<ohLaglY?~R^tZ4OUYL#&knku3;CuRF=Ek2hiJoeTBzodK){R*==aph;} z?a9)NDdAV@LtiO(zFYA*vmtPXTD+^}O}=^7eJ=vm>XivT^Z#J3YskdM>G1UOvBfiX z@dnN6*|SIS<(`ja8vk}oQsq8u=WFHiTBPvNRFxC2{(Ut4;{3C6pZmqtg_B}qm3*Gg zVykhun;3o8Y@VB-d(N&Nj)RR6V!}56%^5lWaec_|j<KqUm><@4Q6ao)N@K_NJ*VzZ z^y2Pa>V3NQ#p4D0q$m4LSK1Ph5SsOD+RU%_l)|rE+tf8<wZp;0_o|^1F|XQ<?s4_n znC#IxBmeGz7Vm{%rAf^XXX<=lJ8|Jb0^giTRX<w-U!?xxe_gNm@8QgUIxA)^dv57| zpL>FA(fy^{GLIXppS!Tia`ohy_Dr6t&sCIWEp7ULx0rvzNh4W~V~vOJluO#rvuoDe zaiQn<rvHq>lcd)&1*sR8e3*B&bDkzE|FMu~julOx?JjxluJ5uC5H;QKm(`Eqv$c^8 z?;^o+_P0N(9$3Zgdfor)cJ9{G%fdGCusOUoR&ZbG^PJ&A)zMgKb*9ySjruM;?nt-! z_(z82Po$9ZyXpHhe|XO1PI5hd@Kr3|1AB(&Ih;CgS;g#xk2wphe74zVNA}k5?;lvo zaAliIRh24+&i0dUvkK{O;JY1U&+<O;XxEx03%(n_xFW*m@INbezxJ{VCi!Z|6MC%F zn9C!VZpuA>qEz<9btc8j5+0JPelPqlz2|bq`MFCbr|<veFOvQ!{n+*Ab~bZgWS-J@ zv_CTIovF+_oi{2$8hsv{ru<(WaysqIr#PM$8~Pd#8$}iWR=TqI?P}AzcO4D=gEW03 zr?Q+>7m8-u!d%BVOKAVy7p^;>%n0-R5$NRSneb+-_VLiQLVvoSzuvFl#G=UX^f&($ zvzyA{Z@ZWKzB_5NI_iV(N8gv8oGk7oUWp%*q$f;l6xx6Cm*W8ild=v&A7)3zgd<HC zdYcU9G_`G2yRaqc#F9w2l0(Zk=4^})|L@EH%YWA7Eyvm=v^#gNIlT7!L=Tof_E9t6 z)N@VZ)B4N3aiaA}OWwZMzpvihmDcO(tSBgP=alw;|2_ZsMf)yEbN-iqsy9)6oA3MO zEpalnyDNC4H$0vH+iw59b2q-l?0anCt<GqAYQkmD+Z!$CCcjXh)aB^fa$?Wx<<lk| zPn!BTUi89^0^^BkK`Y&M8qPYMD`xAH_V!FiaUuWhMeT;KRsL!uZc2Kp)SnXc?PEge zlpFq)GuK*3O-xIQ{nBO_^1uI;$Oh5>lOuJ{$G&&%KlEqo#(?uthVNdsRbE%JIm^~z z{PgXLgaAXsZU0|QSfcX3@#OwT51;MlT9mWp-Tm-?`HUy)OOF2U=w_cN?0wDoS$*~E zJOBK*-~N1jX}#GxxBhMWeAjNj@PBgS*Z$x0b1VNRy^Np7@_%)~xxe8jdpiH<|I5Af z_kP*`l|Rk7{@Zzd&wtHVKQsG(yImIBIhD`yRdZxmKD-dAdg^zmc5+hGyW97II=@sM z^Zyc<)IDjFigxyddA?He8cDu4T7zak<h;3Hhd_ZwPFC{IM#V&q8K>WU)BZne$?5-c zod2V)W-?rw<7&~#b)oUvx0Ro!2D}MRb9|D-Es$2Twv|JHg?ANG=AZvp!~bs;VoSKS zaG8N|Od?Nk!dIs!NA~BqY`O9Oe*lA^Z&aQA#bz6Bk7J3<Emn_v*+geeQFmO(=%D!U zLC1v)D>iJH(bF;E!G;ePCVbei;lqg+D{f49uw}x79UnS2?AX#0xscP5`O(gDv4f@x z9tV|9D{mCxs{D9k#lt2>$pX2&oRdc$%$PVs%q*q&s&>Di=Gi3&?@tZbX6jYGTXHt@ z&RN&@_WQ)z#2r)hZFLCW`sec1l20l8i=VG~6C?cG^5EQ3>Ejkz*Bsr$cAwk4CgXY< zv;VjH6I#oUn?%H~d8d&1_radH#cA6Qe_1Vg=$&ZmwBm2g%$X-Q+-J90;PK#*;+ffv z@0T~5PdEI_AflhtaNvV?>Rq12{KsyI=>`W*i>>oZ5}tIO?^3gVFHg1-&on1>x9(ag zr&x96f497CU3h=+ZB#P)m1%UpDo6jq4$0cu0}>Y#;#T@I#DsIIeNCCYztQIVn-vqI z6FC+g+!%67(rDYJ$-Erli@la5&b+@Y?o9gooB5wVoi@@uaBFG$&%ij%<%jQ8{J16b zZF7&@nSz~dRf_q#zZdAL|E~L9u=9cDR&m4QcPEPSX|fr-c&%a5)n#DEx_j?cJ--`M zSpPfga@BSH-+WR%nq&LxW%n1VF|Y4l9{gj$`QSTI-p@~LeEv!3<4c|UKOgZLrcb`P zdqIrU>YX(wQrWHYx)?(PANH>FbW9S_x||-+CvV;#a8$AG(?Oqx{~Nd`R-U|d*ZgF6 zdC|tgcdf5J7zagvJKwv)M}23icOBD<^p`At8-5&o5muV?@zi;r%6TytLX<7P`{++y z%kd^SyT0-M(VJQp6Z2nKS)9xcENT2Cz01)}wRZPRC#||48ZjmJd$<zxo_gqTxH>m8 zA8lB(G+^G1f=k=o&zSEiU(o9Gq3EE_?Dr>p@80_n8NXBHo_j3Ao~sA`imW~#ZR#Yw zM<)9!PuVM%iv3j%kJjE@6m%{>nRP}3i|oSHd+sy;SrI*L$%!LM(-?zlQbSG$lvP|> zns~nEdRV>DU#YLl&L3}=uGi}0ac_??sw|T@dgN=*-Z?z0U5>ccUF>4ybc(2v*{T2F zpxT{34<(|^=k^%oFH}{ytQ#2dfy3o@*ZPMJhwFkD?Ff9<De#Er%#QCKR?H`lSgZQ- zZe6}`>VvmWLl12~w`aHN?`i9f&a#-Y@7DxLwSy)x$5tPE^-xam*o~Vx>{q93?{+$; z@cxY8{h~h3*NT!U@tzNbBfFYk-2NkyvUOGq<EzKVR^@v8Wd`IP5j2>)nQLo^($jUy zjSqK+ew!jES$k*I`NN?TCGK2Ww18LR7lU=mVL7$K&!jj^mg^XADbIU%oBL(kZT|4@ z8Q-qy8n8ayIo;GlB2oC6FY{r`9Nnn~oiqO*y%x^C^=|21wsH<R8K;8jkB-iL^e#%K z%lc?+*Y4`Va?N)l+V9@^Y1aL=dH?;~o$BY-n~hHXOno|M>*wq9N>dKMyQORSv&v_4 z9;*-cl)71s4;G6FJ$UNzeX?^<dq$u!e@YL_Q{kRJ#-G+NN>8a%7FK5eI#rR&W#8Q- zSt-NsQa|mAr^v~x)SUhG;h)>A34a2Xbi9*oUU~WbQ4ulw8;7%ZW&7Mym}46-MO<b+ zOV_lgKYd(td%oP0_~UHjI#FuQJLVofdk%(`stK10+)pgMzD0B5vFR3Hw;$56e0}!f z3bWu>w`SZ4yQ~{w9&=+^PIcMT>AhRmhps<=&9;1g`0^EJblbLse{9p+wk!0-%Tuf8 z&CR><^3eKuCw%i{ws)U1T&9;E5_<Vub*W*w$ozj3<!|lz9lD_S-nkXANv}Q~Pbkci zS@3&mY^wYuy>^qhqH@>k-V<yJCxvMjWHkR_x4Ou9tf*x6sqenm?522Wo_cU4R_{d$ z!zyvjMavaFw=3QY`q1qqxmB?E-wKW89nFdN!(UDJQn}4}a=tm!!h6!w_cfJ?=J@ZM z?RTqv`TDNJ=l+q#YM&mLOHb!#^1r)#jiPpA)jM&yZfn2xHVtK!I-eeK1C8AG_tu{I z*z)|(wmVl}g#I%7>sx&%cXpVd)6bM-#gco!+8w8QaP42b^M;_W>Q~EStN1wIwr?+0 zKVrXl!`bsXc4dpN3-vACsA3|iTc_|^`qQkRp?Rx)PCjWldhWpM+*H@3(BFo}2ejjN zw6d6<kzd8XzqB;!$MOEHmE}5G6N=uyJFNRapo&Y6SCQMT)3RT}E!)M1r_E-b$0zR= z=A~ZR(PGQO=J51IIq#I)Z19z<$)or3tVHewp&Ny^)M`meS07uY=>1aO{@9zd!pTAQ zmqJ{+S9)w&dH>|T3G4P={oi{j@p{o6pTqU}<*k2gMXoYy+8asdDxGBNl|62ANk8gi zv{vAAwQ5@v!57;deBIwSTmFkXb9(D0=eOqm7Z#eiHR~R`9nKRPw8_uWC@a=z{=59m z+}n3PZnKo<-LvKX7aRXtzVG@IEdS&`%(s${on4+U_Im%tfY7fE>YtL2Rps1fPe?nd zR?ivooqftZr$t-%)mS5Dz1`K=lho-N7P<8C+S&XwCV%Ytl9F-$&CG?1kDRtBD&4Z{ zP2301B`sVMH$NvmRu?gjyCf%H=wrY%?WoDQ&#`;@kJ-K3nJ7`-svGl+xwg-tDQluc zz~RJ2<|13|F3m}aus__?f5d0rBa@n?Ur#E%HhgvNsMh@D?`w3MPnNV9DCHgbCHGl) zUt9R3#I*@6HXSGT25k7LIF0*mY=X(&j<b88p8u_A$NkWOnR&qxZ-$S14he8rig{HC z?fJns#mGS6kMK^;yb8`P3+6LE#SL4uE1MJyBsKQ2O=oCXI9uS_Mzwy0Nn&pLSq(qy z)X%i<3gxNY#`08wo8!>ubT_T*yi3}bY9#Qe)_<9~YTblCPfu~!l_>NrFIc%iK79It zUz1fsmrqOhzGr>X<C#i6t*U|X*K%4P&&}3qmU{4H=7uFJ@0&O`<ysodzN(?-`!vON z@h8VAGH;&k&dAmLnEdDUI|H4nU%@xdr#)qI4Y99Iu&BAvm!$i6``iy+i)_9f^wWqC z_}L>EYb$i-lH^;t)jz(x-roBB_pz_ColF`#+3vmZ)SmdtutT|6s=5BrG^PujQ>~h^ zydA&%OpiOd&Ssmu-vb|sw3A#+Tdz&tllO4r{&oAZ_Uo;aSs-Q4853L1eQn9tXE9s! z`B%uXtjg@0E}?5xRcg>9n6DcBws`&y$*n%KLMr}*>8^Bms$<0VqwtFP8Q=457pxM} zqm8pdZss1>p7ws1MpkNcP1J(wk4tK{)!edtd}Yy&NVhA~{P(R(-YUCv@u{#={my3u zTP{44f86EPQG8hGk)X<!Rldvb3D<ppDpq--y@m0{igWePL=WnpSGv>D)yZ+Y`Pig4 z7n$>KF0<v)HLgfI^=#Yo%ReG^SVUfE*|TF$+SmFlU-5+Pw__6SZu=~0&!5rKd9#0= z^Qrawd_x`xY?qO9V{hDfL+rQwtxxT$tX5OYgm>mH+#b&E``z<}%yY9dueC&X9`99p znYOV~Cw5wv+_YF(y<-cPPj}%H_#j)mMSnGu+vX{9ky3B=vg$=yF!`OVmR$1Xxbs%Q z=~2qRUggjAV3ut^veQCx2BVpm(42->ZSy&D-dqy@C*V>&smxPq&I6su<1GpO)1(5^ zZxropzkkM8_32u6_KRoU+s~NXI`@d_MBz=Zgx48w`o7{9Bd1c|slH$l)t`n*Y3q4c z)|Bn+6pD4r;abR%<2Sc^mgXG?#+>IC4mGLTMUFc>1so*W8&=QFSYfR0Hi=X8=Gub` zk9XbV*3|y8&hM7GQSr)%z@7W~k6aPGyHM%sCow+##S8x|ZP?A)H<erd{uApz5g8}k zOW)2owB^F=((0InrmB0+E@<m9U(XoM{`~B@$-8H*J((GH@x0RWy%!$W26JUby_V~V z$~rRtgkX@=!Tle1%x`v!lMU6H{Gh_|lA*w#LqZD$G$i6<%%ATRnlUFr;MJGC_uolP zX1$-G`d;S<t4ICeH%0+_FUT*~K7TXG-1T1P?^_v0*KZf<mBy^twEW1zc^^`o<Zkwh zzI5p`7yn+nN~rJImh>}sjTdgnI{#^VY-`05{<hqC`<QR(aenexKI?oy?Um(oSgdRt zTrOJ%NxxgPBQk!mdYqjF+i#;Ph1`gXFT41nO5PogJX3q@{KPxc*AzR=a5Futw{x}W zlBlV>cATr(AtYq@R7>Y0=PT{GPg)PZDGDy+Y&*zxB|o&mRqs;rlys9?>z9(54f{@c zCTgylDC+ol72|oSzZ*9n()5pO+%>l<eql-j-=-;BTgvWEp1whL)1JtLviWs}Fa1qT zymo$i|2kp)<n^-qG+pnN$z{#jf9pZ-d8gmcBxd^0v2JrXnYCkiMANJbSIv4{d8Qfq zI(zkAiD3CFZBp*IkfZfF^W%j<CmV{+-KZ3q`&Nsq_i=LTl_Qhqa;v@!ia297H7w(2 z_3=}}0kKX$Ig9`ATeH8)=Y*We<*!T{m!js}N!j|i?C18iZt9OY7Mn|LiLB$T{IqXg zhn@dqPv=<8IxX2P(-ufR%d*KSxqm&sFaNT~oS03M%dV%fR8CxGB+RG57Lzt(=SP8k z7yC;6ZKZc?R&KKD^wzqc*5euZc}8h@-HAo#HlKDh(uxj_x8QB?;ae~9x8TGnm-UWY zE^mFK{Z0DQFBTEEO&>B=R4LVN*}cTY`Tv33Jz1sYU!H%oyf5nSGVkA+r%$B!AGpQZ z_GM1|&qCY9Um80@6gzL8zxDBp^OC5G@)d6qXX_;Xt=uN$@@PwY*e&@k(|v`<Pj|c# z3toBd==p`gQFf7UT`PnymbgFLTwr&nz5c9=f>-&THAmYWix!%MFimd%yXw1Ww&~)n zN-;n7Udgre`El5J*Y8e^4DNYiUo@{DzQc6({(*14uhXrntKM49;g%LQ{qR{RWQRwI z^Lc%)Z!*`<Sw{DtzP+>L$gVR6`#3bs*1FrRTA?@FoL_YZQx!*o`!k0HD>hd2@VI~5 zFl%er@*gjsoaC$9f2E}^;9AtOu+EG^MjsJ-_TTF`Pg%&!QZqTPq4_%elF8D&PLH-Z z`JU!2mo5@$pV(yF^u70<p8N02BS}qKYu|=$<WFcnt+Zk)+Yz4I9PxX*CDz4fZeqW4 zTPW<4vi0ePSf}<K0UsTV=X#pF{%3xpd&+d5+Q06_lleF4a7e5^p`*8C>imv^RgVt# zP56;5WGiFe)iam%&Jszx8ImO*Y(Ib0Uuv&#Aw1^MhhwXB|E)VK?fqi%{0h#ckHuUs z3&q{2mY8(mp7Oj`*F5WwtUdicsPpmb)-Ik-iTnMjk|!E>wVO0q`DMPY-SEim+rgLD z9Pev{HN{P0dRp}7X5~~ZWf`yUw=P&SU(62jI$Y%KUAoM(%{V$;ipT%cw^yfoGH>VK zKficIlv?>2W?r{NF&9nl-rM*-tVnA427?{{I!rH#TKVJzZa?y6p8a39u2y@e<PG9? z__h{TZ28i-Z;1ut`CYbOn7`_pS}?Nb8P3VhQ+bj4s@5ktaQ<eWssBongeOU{=H~sF zQLv1AKdacpK;BZ8w6FKrf=+0AtDPy0Kks~G?yjpG3}4*mM~cb+OlrU06**nr`9Wq- z%BME@4^le&S>HY07PLn4_hv=!`fvLix^KT+SmV1^eezGM(pzZ(n|;rHRA$@t<&X)p z%^?kbIb#lQmFeF#*R(0A##w*Q;cSY0^O<SedsXX0jMZPe`u-jXIL|m?qqFhKJDW{X zqw0PcR)2hC%o}RXck}$x`>!`nm9%o3^mQZK>z`ZiUOE+YZTf4`=kjS?$vz6#?zTRi zc&*^e!bwgF))&sKS)y)z-*Ro$LT<*qmpm7@-~ao<Zc+Q~T;3nQbhmU(YF9aW&GSlY ztHruw#@i>|G^-4idA9#sFl(u9mib}Zn2VCv;wyO%CYQhL$UJ4jvwN}k#YMMPp5iXc zN|x-p)ybO0z3Sxm2;Z+#rc?K41kWn6GZuZNbHy;VYx0C&QYQ1f<~*wH)5t#UpQhZR z71NVtp7A84ukT#Kgum<Vh}xx?-k)85d$~jKznUcqPkEl*))AiiqoFl=m5kcNx4LyJ zx_3N1!q{)TYWwdQ+N#p$cPO_>|J<!~OXI)_mGe<9uRl6k%D%jOx9#=Xx|Fx8BC7LB zSvUMWne%ajvgV4^i>J5dPCTky>S+Gv;c8FsY26dzw5FY|btyfoS-WEIg-?I?{(Zi* z^pxJh++||T{o>y}*p7bR{QGsh*ww&r-ZRVz|H7YtI?O)rR8hlpJ0-3<xv4x&A^iW1 zCgtyOSad34QrDX^yQ@UY1Qo;sn1ARb?~hv6*==boC~Nm=!_7_i&R9q#e_?jXi#}|! zY9`O0-+x&5R~oWjYTT9*bkfQ4%a;PZ;};Ub6{K@#rs@=Kx_Kz5_0(z3(1e}GbxJqd zP5D@v<|413mivGIyhrtK4L<+ReDweFztUO%l1=~J7rRq`X7!n^e?3let8;pNH{0UB zp?2M`?*9r;l3z4s3LUPneQcj$WGZz}s#rztAGdW#uy{yPaQ$Udb4k|Q=89ey_vZbb zvqsfz(ZBP{vSp{Azx)5d^(zmz?EMvQQrMoi=XU9hQ=MN{tnThEE@(LV{oX!_@*92b z=i>f3blrXWll?}u^HzS_vUg?kIE!DsGJZJi*`w<9^^s<eJG0Mzb8+;3zxr%%K%|Am zmMxbXF9^MQG)HaH6~FwezjT@QoIc3dcwu_o>R-DotEFBEO<|RM8S#<7?zFhJxqx&m z%esK|-$S<_6_L6(xvR*#(2sA!<*(1|Yu{F$ox$*<$jm!p%l~Z>MThFHNNoG{<G;z7 z{L39;&QIPf>-ep@>Wp9R?+HPBZI>5HwpN(U*S$P_y8h}NWnr8$@1K5&s6W1xMgG^? zu;^2&>wNdkzQ)xm6u8c8`SLZsk0nk2U4Qp`zt7?N+LThCGbcMge3<?}Ml3Xtk1c&k za+2MzwYOfYt>N9HKYRT$F<sN?my_L=EN_qBd)_?yllmIQ#0SkTEe<REm7Lrwm*`!V z_>!`M;flE+1B1Z2S2NB$W%&DEH1z+2`Su?7XY*P)IL&1>W}2*QsC4?O-_F!<wXhk} z!ftrXve~mhrdVyx1Bq+-8>7tGwXD|gh@IwFaXZ5);KsrqcIo2%2S1dB3KJJDVp^Fv zapJ>=4+RAuK4koGVvPcamKaA*YhOoCPZz68NBW0{eoi*3#xpgiEh&Cl&ock@QoWeo zWs*MkD!qCYc5f)1t9x|m6w~+fEy`X7)h~9p$eHHCxyal*U&A#c;nkj9xtpJrMwGIN zKlxWODTl2i<Mq9h{~OhGVq>M#B4tah+RPSAUbpFtxVE}Xx)xt!srsd`bythDgn9RG zKDSxz_?v^_euDRyzUgQ!m3Ycny`-<;&+Zj}c6TrQ@^rx#J$Ip4wyev?-cP?@Aho<$ z_3VV?rByx$60WM8J0SA@z^|?sZYMVVGfnu?&2qY8UF}!4n2n1QBd!KdRZX6ow>9-Z z`?1@Rv8ip7&N9jFUa$L^MPJ}!xcsX|z4N>IZR@Yi{&6R(>u2=QrMqQzI!V>Mf3ZXU zx^C><UD_7^c6jW!TGUoy&2O-y+HUu|{UK6WQ;Y6Ip1hY|-<4BgyGXbyW_wX>@Py4j zE=zoW(s?T3q0RbCdDGr$EA^9Xo3*dXRdH;4))80w$nN*vGK<FFZ9;}Mj%{v6CLP+Y z``k2AbAJ2m$vX3LQ;~J~H^nHGhm8#k2d7Lge||?{m!?={<(sQaOM-dpve-?}Mpl+| znQpq1^s8D#VC6n>-W=xeD@VQOvSx-F-PyNhdE?u9jjJ9{IM=vxZ&>1ZRI!iMwm+E5 za-$-XRO6j`vsqiWe0Es4w(N%EqgjtNE8YArNS!LY8+4-5p?$U0p&PPd>vH!_xAG7> zsoub)<mn%^;?<dgK4!o3ldS)&><OzbX5f1facyQ>{EG7bI~b0hsLjzo>SW%y!+grF z=byNr-})eKm^M#8_V}%;DI4|q&)D0<`kmuuVNW@HMXd7Sm#goe`2XyU@%Q+`9npCI z0gLg`Be$0HZ+n?yl5J?Z{O0vDn`GTg#Mz=!<Mfx!625jMKBg|@YRi_BHtW*;@2#0x zIp_EFD;2v{!k(;aE3cUDy)O95ExW6I%6n>}RyXu!`WjcgH@W<*qUc-r-wV$IrzPGz zTYX;Jd)wjLe->7}+YrwaJ@rcU;``g)&3Ik8T<pe=_Gg===eN!HtkYU%x_{lzSLY^Y z*_-hN*IHHdl&w+RYhH6;_R3y)F@>lNrpzg>esQyE{lqSBNWDG(lh2DT8~0C}o_VZ~ z+Ir)gsl4cqbqW`L%?WdQxjIVc`TkFmdsHlAFJHUU<6drZKFa5$RgbtDquSFIoqu_J zqRv}(bMEKj_}ReD-9B%A*N%zZzqjpww8fmIzht`18MpZY$3(;|PB5rHz4_|)S>Lud zrdN-Ly>WV%vUkZ>_9N*PhmPBvsadlmc6q|8IjZ+29d66;WWUrBpM2+!0NZD+>^rZv zn11OpGn@M6T#kLc=+iYfCbr~-&DQ;WGIV}X!kwr?D|h`i<973R=l;AP?o!FkUqx~@ z=a(3Uyt3F9$h{-;9$OsObIUq?la-AQ{i<0GzpC_CKI!+<dwpfU{H$NGX&x%K^#3VY zFFh}KlZXGE<c$Q+Ybj<A*4{q3$$eeM>5@%_X`bHanl&{`onAleJa*E;DYzhLZ_MKh zX%S}^mBpN_aoDaC=WNFL*;#+(n&i^RuP>)bO8u8>%9x+CMtl3A$QNPz*G>NOtw>yI zaoUpJDB(JFW%lV>Wv(w?TAn_-Le4d*OwTB8`LQo=7tN1V)QRPqcKCtoi%U)~H!k#> zmDyUQBXc`1?ZL0dJ`-=HEwJXyo8EDeTj}rPvf9!uZ#zxuT`cD?_`Q0vqJ7SuZTj)u zxli5Z&$_>J%Zmk>72-v;QmX4KW4^t~m%5j~m#O;m`Oe_jt-E$=9*N>wB0Q-oXy-+b zYdVfOa!HHlpR%9I5@uX0(C5E<(%cPiLlwQanh%+s)ce$+d16g=gO9_MR~h^C)s_mm z2&o>CeCfFP80Yo$*mJ*p771yKr{rzSOF92DrRkx6lK=7(M+7R|{kxO<j@{ke5-Cw} z{o}Qs<tq*5t#;X2Z*7@+)U873lIJ>>jzql!(eqr>AH4}~3s@2m+$TOG$@gVeSM=k_ ztQ9}j9>`7J+i|XTuarx#$A`1;vd`asd}!*DzGKJb_8M8P-o0fR|Lp#{<l9^K`(B#8 z_Zvt5@#UX4>KC?1>`I#!tkCaow<u_KU+~(+eM!~!elF34*?BTL-0cBxf3s{ADQK?^ zUUAB6&2^3#!{2X@y??dMcIPUw#Y;;MPJ3K8pQq|bO!snL1KtJ6C60W{>K1L#4Box; zPTawn?|fD+yAaXs@bO2d+2u~#V`UT1znkQD%7ttGfiGqU=ABZHKCvNJ?9ui^f1ce8 zKfh~}DEq6~@(ycyUj0uA5j*?3&UDYu)s^3~?iulgM7Xqk+qsu9N_<s0qyL(3?B^yp z*!RDCey{Y*tV{#X!nV`TzjzdcG4eaf+%}wHVE19gl6`>&y1JkHwMy;YT;n+I$D;0e zV1s^T(@Kv8K3alaE~kIl{W{RFB~o_Fw$N+evg{%R{7=rxP&&r5U=r7+jiJWfvp1P4 zGR?DovSGHrUZ>FU&WCN+U6Kz;-Sc$a+#--MX|bNm@$w0i^FkkAo9p~n%S$ZSC(-rY zv1?L`em+Xi-=|v@_odOTX~%hO-L9M+z84fi6^-u)Ypt{poxV2Kdg{-G7DhP>&aLHr z*jrN_{4Mz7-m~IvIqRN8nHuf8xFp1AYWeTY3@fkwk#^BGTy!b?bluNY{a(lBT0CP5 zSQcf!iv1K%jD?bZYt#KrmlJR7cUw|_K;emY){(ytG)1L*PHs_kh@5+>acYaT>B4PO zH?BD48l`RdckVBXkgHq&^2$tnBdz?-_^4W(jYDnB3ai@Bs@b8h)kV|v-+nysJV7RK z;?7C-53GVi)lTi*JY!Avks}+{Do@<B!^Q33hf-xr(dPHYw_~2HcfY^r$#&N|<uCsY zCz^)J8uauXK3+OY<L;vRc?A}~j^?ft-m9>G{$;zC$#-6Tp827iEoapZdzRUu>sxN_ z?>#T2TY2>G8*RxDgTNIfB>_VIMXBN|{sv9j-rrKzaMNquqWT4PZLS{^+}C{fkoB&P zF5D^KxW4D?g)KSOImH|IeLpctm?Okoa(D1q_Tq!9ZJ7+cemRyfHs0G3THU#Z^|ZDF zZ$SMu#)s*lm0|B>@3>{IeE1~dSa#`=OAqXNW+<dZocff(D!TIHj~c&~``B|-{ipRS zZq1yrGCKB8YyY<iv9?bR@98^Vm44{ce7290S(8;A4@V@gHQN!$yEn4q!;=!0bIp^4 z79JOlIa^SCCU}+e=czsR95xwyGo&06A89BmbWKoEW_)qTU8~UVFrTR9^&j!onmoG? zhHGf@m*4yQ<I<$Hj}*Pz<EHJ2vf|B@yLaKz)uZPw@x;$r5Lb4vWx8gyu&f?a@5YOd zB@P<;u2n0js=c^3_2*0ePs`fxgd6T<_?B|~XXhuCiEA!4rY&8nEADwkEyhqzqD8Cx z_KG;|+kY}&DM>!rT620s!eiZS-@GD@zHC)1Y}0cqUlXa`HhcMn$$7PgMJrdX3!JfP z&Sx&0LkA=5)Xp-;o{+kvoH^@vQD*PSm^**=+}hLU?tQ=e*(#a4%9=AnDp%_y#@*Gj zW^BJE^YNu`%lZ}XLbCQGziVD4WS$k4rpCu#uhIAZ)s=T9%<lagSG)K~$G^&tl@3q( zrV{>0IN{>#?5+RYcHG_NQFbu!W!rK6*B`v!Zm`UK=hFW`ZTZT7d>P#bR{D5GyS>id z-R*z3KI&MC{X)08NvY;1-g@gy*1h=il6P*0_~B%00ncZwC5<mu)xSJD<<R6Ok*xlo zD?VNml$zDiE`KlHv+~0Hx6Ch6bPr1K{_(j~V*NsWl9znb<lEPtE?9B%#Szi+J(72| z|JO8`$=`l`p6S!l-z@!_Q6Dd!u71<#p|te4;?;?FFMpSh+Hvk($>yZcD`mUtj=a2Z z*RHdoqt1M_Md0_H6M1_KL_b&RY?u&eo}6*Wcz>gX*vwgn(mZ0_-sac$ecNxSP};8j zR=rI*oY$^^Y3Jn@f#4Vp<7Cn9dkMK!k0lnbIQ5_Lb?xoxI@{Slx6~;`9}+w2w^Xy- z+wR1C*B)g(oj(ta_Qc1vZOJQ`d#Ucj-QG?kgQYp=HoX6N-qXil`^~9}XWuu!GSHmP z5aKS_`77SnLTl&5lkAnbhb*4%Ex7w#rm^p|vAoOo=u_Ky?=B6wGLd7&<7MZ&Eq`f= z@w`9gHT_!e--n?cx4jOOck5ib_Wa#a?mwSbl%|SZJ>=INe^X9)Qd8=r1h&(%dmD6g zwJyF0kV&qxD$DyVes|4^rs87;M_sSIxx0O#>jj%1YHEk(F^d)1&HH$`V9{sJi`_qN z-(SRKu>I7bzyvkTlk-+C3*5`AefFhqLZRHebsCiy<T4mN64o6rU1^p(VYSf-R`I(} zt&$8@H;QkPDi=!;X?fHAs{2aE9rf=;kqjPeisF|oCM`+mKjn7&@cE_gB4Pp7DSj{C zrFdk%_h2>BT>XvZ|BBuD--}(ce4d8IUh_ZuGp|>rxp&sq=_w_Zv7Ebq<owZ^(&Ev7 z=7~(|4u><9CKUx1pPv@&HItQ0GF=+%UA*boL+PwWQ;QXQ9SlDIelzi!q{(mQ@S-SQ z+naYKtdyLm^&53475J6cYOK}YoASXg_++c$#aO{lt4np?Px&k@v%pbd#>1~H`8yYF zd>idqsr~&Sua^74uRA!cE-wCd;QRD*&tJ}S-y-|O<YuJMx=*dAd^O5#SIoAMQf588 zVA5{KkcsOJAN#OWMVy{dVQzlu_MeV*N!xlo*Eq>@^*{AZUZC|gMmBX~%B`cK-xST& znDy=6^38Lc<RnnNe8r=^YdD(v<J$z-Ek8`MS7l*Q?92N;FRNW_?}BgHPbc+wMR{GD z9C#(sVAdp!=%+RZ+P=CeJDI=nY_RzgZ*x3}x3u!P(y5T*B<2Ux1Ow+TwBO=h`##0^ z%~iL>&aBC~nE~o1|BeKgPH}Ddb@TVhwJ(?sTP!V~ReEAp=}DWnUgtWamfN-@Tge5^ zJ$_-0w!@A2JNJLzdqRFgN1?LDbN&a1w_cRlb+CJyhq(Hw6cx@R{VVR&aOqw&T<v|5 zp;aL3l3Aqe-x-%rSI0{}^zlmGVG$_Rx^LRNi-lgBLsdWa{@zmfL*n(NGv5Mq4)SqN zn)d5wsF_i;-<OjbQ$M>e*OtyIPyZ!t{NMb?EaNLv9<lHBGutZUbkgKSR@T-$jod58 z_UPO`FL>^&z|;5`ex_s1i9BA9c9(p0o&0;>&5N}RT4AR1t~VSHZJWq(=KQ-W3qx8> z<MmU7_OtOOPfh&4Al!}BLD=i%J-g#xg;Uq;$lvU}>q3)*x7noETl7+Yy=CuQTdsO_ zgVySK;hy_7CnVXg^wqxV8PT`lk(rl=L18)f!Bz(LL(OiQfw}==YdVB8-T3w`-Z}qt z@aNY@%pXk5jrjHP_Zg$tlk`mF78lPyz4){6G(~>Z0I#^Sa}}4bzbJR#PAZ`-^|8ut zorLuPUs&ck+nPO#sI~sAx%Nc8`!35hOQwBYmD=Rj`RwWAZN^8xSZd3hzjEu(k5`PV zL#7JeW$|@9=w$rJY2T^S6N8NmYHXh!%Dz?jwKVI{y5J|tAN2J0O!{$`Blkt-B1@K> zr+J@dPtp)}^wvHruARwl(&r@4b^RY-j+6P@Cil;->eB4HI(Evep7cpCx6<IVZmHA3 zg$FqkK3<J668yg;VEZDGqn~o>W?P+Q?VVR~<J2}m9-(DL!gH7Y{mXFT(X+T&MaSf9 zPCb6+x4H0O-<j#Z4{dFku_xq-z0QS3CJ{llAIuC4MN6hH&pmK$?Vd2l@ag(y?piv2 z%Z(W&-B+w}x+jzSZHnW{doFbrleT#>_1bLCP5ERc7SyojWaFuZik)5C^knPavIrIL zs*qw$b9Zg*bgeRxYHd8?p`99}-LiR_*IKTZk2xp#ELqWD)0){j$@8qq0>{h=FV6|t zKjeEVrEfATK&L5=r_JBz?kkU*ZoB4XC-im9m79A?O4e7qr%t*4M}yNd#)!w(n^dMO zarN8b61Psw@0A?iL{aesrrF0XXw`2P>C=yHthgDZdM7c~zk~m#IL{7Ihg#hY=j@Ag zri3lLZFx-5qxVQwSIhkVCGWK)%sS1pIpnVy-cFumnyhvwNzZ=rk8etitl=ILIdqJh zk4apKe!Oe`v?sToPFt!TCU!a1&Qq#A*xx2D_4y;Io>Lj`&n!OtJ<42sbw>2BzV7*) z(|&xq`Hy2tX26?}@C{{K1VmR(++lO1FaN&XX}NPe?xy=%w=%mf*na6%UzR+tEBAlb z;6>+~=7%>3lwT8eJ$P^0^D`HNzh7UXQn9_GuQBhl`jnSOJvJ7JrQhCFxz)y%n7KD! zRSEuJ!ysa{$e-z&o<wTU$}qON)7lrezY%`RvNLd-rU}2JO%u1I@2M3QIbltzYTB7D zsT<gAoSumb$QQ?CR`lExQqa3~iaGTbXX=h4CgCzk0vvDuKifV@dUn0XC6<Dj9*sRu zgcAf77F8KF{%m9^pR@Rr(M$FUp}iqO4gCy?2ip9abj|B+E_9vBEKO;&G@ASNY(8g< zYM#G~xI4Gx+1GbpSx)DD_4nhv9osMPWKI@*T6lq}tFxQqJC~li`dKSQWyZY%|DWD| z!0*>^uQlhf=>c6s+t=TAt>&ygYm~ODWapv_%&MCDi@W9@6cRBkU0*#vC+vKn{QenC zj1Pq`z2Fp(bXwvj5Sg{;3&Td6i&-ukoSSM~CvGj6w{;zlSa!@_J@eOJgIuO<*|cTl zT7ma>x}@zH&P=>;l_hdpc!R^_Ptz~EiT&Z;rZ^$fWcHKaTkjt|>|Ofq!Tc~jzCN|x zSD$^Ye|znD-l_T9nf^aW+B!2Yqhjw&y@<_g6xWK}m9(ETXWFzdwTXed4Cg$TynR1D zM5TYKgnEgB!wfMF{>#}%y!cw$-=7sub3NK9$gLwh!${Rn_t$~t4|+pFe^fknKh9UN zU&TP6*8b7?Z?ko#|8{=nt#^K!j=sP$b*+cLd*46Vw0tGU;{A07j4N}at7rZEk+%2n zve>}Ou^$sBW-<Ey`?GCk(w-H+X6%Z6fADNxVQp-^>F#!o#fgVj{eL;*?o(w|h0s-R z54^nXXufv$zoR{JH|_qutvxthQ2m+b?4{wFqUZX=W`Dc!YI51%cO~-n*EM=B+CQtc zmw(=vIlXS#E`{q~g^MmveBw9X@37a&vlWxfyuQ1wTqwBc_mAG0Ua2301WGv!mnr<! z?)sAUWB%3YcP@wbe~tOS{Dh!l$>g@y2L+5rUd4n&DSpph8MAU>`+|9W<=@Q~&Wb&y zr1<psijH|FzwfM(KInDj-`4Mw?i2(R?U}IR!40mk${n&SuRfRB6jbr(gx)?ik!R=Y z+xi*zy{)5kXH3caf0K8Ww#nY^x$Ze9wJlnv9({DU%glQDEsaI@s|Bt7?rPtYSofmn z<F&H1jmF;-Uetffss6DxXmjG_tsO$sekC3~X<*`G_)>ZMC(W9>>e7E|829f!)2o=D zziHNetLd{J$MUAcZ>iV)r($=+Vfnm$iu|3cbSKMwPM^skHMiper`?XT-Rk}C5AcR) z<>~)^p|<?q!g&VgZqDdG;<Kc9d1*-ey0|5?CkEtSTJLP-+-kb!>IYV~nyF4dB$p|- zb#1#T?9L)_RWXpApEu7SCb;mKrK4l;oRTi5Pqx{|cgT6Lh*$4dvw53h%P*DvPNsiP zk*Vl|e1*xJo8(^3Fu14isr$ppm_KSadsdqtU75c9Jlp)O&Y7&w)FsYkZkQPD*CQjS z(sec^i=l49wOio})B+y}*UzXdUNu=ko=51EL*LZPpUmsdq%Aq&bycr}MWDi3dcA+7 z-WCI^YgbAouI-uE(wFq}?~LWwt9)}e99DR|b=kY{sdu@B&;NaQa>69Bqem|3_05<& zw^7XP>fYN^S-buJtmX~7m-%G&|L?uOPM$A)<1DTI<!@#lSL_d-0C|JhZuO08l^-Y6 z{kZ@CQm@0IwBR%y$8QB+*S}Fp-tp~sobmcYOS-4B$oyQG#iz}vJlW#)e1T|XtG}|T zYj5<ge>5@CxLPfKMUms0n7t3qr|eDqI3u<H=G95;XAGT+ejbpQ<C&6G<a~dM)tSAI zBY2PTPq}Y-rqNV4=BxO(fHQ6LHBL@GTy52J<hhB#gh`h@9zTBb(pkVZrE~t_k~t+h z-!^_&Fk{+>(yB`W5jmmU0UJ(hS}aUsRpHNYz5VIl(FM<xE3dHez54aV>);&G#F+L? z+!Nm?m7bb<SlH_=*V_EBdnc~HnAI-3uWr}M`gd2Of7kB{=lmtP>a)ISiHx3^&ZWK9 z!B-WyuC=$lIqevGT<NZ$&i8qTo>jiSe?-D;&Z#GS5qcWyI^tD+37uH8`Qih8?ro(t zKkqS?zGLqVlG<m-Di!Lq^W+Vs+nz<ffkh@O&eXrUB4+Dr?Ea_J+9RU3*0XZj?d6>2 z3k35G7H@syy5acJ4W10XGSfd+2z{vTm2TE*vHg{rzTfY^#PjP$+TJ0niqmUD+TNdi zsxs}I!SV>n%;)EMCC@y}u~-+m@TtZnE^gH=AFfqi`k^hFzjEOtbI&9CHNA7Q5B|xp zzm&D9_h(_<Z3f;$2JOm>wSSI(RCKYOBQ*Et@tX_G%`7~=FbK7oED)a2f52bWYs=IY z4c%5-!GI+`Ufx~?EBZx_YxLUk%wJi3u3FVPLgwnaPLKYVKCJ9l+dPZyRU$8aW-I?H z{nT~a_dn+*3Rajct?5oF>h{_?=ePUeK%a)`8x7^&^cCf_{g#=u{>HaPl^^^iex)J$ z3tvuWzkBLM-0YZ>_Mw5FcT7!_W%KtD;(KiIt7WnzlcBxQ?Tbqv=~o8TZWn!9yZ!1c zuL+kwt?7On_{UgEXHJ0S<7b?PZyeSL7<$Z|vn}xGJo{hsSMcvuH=VL-rIW%$sf0UK zHp}*NUUs~GaO?f6T0HGqlG)j@&At2Iw$HEq{&&jGIrq1HQ)4ySar0iB(21z}$ejUM z7K)E&9#gu0J4Aocv$fVCYHO@Ioj>{6iE(yV2rCM16I#l;{r@?qSyxIuJO$3h7C*ed z<ME92`*!RfSkj!*V)(c}nrN%dYxR1+e?@eIWG?@VYqNIxPp{A4I5%Zw_|><JPWJhJ zFL^@sTBZi2PVL%!<xx%a_hlyw<9xy-W~}u7%Ku+rf~rbM%|kxk7YF#ypD<p;w)Xx# zz5A&tM^9bX+5e;Bk4=j9oX?7)ueR){EqVCl@3M>=A6^*WH+Zz~(VM4>+Ty?IsVB~9 zpQ6!W`TuD83!kmaX71^BKBr=5nPZ_8w2)ExMNp&1y^w;>i)+o-uHpMwHM{q<)1KRp zvi3^HI4)<17uq5tcZNGsJMz2a{FQ;eYZT9gyPxgJc~!pU@UI)U=H<o(^5^V7vEhu? zIjblW>mrF~)_)&V)_*^@*wbs0t<kzSPnLese<yx^v367X*3Qlu_p@Uk)o)?F`>s4C z{b_5w^WUVe8W}$YZ=XqbWbFC+PGxalXORy7uLUQ(KKz-rcY)0};j+Eo63<=QX8et* z;Yf^P#yp3YrVcj_KA&N3bjJJ8G@s-5PMXZTeof5zUDW)}3t@r&$_8(IZ1i7Q*^Bd7 z=j^D?uWQ&p>DMQ-DXTa2S*SiJ5q@qmEp6q&*mpKHUdAl$%e8N0IIQ1t>NopR!C!e9 zZ<`(n_x!)qTa&1~_wDNGIfsficD%T0C~sH3Ytn_?r+OG4=Z1<sJkRWC>L#+iY3+W^ zuP3*k|2Z|iX^Z^CTYfLMOil`)+Y)zJ+m!9j3B65?6ANP}IDAW<w|MQ_)d@DSi`TeZ z<=SPNUYLK;w7c?bi;qs5Z-z~ngsk6t--DOl|Lc*ObvI;%^WAyhn3MmUVfnW|@kscj z(DI0Yi(h`kmF%<1{uOd}TW4#!rDEH+rT?7@>LdG#KFMmI%8q&EFYHzSSjTmtz2W1X zX4&=Wt9ZW_ux4tyt$UE`A#Q%d=*O%DLdRZcY?Hh-r#3vfKQ<~bp)YCP*~B})j;bad zJ@->>PV@$sxdrRhttDb6t<?%zGyQT+2lL^>*HzA`Rpq;j`mUe$`mpZYAKgAIOD?(c zd31eKOkmp3I&FJoc&}BRoxs~_x7ZZ_81aQ0HqHrsZ?a9Ypi4YXzc2lg(ym`8B13Cg z#T1SlxwXA@=Hikxi}%x-B3It`OW&ojrF8Lui7Sns@>os#TX5vQd}jMqP21mA-_m_& z$EZeduiN=0-M2VyX5Yp}hVqSV0$M#?Y+{KTr-F|-S(LjOWLj*Co$_E0%df@LKcB6# zoVqep^thJ66xqGAx&-D$X=HL{cX%pB&l8=or?toT@BVuQu6aqvrlvA9h;KN$=4h+J zf@hHj%udF*c%^@qNr+@R!zk7eXAtCZ^9KKFo=;ykm{qrEaJa<87IlASe%p2X{ujgD zKc|&`yrW@fu_K4aa5alv-PEe9HK7TIrp9wSIR>8Ni~SXwGOhH`R9Egv{2}TbGn!eQ z{zdMJ`#t}%_RHB7g3}g%I^oi>dmE?T$8$xV#n}<R=jcA#WBA!su}sA%_`l4R%KzJ6 zovW0dy5q-;skJS^ZR<KYxK6E#Y}8Q9f3s@lI>DEY)||;sclH|#6y4jm|KH!E-tYb| zE^jf}@|H=D!RXidBlXV@>itb`l)mx3+-lDMyow*^ue$vYRQqT@Tb?f{<KzG8ych8o z|68uS`c1z(N^POSYyHJrdb4yM$v?F?Th=*&V}-4a$2G%9{kRtPWou(z2+1v5o98sw z=E=mzVHujLa|HKX;W0crW#2}N535rTT@hQqb+Y86SwHKmJpRXi{Qtjkn$yYb`*tj% zMFHD(>tFFd!O7ji%hGz(`_kD7|IVKK`?tQMgQGcMVzX<{)JVa^h0LE?P8M!RVD717 zC@g4lo#7Q6B`KJ(@`*#0In%yHQLFl|>ZKWce(EwYi@`_VIpWQ!t5%cGe<|aswOn;r z^$7o-lvM|}EWG+H>xIu1xxM?|DJxu@;Q39RrSJ=1Q&f9J?Gh%IZ3Qcj>(A3GI~u{u ztZpAKaX^LZ-609Cf6w+NoZn}<#E#{A*T298&X#l5S)G*6WZ$=7+tZ4?*TNBOj>nIv zmYy+RP+~l5)&Fm2o9b6BZcSP{`Rj&P8?<!KT+RLZPQ_Ex(%{^59=S;VJo9~hG27U> z7YojJTYl-53YXubD50$XocS}Kma(VTMBe(htnf>!$nG1(ZyMzV{T;Zonx%?;x!iXB zcx+*FaK+^4CM9{j*$Xc-`1w^WI{)T-$90ZblU{c^{o{-gS3P6O`s<qIj~(a#JkBU- zoL{e=%5`C;#Ji5mPd7|o_x7ZUUD9R|(a-zs6DQ4C%(}^<qx1d_s~0*UW!)JH@7~@H z*r(DVdOpX4F<WX|veug^W)_BX?t}<z47XUU{AJ=%X32*dAwtfE=_yT1`k!U5nf0PS zX)@QM<eNKRpJx7=`8<4A+SA?UZ*M(m_rKs(STQ4d-<n_BdWthM|7@w97ZdS#&8NB9 znU*_B|Lywu;g@Oo<<HgEp7G6^pcTLTLhm=r^5eJP<~_gMwma`l$SmuZkDmU`ys!4Z z(|_T!ki4~yM~r`2J70_qS`)fp?`!@QOSr3l`~5ms`NZtxT<P8OG7=Yhx2=D8<crX) zTY?vPE0z~;H51#_E}j?9bbljD%hzjKZ<yKt=IqN7)!Og2BK6~@soHxJ_=MDc^PjG{ zwCU!OWwO6_uFa{DQFpk-E?TFgX6N14=^p!UqL@|H35hLk%+>}=bQ<K|yx*$Fn%lyB z|NQ|j*%!JFOHT6L?P}zkam&O|DbsRF!P|c+1s8SBPFXqm$zf;t)>H5Icvs7)IlVT_ zoXhk?{qvLcbH3f{<Lxlyld*{{xObL)sf%{Db|U9I?G5gS&Kk=+aNR9>@P}Y_ZfNLv zqq+&^ag)~1o0>MRKN8$i|-vJ9oB=r`~V*yynu8n=2D<t@ye6pNI0Qdk)e2>K`6H zCF$Q*wq}XDPN}Ej(MM<a&Ci9F`<Vrq{L5e1-P+GTjk$lCc~i^Vl#Ki!-i%8(I5uxB z2y<7GoLM+&ZQ+#|SCN#>_J=IPl`U=`$f*0cP|UU8C5%}m%si;&jetqgOg1&ioTE3R ztX3=E?77Nv(w_5*v#W^M=4i<|=V#8@W0GOpxsczr-zn^%Qkb<@%hxR#Oq+N=ZMv~? z^VUyc?yDqSPEN8pd1cnopQf9mr_MPaIwzMW<Ne8n3y+FwPrAQfopX}%zZW}#O+<zM zaD5hSO0%~3-{$yINz6ZH_L+_6>z2Rx^?7Ui<nFECyPq%r$9nw5+3NE$cU`X)zjF1y z{q?T>PU+TC-<H<+IZ17Ddy?+EujS;`x)C<R&$c$JZEcj6cTaAJ7vtLSw*BS%gJkw= z<ar1lF0q#VbVf#DzwX~(dx|D;-gBu64Eb^M-lNan%cs5feUSUEk?-m=s}-krC^-bq zYFV@6qjSO@Zu!`pP5ZB}(C&Q66~B=8{DOIc^-Q7hPsG+gJ8S$gt1t7vp!U=2UDMvI zSCcP&Xlfc<<TclRqUYYbiS3sU%P*h2Tv*+?<L;l-`o;U!A3mn|WRA4kxjJ4=<IgqC zT~lOdF1Os$Y<FAkR^FD#8I>H#r<zY5{j>Pb#K;Tprq*6wan9s%Q{n8}r*Ax)ee=Sr zToXqt&Na>n(^Wk4RMXG=S~j~jPhpSAT+^$Mt^T|=pC)v!N?w-f<lYl+&eiNn`eN|? zn!D#t&(*xIGg-qqLYzLc?3w;9%tWc<Lc)!o(VO~Sc{o>03-Ua>;+gJ^)jq<!u?&mm z1u=ChItu#xUR#k+_C0p0q|?)t8vl=exKo?dFn9W_u&Z%(_3JLOK6n)`v_r64*7hvZ zhmM=4ZyxztyYZ^Yk6lu7Y9b<LA9-UZ%}wd(zGl*7SfaUl6_4G-V%wVMljkil55E2H zpSis4HZPYe0fKAvC%U|BIsU+If5OX+{+mB9Z+oDvu5#r7?;QJk$EH`l>wenZbmimU z`Np-?=S(j<9OEqaH1C%^E892k9&db<+S%vlICk$-ow@qziNyso?p?WJGt1plaBcjR zKQ$gU)w<DzW)U@CPw&-Gsh@qKR`kV7gW9(NUcY@-H<T_~vhx6gz9+}x(_Yf&%U7u- zh&%twlz(qfJJIr3wO>WtrtlR`Jic}NdyE?18}>Qh{ZN)WX`|BOvzt=x>oIeemiLJy zXWkLL?;GLqz;KU<c$;8m$niaCEs=KuzV=`0nDueR26fLB@!HGwAOD<UtjOb}`(eBD zvF;W-u}4L>mfyHJMSHDD#FcM-e<oBs2(7l=dWvUzT=z_^!~8rUe(xlgR+~&$Jy^3Z zo{>wg_WZHpa4YAsYX=s^3r3vzH8aqrMXc&xV>zF@>#+-qSIy}c7S_-_c)df@WF@P` z9e#sAi4L|Jnam&+B{B0i*Z*}|tldAoCc(A(<CGZ-YzozFrmLqPne5Z^Y3_a9=2xZ0 ztFl)9sNkIGF?0T;4_4BvB2)K89lP?3xwPZf2Y&84nV{O|2?se?RxAiSa`l&W?gHM5 zwjaw_I?7~~HXh3By?cUTx{Ub+Hr6xNUlumkKjwed?%a3ln||Lk`8^`l-Zg(_KVk^t zV$}G{VtM&QclM1dizR$ys^=HY?CNBFrzRq)P;0!Zves*%NpG#ZTvKY^kv-a~`$LNu zjZTytbz|CeE4g+>lx0-u?7}0joWlh+uKYAH>sE-p>W9|>{ukJ$Z;^Xauyp>uS$Bk( z64NK0Px<tZt3~<(-%pEo`v2BD2ul}a&71a~&CA5`&?Yh22UFOlO>(MuHZ8mO*w4Q+ z+0`yHpYmn$R*;@5Iq&x3hCJWds=A%KH>S)w@GASv`g7i&0=T26T|2ojgIhqv%u-ci z;cT%h2SPT!l)uX=v%6bH^!=t%QG50?rM#+hHwu59ogK|vXQP^P<M+0v6Z*6NKP-Qm z^k#<d<joBhCq2F%pL==ct=wygVq0VN-t+8oU-|KF^_C)&W5*XP`(4whCv;|t+&tM; zP8LCR59L2!c3K#B$tmcCW!3A3Rr5vKJk;CH$*KR{y1v?M%DXq}Z%bc4mUn3W^RF%< zY1#wc;{J<9)xm5=Hp<%qb82fY6*3+9=(w~x^6`%>$$gS9R_!R^d29dgg#FVSUrt|t z(jT!tapo76Ut(?xmv1O8N_pEnDQx>b`GU7wPM-GKk|Dm<YxPc{wOjnX>hcz5N3yQk znSQTi!O4~_7v3IwVyL{cYsCYnSxZi<FqLk2rqZ&~>&g=^t~YCxyFMuRK1$uh`Lr;r z_w#{Yski=JU(3z#+c_Y|Zdyl+$ds}>&(EuU4l(kXaqVSgg+S@d{{<^uW-jQ9U9_m{ z_Vb_T@2=~M(fBl9bNW$T-kChV5A08?bE(y-KX>eR{N~zVIpIR%hM&D=X>wOJt~?A5 znf^32WyhDxyG6IKIfyP`ia6RByY~N%TN+0k8ISD|i@Fridp~<xsgsMk&D2@fUTyfk zcP012xedJ=j@<dX^HJ5TAHAF!I}gWb`Jc+?-&wGz#3yv^+N@<VTZ8v|xgYA`sDGau z*XioI<)3=--{r@&mnAbjxv6I?`}uvjT)kfSs^;09?y|!F@1DN(=T?vLtC^8g`~>9f z9+*E@TPD5py5{_%d!Gug7z$4_ZD%mHv-z|4XxYU+2P-kI*Y!o8Ue^bItIsal_4<(d zoFd)-ajE^6vo{(|=lNrO#^0R1{p<fXQ@8#<tti*0p7(S4<UpZ~*R}HP^U8(KZr=QM z-@7f0fBk3PHQU`%zwKetU)gW<Z;J{V4$heIe`U(9tbIxU*B{x>Z>TJ{f6bFWSNql4 zzW3LC+|T%Dn@BrfsQ%(Vhjrap9C<|4+65UUy@N{*CUCPmux?-~ys(hDC9-iveQ-(Q zr~U667v1(+u%FY~L?rs{p4l$~KbbxFtGM&luIC~9JWqw#e&WsC_GxSIrymD8&s@%* z_R`OE>2LAVf4*q+-C0v}@nemI?6#dZY*kKt-ucB-Qr9b}^5^3R>qFmuxziR<5fh<w zWnI&A*15vlJET6#FKgZ{eo<oT{tHvQuUggSI3`OzogpV5UlEi&<yO|x;<cX-wtBu< z$N2l$mv`ceelL6<9~|&wm+N=atd`eGM@}6-Z?WK>-;snPMccLC+~2ci=d9XOd#3#j zy2n$rzxzw}x&3b*7tM;-w!N}H?4ROkv-7jJX6T-O@q$O@(BXjfk$){`@6JfHuWdd3 z_8q7AVVADfJLhcHGWgp^|MA>)`pk=tgRjF4{L~|aKECI^$uL=HotlhsKrzF{=?85p zv@0upmHSdWW^iqu<FxK1$Isw4y;pY^XiLY`ap||;QL5FM`l<4Jx%+GJ1P)!jZm!sg z@6^-Hd?sFd^iFQ|8-@S{%f%T@Kd&?<UECP*_{AQ+DjpZ%%D%Pw3(Ty%JwhF;q;9({ z-#b;{c7|7X@XXyi0(hdt44+v!w%%!Hwh_E;RJkogP5+Z{wf8A)kFGnXC$;sxeQWAj zyD3Lb+-0{8!?^_Zg@H^fnO*h^3#v$U$XVB1_+H|8-cwT}dN$AW#Ff@X8JDh?Io_Mt zspk4b<HP=0ixvrp%T*@(*%$o$vuVXip=T+#IA$%WVPd-~w#;Q>(8P{Ag0{20yB;n- z^G2~mF!|`Y83k>!E1Q};Wp3}v<=nr_x2#U6ckU4>hCQ5jw#-jXyvR8Blk<-wa)x0d zLK^b~?Shq?)LR@l%r{1-%$>3?=(&XS$GcO$b4<T9+qmEHo$K_6`g{LuerJ&%&2n7B zJbwM6_YdB**r?2B%09`WQR`Zl_~b}=u#EDbDfi~=<K3k3OX%N?(}t(#e)%-r@5n#? zyIK{}2REh&f7a?qf4B9`Li5)**1niobE<X!UGa>Ien!d?=Qhe^JBiK7(+}#s_<g17 zd-0~iPQ4E*9i@FM-}tG#ox%Od#qOcgmnY07`R>IcE>9x(Q~$T?P5U(cobJqLhr8<B zm6Tp6eiiZlk<T&le@noP|Na~^ZvSs_sQwx*{O{gjd&M;s|Ah~IbLT&~hIQ2>7A~jg z_Om881CkeUlx6WntW?$xnYd?(Td=XWZ_V$Co9~HFJMnSKqo4-@nO_#KUAMES{LF;I z+MiQ*{^VbIXd2&~FBw|`jx3Q+`gyd-;IQ4KEQxQk_#S5++j=mSBarvy?*$(lByx65 zdjEkdC3)JC8`_Nqi{iFM3-xXPw0yRz>UJeZ7WtZ_Gp7r`FYLFG6)r8btL-<lGR`j9 zy=0zmz@bm8Vy8|kmgMBz>lh=p;folfgnWmETI7Ly&pysCim3KWzb{-PZZWH>D@>tu z<A<p&30urL#EvPZWKTZI%kCSR6!ESrLPvom{(0e?LsP1Kmfu%C$syxw!#a7=t{K0( z7A1W!<#@*af9dmMU;i!D4qCvauxq~?vq!VFo=W`1<6m}a)(UQ0ZJfTVNk&Zlu(?Z= z|Jg#llhb1o=iF&be;W|`rz7w6zso8c{<xeu@~}&G|8Ae3kBjQ{ZI9H6|67%utm-$x z>k`AdT{F0rU%4#4V1uKD$f?cKeLW}p6f;NJOzim3ZoU3^$^@S+H@*87zJF0DK1<0W zEIsKO>qi~GOM0D4<{o-Kcar~(Lu+<0@!wjw?We$8PTmf~dNcVFp3jZN=cns=av!dp zwKn|mVwqbOCC|mrIqtb2TJ#}JJ^Fk1y{W3o#T>0KE<FF18O0&mt-CbA{_ev!cZ%{~ zGQX|)R}|5xc6-a!=c20nHZ?Y{<E(Z5b@Se3sl9dU8iN>4HW?fGiu}sgmA-7;@u~7^ zulDqoQ@MYyY)nlGd-CP0=>o}sEow7&{+A8B_eE1|zWl-q-{j=>W;tHTQOTJluDHJ{ zDDQjf^K+NJ@4Lki)${7pk+ZyPDU+@JeQK7kO=#@<bHwLxAH(z6t7eHkxzcN7rFUqz z<fR?+r<ZEpdcMTuso(N*-qklIhPccrS*^di!|vM&AJ^Uu?<cRC^kKT5xz(rXdX92l zJikAMI!eg?T3xNF$rR(CmXtH$|Fb6_C!FX%&HOR;lWzHQ5BCSI?5@)Mj}HI(&$&a) z{pEx(nHKB(#|FxZ5qoE-MQas)IB%}mX;JaKd5WFPp3jk9GJN-b>MiAAe_u0wQfqVh zzSWLC79E@J8*wPUP{}KM?6%n=>)wH<?<x<yJn$>kJVC(mg2%BMW|{1{d@?-SBK=tS z#D1=8V_@%g5^LA`&|1xMT6AUT;!iVA=Y9KXF16oF?Y+19);)U`1ZMpaX;F|}FQ&Ql zQfcZ-4l6rer76pf3r7Xr$xu1^cunS$4S6T`9IT&xRq>cK%bhy^2fR#q-<Q8*W8Hpx za#?f3?YA#?IUacX&E>AbgR<(bJb}F3s+Q&)+wV@ACf#y7&+`QDq1^3D9<e>#c6(Am zbHVN0m)jk8yxn%>w!)pV=u^1@dAoIQnt4o?RG%O{!O<jOmctpd8DARz-|$_!k!$9x zOo=HED+-wJZ!_7v^SDUwnm@r_%ClY5e%PE`sWo*r$6?-`b8C&BnmxI#R?3n4;oOxr zZ4(RKOJ|fNosUj;WC@ZuU-57T@45f%E(?_A9Z{AIzPjDx$hDHzi_Xs`{h0Od?H1Lw zYr8bUbeFB1#Um}_{ft30()TQnoZ*cHGB;9ItSUdo;5)ZlO|U5Ipw;5(W+qlIa&jz6 z<3wzfz6wpr|5P!3(d?}!g%(b3NZ#UbJ;7*(+x#1UERL|O?3*WCdS}91wWYR+2Yr^7 z%N4G2;anK9F-nHDkXK9ZjB>#08<s{k3w_$TDlH$k6)t)@>k;>(!aiPAVV32=#SU@j zc08;yaps+~ukWJFA@TbgUQLs{mH5S^O<~0ny?||@&8j6`?n}hICk5LkTKJnvd|Don z6{R<!r%0*C@>6bK@rI*5Tx?HzJP$S$>9Tct&&k>lp0z6A_VR-%D^^ZrF+SEV&gEI5 zY9X-jm)_bptu-9wTkE!z6xFSCIj%ErB75h~?UQ7@E0qe&%|tdzOLN`m<z;<$tc~f- zv9=)fm($}noX|3!clgs8gC>&?e^Ph8Ot$juowsNOpR$z8u@fs<6>RT{e7&1I=b%^P z1oMsYo(cK?rZ%-WCns=h+V=l*&H2?E^()`|Of2-`ZirYOEy|bm^=aVeuLnav{{`WP zp`Y*8X*?Eup?`Rd<(kP$SoAXOYERd1*17+r@lSZzGiH95slGFoe@?h`&1hqWbp7U= zlPWjlo}L-8<?Q{OEh%!jw~C%|Chu8O7I$FLiL)-+58ceF?jD$(G&#McSp3{3wN9-E zM<+gem%X1y`-$4yI^pKxE1FtAKQ;I#7JU29xZEQ0ddn-%Cx>>-S@Dt~_xR6>T}PI` zK5Ak*OWkqKAGZQ8wj|*L9N%OmeES=n<=fI7VqOYK1RGeGnreRNHJGtEq{?sk#uYOf z8Mdx`IVrLKaC_C{)xsJ#!kmhiZ3;Q)w~f!gZ1=y3JsgiVZMeZWrQwnG|0S>Q7p{7c z?szxq!nO!ogN*1k?UGw`u9fpfiLDl8&+Wdty?NTvs!xu$lS)|@rf$5~F0kE+@tWGU z>pt6f(tHo<{4K6dP>r4ODL?MKW5Dc)56hC(Dpt8j%{ZG-dT`!BR~M}rOZ=JDLYFjq z-!eH6JtHoo#BbG6uC~2ixt~ouL_5xuJ)2mvj8`qkagXFZ7B{trhNpORzD27Qii8GU z5bbz8an)4uP>Tzq9m$(i5<RAw@SU90!CWfa6_HaBW^C^2q@bXnkeX^{4x$YWQ;k{s z8MK^axHUiY<XAa9UGw`xI3Gt%TrAhxuz&?d+yM<W1@HGR^*Q+<bE8pVL=8)u&<uv1 z3=<fpugvn9>=dhUWvSuZ6>6)_EW2c2@gag+SjR+4iqGXxgn>hUTN7(X%fgKzW##xm zxasHZg7=HpnH~!<u9prpopvf}RmaS4|8LJ+y{l*M^;_@W=_O~cR7$(`fB){?yCJOn z^i@XR;<C?K9=ld#a{1c-aO+^f(5pL)82RFzkKehnF{f&p-LHz|#fq6nQj^{;-L^bw zU+ny~9bJ{*1)2{g81Q|uaJbmiv4tUO0*{G-N=M7Z1`i2A>nxwo!c*1fJzwZ#6JcUk z{qy$3ze>~p=Wmu*YS^87d_(M86)VB%o{L|YOzUd&U21rC`7*T#y~)3~E}8RE-e(HC zh-8CJfQsYc!Uc?un;Vt--IFS$lss8D*)@}9#mFq_Y4MurG~s{)pRiYo@xqWxE;BtY zt2{DFT#{+DFoZE<|99)@CugkEdUDEz{eJYSeSeSm{NH{t@y*_AbBfm*Zkwi+wCwNy zGPOmIE!LVIo3Scw*`t{&Yz!BMOkmmNBO2nk;zW+t*~1G8|NW2OpTFhp;@*FPAKmVE z9Fs3x`+tA_m%kca3+`_dEVRtF?49BNUg-RtoIOvXve$0CCY9V{xNOy`RjX#soH_I7 z|8Scu*4AAPj9jd(O^-D<9Qdd)K}x`-NXLN7L1t3($BYIKfftD#GF&Pd4<9->eO9QF z;batXWm|HZy*+F5H1*sr0nrW(BmQY!i#QlI+z1gl(){$mA|_ou@l_iaD5!9Ao@|<B zG^K4-z(l9UU4ETY+1N6fT-t7}`6@p>*JaP*Kh?8V`3OpPE4c@L;ka|!P*3{p?Q{E= zuRJBPabfW}!5z{J5jWHxYz+}Ke*1s+E{T2V&$nzf-WDWkdihQL=DpLB+K#N4d}5iD zXHbB~7U|Do4ha$}0#n-~#ayO3btUl&A7D6~cpyVXhOPO~1OpK+mZpae5&|tv5-d#$ z9E$=3SgSvl9k|JDeM96z5$E@3H`dH~KAHE5-fO0HZcB`3+FJ1+y;W1iv+4L&-*XG* z6m3<xc<W8ZCfzyiJEb@u7fI$Y3*V4E^QyM!v|e`!>zhUMMK@GmpL9p4dXDv{FORR@ zoZ;b6T$<;1V<*q`r$Rd${&BzHS7p(d{Z7Jdk%GtP1wH064ckw8J6m&zuFbsm?dbgt z;`d&fWz5dM&mP-$YJb&NJ%z*T_b|SAa{4&~>%Y^s3i)Lz&s_CVL?4w~I&x?0<?Q+@ z@;&sX1K(e^MoUA#pKXVmHY%|m)_(j~TXssI%A8Z;-HZPC+^#9{dK|XB{$KxmSNpoZ z_SyH=9^4)L?%vuz>d*I1b^rD^`je`7|B9M=<*R4d!na6l{>66w>VIcd)p`v#ofTq% z$<vmc+RXM#RB5JyO0u@;B=v*yqo1?n1?<?&Rj$?Zd}EsGq>?p~r)wUo7Tf>hOFm!T z(x1MfFI&!ZqR4zh&!AV56J+Oxy*MM9pE$Xv)ycxH=*gVIoBtl(QSzCzHSn7F3dw07 z57vA)*syex?U{JKhuap4=g*xwLpt^UiQV;%8;s-5WZb)$_WIJX`Dg6T%;*i>ud<2X zE|l@^X~~^Q+;UIu$m~!Od$5~3pijam@_5qfnvOs{h39jwaP3e%`%^3YL&Xi>8Bde; z{OWIFQ`w)Pnf9Zjs`9y(ZGQpZ$z8wQbd{FPyXn@Q!036_NTBGv(Xk1gUN7A>@*EfM zdYHE5&)2iBrWMO?{(aR}R)sU<<r(+bPF|&j*;N}lp0`%yZcW=duS_$l`@dz`roxpz zp^<XjI|XMftl%jBSIgS&u-L}(@-ZGAfhEgUDK@C-ala7k7Wi^9=P1W^!DIR5|0h_) z``+cYKit&8!(pH9JfT2l*$wWL(`B>HD#s{zY-P>-FsHAfE~=L2kBqR;qC0QgTW+r4 zIyT>JD`S4duDP8KFXzmvR+24CYUjBsARNGwbo7Cc`?1FD3H2H_6W*EqcVLjZW8LTZ zm-T3aZW$kAqGNZ->Sdp<iQe||DPqYo<h0Pan{+sKqB_GLp%WM97-zkjeq2Y8QBWx1 zgR{c<#kISy=45;Hbv>2WYW>+bd9l~_jcJn)?R1>KA#wfn4-bA!a@{tsGVl1qkJE&H z7Crwnt?_zvTi~wmjt9GfB_}s7z1*uGA}&|d^rF&GCCTjW4jqezAFm3uOlm&ue|pEh zUSoa^2g9;`3(x(XoPXNTw?*6f{M(M+=Vd8RcUsJGb&g-+CAq{={s>Eg@hLT_Uk?)A z<^PlDNO<!}Ws`8~<G6Wq)fUec$*7qX8vgoJjOy{d^*lz?`oD!g(kQ%{vtin|8zm<` zdv5yKIU_wSdFG_l+>P%QWTRVZzJ6Bu>eFu88~wp1=+e%$4{m+>QU7-7;_6hzuV&E; zrFz$voV*}=e9_sNjH?P(98YzBuy+R=@3zxUms+PQx^E4=>v=n`g`GuDQKlh|@!*U9 zCvWWYojmoWzoFJHk!?jcW}g4kJ5Of1kaeEjbdHos{dOWob1q)`!#-=K|I7#S`wQYM zch`ElN2~8HeDkvRlRD>z{%f6*g>w5_RyzHjc=giST&?=M9dE-oB(5_#V%zx8U4FAb zlbq$dIXCRyNjE)Xymql+QBLND=r@cRjV4*k`Hm^v4yf$CtugJ+i-pA@v!n&2N)N1x z<GNb&awhMd1!{UnR_I76PiQ?9f6!jZ_f~}A`bAC$!d7V*^j4H}6}%9uYQ4(2MQ9oC z)TdAVr=4svw3CtX(DA<{z1%S}S^N1n@i#XE=bqqxa@=XrLdo~R@1!pLVYs8r#hiZp z*24Zdd|ziZ&T}_Bbar*~3T-Avms)8@#tWOmm?lSTd06Mxa(bm4^CTYTI^KzMlJq3c z{1+1nmY#e#;kmkQolny5`AJ+mmTm|Rkv3<%v0z8$9B!-E`VE3-O<t%7zNnA+wUKwi z&6g!XCNbK25>aYjWip)(G)V9VygpQXtYF@pqf2C*uG&A@uidsa@>689?voDb?}@ig znCSiMkUDf|*QCSqgEy^xSz3K**Q89g#+vGy%z%#%xZZTmU7>g0z20I*UJvti-H!@< zQir6AT;@kyEpHL-+!vyF#jN|W?&{9pZ7aOG1sShZc|S^ZIsSC=5u*p$uJ_rx`kET{ zxiZ}-T3}mQwfvnbhmzfusXx+~QuZBA)Mrqf=K5*d4m<Zn*Pjb-5q`1j*mH#zE790< zz7~_6OOHHTcRB0WwsrhTmo%4M{3_eRDzZ|~x8d5i>1*o>7>k&^FR?DDZeJ8<{EK_K zK*xRi<*MI8JM>aHe7&ti+|Rw)P<y#NBl_ZT9<{&B+d^x5jxMUd@a^NQi0|Haml!Th z5^`<u^JC5CNplc?X<XjLWMq9<jb;7L67lHE`67CzSLS)2D{6|By%wmkqH3|m3eA5U zDQZ0x;ocjW7iCoFacs-0KN-s_(X(K8LYVo~I=LHN@1400uFN(FC}l31v3je=Pr-%k z9BG<YCd!BWytwoVON!=G0ry4kY--vseg5}lT50{2mgPtO%;y%F|6Q-u+WNMj;Cz$U z4MOuRY%kVnZR=?0+f%gsj*IjQnQil!dZ%4@opi!Re`0Phx7WW4jV%eg8d@KUl)ZJH z&fxUo?t!{<KlA$1SJlq^U>cH^H(|m7qYo2bo_f{ED)fut$>)7p@<)V^7~MWq<@3>> zDRTRU|0hLy<q8g@H<`K2w_4bhe$LzMXk|l^X7WN?i552(8L_lA-v77cx+tHhVrrC9 zXJlCYRv_k+8uJ4Cxzk;?OuxN6i1XEKqvrKi-qAbk1-e>d7&cDOs1b|Ee4D+<^XEjz zyf<nS1b=z$V&Z2n%hBZNyjnVWtNEV#Vu2+u<YVOQ<WC2^kTewRQCHIxY}tHYruT)z z^pd@*(%;veytUzh$m}4gqkGL3J1efx^n0<ukYVMV|83uuHj8LZXs-6w(Cb|PM3Pk~ z<B5!DY37<|>#m=hvd>H4@2o3c4LJ<n=@~~)Rs5U4n68lh<r+i8$A-j<C0CXRe%<u6 z?Pu>B$xiFXnb$qqvkjQbo6clTYPsh0Y{P>H=S7ciavl6pxM{-gzKb%?+s~VG=X~X; zJ+5%Ea-YB_4sVvPuED>Smo+m7WYqL^%ASya9+Sn+`_pNCXU+5WO%Kn_)%})lkQ%nV zC@Q1q!(0asu9&--8#Nvl&AXm^#AIs;yKtJ-1)cS4jvQ5ecboOuhk{tHz3)R<zG;fQ zaNsWe;JfDC^p7_#UdW7@^>(|O$yb?U8h_U=d06QE<ja8*s`g=@trC^;cj{_1eW*M8 z__^}u-1?Yvem^)C)D(Gm@jQRtKT$k+=HyKh8m+#4!aE;xy)P?ZJ-vBvl2oR7%!=cY zaXozkw^ZkqT&$0LKTkqBsPMp}L-D*9*PNCv2sFQa&2)w7*K27;S`0U`6ISaS?B?O{ zTJ+@Tr)wsW?$2_y6_(w2?;<a*XKFC#=i2@+tPv-t<|R06%=*$af%{w{Z+1GDa$MKO zj`C^B!VV1T7M8Qz>SNg>`!5TByB9sryDr4SB7NGE!^WCo>r=Qty$oW${9X6Km5b$( z_DT%u*D}?d&IAhxxSIq?U-W&>meIZ9NC)GzIRTpkEY(i5-Auj36*HNoDOp*l{K$iA zEVW9)2jsT7Oj*KkLHkU3BqQ^u#cR$_UK~*KL+MlxLq)aqbwi<~j7O6-e@<A;*vD-6 z$=GxHc>~Te@38Vufs0>tsT_3HnDs*MgMNx_Jl~@44zevS(zT9!^%nvJtymvQ#I624 zcUwnIp`}uClwk84yDu{}G>%#(ExHkG67|t5NW(LPlk??aUu)meogdC`pIYqoR!40G z^C|Z^x>|*W+ntSnuw9AP5#`&#`)jTT?|+TMYQ8etg2bFJB+pk`rkK~O&7h`GD3K_) z>RoHezvnFh*WQ#=N65`_S#~kVmC>YPL-h&~MXQj);*b01CD)(-IyLCb|Fx^+GG_n! z<+`^!L1wG{lKT_F_Wxb{+unu$0&A<-EG{YTh?mvN`_fz$v^V~G^jz*%a+cnw>MgQ4 zFJ1_7WIM?HGCn43Xt6hO=d17+1(Tl4YdW(?EaTscUQW;5#*qnvMz7iQSZr2&NPYaK z$g6n6qDMO`nooR<)Mt`+ld3vXVdBgyda09@(<8p)c0$_=#)*tScfBi}E)!r~RC}BE z_3AHM#W)-#y`P?Q<0#*LXUXXU^Gf4V>t1U9Nlv&f+%W%XMQzko;~mG7LsbrnTR&In zHD7+^cYxn(kxQm0?8KO>6a)6QNjQF<67QJl*DYzGs~GjvfAw77L!#D~Iln)9(wb}Z zYsQ7x4QDp&+Q9bozR<1dDz+0Y_<CC{nIg`1YPM%#T9C!*8yu^J?=Go4))bSpFzc7N zWOagv{UZC@A}s$mJP|)p=pi5@KJQ7Qf9gM@%gfJLvdCpM#5Lb8V4E+qfOA=*>J4|E zyu@eAHw!<zz_nqz``-C(hqbSV9~M8-8}*s_ev|+8lLb5lDFS67dlj|hw>(iU+jKcw zNW-;nmoJmfb>ZzFnevxdE-dR@*p;cinR)%md%2Gd8^s-WTFWp+^DaEyX`G=vb>U%k zL6eg=W3B(SzC7@<y^Qn1t->=LsUl~7Gv=|Rz2;BSVdP7C-(&wv%H%@x4Ex`SYra1$ z_%Zv%8$;gC3q1Gdz4|kkb>at(l*iM2oF~hiPpFYR-qCltKzq87PCK(w)Q$;li{Csg zb>lNInfm$PAHQj-dFStbUf(w@O}zQUHNIju#pMRK^$Qd?+@5IK{ivd%V|U!`HDTN5 z)SK+^y}hg6ZGu<HBb_F-{Y?s=%tS(;AGo+`qi>w*(KoD3=Uo*}b#8i~&Di?T;A`A$ zdBce-uZGJg@6Xhq{YOM(<(ICQZ4(}H?^&4pz&6eGmh>ZA<{wkn?DajI^iiqXesb=z zo_(y>PHUtXixlUt|1@8q>+i?Aji&`ZoMD|<bMvoIYkKUgDLZd3=zMN&|9pxyQ(cwd z+~q8HP8r>unEQXzq%@z9cYE_h*uJd0-m#`=eOHI^ocYfDHLDc_w;5)!1~bjNEy(?C zMa=q)=#Q1N-%YRhR{i`1YyH`VJsYRqPR^LhlKOIWqn@JVm5zp`-ycm{+_La@hsXWs zoip^_UwslL&lD|XtM%f#NrU6UM<scS{U-Bs{J!|~KJzcp*^e$PkM(W4sJQXc*J_~v zZ)O$|1`udY*&1cP%KX<hRt5$T=4IeyNGwgs%nvBaPu43)NeT^NWniARMmIxatN!1F zXO^kD49h-mc3}uKV-I6Gc<IWob!lg#Z{Ldh^<J>nnRSJ2+R1{R;EqRG4^HWA&SrIQ z(_b(-KU#9{zdpW$ZT6dTy>_vDxT!yVp_<Dnk%bI%85T19yubf{wciQx|Nl>Kd~Dlg z{xD8hh)c}*y<z)Nf&G6<?ta?cZ~boL^dGyeXEr{zwb!pada8a!GAozV`@6@#8$K;u zk=)8I`Tl3}pBs1eZ{3pKCV#{!Ze7eSYo4B75v|aSUC)32DJkb+nt9RWMuz#Uw|nMp zjcP33T3R*DXM)4QYl_Tl6F)bXWh-w{UE6rsZSq!AHuZLHkt`880g2ot1^lu9EY|YQ z>p%YZ<DdAmw+wm>4YT(Km)-eW6*=vHc&TRR1IMZoE7|>-QgRxZ>Dy<lnR_%vcZR9- zxi%HgSj~jhM^oOW8!kRsU?H>L`tKDk)0wR^)+~L}y*v4i`|%yScgOpkDM&i@-b*rI za_6F_Ns`A4MO-J&U1GIy?%f?RJGK1wh^HMpuIN_Nqd)DqMTvp^x|;tt?ppG43(DOq z_Lg|&&yp|C)06gsiR<90<H66~Yu;P(ddi%$Zi`oT%&b4Z<EZAnCDQYqBc)UmUGgul zI=D(}C7;-YmJ-P`JrW<2I33IX-?<seb$Rhur;}%|rG99!>U3H3|8&+|F|#`b=a#hR z-|;Lz{%3c^&+_sMdp(aQmiMeuWYNrWI{(?nURf)sGiBDZYnh!<oo<T)G`6fuId0@H z%)+$s&9gQxuEsx~f8GhXYcRJ`aNfr2CqB+gKl5(xx@&JQtv9J%8B`kko$qtn_K?z0 zQ}4|>yAyXU-FIM3Vky_<HPc>q?^@pR`at#((Pz9j54rY!S5OF8@YT;i=~L<%zjCdq zzxJ;#Ib0T(JVSF;pa|E}Rcawi7?)grc_b)YHB3oh;>na-Yp1ZB7D-s7aqE(D$OZNl zAzC|sHMVe^&Dg5fJdZ(6sPjwx+`o1XEiPgcT9z;@aa(-x|6VDF#+xe^E-^Qrv_#?N z4W5f^O2$S~+Zlxp2zZL5O0+OK_9V4QEMe);P!jSo5b9tt<G#cw^<>s#(bzjj*mYAh zL|!znWZp2n;pN_Ki<uj(l~-@l-9Bl;Cd1#p4;LjGtPQjk2;g}CsWdV5qVdasjZ03) zB~1HXyGdpxZ}_v?T-kjWZGO-Dt~S-H{n#<4rwjHN)OuVxw%|cXNyU?s8zP#UHZiiU zxi8mYs$n5Ix5DMh#0a+5#g`5)c0YEAkL${@=8%Mdf(Y%(_TSUjZwmWA`O(CXps*{f zT!D^jq`W2K{O>A6{e0#*!^P#~nVkQ56APvbo=yE}7x{%lz{K!3BZ~m23}84GS9sxy z&^sqX-k*#|JCiF}^EbUx@;+W@7wCHHLf)qHmtXTMcwByOxn$zwnmzot1r`0Kw4C5% zc)k0;?Dcs<Y)S`{%v0D8IbOW^z9wHIPVf3QhK<SbBG0(n?yxY%7QXU%_WhC{zv{c< zV}EZK*gt$|*Yvh!!;#Xvu6LAfhllFzoO9&r#oPNo7tHy4W!k)D?FV8tQuWMDr)|5( zze{9WNpX_r3AvhxQ!#m2I=Sy{-!0v+bhfbfqP@rV%sKJh`kR3hYt!FV8{;kCZ<~-; z9rV5+??sG?!7PW3+7|oF6uR0c6hzfkX?>k0$2sXpoPVs^m(-%WySdZmi5+OFn#gOr z+>ZU|PNBWp1_y<AI#{&a*!M|R<lHL0^LvX#TzvofbvbYS;$_fKY*c7bv|z5Z@sWp9 zUv#W0_vtv`zL<YS4dX&)?j;gSdZp)8ot-dm>+Spr3hA03st)sXUZ^WD%{+3@fOmPz zT6O77FE1NiH1w^>d#I`JyR0)y)A?q<b95iSoDrKH3zyYh<E(REUb`Hzc_w_t^7F-- zkUbv-Vhq<h`KxdoVBxAc^Tb%IP|b65@jKxtIWE7<M-RH6`_GX!o1ObZg6I1jw&J=S z$uloS<XKgmuiPKGP3B$l`m9nn#|5)QzG|VC^v9m=Ox03lU;ts%lD@bk5w)bZKFF%c zs5SXvn7;zY%B_;jm$$Djp5a`ZcmG{{`PSdB*OmR>mCta%E>E`C(QEA_gP-iu98)fK z3NgvLD_$#%WYpEY8I&@0--I&{Li+u^-P~?p+juWtdD*%9Y!g{IS({iRr<X=f_m}Pc z?Q^&L`-VK$b88>oQJpiprMR!aPw?E*hjSF>a5Fyt^dLrdPIq&0PQg2#bDf68arc6Y zBd^GantpdVs~K4Ab|pr@^tkicy8*>USLV!|<-IT~NO$GL5I3zwTLQQ=o=$$``FzO^ zb4@?rF73&WE(FO;7b#ag>gieN8zeJH#9ig+-wPJ9QsK^z6EbADd#x85W_taa7`Mdr zLh}~+weGhsE|ihoc2aScOy9|e(`B+wCQg-cI{9#tjNHkE6J)-fQ0$XAcVc0;%&HT! zKXF6|<+TVWI$H?5Yj!+PVjwExvz0A)=E0CegHUmwR@PwOgMROB=S})u7X4)3S*D%& z>#g72Ue)`%OzQEzwb6IB8;f@R{x<XFzO`Xh*ZdT1v$MCRzpZ%@DJ@)e&Fv$b^iiRI zHrb1%b42D>zq|cz?)S3a2dih7e!drXdC&GxqxWw`&QwR|SLUZ{zt1&3RUMsLbl>m% zyW3SKYOR0o$bT&JJy&GD$-CPx*pl}vNKQDiUfY6+gK^V#?v|gA_O4Z3v*YTy?CrNI zUR_$dOzovxrIw)N&3qmn9ma&X{TsI==Z0U{SSIZ>b#{8L+1ZWXyq-lwvlg$Jo43;I z)0(y2GTG_(L?$HX+H8Fs@q=|=Sg@8~;_BIUiOZF14qcmMm-yUmenb3&?5EE~%&vXr zls>w2uK$e{bCRFNN3hFOG&20|{o9myKrmmN<KFD#h3{W`tt?!6Y)4RRjHdRwE5hMi zo!Y8Lrrvt!b+KUSnV6Nry34|XR$p7TwIRw@ckZ`m%l6LA%+Gl1%U!+t(7ibuwi|yF zYuWoUA>a5$G<R9=)+2UF!fP|N7lfYoN-y0!FY;oTZuXTard=ncT3vdT7P&D7F0NP+ zXmLeDDocdNbXBX=RHwU3;(|1n_6Kbh3Cz+Eo$|}``;v+vtIRoDdczi<%`p7ceQ(kF z7n)M9I@_WaEj(u;GLh%2vh&*v<14&o&SxWxuW*|>Z)|Y-sO7s}>S5^QxUU|^U#S1u zm$%5QS9Z(4z@JMLURXu;d|p*!`ZM{{?kCYF>zmfMc-(H@x^Z8?e5IpHR8vjouz5WB zto5Zf)>>D_?5vjC(@9|${XR+Z6={E|4HVgbpxk8so)&=@J3<ZC^t`#w%J%GQUgLzW z62bkFHaP_hmzEn<-1zY2g}LkYhJEk#Ux=~@E;-=;<}K4lHeZJa>S>RTGPJO9J)1oJ z!^#V~e^eNFs(Sxg88|+Dnlhtv<ANET>oq@fy8SSDs$AFXV90x{L3x1>+m#l7gFfSE z5xb2$LfE8C4tzM+C^G-j0!B7I-Wfa=T=V^6y6$dC3({XY?F-9^Wg4+(gcW8_D!Isg z<{~>^{tfY)i~5rsH*Iv0Ki(kKB%r`3vwK$X#2>v=e|E$_h-T#W+VZkrXU!UB&J6kO z&pzEf7ryUy#Hn)WyA4P3-{gLp>-H&DY*G0W=^4k$f3WV8zN?_|%~kdB?woHjo%e5a z8{hGXKH<>y{8)ojlX6|6z>%FS-_G80i=DjeV!B=0hWHsQm&L56{`~Pzi-G4e6I)}_ zfeTw&{o?&5b<X5qJMGeNcT20ErC;lPp~QH;8QU&+9$VU!s&=GdJ*Ps%%<E^jv_>5- z6gYTt<A(32wbO4M;(A{Df$4PB)%NW!H>P)dKh2$f>(D*V^$Wx$ZvJL{_O-6^txt5v z*HvrR3ivP6WjU}A6cs7A4y|@(J$a;IJsYn<LB)2Plm^G9OzzcsSviTWx?3d9Mpy|m zBv<}8pxCU-YgF?hszLKnr<bbQG#Ld(?-{~LVh*f_xrCj?N-i{Z3T5^&ut*#@)R3yA z@!>$L$zn<EW*&)zow~<PNuFlb=h<+ej(5c=o{YjRN=!ZtCX0VI&f_%D=VWlUNm%!y zH8lQ&1a~^OR+i)0jB8JG%%rbQncTyY!tkhx*`}nxA+yQpM6f#FY~$^xtbE=Tt=8Fo zOK+;WXw8!a9~T|s^2loExY)S)n2&^pcOS>X504|(c{3hAeQl}0W7XF|5g&_6P4|Yx zdg%vmzv%r|u4-niqyEOz&sAUDs(g9M@_g>*YkP8T>lo?ZG&_Cz^wzVxJ_Y4&Ua-gK z_8Jxa(%Gg@Qu8)f>^YJf);m38_R~kHvzI=ynSS=mrd0L4DUoja;bAS)wWMF9o_bfg z@}`x(@$YA<X|)+~i`M9$@xFHbsZIIU$jY1R_NnXNyxRWc>8@WbdqTqR_f3EKYVpUQ z^>&-5SALya(Rp1kZqe%{(_b(C925FBb+uB}$yIG}>sBq8zUtNU)K%{)SBBc?Z}xhw z8uoaP%T+V|P?P67HD^xG?fN#!clVx{%R2g3x12g{^47I-<>k1^(=FesR(4*VH*tE# zE#Xf_Z@*fczq(gOKl<+KkCU$MmCz5rYh1nZ)x56hE90tHnl76j8D7;{x@7u_ye~oR z-RFw=_vUc#O<~>}<6N;a%~t<%&GV(_isPQ~JXbxJ6Sqk6>}i=k%k!+CH$D9{>FB4T zrJsUYKN+Qd3VJ+Yk5B8JE7o~wQsy67HqXwOSMVt9SYp*nuU$7+-A%cix2_>?RmAzT zBA0ir+&Fu-<ounThPQl0vUheChQ{sk%iET2epA6bT-N-mq<QcfG4rpt&gQ&St1A&c ztGcW65zk(pvzxs+8XTWbRWyEP{`P6q&hn>GdQ;}8@Sn7aTbjE?|Hdkj^_R4lg+E;& z@qu?*ZN=WEI7ye!Z-nMeio1Gri^#m7IgeVFEq(l9_g2wEjb8I_-QxP_^3q)PWrU6U z@ly{H4+k&4f8qOC5rMl-Sue!Oq+W<_+xO}-mvwW)+dGGj6~#3+&5pkDB6L>x4b7R} z8%$?-Z}>dTd&9=5-Wvip-oFuX^E%7tOs@>Loh+OjOh+4n{Pps3TPun_-7cG4b0>FV z|7_Mzh3l>D@~$2`KKIy<9bc#1eDpYMYo}BCrKu(=YnSoYZePw(d*|K!_hq}E?>_s? z`u<zf`VV)*HqU-vHtTZD_ENRach5<lDVKh(dq3AC`e&ZM>(3prYWLrMd$ap2-_N}D zcKdE;^}R3idc1pWe9iXaosV|+Uf*|HV_HFG)}`YDmnst%e?H{;ytU&T_vLfkoO8w5 zto(mptSOPJb-#SE=+o>oYRgZieVWlTZSNCZN$+)&3WMU>{LdB7+~RpdSzFN5<CXLK z6BY%$J(E8Q)yDIka=O?3Ip!YM^qAt4-g=fNRb_WBQJU^k?CGc{+2sAK@T8TV<Z;!T zJDx~R7kNA>F3QN;J1Fy{y6(y)s=ZrMCQN&Bagupdkem0cttnk)%U9lw%qpLFOLlQt zd&gbTr1FLvvhro^?RU>6mgnD?D^(WWc6Z@MYrQw`xOPuI^lsJ$Yn3;B9J_ySd{?q& zx72ICW4n?!zVln7ysUJ|mcIULg%_>5HuN=LyLjH}RiwN1YmIc-+cT7BeLquprux~B zr?$mcKFzZXt2{5Wa8G)l_dVm|%I{|`p78zL#^bfmJ09;Vo>O?=a@UUcGNE_MyJo*L zJ}P+6*wyp>%%sKNeGD&FFUxpg8_e@%p60j8^CFHF=S5!bN$)DSXMNQ4``kq{fBPAp zsa<~K>Av72MfWxRcAgiRyC=QN^PcfhrS~(HCVclPJYKyl<FRdU&&PS1+bYhB1nx<H zWwf{amDb(zR|<E_UtM`;UE2Q6y0qn;b!q)O<I?JTr+2MEMLvGdjJKWOa=AP4)&;{N z?ivOWb=w0EM87aCRuGop*brXj@yPsJTWuqQ^^$h!;00wbQp8#`9~29*M9$>UvR41D z_55kr?LBuiXHAdpuiW{0`gG~w1y56_PQP{X^qv&k;N~UPTY7bvgFifadhNaWvc@$& zEa%=lJtwlnV&}$Xlcl$o7}=ldD!6shNI2SY0mmxGI=eJmxnl<o>{<5W%+Ckg*WO*C z$owo!Z+i6B(7dB=JFo6~5|%YFdZ}IB(!x7h{f2o<cl`*>Vi4VbDSQ8^nRTt&f4S~D z{t~^`mA91tt`_$$(Q`F<Q{Ug=dTg=%R8}1So?{++BpNOl^z9Vwynkc*<DzMgckSA- zV2O<TBlBxdENh?aw0UCrhv`1Q{`7W!$wg<lCmv$@P?7gW{2P<Hz!ZU()`E^kZc0rl z0t!}FuZeTTGL$s(&+C3E^Y+;yzhc95L-AmJ@vp7g;-B?jh^kCpR5bBO{+p94KXBK0 zPAFt(oD`gU{lv+;Y?eF2<<z%VJ$~YsI_J)>rkf{wUvX=myB#viFYj$sk@C)-7?<Ze zTVovb<i-1~kJmn4Rd{+whMxE;ZS|Eg8tN<WsHm^(nRCW@%W37^rkh?X?bf*CovTuI zG0Wrc<YyO)i==DVwr3<Ht4Uob%iL(QeDNxq?co~Y+jl*A<kuf_C+ft}xz#auB#s~L z_1bEzw<|llY-4P+i1^LY%dVw+OAKSxW3L4D=&kCoTh?lq<sff7zyHTM_ma7jJ_p85 zo^~-~C-=1?!J|cmyMz7ST|0U5teWM{>q_d|y;z?-@hhKmC&bJzPv-HS-mGm$D`$7P z78dQEdG2=Zx{`Zo#!Ehbn|1Zv?JT>Jdw#|XKW{r%f9|&Bd&~UCHP83-KI1=kJ0#C9 z&-`rVZq?#@X$R+Qzpnc{_v)!i>)xV!*L=?1{`qE4Z~L6>zbx_>Tl%%V?)|(XYmQI) zpDTuS&&2INa$i}SW`BL^(Os(|!bSE+Nw3UWr6f90>(KfTo0W5?`2BK}dLFJR@z%BY zVwB0W_?OB%J>(`er+huWep6Oks8~d3W2i{Q+i;8JWyYajw0mEKN4CU26#wy#=Py_7 z{W)`I%k}hD*6z&+c+<V=jHl3k!!55jO@IA?yXNQ)n-5&S8}F`**&eAW|NYjJ$6*s| z?r2WzkN*E-$L79%>FYms1WL#o&R+FnhH32)?WO%YCw6JbF8Te$+Txu1gDJE6qoWls z{dx99^-4yab@DTFTQ=9-p=|up^A7x(Rvoo&YShkaev9`Ux)$yem-yT`=1G(^b7iYs zfA-x8iOYgBtd_RiyrjB<#q+JeLi_4>H4oHyB@LoCP1W!@*Y@Lt<73yjjb)jWlwF~% z9qF1huE{?wS`XLEITUZ_m#8PdykJ^?@QqW)S8B?eirjV4zL+j0elf!1n7z@niG_VV zxhXcv$r6&YQ}-w(i&O@?8P=MozFcg*vh|2rl=#YyOD4V=?1$?1O+N5^_evZ2?a`nH zRnnf6g`ZqHCo0(FEigKBL1dPWYf{S!0R_8?XL|yJ*?LclYWhwP__=WLF&2Xep8_V~ zrS9D>5>MRwWi4|r^!!}3lxvErJBPv&_C85Hjs;1VIVMS|8i}mn=O}rw#!z?}_cTqv zNg^8=QrdeZbafV-2;rP`OVO$R+nt{We5H&Mwna!fh^VDIgBo&44BFT9e2bQ7_y%xP zzB-av?A9|~CuE+6p-{_lUP&ecVFxbXX-bApAshnoHpTZ=Xf_{v+BHSZqvOwoKxb|? z?zO@*G;E_(4k_4Iy*ZKDoOVWBH*|rHrBdSrIg7L%6C92%6I3zvO3?_A7kF_XGFi<> zTqk&rilz|b1X;7BEgcN5%e*FB;S>C|`T05ayh{@pHy`z4Sj5tB@}_{iWyT(k16^Ca zC(CGZnaw^sZL6Pj#Y2Z{6)aXt%)E997Yr1;7%hbY{UX%99bjazoZHAIulHhu=|L7p zBf|$Q5rNz%mMSljs(9FNc3R<vGc6s0=Dqtw8T=mT3V!>#?4Xfwe&>euF;gT`8`<YL z-IgxkntP9dwRYbFxdcWwjV}Ec8(2kE-QLd0vEjNG!*F4qP2z<Dzx_{hEN<rqhHf(! zxY{uJ>`NPUBlQZu?1gV_ZcA?1YIa4ek@xhm&8>dZAC#mut}S)S6|_jS{5Z$yc40_% zc6N5Xg8M|Ht%vyhX4#x_eI%4wyT#&$)S8~H&fQxNbBa#((kz{#b}93`5qqr@-@`~X zj`<BQ6D;O8>{~x;3XjCzrCxQLSmwvhjC#oG<j1)GKsoc;4@`3J7rxI<&1x0DQ6W~> z_@2$cuJK5I&(Xs*2Rqw;ynV%xn$^lB@h}mTTXbx*c2CTEH|^rtv#$j1-q~^Cd~cS6 z{r1z(pXYu2bLY*R`PH?bi&tmWz1wo;{Mw6k@6J4Zek{B$&&2!Z&bw;%<=s!7r*;0h z^SXb2^wp2W%T4R@cppDMr&E`=ra{==@TJP#D=Y6_S$g+MbKNt0d&7I5gNt`8e*7oo z?jN7)J5uT%T|0fggxmY6dfe<kJ`?|3ajHv`v)>r?IGyY9@@E?!rQiD^ynJfivI%ur zuJ)T1|2|hgS6R2LwC<I;eetaM65T&nHvOEL_jBdSKWD@&PNv&mR<t+mpMSIM_apT@ zU5}5(vB|oBkGx(y-|C|O8lhh^|Nl9XId6XE-1)!Gp6_vMKN)Q>b-sk?>_2z(CQaY1 z`l)EMecZdy<EPhNtJoPVp}+m!fk#h|RUPK+efjKMVAamM?&jsQ#qZw95qtP7?(>4# z(P!)O-kH4KxwCXzaq)AV=g*GqJbSh`&BVMs@6749bvt)P>zbQKKYbRr@XnoAlV)4n z78PeJnwP&TEKZg&Prq{~<J?j2wTeg2?kNlIX?}O<?As#WM@2`Lc4+r{3xspT##HXw z@v5Zo=*ve*myeb%>-P2$pFUl0ola~_$gUmpN(wb!J~Fy=RCGyqx4ZcDUOhd@*qF4~ z7|mVxcr1LM=U%^b@~)fJ&PX@)?_DpRgmKTkqq$&mbbF28yPouO(x$2BZY$n8`L<}M z(o#+#CHs;q4T7_x64}JmH=dKf$CuAGXQgPGMd3X^!?|~6T{<~ee(s%$i5%*i7kufz zH~Hg(4d+g7<a;=0vUTm}yVtT#Ehzk{7wx&+BCyCUHLEq+E$#u!i{DLuj~%O1KGL8c zrSyjTu(ZhSU5g62RO*uTk2JhzmEC6GF*E9+SodochG$=kJ{)Ca4^FXLmSl3PRQZ(4 z9*c;yMJ_k4zdc>5;x?s|VP6DKgwh-DRi}>%rYhYNR6VqB-_wWJ!aCG-_ox08Y12?- z)7cN|IP=c%Gjiey)I0iQr;%`=`@GxhCcG}Y{dm{eM?3P?8?U>)s{3_Wm*dgW==@!I z&jr`rHut>#_Rfn($2#?-cCqf#ds`fMf9H&+F;j|)lZ$2VeUkmPPiRuj^yZ{^bD8V8 zxvdquKHffO)W?00@zl{mNt>Jsqu;uWnlV0+L1Cve*Pm*<Wt%his-}Cy!P()tF^#i~ z)Qed&L<<B1-P}T-rj#u@|7k+^4kkJAr8zNovU|itA?2^T>Dy$l7mNA682*m@yrMYB z+{#z4Sy16w@(uBuBDdUy_8aQ)U)JU~oziaEDL!MO0>3d+iOmuH54^vb4BHx{4Av>X zu4+y?Ak7pKGu_;_eX*A9cB@@8_$Rzjl9;mav6=LXCvm^$+|lAtFZX)><XVB>yFJgI z9Ah^Se)i<o(<Q5(rdT~)!q@$D#S*pKYc5tDZ4#7VU~q6?cr4ApaK^3c;v6=S25l1~ zHDOLJb}r7Njt3(QbmUwgYphCB6x?gDqJi)C^8b_G%%A<=_(jS7pUdlg9;~1Gceho3 z^LzQMAL|oR@|mZ--5<4SDo;6|ShD*}3;oKtKgTO?EM)I<?zz-!_KBxKidW=oN|319 z=U*Au;-~#kjy&+2=l;^_!_hwf&lT&O$p5-O>+}CRyPw?C3)=slsU~YhK^31(tp)R3 zxoM3a{JRdm*tc=-zRCM8$Hxk3efqwCQ|fb<kLpei_f$4!sopF5z5lpPdjX%=8MUk5 zUp@OGC&74YS*26$kLB%L8zpN6Z%yN`FR6(*dw8?zuB64+1e;SY?X}8X`A2Kfr&(v` zr513VKK$(G=0&9%f1dxDc(ZZ;zI8|5$sUv9nxFRODR;(Xi`E0F^{WnFjo&k6o<T1+ z!<Is>cirh9E|t8rU%C9Xp6kPONzD$ud&-$tciyrKDm}*5v}4b2y@-jNTQl?+E|fiH zWZ7cJ-8Jv+xz|sf7Jsk{u@d}$BjH%+-nLbV7VqWL-c9dW9`Cy-Oov5o=E+tcrWRrK zE`gsSjvlI-A^8_Jsi!APT+%qJdb=${wz;j+Lc_=P^xXv~n*EZb3eQYk^tQ9p+$x~N zK0Eov&qBXD73S_P{r}e7>eJXB7WB+7?4i`WH=nOuUuQ0G@^$cxw)5NES6F6yJXo%D zTi}|-VTK-onW_^`&fSxD%;DGpx$df-K*O~G$3i!R^8Q{mb7kvRrX1~)PFHz$lvHs% zihKUAz`bStjgEs~SMR!Uny1%qW~a7}qT%iPubvj0doUOrvT<1cRpY><Zi}M_CoY>^ z*1vWo|DqoC*RvxX1&b%JWG{`+*Df@bHd?3gUccWY?%2}Y=F|81wZC$D{Hl(AoZD6X z<NN#X^V}j@qB4(L-9F!PWaWa_6W^NdetborS9hnS>;H=tO=?~mUB_CtKRK{|W=6l? zdJZ4QKVs)CpEs<qN*7x=PyS!^>ypo|C*SS<%D3X!imZeQoeVoxGbk!Mi#*)8<D|Xq zp6GKI=2_-k<XH8c>4nM$dCu#L<|*2(x;trU%uCrB#>pM)j8~c7+c4+Nx;xS5H~3ug z<D9uxWbKqoQJwPySBIZ*UDM$*S$bR5=Zy;+4sy%cvLqbK_jI<*=kS@RKCM^$RFTR2 zlG~blBWE43>%4Qr;8y1OFXrdpE0{!dvsR}~%yxL_xc2J8+bzE9A{(Wqde$i3VsL7j za5jEt>Aj059xOj~cK4#KOJb#`OIt;*tnYZ1dCY6E0LSiWAzf#etv{}yVc#ftR(ip# zRqt41)zABFzkZ_r*8bDFTg28%Nd%b+8VN7_o_h3s1b_A2kCUznA6TmttYy<GzyI-- z{NpRO_}}uWy}*90>EY~;^TYo%vt93ArQoEXbG{()s`A7PL4{Ny&msvyO}GEGX|c9z z9NbTo-oLf)v3}*+D*nC*?+;!@&wU=P{k!n=;Ykm;v{g2KF0#(KwN|TE@Z*Z?n{5so zB){CyJ{0(L)!wydDvj^WJ$wI8Sl~N>;J^J3KXq|4-kro9sUCfNqe4>ON}jybU4~y` zX0MIy*`wFCZ8qC}>CKntu6%##Lh(_at&tqpr&y-FWQp{?XV58=alyiJqu{okMd@L) z)%^F)YB^TN)g5G;U0UYLX3l#)W|z)y_U@@~yQav$S(R~l!O<M0PcBDlyp3nKZAiNy zmAyg!$BVfV)_rg1Y!ff$zB0FkanHu4e=~&Mw{-I<JMxr#Uh5k9N<7-CU$rvEI6eQA zglpxdOZ;=*h6EJ|H~!wjZ1<$_T+NTg^Ulwcms%0SoZVpD6?b5ZM)P-t)6rA2P9Jfx zTE8o3dF|c*UR$|dJD7g0?|nIO>XeI8_uj6WTiut)^<T5?Ys#0m7e3$lm@%O&ts=mX z<yFp^qdVk(=Dp6HwKnDbi_=Y;1a%~GZ%2Ngb-GMK==t4~HI+L{T=bjm?n>7zIa`<F z5pm3K-AayThRHij3^O=iJ8zp(p!Hmsb%Foa`{$!GFV3>G`rg!Yd4l}<rBTPNnRS;h z{w2jI^fk%F-p}ji_iEwL^o%!MQ*KoGUJT(`@KR#KZN-YMq56|+?!Whn>YSrJHA|Lr zy6*j~rF#3;q#8PLFS(i^#W!u+%?v9;-QFglX`lR0tvc-UWk$Gi@fEXZUw@Fi?3!se z*R4)XJbc=I6N4_><qrv4&x8dFXB+NlV_TiNZPu&^Z=MAwEvFoAzqjU@!9BhU6W8+A zwNL&yqdnwtA%E86V)=Q;zT01@IIG1KBXVZr;Ud3l3zt7Fo^jA~(@sCL%PUKw+if22 z%n_Yce?L}rTFI_8d*`YL1fP2zQec^u@bgNb=c~Ktryts?F4e=CBgL~`amMWY12Vm{ z^Nw{~klrr4f5ra?q3sEE1#5&~)cxz+X`nfwG3<6v)vSm2jwDJIWFM;a{gCsH;p~Rw z{d(VyTykcs;Q2E-(`GWKevNm2kAHDqPFJLId)|?Cn%_+i@9tzaPyD{OUc@445x-M4 z&ja?r(l?j41c;nS&_0`!o+i!fmb_uUTA9)Q#x%E-J88dCS2nZTh+ICnah}KZpX$$A zcOGEdo|I;7ye-orDp9O?*)-G8*KUVRSN;99`P*SXd7(#zj&e)>tnkZUs9EA{J8R>P z$h)&8mMzTly>WfXytBL))|93Ei&$R#x&O$I2nY6nx0`1jI^_K3*iVD<#mi<HT5WNA zJ7br>@!pv-5tGZFpHH>1ew2{H-}%C((!W$lWY-a+W6O7%n4MiS(b+idc*yTr57*At zba-s`THIUK;K79%{7tn=yUrVL<aQUDm2e}Z)c4`_B{zHx@|Jx|+mIQsh3&?A`KvWY z4kRD6XL>x7Lp~uWBrGIu=d}rZ4%I#h>;a`Eo0}751-BQw$jm8X^-9XH;*LGL;BsV! zE1Pbv#))e;6(`Dao(Rm6H7oV#=wmLNP~@&_CH67WK+BDTL6C!?=|sziGaOt&&39(W zN?b~Ikn{N|*xk?jpQ9&Tt>(ZhXXRqXmtqSU-8mj~s97jAD_`-DdlETY`JimipNk@M z=ig6ukP}>?d_~6UZ9qrB2Gv#xtqDi0LbkLOy`CU6$zhkS72hpIMl1JwCwu!?OB}BB zT`YOAO<6IVb%U-)5^rXNvupN>Jk~%({S&)BJ1fg8PEfugQ}s(gpr7><!&CRD8lFeu zOZt==OH~qOS=TP!Qo5vJ&&E3;3y#{Iabrts`lWW>fFYOpv7y7|<Zlkn%02N@>`ojw zY#?uP&9h|g{hfx4W-|gfr<^(T`sDSE|GxbD#_RW(JBodI%psP2&+=USCULe{9pN%o zaE}u5QR4eFujb=~dk#TO?kD0ttO%XCQSI%~W#5vn#oh4ueW`DEOK*HplV?J`XK~_( z*VpV~o18hX_b~qakUqm|fhl9KKj&4AkdR8#kn1P5S$DXVrY~!*Xm)@6x!FR}Q{6%5 z(~_Y3K5{+#^BFf*B{Iz@Pj_9#v~WuGH`$+lJGg(ZaTYdMlAtGWyHVldK`GCOi@R0b z%9j<Ec^qK8V3fCD>G$)tY`Qra&s@I9Tb6Cu`emU8U-yE7(2C^Md->A;8Pr}Zb}0(^ zApb^oWx{{+dU+{EcFCO=dUrI>E4a(-`@~Lkxr$HIw95J&(M_f%C#81GuQN&eH1V{j zh(<;7f`f{odOPO4$V#gGbN`E?b9<+=%#~FlH#c9q8+|BfZHMOF4}yW~)posAv1<7L z%CKkYH^*u&!^KOdez`h#Z%pf#MR5=IF0-u8xllUqlEd{>m0Vk6#wA)&idQs0|J@l? z7P{|o!<3XyWjz1#>Z5e>D&*SbE=*{%j$nSJw95Ox)rwR3UTMcH3`(9_`JA)<lJoG* z?W(4CZXIjyX6lqYH(ezu^zcV#dy<5muF{|W={{c;KUgSQx!quCz1hzh9@}kZc+9rh zJNe4e@Auj4)gFo2n<aINTNi!)-1y+dhWCG-Xj@nBHI#evJ9yHXGe>{_2(Xeii!p1s zyn+4e;V<j0tHL)<?+n^^#PdT@m*KW;Dy90DH;c#|7BK%*U%CJO`|4kH@2~&=op<&U zhvno64Q0z$F8g+3*{<-imA0kfc~f(DEe_e&drV5KS7?&Kv+TET_q2w3ovAcXKR5M< zk)4y~v8ij9oJ*~m)|Slgs`FxML=yMugPm*NuxplW^^Vv$_3eVAVc(j?ci&Pya^qB) zL9+I5$KKSpMtMCUyH9Kk{F`^YuSC*z*{r#LXL!|#rv&b7QQUp%UDq3}{nneV$HYyF zO)d8>n|j~$X13n@lj}C^*4TaO{jxWv)5B98Zf@0Pe)@dVn{MxIscLUrrtke09lz~v zDu?l<w+Uw=zRfl|ee3BQ$<t+%({z5Hot65w=vGha?n>inf7Q-yDzhv;w(0H_$&+;j z>5;p8Y?QC+eai{i|9Z1>?6LVP)6yH;X030U>m$G6mcrHCKJ6}nw+24j4o|r7jzw5# z?#~vf{GF%wR$aZ2yUFq0skcSp?<Y#FzZluBcrisOKw{Vb)Nln3*QPbfGczR&qfUHd z4s_izJ=fWL$&Ib^Px{n2`d8HWEMIW_?_4H%(YG8Xi)6RuRIRxu7}BsV@J^?UVpQ5! zW{wXPXZ<$vOxYeEQ+#3H8QaSeNn0koWe@Ny2)$ygsd&cwhRIGn<(!sp5u0ArwDec9 z^j_AGoGfVhEZIu#rA<p8hko>Izjgi*p3Cli5=)7mx_QD=yVJ2Q85-iwy1N&c?mKN0 zP}_Hwjo~0W3j<Gr18+cI$@3#WZhzZY?(8YBspxk5v1x+S<t(<={m40BdM*Fl6I;O_ zCq5PhUwbdnmuJir*&e@|Gmpb|Z$V+_sc<J>>8%HBcFj+pE1WK6yD0nQ!An7Um6nsf zidQf3s1$ut^RvY%{Qp$BZzp%%_J4i5DrVichi4BvDejrbVJ39kwO?}Mfu0JHEsnVh z19O<y7D(UHofLU`Rn;Yr3X4oO-d&E3CM8Gs5;V5@ESqe(`9yDJ@TQY*yxAV@x$(~= zfX#oAbK{2sHQ8xC{H6AnJbWxPx%ab(UsT)BT*j|-S^npoo-Ri3M*?c=7dFfc=l&G* zc{bC>yk}(xywB;Jf5o!xO3H`7>qJslvO5&9SsAHwKkkkeQPW!%tDmgZch)3f${hyb zl-XC$>#xi`^;9cHqNXR(`s=L@q4I52-{qT5S08*_yCO+8FfmMDDN^vrJdQ&TU*+F9 zn(p<^$ztuz(kjL)9}+WehXt4`KYD8<sH(-{`D#}F)rFISU*^qpGy2K?Id|Ht)6b<H zmU~pZx~x;PYFWmyq~r;Ci>{>Hoa__L9GO0gcemBvru*}}dOIXjzE!+V&R=~i<rlZf z<o+$WXFoFscq6Z)edYb&yCnky0|@h@tfNgyt3X>xt6<g2$PmKB$9v|?+IymG3=9ks z3<?ZP9E@xncXx09%KPr_|NpzcZ~My2#KFkS(2(G;BQBK(W*rAZbIR5ziO_}0f(#4{ z{9uf{Y&SV48_BxIk88i@y|oQAV`F3EWMgGxfEmL8Hs*Q|mmBYC1_m%jadi=rA)wX2 z3;up%WZ;cpn^(}srKckxaG{*J|82e1>wrJDS4<z*ht)Omw||z5lT&l~U=#Cro?+#8 zK}U_*u_qZA9d%Xp)b)zuvT8fOF1<QEB);pj(46T(@l~INUU@Iq-&uL`%hF5JJ>s)I z3(cN>ZI8*XNiV#!;<#!#zbrM;uif|LXV7!+Ir_Q#mi!c&HT~S4DL*I8oNf@mtLEgV zrAGRp`&@ordg47v-*unMGu0E*kL^);w$wo1cHfg9L65zA^mX?w`4RNUyF*`gU&@c5 zhu$svy!%vs2+f$jZ_ksbOZD^%D>F}fH|jI)`|^F#eeYWR%*xL9OZQISw5R3iQf>X0 zd#8LCy5}7hx9XG7)amcyW__A;*Sl2Tv$FHuQVo5_%FK7FJEzavBl3OH<mnIMs;W=s zF1<gsCj3=w=6SC@+AkwB%T@1A{j{bfed(R4@7AQGtKONK7rrTWWtr-&scGSpVppE? z+NgbSolE-C>r<btd9rKLoT*XaNwJxws#m8zTEmjQ^vcwGYg%?)dg+xHW)!=!MD^0t zTWgM_Ej88dU8k~Z(yXaY;Y_ibXT9cYSFXFVQ|P(ZZ0*c-Eon=QwNuxnq^X{nsu#W~ zX5|^LsoH_-Om<Fs;x$owVkBqLQbX;|NX;`|{o0o6uIvzc?A5KUxo*l1p+{cr+LG%+ zb_hN6YS!jl$C9>GU%N7L<!P^&uv?pg9(Xlq|6TiJd(eHasIW)TnfXg~v=bv=p7N^F ze!8}0`=qH;SFLeLUAlYfvNb8&gYJ3-g)NHKJmnP-HY?gQZ>hTW(X~%fRCi3h7gqH9 z;Cud>`U}6CZS5cYZJa0n=AXlN_R6}3=j=P}cYJ2Hwm<Oq;9LHj`hefex8%?KbNI$y zS|{+E`G)+3p9f#_Kl^jwD|=Dhg<s6q<k$Rj_{v^b$MBqerG3R`<}31Z{v9~W?^7S} zi}{lLmY<BX<=6Z?_=4Z1e!(;Lh4u`89cRhc{5$ZOeXjk3KL?-jo76WvV^6P}@RQlt z{=lDuPx*D~1D>%@wO{b(;1hn8dV!zJM)nPV4$hG8_~|%9-sazdkL<nn34abg;uoo( z@Pqk?yv)A?AJ|*%75*H2z|T_8@Qgja?!i;`*t!Ex*`w<OelQ=9|MU02d-huU3!j+x z$-nulaGHO^p9iP-ztm0m&b&uH;-}+O`GB7X@A5DEbKo6&sr`gc%vR#@iJD#aQZh4h z7p{GANJ>kqO5iDbK%K#N=AH63KOOJz&-ugfjy>PL;P%1yd^P?}cOBpJeS6bT&R!++ z=AA=1du3TeI{Qxbw;yd^ma~_Kgy%m<WiOL?@a{nw`!?$pcNyRErIjn}ZniRCk+R2e z*4<MbhwdIY$@}PuPJTgU&|A-KJJfGlzC6dv<$tI+Xx`)!|1Mk4^PYRmGxj)6m)4m# zW!9A4%{L}{v6}lw<<y8IR|!danopjqUODdzXI0P(&n!1i_MdxBoKq-cTQ_xD-%Osg z^(vNvzKbS#rL*OvIaoK}x;^<x+K2K>F;gUeT=Si2vyy9GT)e_`$&!i1m+tZ$_#rB% zre}68LEv+6(dE-T2^Y^SC}x`%v?^fb?!}vDZ(2~!R;>S_X7jQ#w!+mr)~(;S*0^I6 z^9|VxHxIt%d-mo)DSJ`bg<nj!WjDM_C}m$|-LaY3!rJ5R!P&A4_BoWXFW29(_wZ?k zu37gbcbt9jmbLmxT*Gq%lMU+)+4IU0b~Rs;o$zkKS-u-@63+6ul`HIGHnrxs%lLxt z(whgx?DMQ&++lpqXI8#oC$ovQ#pdQSvNLWnKI79XPuSUPWWC}J<5NDha)q7ECuAqw zWPHMR?2W@2zC&*w6teeOXWU_Y%qLd9U`O*2S%r5G3fSAMHSRDz<YOyW*uiXI{bLie zzV(Yu%zD;0HZ>oRWq9`>pS{ld#qGvvvLD_i<g-VXHKekKmo3=dyhrxI+XJWgR=sIB z#rLkvAeFt$dd2O=DY6&dDxBh5@FpRTy~w&^Q}Yg4i<^vh`0kZGC~Lki{o~$(?`+k1 z2dbHE&2Q{&e8>Cg4#RogqWpsAY-M>3)y=o1Z`@n(jctqhjJ=I-cwgO7_{O%ud_i&Z zb?GxZ8(;H2xwGIa+ZywTy^XJUAKhU%#~YOY;ThWsbBo&UH!Wcj0#n)Y@)D|=FG)|h zx8N-AjXMcvdEN3As+dj9IrcKX;JtL`!DqI4<}dazKIb*dUr@<xVs25~d`5c4PR3`v zdie>J%|_-c_Aox>Rm)eXY(619VJG7g-eY$h&hQ?(^WY;}pLxa}#>c#3`3owVk4P)r zd+>p+&0J#-<3nDye1!^T1M?q6%=+dpikS7xZxl5jkY>2|;5}QN`HS6+)1*J#O?b~1 znb+`?Ej({QdGj9W2X_yg;$3y8;S}$?JcFlfW#%h(H%^hha980J?}9rC@7RjWD~g(T zNL%b=yu*7h??Kt6_n!OIzs}q8T;<;6FLSn3PkQHB>366&=$&V|-=<=rcb?nTZ_W#; z7JBQsS^ea^kmpNoOiu7$RD9{RXR%+At>-z<wdxz^ZK;~{%Cpd~sW|ABXTG14?aMDJ z=IS%&g;WW>^vv~3D!w#pvYWq<t>;<Kh3bv-raW76ezJ}KE1S;GOU_O<@pme|G;^|% zzfrN!GtcSjk@G^HEjcw=!+(~I=cgqnCZCwYQh8~{<Rf!Lo-H{(S;jxhrt{;HqmxDa zy=*u?E;&4z$6w2)^TU#ZlUe+kiZ4x{yl2jprz(2txt5wAR1Qr3=l98a<$D!f^^bG6 zlm|_lykSnsQ<c4wKlvT9K6%PB)NfJIrMsTNew(aUzEjauzc^RqsfvdB*|{p^mnKhs z<X2QAbjLGaedpN+<!t+mU(7u?Px8Y#hx0sT=?kozZHx~XH{X%GGqZ87<b!h$%GkCU zub9jDmM1M;!MfSXxMMEk8=e<u9+a{br#-M@wlvO|%lMinEPa7hvxRYkaq|_)Ju?|! z@%W`bNMl=OtTC7ICC{xh4QF|-ok=KRTVxzy+<ZZ@;he%*9=r4hmd)oR3(h4Jv&}Mg zFm5(BJ~5|prewmo2Ssetj5Um#Pe}%xODJNSWZW@_ai*lhxr8%3N6sjm;gL&!V9|U` z(%@V|AzPQR#~j8-JbdX17R`qw1<oZDur(Po7&q%1e=us+Gk##ytZU3Mhw%Z=zqAMD z&HE%XW-{LA`Ia^zl`SHzAeF7k_{40+dpv8-EI7rp;>?3Qw&1h`^X6TW9y1y5@+>;T zaEj+ynu2+=x^c(s#>tWg&OXRx%hUf++xVWlDt^Or);;<cJ~!PJf3Z*DJokq^3g21F z;}V{;?$F;++xV6{E8gLE(@pUc`xczzPKsyv&19utQG0NX_>O%J=eVQd5BzGnD!yW$ z!a42-dlbI1=EpVsYPu}Gqq6ZOw^zKwuO>77gwIW;`VzH`FSxJlQTW2TK;PqY(|PfV zeG5JtY}vS8I74IG3BLuPswTiCMRHriXV%&J7it)1iO;EYe8zod55s5H>G~UL9A}D$ z>}&YMI$3{0jpGyU6MGmwu_nhUJY!9a`|yM5xVXu_hL5b>`T;eLkGKWm3w|&i78lvq z@PV~iU!aC@hImb7<8<+oO2+BpIhBqNxEbO<d}rD({$;PjY3?6!8@@N`=pXphq^*CU z+VLLuhq#2Ntf6rZPg%?LXMAGXEq-OM!zu0;aRN_S1L6$6Gwl?&sdT)<J!cQYJJx*t zg6M<qxoW~M>~6Bveh}L@PxQ??hjP}+u!eNjo!UD#Gg)gNh&}k0D<?c)H`6WAGwU46 zSWCkMb~D`&y%2fuHP^E>2TECs!Y=G$x+c12okJ;WVHiU?>q_m4%}iHB=d3$$mdhtR zU>DOR(JhgTvqjfL9(=*&622gfb)hyxtm7=vnso<?S?6j$h&lL-%Ot!ZjWs=N!cHb* z?E^6fpK|Gh2c)r1)m{*D@ClbnxWG;(BkhKmgEK@sA{}Rl+N?WJ$l9x&5OeSmmq_@8 z9ZW|=W!4=iU~Sb_h&lLxizS>PjWs^(K`Lu(*nw2m=rDmDOb0~&tUZv=TC06w6VpD? zH)|D6b8T4j;1t)FunF6l_J~GAI!+Z0h&*_gYuTOFXReaV4&<?xYERh2v`f?_l5vXY znY9jito~sF+nLn08=?>1=DOqep_=Kw@RxZB=Q%6<7d&U#t$xAQah~u4OUHMd@8%ph z$64TCP|b8(_{zM7Z!DYDC)hg96+SYL;Tubd--oIuOZ5WV#yP@U<~4j}S*;#m>o`aF zfTiOr&U<qhzOpP=FDPcZEIegi!xxrZzXQ)$vi%IIm@W#huylOE>EJ)%8Owb24>pd^ zIW7D*R5G0vUSN4}rf|x<17|o5{0l0XP76=5bbQLG;crmMbW+%5Uc(tq1%HEQEJ=O> z&sh4^3v3)8b4vJcs9-uOtTK<`BTKuwfsNxsP7Z&A3Z{d?4VDL|3s+b=P8Tk)JUC65 zWgf!^mU{IM){gf%x6EmH&r+>^qKHXHeMb?~Ug0Nm8Q!ym`2{>>3Gpi^Z_-rXVC{I9 zbIF{BcPxQ^3{P2#)hAdVoGg4~?tzn>5BxrqF>M$8(|4epWv}uLW2Sq8FC-7X<0x^T zkj_%!Ho>~dT6u#p(;dM(eFw@|wkj`>J@|$r#XTUMWutO~EaP0kJ$(u1IAYut(plCj zC&(Ur#S!8zkj_%zHo>aNynJD4>D(8GgtWLAIv7e>mMa$+GhG(+k#u~?;o*KEjU~rz zLmJCsWdYg7S%NKn3?(d?b`91|=LIYJ78JA0QNAJ5_>AL1&x11@M(zn|EHjjM$TU9X zIMuVDh-HfM44K9!97^sEX)F_zC5)L2l{t)?jtg4!EhuE^QI3#le8eH--eA#mSWu#G zK><sPvV=_I1C9ed4^DIJ=~-}^V@FTIX$~fLhK>jCpQ<&av(#m|-Sjz7&a`TC@QMn@ z3+7C^!Y5n~m^0oLe4}{6h|yr3@&O@jYsP~I_Z_P%5R8#*yvOm$t-+j0TX}^Mla}%h z>4Q@QZ}cw6V+nF|FmKXOZZK-vDR`py!AXusZVyse3Y06P8*j7M*+2N*c#r?hPlosG zUuqJ*Gw+f=@tM&^{>0w{bNO%lWO&D(XP@x9@fQDux`c1co8(t~ZoI*NpswK@dz`(& zbLMsO6FxUy<KIx1@RfO$e8*=-3we*f2WImxsC)2*InOTPSK}rA33Ut3vfrplILq#4 zukee}RG#B6!wdFHH4i>B&y#=ghv7N9nf-#Fj3)9HpBvBc&-lskj9t$@;b)_f{E9ye zPubP%6@E6J;Ggi5;R*Y(8izCNhiV>tWbTvC_`~p+UCe&LkH#bX3Uv=YFt^ET{9$;= z&StOhgV8|#$0tU8`4^uU_2h4SYCOQtQ1{?HbDjK)-wo6FKh!3?XO6UMc*-1Zx8Qr@ z9{vZl2TrlCs%bdI{?5+eDRY_pir)=W_%GBdoMK;4lkkqYNWS7z;|_j{pA2`{@7X=r z)_9-yN8W;R=4!J8yBTezZ`^Ho$Mz|Q;XGTBc|kgJnOVc`#@oC%@)ndaZ;_sHx8V)j zs~m+g<_*#dHaA}9J#(|+HQSS%1*Obuq$BP&ykdKl!*Gr*$oxYZ^9pH;yA88>XXGs? zVO}Dgv6<0KI%0F<Mc$4)hqG)><_x<UO{9O^X?V_NWqx31<5}K}JchGu=W-N^nbXV~ zb~c{ojmTSYhE2=dVQ1q>UXMJ5BIXn`hMkRu(gK?s4WujXG(2XLGC#1R@hGoGo<bpW zhqT3=hKFoi<_<d=5At&4F`QxBmvi7W+pe63(`?&v6bhIdq<`FQxX<>>Y(Xk>jr5J% z4%2uOZXTG*`yy8%pSePM!=^?p=>?k_ck}w(Y`Dwz%1j}ZxkS2S6QhRojN1)&*yiOZ z<T3l1F>G(#&buS_!FQ&8;xG0dn8*Dg&fz?3nf`+6MjP=1#f^8k@9b=t%l#nk!8fLD z;w$ztyk$+(SEz2Z67Sf{@P_q8%!98?#d;5_7%jy!_A<O?4bxvx)o3B!P~3Qhd(TdW zSFC>e51uhC6W7?w@RIdbOv72$YcUC5m==i#6gOVrZirJj%W9|pptA8CcR^gjXQo-= z4#kbe;wSbr%;Zjpd+>>Anz%-B<0<ZdxP(tklf*mrFwEq3h)X!bdL%~S46B^}gNnvu z+y-$8ADOzuJ@zm>V&&6MsAxRIEfAORfvHKHp}0|B{6kTrp7?{JMqP1^Jq!<6|LHv_ zZ`{Y7v6JCG>o>g#Pnjb03Z61miJ#cbaF2CO%z{&_D`FnJV+z(wC~w@w?Xi>LF6*Kg zhEuH1^c2b))x|q@H%#U}5c}XQQ{MmI`|kg)p7;IhpLeh8<{kgMv;O&8n|Js3y}fSt z_WZs#*MHt<ueJLBtN7m6r!}uP|9iE%Zch8>mH(dw{{M1!&&%m{FSl2k?SJMT|K)1U zi{`pn|7*^_uQ_+W=B)eYbi2=IZJx#NdpiB+spWr8PXBX4{qqF-XGd#h)Ylx(uQ?W9 zbJV})h<(jr{hCAaH3!*0$KN|$Ua7bLDYN{C|M%YijywJN@4fU&o%*L=?cRU9cPhM6 ztNwfNy?3wUPF=2@(*CJf|GU54ySX-Z_V2yTQm6jFy73<8o0$ynS-$urlr!xTK4Hvg zBYa}+fw`PFW-`2E$x~0TZoI{L!7rhVX_N2@<Hj4D2mBh&vBaqxq%*A(o?zT~jdO!v zLMhWK;SOU)3t^AB2WE3F@Ox0gl&6+p)p&_>g5QF(EH``-&a$|vD_Aj_3Ukb5c)@bX z=Rq;kJmD8}7@o73sV}f(G!eEiZal*|V<y8h7CrR@%SI#N6>}J#vZ$#mST>&EoG_E& z3Cl4bhchgPd>#}s^$BOpVR+0UroO<U@d&4a--80CHero93=dh@)D<ik4TOIfG3pDy zFk;jbzG2jOfRn-RK|WKR@Qc|E(>Oo)Cgd|ksx_oCg{v(vZ`{NA!1ur@mQ_9tr&!*p z8Kg3m39p#lFopAiufi#o1wILROhv*KMvXf-EoL&@VY#RF;9J9e_8)c&zB5+K9jF$Y zF3xDl{KY2WJL4|?6Q3Du_)pXxn9F{nlHnb5o_xaZhFk0x>=M2)ZsK3@x#0%;0lS8C z%yIGt&l%V8Px#z$jeUb%!dJ#s{2iZfT<6?ku+~s4Dk4K;+Xgm~yBb<nPFhL^4Ck1G z<Uc%PT)}Tq+c2AbhTVcMj7#`4J~No{M|^I$$lhV+aF*Fgp5a%63IC6phUd&y@&|r4 zoMq3jV>ruv&PL%gW13v@LDOW0XUux?2|pW*_*c|0JY`msSNPd*f_*|I!xQFXIc9B( zwAOI*`sl1)@gn0)_R&tgH47IlGFWS9!sN=j$ERhkgZD<IH!Oh@1t-mz=;R(`Y<208 zN3U|LBxjMzQB&p6z7$W3Nsm2dDj)5eVkI<pqJ_JavCyoECdWJaMAB8RP7HG|lJz|2 zu{Omm%UI~8$5Q2)eOFSKm@6-o>zk6Ua(Ci^9uw<HZ#?qcRvBM<;8EaaCA+df<<`VY zJx5ZPoStasK1t?fp^BT0GG||k#ii*J-}P)sU2<z;g8M6(ljl5kDBtXRVtMJU#~S6Q zeJ++kGbaiuyLTB~n%bXa|LU*DdG|H)5Br{cpZLywll<Mfl;?|W<fr~z@on+liT~_2 z{p~1UvbSHu-syATYxg3zNk&3<J!+MY_Oe)9y5mux94gt#f661uuIlVrY0lFgjq-OT zJ>M(enz*TE%k#yS@)Li)xbj8$N`HvG(dUcL-1~dwJAZb3P(FFMU%=k$PsX>!NBbr0 zi~eYwac`FYTWj)6`9!~xz1E)-r7Ao7o$Q(ZzWA(szTe9J(I1b`XWVDXU;KIE{o>R8 zI`*snXq<Cjscb9RdCH?)*;n%9yTu3kch!7(s%#*iSZDI<;;jB#H7>sbU%Kbn1%19a zyWhn=>Muu`in{z&$(L^z-|zoW^W^mRi4WXs<tu-7oOX|9un+p<k*9pcTt4yVi<9o< z@(*i8woiQHR$$ikIdEEUkol#%E6Nw&?O#*llB#Sby|a!bRoPbhV_iw=Vq58zbtd00 zPU-bCKlFLxZMP!3u1y!`_J6Xwbo<43Wp(MRc_!(LZ}jGvSKaM6=Uy#+Fz-p);yb-* z56yPnJ@I|9mh{@XknMu6-OHpO=B1=BzSe)wY|&kh5@l2AsW(p)FFx0MA*Up5@tNK; zIW0RUK6RTeotbB{OYpf{rWw;+jgrNedVS1)-SH?|e6m-`e9;|_B4tDA+`KDkiw&jM z-t0KzHdXrR&5n{qw>vM`h*V6R)BP;QC0*%kr<wYrIV(OZUGIJu^Q9{Awd)%3%AG6T zD;bD$#+7(f1U__a6Rw=KljHNE)19|+N>Uf^>iwja#9S3PultS9mb66|?{vS4nNoG} zjmv!Dr87NB7G1d2ouj{NFGsoZp3W;iCC?V^?0#Zaq;gC5RZ-ww*Rpc)z?~~jxrXUA z?e;k3S|uL4ljF2&q~5LF9sKVX`PhiRjN4K@abEX7y-&MeoOYce?i;64DLAEjQH;y> zz!}}2^t|?Xd|Y(2TS-4_&xwzVj&~o5X{oq4qgz2=saWu_%W`SOyeT^-K5}c5Hp~+- zpE$eIM_udgio(Umd&SJP=4hOA>6gCgJLP!BDYrPYSF<_FmF{*v^Lb)5aZc|Yv#Q%1 zdCKa-d-F=lFXjurck@?sx|@-wtRcMeSKO8Ai}QLH<+yC0c+ag)TGo#xebJT9dpRoR z6Q8=Is;%17@nw;j_{N<XXI&Qy2l_qPF>z|Qi+)gX;0xEO!WRt>8C71K*?mc(^k&Ct zw`eo2IUL(gxrOLy?LF~D$y|JHoXYONX`K%0hvu9(<1$lxVceHAWlib7xhxhJpE@`2 z|JAj7@_oW%=iV-UUcW2m6Q{Lv$s5(Y_^@zK`wzJ!nP0UlJ}o@aZX<u|(}mgXcc%DF zd8&A*{hE!*uYl?8cJh;IGCnOj-)$qmspiF}h3DEW<ZsRCc)##&=OejYp9E&N-?CBp zJ>i-24E}|c9_L*e{7%^{`5iE?lSBSe)AtF}+kNCu)p&eWyw`rjM&#K-_lpa|w|72J z+cn#xaM6+W9Qj4HFTO3j+8!nU=u^N8=VY~AMuO9hw0p=~)pC?71)1`nwF|MHDEG*v zU0BlZi`m5KR{TfpSbhu4?c|a_^f_QwdyxF3PZ#ENep6di-SKsyG5^F$jdRXZ_zxD= zzVLqOlqr_ASzvbaGaHwu3oO_-%7s)<c<Wfkx2X8SYo~0vrs@+XoeTMGD?Q#V+^NBT zQMV**;m+nSGDmLjo-n!f31643$JYf{+n4Bw?3ysE)kR#YHsh3YIrm4sE$IvI-EY4q z*0p(;z-y<q+$SSXlrFU5KB;H2Ti~tJdhSrYkTk{9t$N}{n**LXP3B%0=~1kBuGLDM zDfY$ZGQ~TsZ=UE)Nnd!Ywd}6gr<xP*7Fy|Y^XjSW5SY>WAcKFFtw)*Sq1GQ_MzJT( zI!)nD)Z4Oi!ds^bu}hl+?m3k?uHX-yDcq92aC_?=zF)R0&N;;=h*uR&c;{HjcPm!o zox=U*2P`|~RMHn}ayweCC|w`a(Jdh3?{}%S<gi7Q9Luu>`s}HeE8Z)Zv;URd@>D^O zT~$s+qNVlYf^&Lx;e4Np1RgrJvP&w-nQ&B0c*Io3f5=)RpK%}e58D^HjJt~1&&skq zU7+F5*JOR-t%5rD3*Jr92j(>|lPM_|nA*JRg{;eW21BM4_7=;And~-p2R<_P@+Z_B zc*HCsKj8<%5q25710NV$`4wsoJYZ&#XL!aKFZbXnW31ePr;O2Z0zViIu>Y|=kneDx zL6`Z5+=lNBI{XJdHE8o+sCKx={6Q|^DPyRdL+XLM%*$*JykjiopYVxc7rRR(!xZ*2 zwhr$Y{pAGA51eE);4k>eu!DV%?Sb4S_b1l4zmm;7@3BYurDW!JhNLGAry@2=pD0>z zy3v5$$T(nD;{&!LqkuaOTbcLrs-!CHW~gO8`FHYf|9P?Z?$y`)|0#PScfl@Z5xE2T z3oNfObZlX|!t>-e`;znpSIjmuGxE7~q$!+jG-H1xv!Ynxe4`!vDH)EE1s58x@Pt@i zkbmNk!qz48qEO*fqZIq38lwwK9y`Ray)v3Gy>TB8OPa#LMmBaXnH2>JhwDG^v{(c@ za_C~#<vUVgabe2t#!WmfsS4W6iIOW$+2{UCR9X9X`B(Yi3&B^e)O#^*FZrqD@xy<? z%zC~5mWxmR^iQ8te<|Rj$shKQ^5*|{>^pRA=H9=@`SRW*KAQj7KIO%$WqXd^{q}0n zrZ&ZwpvHiW^3%SObQNpmhkYg1liqr4Rle8<ZXA@lb;)v;saPrR?K4SNxjykp&lM}7 z*B+~tSAyCY7RpQezND#Knds+UWIPGf#F*H3B~8Uld97q-iOR)^S9(OOgkE@Ly8V(l zS-j-jL<{#@GM>dMCdzXqHH%e@l@t3)EGIqnNOfB!(^<6S<U|$sE}70V9ut&%B|Xo0 zB)V0}oGe^&Y@&pFl#FNLk|PrZ+^u9z7A!e5k;7d|#<M`hK)F`(<Y|vsw?{^orcGpW zXOelDud;vQFSkoZLiatYl~W}-PkV&BU6R(!SJ^XhO;1Rwik9--UXxUn-4kCynjL{| zO-7gQcoZqyN^0h*s4E}r{bDxhw)=khpLJJ$U!2!pVSnlK#dq$z<e&cJIPbnw{_f8c z=iGOInl!%y-@0#+KU=5rJ8*7)l6}+Pj&tto<<I^+@m1MUerw&4Ul(7wuaZCdljEHG zO8LD%IleBw+<&Jg1=N06BER)#$65DmJE^}OUzAPdTkBYU1<vZXv;XvG#b@Pn{bu%; z{&1XiPq#bu=foNJ8S=4pDL(^e_G{TM`jhcV`DDM6z0zmFC+^91LZ1U?^vl^7{aNu* z`B=Z0eb66`kIF~-`RtAUtoWdOsGrSV=nuyk_jtQcp8}`%@2J`Gbn$_H2K!&XPrP5e zuYXI;k?$ApxkuU^`gCz>{};Pczd7D3Ys-iJ>^S9KF7NyE#Jk12`j^zWd=H$`zo5qC z`@}o${&rozPn>iwkl$PTWZT8}Zu_La=50w=zSsLDXUp!1@7yZQ4s8y6=T>gEX|v!v zx9!q5^FnqDzIEFyeKIcu)L=<4U$pt+Yqw&vqPrgF+}28O%-gbS;w!g8v!=~~uiWy@ zobJ9TQ8t&JnHRE4@TFU>S<>c<vwGdkh3<Nsbz3Oim^USD@%dgG^H+B|iWi^lH8FSE zd~s&4k-5=k!DnvMr6cn~(iWfU)i9q0YP+21J(0t*^Wu!&BRL{zi;wrpm}lMTC|rEB zSH#@w4oBhQ!@WG_T6a1M79Z?oF=yI*aeD8boGYoydeXT!H42mu^!_vZbbCd<vaa;U z+%4M!r}b{gDM?k{+xyAv(Crha+(OM3ZMt~ZE!b?+?G<^-n$j0@MN*YDq|fH6Y`-|U z_mNrACc!&y`O-UMzf@0r@48p~?aq$xO19!}<Cath&g=dVGo||C-0n9qE!7v_x^5G{ z8s}1d@r~;y@vA#EzA4@4ei8Gf>f)U482w4b;C9s7xFuDAbGjdZnn$y{1N5!-o;d5e zOnhpbOI6_P?i(>%o-MivYb7iYU%K<fXQlJqR{D?jWPDaS+kGLX<k_M#-DhH2Dla~D zohCkY=Za5Cr@GbjC+*Srq+}%S7-#ZK$xys?=ZlX@$GfHUllEkMR65!%r0=xn#RsLs z-CX)gdon&K9qc|3^W~|MzIf@*j?=EudR%)rJ}lbb{YUTBZjaNhHR5k$r#w~C5s%&J z@qW>s?hksKiUOy0uZSrrzc{7)jovCyBdtW-btlIu*JAOLv0t7n+R;5HhNb-CZPz^E zzkW}w1K+z;t38_Qao%OO@Jqib=}I=jPyI^Lm8^vy`juEueCx7R_@bYQ^~5(WrD|Ps zIm(o*g!lTHq$^$TeByJ(O7OMIYT=cBBI!yN!b|<Wq$yqL^iwY~p7_#bsqjR<D``q* z!fR({lqg;7yy7EbCHTT6Q|;HB6UB?pby}$3n&VNdWFkCwrbe-nv2dbai6x{hHm9R# z(aBB~^{zP`XIv%-_s;Y<<C3UWHRnX(qGO#B>QQq*O_xpqb*nii3Kkve<WQHI<58ew zAY421#A%mUwMRx5r*$%^GtGICue87Om)a#G!TT=N!l^SkPP>GwU7D?tue7IgjZa9b zl9upYUz1d&-JP%0CK(CdbqQ2!GP-!jrAXLzrbeEUy6{2YFJ=>OJMZWJX?NxKg?a52 z@|Qkec;~!}|7j)1dFP${cPmevbKb#!*DmFEz+2}n{AcY{eh18LPm*t{?KtPWp8ss+ ziLZ*5{9Emg{JQYUc@_WBN{(~REBW_Ua(rEQx&4k!%CCTz&P({WR(70q&X$v^_4uM_ z%HL|o@+)9gyPf=}niZcF&$XM$U#j6a>zpoks^-KQ=NbI5b}2stX0~g|FRID-q<FGj zNnYu*z!T?WIib%1Guq|ki)vPUR6N!$CLdIz@lo+eJD<E!&593-huYcXg=#p?ILFI< z`V=s|eTU7Krwb3XGsyp{KJk9xzV<CPN4{UU=Nu__=+lL%?O)_hRdc*o)aDPZ>^S9I z&hJ}!;@!es?MrN2z6VTcUtnYMeZn1Qf4MGD1FnF7ukDj<7v4MV<Nm6*C0+4e>ld9Z zyC=MJsuVl4IpCdBx!9)70`Hu*bKlep0X5GybDz`;Nnd!QH9>sQ<_oW#ip7dzJ<d6; z<=&{bW!HpPPK9Dkn*&}s<%>DRz9>;N=bot-vP<BlQ?6Lj<_oi0-Nc1rJ<d8U<ZjfP zlD6=CtBv@pn2zFwXIo9goi<;X*=i(iv{~Sp({%1gy^yqpr&=|{XT^9FEj-bBLWgDN zg&D0!bVSk?9&eQq&x+|NTzIrqMBFQeqj2HjRvvM!n2v&l2U}UhnKoaT-nvKUN~)qB zcW$Icf#QMIe`24aSL7?|a(~p_vOQp0>js^YRK>lmpTrJDpE%_dDz<3Tg}Y9{Vw<8@ z<SA-$U(^*zRn*`<tE;m8!sOORVnv$-?l|Rh@09&gJ>k9MUiP<^9p4pf+26`7sScRe z{6S_)^@X|3Z)94kFT8c!#(q`KrTW4f$4%^4Ej7L=+-QCw^QG#-oaPw*NyP!L9oMk0 zm0MC3FsJ!}%#^ANvzr6>t!z)6bzH_iRnDa<V0QBjnJv#2Tx@pX4=N6L;kbZ(spX5$ z3g?@x_#fG1d{#Kyd_kt<*@838XJlF`FFbXe#y-_@#V3VR&1(FUY&1S87_mFbnLJZ4 zWN)>6@loM;vlM@lO~yxsqs>D6PBt$-C>(C);#acC_@HpG`GCxqrwaP)rIsD19i#cU zY&bqF*x&qz@0GR3X~!D&x3W{7D(JAsT6(-+u&4P0-=?B~sm&{7O3E)xX@0}E%G%?U zV+p&fCC4epV)m1=U!E-3(L6_nrToHe$2{&Iu?_E;s>C;>Gw$KOu({zb>kB=F^GqLf z6v`RP#S+pPcX02BZFtL+CGN1h;U?<|y#?o(lEfKyGgxs~#2%Q#x<k+598;9|fn5z( zSy$*OoMU>RqfpA2FV?WD;WF!v$cC3pUg8eB8qBy8HaD1ZOT;$3V7j8CP{O!?+hcRX zdDaTO1;vbWxNpQXJY%|`^WY4Vk$6HH;|%T{F%3_dPU$QtVw}P~Bc|aAlajbY8sh|R ziOmd#+#H)5j<Z_mEhuE{;f{!Dc*G<m-ms(LFsp>#f&#`CZi$$N2TTWa9-L;{qqE>N z(+-`4(@ad_3_BY3v;NRskk1$;c3^wMUe=UIhH0!Zkq!5lK8ZDKXVB(ev57&8dq?zv zDXcei7vwPpi8*X<(BN*^)UcEFgzkfrOpnAKq%an6S4215W~}3XP~C8k`HdyRd&Vy^ z3EvrZv7abruwg%8dtff}4NHc1jCuSC)eX0pFUTc)W7x#LqPXD(^8vYrbBuBP2G1GR zu}>&&xW>FeF5xS~D)x?I1`Bo%+XJ(i7sx&M!jQ+8P}OjWd4k-6vy3-n63#NZ@hem@ zn6h)&GQ42CB=g`i!#wsEHVn@h&G;8oGMKPi6gQk<o?*%Gj8Tt2p|Zh<eT5CfQ${s@ zh02B#%o8jbo-iJhaX7<xNan#uhCcQT8-~Y>V*Cp#8jdh4$UXSL(8jJ|!|;%ijbEXH z!GQfo5raPaiy{U+_8UbF2bdY;9=vC$V}D`YFpc?xY{GknNWO-r4B>nW${Y4DKaf3e zigA@p!zsphd<IV$%Gg&}H%wu^AggeSae++2JBA|milT-c%odgmcNp*SJutiQ-eDi} zSH3Oj3ilen@NBW3@Xn!<?T~T6JBM<%O~wN69JVvx<O{JDc<Zp4`6ORR`hpvc3G9oE zFT8drW-F5QIOnjIc_ZHzs|l|h3fY>B1711gvpLDWC{ZwHp2-(tCGgTAmo3To!mLI& zb|G1hvknWH8~LWBEjZt3!~RO9qj<sDMiX`?;|ntzjo6Kh1)e!fXO83xNn3ELQG<P! zj7QOe6OAW$SS&BhXgtCrlD6P@qYQhNOh@5@qm3f$UNRho3l2B(uxrV56f8K{$imKK zd|`Uy9-b?y3VO`Bk{SgH2O9seeUe_0ub|8Pk#~!Ez_i8<JSC|LdmBHo9g;qA$|00( zk<o>_4#8}jq*vrAXfj{q6-ialU_Q&MVt!$A<0G~rBY`^(`OG{2e*WG6-hS`@H$U6I z%iI2c^KbEQ|9SNv{!IRTes2AnKh3|-zqQ}?|H?n--{;@hZ~A}br}{Vf8}%>#eExNQ zPJPV(iJ$#n+pqb*=HKF9{&VUd{F(gg{OtOG|CWD`pS551f671SU;eY}Z~WQ(Z2rZ1 zm;ZsE{a@HG_`l@m^Uw0<>#hDj{FDA!{%rk)KgG}HpQ%6dr}^jkr}oqSPx-n0ll-ZA zwf__UsDF|-`tR`1_?f)n|CXQ6Kgu7km-?UhC;g-R(R!i(j(?tikUw0{^<VK%`Um-g z^#}fZek!m3zvO58Y5VAZoPXFq%->)C=ikfU?x*c*{=fM<`Ki3l|Cpcd@8|ES|L||) zC;zGSEB+LJKR>1Z&A*ku-A~z<{CD}ue#*Z1|B1h!pUmG;Kj#ng_w%>y^M3z%_jtGe zd%Nmy5AV94x7+>u#k<Mr@;1Mpyem$ZxBmU$UGeVzw{}~9UwCJ{yZ?<{>9@|i>}B#+ zzxTW|PM5!4`{d2#UHq@@R{vh{PB>lO;`fqwpVQ>8)cSod+}!`tZt3p{?=GjwoBdvM zGyTiD1&g@$+AaFM;--3u{Ds;}Z$9tre{MJTcfq^OJNuv6Wqh;T?ElOz?c2dS&x_<w z*PeP4yp#W_-Q?dM?}T^q&#YDWF1fjXM(v?DpMPAp>#Kfyc?bVvyYAl_?<Viye`MGG zTjE`?#WlN@-#qV>cks`s-S_5k>U_Q51vk@A+co}Xc=vgG|9!jK-x)XC^XKoa-Snn8 zb-woR7jGwT=f7tc_HE@R{;9R^zRi4;yxsq<UC_6M)tU1(emmSu&y(L-JMWF~_WsGW z55862KK@qCxbmczpV6fLoG06E#_c|lC0u#JiO*=l;W{1WAII(98TOa2c`Wnz$1$^Y zhP{{Fs*f4<8TQ22Y`dwaH~Y=TqkOxMCe)uzTE9DPW7keU!>;Yutg_em=R6XcTyaE+ z&#=S(%|pK8io;Up4BGT_9<E-yZq}=nOZ%i=EuWh=>m^rk#UY_SgO=%GzYg-{6<p$p z+t4K3^MF};#{vHbjLNlJF1iZaZn@ydZE?Wi|DD!lt*J@cKG{92;<qHY^-T1I6V(Mo zeqQ)xA<5))N_O*iOA(a@$E&<DIW7e&9x4bq>K^KsS5u1EQBbPrG*Q;7Lcmf<g(Iv* zQzpwK>w<=pvNn^TjO(?g7FPqNmgD?#X$m|FGk4WG%3k8?)`($wsKh3&xP!x>`^P2@ z{q7f=IP|)2Z0b1R%AofsU$CzGMRdzF*AKc$`GS#Rjj4j+Vhgu->~Vddd+3zXDxIcN zO7FxBQw7VqS46i=alN3cbV_M~PEwv=QFq0rjvcNRkt}zV?uk7t>$vayLvGP`foi^k z)f~3%H*8zpDSnb+I<Hv7U-(?0jIXh}<F@k+xkcXuwzSW%ZF!^kN=E6Mz=rk(#U0n3 z&ses+R(v9}=&Qh*_6XaSSBj5hn9eB%@qc_Ku%g|<wq>^S47o*L1eUaC6myuhM-+El zbncLII;-f!&sf!A(*DDy<+-92|3Of@H$#r;tl~KtrOyIse2tYIr=26@7M)Sl;&-g< zIO*&mr}Rl6g^#ha!?0bTxWk~m!lvc1q7?tZijJes8gfb>1v=U-Y+4>Fa`8J>bR2Z% zkYhTdxKHNLX~kVKO{W#N$tZmgXlVao-Ev>?7vI9C0yXV7tX-x#Cs-bt>ij}h>AgTj z`-Y+pt@Z^)9lM=<EL-j>zT#7SDp1niQN*FqKEt}@j^aESrFQ~;e2nEC+nsmtJ}MX3 z*Ze~E$UMgnd`{;T%GeiLci1!^Fz&eHct^5juHyr~M`Z%rnpeoOyj4hJSG4Z1YVMF_ zd86=x=TWIZG225c4$I~YS(eudVeAX7IxLzSj61G4?vZ49rQpZ@Fil`tvxY3oONCoJ zO=lIZ@g$W9ENTug?zrICz^8Op!H)f*Wyd+k0=}eTfmzKC#vR7ZCuCY?IwtTvDiWC1 ztYO@7$}xa1sYqZ_bB7GeOh*U4q%#Ufc$Cg4$gw}P=s4zRz?W1g(ADfA!}3Uhk3G?% z<B+2OUs8cUQ!|5chko-1qYl012Sy#b%^Wf;4;22fJv8sw=a?bMa$n&a+r(6X2)4ph zfvV;c(k%BB*6=JkrLcnMQJz3BTcUZ#E=LbZmb(gzc$iKpJY!Qd?@({<kZzgmc!2j& zu0US>kH5|D<*WX0c+S74{=(<>yY?^sDV~@A@JI1GfBC<J=lnbBcl>RBE1&h>;dlE@ z`xE~bo|8}d&+wbws=ngy;W_p@{yCnLkNSV$SNm1_75@~^$v^m`_?18ZU&F8V%l12d zHouhj`tR_o-K;+0bGvE1#NXx@@>l*Se&JtG@A0|)ynV&Lg`fH7)Zh5i{7nABpND7U zjs7P*<DXH#<4^Nb`BQ%ue&U}}KjTmH6M3co4$t@})JuG3H>~IQ+<x5N;@`rL{5|y% zf0`f33;l2S(SF!o;@`p#{4Mnof0`f2ANcd|wEUhw3s1}M_>*{Ap6NfskM{lcKmIO! z&mZ;g!1wmO_9;J^r`gB+Y`!P|>0iTlcJ2BVpV+nPcl<s)#s0?Mh41)-{yBVa*Qjs! z)V|aH#NUS}<sbcf@PxmhzT$WDZMnMd4|ccTvwd@u`MuniH;Lu^yQ)uYX1A$6arf|C z+Z#8T-^t~DPuSgl%l5*%#4`R()hjl)->^OKuJN2)+;@X?{&m$8Hn(51-S954lz&xq z$7XhmYLB~zXWK4#_ppRN?_0vI_Di-C-Yq;UcjHasSvj}w3cJ`%t2yp6zmU81=3z1a zyy_Qsn4im;eP6JX-K5%LbNd<F88?}q$?1Jh*x7DWz2Xk@Q#rNo3On0R*iN{~{6y~9 z8^<$phu%Ca<nOD_xWoKdPVD=F9qmVK72Z88;BTwexWoKVj_td`4t9g;ADh_qt6ywl z*Q>s<sr`U0!@Gz1{B_kYZZ}V}{qQz1pFi?jLn?pxw*}kV_t-vod+?OpsyB_N<lcQV zNaZi9UU9p5itUBBil^ijyh+UCFRHHC)V{;k;wJMQxqIIpl(pZt{&8>NcfRU(2ddd^ z%Wv#$ekc3s4&!;*qW1;Q`O4lkRJY%@zHx8iH@+?9Gxj#Wk$rVX@f+WU@&(21*R9X& zY<?~K<j%scd~3=h_BOwgeRPNMoNUnh56}2klw0g=o^3tj-oh_@OUg5f+0Du$irX(* zcieM4E9>;0p{m`a{KuZ==dxDs4^+0Fwa&Q5cvkk@9mUUlY3~{;+fQ3Z+*^1?R_nb( zW&25Mk9&%r_)^|6RJI$I3lz5-lvnI&ek?2X{y;_hQEQERiXZtp$}RRZKa}Nq?@-Zx z(3;~O;~Cj~cMhJG-F2t&wCuJ!iXZqI%75%`zAyXh-GZllHRU&UJ5RGt*m-!W^^3cT z@A)dqHx#vNl`kl2-)-%)v-z&<t9J@d`AW(=ir6*EXY6jiBRlVo;yXUScMRq2+pTw; zeOS)7ulU8>!}BaZoO3)cQ}%p;b-PXR0ps>NmUm`0&$WDT?qM0<w&E3YncvE!Jy)=9 zw<_+K%lt;>#hHhte8tZmSg~6cXUt`OEfe;9fmORjaf5OD70W#{nP18HJ%5nKx2#xW zF7r#7TW1>2%3M2>Si-lcIKa65f@Q-w#j`SY&mUN}pR+7Dmsrd<tJuM~-MIL~oaUL9 z3FjUb@l7k%Fm69(8E`JKh;LGH#~kLFmJa6<&&V7(qj*L}?)d|Y_G6X?=MoF~x{5vK zFh7#vd!As?e#lbbTw(!VQ!#^ayMFNpqjtUG2S)9>#T;{(AISWB_Q1S-pJm2O=KC_= zo=r&Qi+EO$%2!o<Vm9+VnKfq?o|0K{=3yRR@UsN-_Fa}9GnwzoEIPw@O6J)!1@m_G z;*QzPlPwRNeVEIam;a--`Mq@2{SD7~_vByr+;-Re#XiOJ(jWFHe&;Q}m++i-NB)l5 z=C{&W_Z@z>-84V3Z{a!Vr27oN*{t#_Y7fsb-?7i}oOIOv1Ham?ny=WWcuxAk9>uS` z`S%)rwOuyfQQ7=b+Uvf<uQs#%gwJiJ`4Y9wFQl*RQT)QYAm8J2+j;YfeG5PH&dI+~ z)BH^O!k&j`q>b(;JmZ~_zoVx4sr0Em3qSEr$)8cv{6t#mzQZ%#3HcJA*$neJKDQk= zx7fGvBX3WBL{0M}X`%ZKKiUqPOYB?tfwv`JqNe$Q^npDOPfPFFv+%U^jy;K|rJ3$C z{Ak;6{$uaL_q<W}4t#IhYo1ccJk30&viY9$r+W?G*|hUld}7ne-%)*diusMb3*Yeu z-E;Wfrjg(9sconEiM<a`N<X^y;0bR*enoZjZK=BJ4|ccRGkp`u{9fwIn#6M6UD+o# zv)N>yh&?>l^hPA}JE^?u3A@{FnO<0zSjM|4d&TCq8>R==HJ+1-yKa!qyDode=C*65 z8`dS3@~+D6*vw{;?Gbx;w&{X(4@-FSt|jbhyJR|H-NLg{H`XMcm2$hTu#3$!n<JL_ zh18`r4~u!{Wxt4FelBHpeZfvPlWdF4ZD&kpL^3~<(z~9pv&|@bMGW&(DYfegJKIi} zPKacFB6Vzy;~A+#YaSNz_GM?pFh7<OyS`vY+YwWRbq@=8+p;xcm>){9U02w_W{~}3 z6Pteai%o2L**7+|9WZ5B_b{KgF8f7v^EA^BYZLQ%Bd;~2@`hhqu)S@M>4UWgPf4v> z(|Ahi-8F+$-m>f!(alp#FRWEOCADBpVjgc%cEzT)9i|qM%y*>jU3*a0cHj8NyoKL+ zs?Qy$X0uJdVcYyp^3xo~^O8m93!d|ooolFWyKQ`9-okG@TheFPHouX4HAnFq&xZ5` z#ckJ(&sa9UmV7d2;a8qD=@GWguOuJMVLT@pbpFFLo)zg9w#~DRXUtppg=a~6MlqXN zdPH&CMdOZnj%OvE&NEcCnWX=)X?`wgb^btQ+gam`d5mWz&&^T%%#(Jmp|b6?am2iZ zXC$@GJ5;uvH1?RM_=zXw97AQBVY)zZn?ZVoP4i<(sq+Ua+Kw7)%v1cx(~)jr)BI49 z>%2on+d*TFd5mWy_suzYT5{K%#?zA9<|uyPX-NNJ-F#p2*SQ5xd1}&cSUXQMPOv;Y z)%e9+#rHfF=^KjLw9*$8we2?cv24C8`Rbg)Q=XFajv_XV^cmL8cO>V{QGCbacaEXF zZM*S~-iPHp`;uSC9-e3Tq0jNWMA`8L)@?S)2aMb97~YX=o@@A^?_n9ww&WGE%x@*q zjw@KVStWPKGQW{{(etpBr})?dD>lpI3|Z#a5@E*|ShZOsHyF2FG2A1`{7S;__=7Z_ zWyu<{%r7Nw^)#N9xYm<c!m}tjz_{&#VMCwdSqZ!24=mfx85Z;<7W2$Xb}();PCg;i zJkv0t?_m+ov}6tAwo`@yeThXplaf1Rm}eR~^d+8=IMSndMndlR1B<p}h6a6!g*;u! z9x}|2B>0XeShO876zEGV;Au)`FmBUN{$SLmm;At}O*ffChWUZSzhe)~+x8h|NHX7- z_;zeUDo@0*f>fTW<P*}&_axTzEIcK#qUT{APw=q>^R``v9+J#=B^LECo|1TWOu@WO zJ-I`=d9vYw-iNt7dGSB~HoX_G+P~pB_n!C*pIh(hzo=6@FaDuM@jG|<zJ%x8JK}fz zZF(!7wcp`)>rMR=bqmjlC+%nW&1w~2@%PXi{T+3V=ftD-ANbXJRewdD;yLjLHHu%k z^Y=CUYQ3z#Bhn#|<sf%`E%U#WXRl5L&kxsmp}AKeb@dJI;`kM}SAFFU65B8EeX_z7 z-5+%a-*OkkR~YYkkh1y|_p*46zf3R1-sMcyWZyP1u#9_EbV083F4haWM;6|7ntMa{ z%uS~kkHvJh7j(K!K0Bw?dY?x4=J=a8nWi7qzHGK8eqx^EsYICv;p^g$<|T4Z39*Xa z@SCfQyJVBtvW$tdi+8o|TYvA!#l2asynCJYX!(8ADptFweMZ|jpd&uxGpkvA#OKzF z`W<zSXT_cNGyG~bG48E<_?dg2xYf~^2YWXBWIe0DV5X+u<A9Rxp3?T}ri2|KcU7D2 zP5CkP!PV*O*$%JJTdwta>h0U%1<`wdYJO2S^<Vf;<=5qmH({moB<g=9JzIHsZNPS~ zTCcAwug+(yS2C&TDqS6R?Zo<ZZ+z>P9z7j0IX?F%*N4^n<{Qo5bf@cF*zD*)yQ@F0 zBt1->wf@7rTQ1E%FFy;fivQ?4@t$qyuc>##DnsTAti2P^I@62i$<)c0=L^*b&AGE` z(y0%c=jZRS`1j?<mFHo*%eL)G4y|_GKJ{(5f5CRCyIH-@{2TmlUdp?grhRGUqiw(L zbY1?ed1JYT>-uFjH&>OcI<Yn^a^*6g(C1cHV;+Tc#4USt`_!4R^n|C&7A1-Px_T!h za-WTB+Uh$i4gIG+tbG;udF7F{RrOlMvn@qqrruxwWZtL0oTu-Fm->J7ds((|*49OP zCr$djU3A|1KgV30%#5Nm?_?EdJG&g!v|8=D-N$%gSVds!sy8vMyF=qc--~N&6m1Wj z?(QkKG}=_#N^-0B&XCj<Pi8KDbYbG6Xs_ax=H5&7SfzjL63JRU^+D){k|#Nd%vD)j zQ>(5_TWHnqWMZrJZiRZWyX7U`*5zKa4`_xTv|ROJ)s@9;?OCp^ul9OPom^19BK(Hc zX6K8W_?}u#nYWl_b=>-*;;An~mlwu7<tg2@a^|yD{^wVp3TccpbrbSB7n*iXrnNle zUTDUuYax+tv7Jm$xl7giXD=3$|NE|Q)9P6^r`~EE*PnB<=&GFf!PR?e6gP9nE5Btm zjz6%c;3w;8?$mt&n(m_J{PTi>FK*btJtcm|pQb1NYweHz<UHrU(thH<kYATy`L8Of zOWJ!V^Ox{^={@tm)m>WjJy?G3{5SKSl<nF)SvKb0`MFQt97$8ZetoV_V7XWC=E<-8 zR$Fg;_a#l;e5rNiyDQ5|mz(U}Z@rWEok-ep<9_QvE3>SVuP@)pELQh3@GU7jw7Yrs zZRY41yM<@YHOhZ<_vCuM_r7b5cUBe)E67}(&6MsGdbmYB-)JI_(hTG1ykD0WE6;hk zJ#X0!eV4k%GvW&S4W4l)?Gt#$-5*~t$McN1)cylMT94{$)G2=C?npUS^YFs=riZPE z^abh?KX5l0f30IY<5Qh>h<7t*WMo>wvf~$HoX?%quld<DUBBcf)ASA8(fb5`upZF= zQ+x2ex^euA-%Zoz%k4k#J>*I7{P-Kcou=t0{5&*O|3$6hd+rMRjsH}hbBFD#`t3AT zKj7z~yW!z$Zq+273f^L$d2`j2R|Q%}^l#KIe5bv4{f}*{{xnTl8@1ozyU1(*w)l=u ztQzq%emC6_pI4*!j@xe^!}r$h`a5!4ceUPM?pH5#r|EszMtj}7sXJTm>Atzi^ge8B z^o7l>cXeOnDV`VmkfT`6UA`^h`O0&;5Aq(Cac_%WahK_>SlV`l-K|#99e0`Dh`q>p zSjt_z?ZGZq%jk@|Os~blwlCP#Y7yPAx%G<fo|{as#Qe5DNaJ1>t#Oy>rP!^U#<ODA zauQ3p7exnbZoQz}kf(T7%x?RGovr6|3-S_+xo1T?Y;HA<K5?gMrfx#s!y@i!(Hfgu zPw58aB^Gf{itf0>G*j0hFY%1nksQS{VshIb>}WlvYmk>%$lVp~afj)V7~l4U9j%9S z1@aOLxSOIGHn-|Wf7sNj7yV#Ut8O&M9i|6j|F%8Y-nvgW<0jL6v2WWZq;f}WD@f(8 ziav3h>7Lk{oQ0>vR^&X);||`Iu)TGcuE$NLyJCxS7*B~k+orI+RXw`ncGG0t1Gx`# zx%1-x);;|l`aZmR-=n`?=fii$zpR`3T-zr8X<g}aZR_}lb)~<jz75|Rf3eQ=_tZDx zrTe=6a(&abir-sj`ds_^`X@D4e~G>hUmd@)PV~99Mf}pbug|ovtoPer^m*#b@TKt+ z>#jc2Hj7{TGwX}?#r0QeM6Ise^=S!Tus?q3&sU$d&#$-I|L9NFXYI4=FVvK#sU2N! z)c#61_0yWJPg7^EUcl4(UG$mHMxR~b)5E95PyM;-llH0gYWpYs(fXuqWIVBs_2<<Y z>yOlkK3jc!y$rkZda30_pG6-Bl*H@a3n^dvWAa<y(0yKiUVhU)vQN7+yghlJ(VtZx zv=6DBS^aJu+kT-vOvS=4!sA^o+qkt<J$<TqYWW+R(&sDhtlw30^r`0E`A2N7K3lCD z&sxX&BV^wCfBQcDUiDsE*WcGp^{43l@ap)~pIoQI!}ndP*7~INUVG2_H8r76wYB2! z`m%lxdFekh*tYW2*Oiv>zB@O~)_S-6^zw@NoBpiId-X~4((*Glt<N;?uU}%*`s2#` z;Mu`@ZCiJmhfdSpwSG|z>(kXc*FV`;^=Zni^^ctP7kv`F6P_O&TKCi}bmmIE<%>Rr z%v!n1CpA@T&&p5BE>&}ttiHdtX8EMstG=(ix%QjQ)E`sSX0EN+erfa7cfqOiq&81| z7gkxf=?>TV;Qr{Rc1L$yoxApp4{MtCo%yGHuBNU!u-t9?uDhqoR^OVhwSCdutZ$lE z*QU((s^vNtR=Tb0Z)c(Qjg?DsT+>(Wnt#B@^yzX3J^R|5uS!>22Fu#1T8BOgDGxqt zyLH#p*I`B5vTD1oc9m)wMz8e~wG@3ClDurz9Ihhmt7{+Sus&aYVQtX%s?DOW{G0se z{#%l^$|ShfZ|jb$FGG5QO()$vb=H5eeWzXPuBk6W`t3LRi9TCy8a*}7byukLt>s*Q z=iT}|<*k21uwvfPwAH53y>?rFh`bB#^51Lcny!6u?UfwSUm|b97DO+-`Reki&+6L2 zl6hBuPJR|P$39oi?&>e$NB*7Bxp_->iq2Yl?$4GVA<x5RM$eqtm9M2Ao#?kTb=AI= ze;DRx-Fa1{eR}PwoY0-3Ps1h$7vA*xq<(a*(srfIqEEt-w+U?yov~JKd(oX$h1$o~ zifs?NqgAMVWG&xzqdTh#v=6Oi>$fTnc@|VSud8Uc$h)AjX_xML6=>dDerI0Q<fNEW zr-Mt}J(oEqyM0-?N83NOGK>FoaKN-xo2R@9F7U36<T?}7<jt$+x+COaP@A``Uh9sK zM?ssbrghzo)%vcvXV>yK^Jaaz5<7MI8lBQ~ZG-6Ao2O2P#cq4F>FTt#Oxv06yn4Ul z^x8k$UfuRO9aa<lHg{^OwoY{HO|Sgbd)9u~wrNx7)U_*eO1EE~vi8lkRkyuPg_T6R z-sCzJRvdjY_iM`P9c$<0ux`J4J1j5!Z`{-B(D$L$>mKd(Iv=__{AJwK=UO)5Pvc6{ zR~}#eM(65}>M2iy`obT^l~zxE8@e_8;<eDTajLVQuCnrescX9H%H&gvzsMY|zA|rd zRsSd3S7n;pm)`5U6}#%p)1VpNGc7lZ%v$PVIsH}4DdV$23%pzPmhPDHByg4cTDhZD zA*){p7WY-fa=p{Iu{f!JRq>QJft%eg%7s=>c^g<Vt!PuooxmC1Cv{D?i%eO%NXNB2 zWX|FzGNDydUIym%S=n-ZU19FNRE{-WQxnwGNMC8X+Iyqk)l=I=9)xZTKe$WJG=1gu zrCW4Fe{2_-zVu2-<SXCVOFgD*m2STBGH8XjZk%hn=8dH$nlJg<Hx-LaT^-l%RN7+n zHn;it3N3fmPjXW&uFP93(ywG<bLwQk`tY+mPkq(04Br}ewCd`sz#ezgxTRI0b1pAF z)T3A&@+7oio!8!1XG2$XxbyNo)l&bu>hi*f^+m-~9t5^JzubAMMC07~tE;`%EA3r% zHZ*5lRPogpp^L&-?$r9Cbzz~C>w2%^tIq@S)_t;hmAB&JYO8jpy<MMIon39R-l_QN z%+*HgjfzE|g-#ETe3f|9y6gQaqwvr;*GiFlGItlRxe{mES$!q>iqgvUZ$l@AyT-Xb z(>k&GSd8kkRR->vokdgbhxUhC%BenGVRc~fn{`=xx<0Ntx>{tt*B-8qs}8T`S+BLH z>%*#pt6A1F6<?jcdQZ&Nr&@a9xjVH!XdPJnZ{4TetKMtrhJTFR+P>`bvP-Ks<v8W; z<T@Q1-lnw2>;0-dt3RZD+T(dPG;Gx>i#Ews@0S@)NzL1|r|VS8)w@sLBwU>`vu38x z=a|&;(7T~Q>lV#k`F)i}xZ}kjQ}bYzD{~))7R?E_o$2{;)ebH7@Pn~m%ckBA*&qDV z@2d6Hc`GZns@(hXv_Q)?_^sbk>(F^CKln_wzB+g18=qF|t8YWL1z+`ZwZ8f$WK;0f znObF9H&(vz`D%4_&dQkOlZ-=OhpY)+>$kK@_+Ch1-LAc!@744&@^0<v{JhNO{K^M^ zT5hvgg}w^O|FY%h5~sZ{&-ez`{gUm<TXui;t7TSuPj<Zx$t%j=^gHwWGHaW%Wkz#X zm1tdBdCTW$+A7oFUO!c<sk2r(EoWk7R*v4_F*R+~`IR=yU(M+%UUhb*$#N&-t20*` zEjKb2eHJo3IMOdPZPlrj8p~(R@hVz%V&#cHD(PA$Rvz<FO<QFUZ0q;bBJ^=cPq41v zQj5?>AsxZ8eyJ9r4?|jldHqx^L}#qr=kqjmm0ob+%&gNPjlqn5U(Ki952+2#oY|GX zYVXQTKCP*%w1Z#zPBj<37ZSE?m67PwmGA0qeF}aM61;5F>{WSMn!y)+MN_pjg3tP@ znqQr~^3k#)Bhfn{`N2DFzy6-`K6tPH+n<^5HSaC|Vzc%4ly||E^A3Fuc^6zhZ`0@C zY0C@d@A}L6LG$+fe|4XJuYAAq*7A(`vueG*t+cX#`gh59;d{Xw{SVr?K3{o#`7@iR zzoyKYFEl^v@5>LG*XHxoYyIi`u>9ciu=zo?TIc+m>>Gc+Jni4(f3Q;P>q>L`jXyKb z2CuMRS^4UVrn&!2yU<@EFN1UEC4Ig!Yq{Hep}(4Eg0t#^YP7y+URZv~=IhVPkNx}X zP5(W4s(F67Ree-V*XNaI=j+VBRKs;PIDOu!np0<jXZXk3rTz?=xm;`hqMEEvnkSbl z)f;^leiocEuj$XqPs>j)KW3x)Y`KwtYvrqtn#Y$*%}=Vy`lxwyxzK#4npYn*56?H5 zuk|PMv*w}YZ1aU`xXuK}&-?T#Wcu<QHd~*rJg}T${;%p&?^o_yzQyL~_bc~;Bj+9Z zbY<%DFY``SbG_Hp_7AP>Iu%^*?^}85-O63dm)N*|51F!jfsN_+DR+YX=XF(|IvHHx zzt{HZwkz+0_IZER+nTO<Z|N7Et-GhZ3#y!UXmiNBpz>*(HjBIq+U|W*FLbxa+n~+f zC-p+pSKe5fFn!VHE3bo!rxnF|oeNs)y-{!Lt|_mA3a2$~4tW)nKg}ujRf(p#_e{Oe zT_P`oa;GJ2zA|g6+jOB=ud_i5y&LtWrmZ}`)Momtn6BcLXP25xciMbq=2D~SMw>;R z1x@#k)C)~pd1|S~^jR@pMJrD%J)y(8^U92+M|4EfRvup}Gd(M&t8nGfr6SY4Vz>%d z9$v~bT`Q)mVCBK3EYq1bUzxsikIvOpO+D}2NUZ|R155u+`xLz@UsKonqwd!2A=8#_ z&?!yT+`IJCv_sLSP6dTdTeRuQ-JsxUo1$0cX=-|3)D=zD)bKv5tGfNl<fV_M6>So^ z6O`}0Q}%21l=p#q-QQYveb=yce=E1NI%MAB4>D7$ugqQiMy9p;%G<zg?pNhptFOEX z+~j`MQtO+>jm0lyzE)kCvpA-IQgO)Zz%}k`<(5{3%vt<EW@^=y*^2}Et!z)74P53v zRnE04WcK14GFzXmxVYG*Kd3n5Mc@MWrIxQgYn)$f)&IyQ>$Aq$#TR5spRG8v_>4?z z<&~#_)7+<8uKJ{LYOz}XBpa<y8b<Dpa;DET4BcBTUwza#zF4Y1$tLTg#?i$>{Z2No zK4=_X%+;@Cll4L4;Nk-^U!Q8|yO&yaoeqrd<FeuUuwwt>KYg#Py-o+#xWAR1`cy;5 zJ=W6e{fa${KlE)X3Yofig-mJrl_`tg^sTb?Iu%&r?rO<(DzMo7r0mxxD|RfNBg0yL z<#u46^IyKF)*<f$s@opPdYup0?fjB&YPyDv^HaXkbPa3ghkT{hQ{Dz_b-u`FYCYvm zKxtc-ELWL^mGfRc({zpN3!m^@wGw$9u-bVgpJ=*<h4WIruW1@r7W%ap8Bci`u+(`X z-_<k?Gv~FEStS}57hd5JwGw#|klFT2=2Y>Da|<onZ^?KSYnV9CmDDQMFm_JlE47^R zG$6HYl}uOBijxaf+Ph@B&IC+w?v?a96Oh<eC3C89#j%AF?NKsbg=%LP3bi}^k$F|1 zad;tDewIvE!HR<mS=yP5uS{RKhv#alhMsfo&XXUP9bd@Q&NM~l)%#^eo1B00UNxVr zn)b|h`p(50_c~|J)Z}~Vv()(`?^g4WX$v=;d18HK>cTH=r=+>^)h?PkN6yUrRIozJ zIk0ew%&T|H99L+Xr%Im6Td`~QA|BOLja{?fd~5465}C5_S=%J(S0@7soh>D~-l-j0 zcrPz#Zsu3D?X#CS?7aKs=jHeQ`|Q8|+oJwi{oed9cb-&U){r*u>M`&9x8?Wbcm9=i zhdu|t^DnR4^jY|wZ)n{v+r^c^&;7UAU;XFu`|=zAP4-uRYJO9{G5>{4Si;AbUzc0j z@BF9oeEGHc5%p1jJ-;ruus`@y^XqbR`-T5XeodY|-=|*dZ|9fgX5eBH)MWm*<k|8I z^BwBFK3{(BKhM7O-;tk}pZU+SU-<Lo8ULB~Gk<b^UVeJMPQBLW;HUmm?0x^K{0x5L zpHkQKC-aPdQXSW4X!&{M$K^-<UG}Q~T7F!9=-*~9`p@OZ<p;3RIj-*2C*kSyxBU_M zA^gC<-u~y`E8izioByNk)^E+z{t<P%K25&oUupmFZ_3l<TK0iIU%p$eX@B!?$oI)p z=D(=x`XoGM{<FGCzh9p8FSNJ($@y;i_W5_pe%(D;zWm<YZ*Pw5o;+`ES^1^An&<s0 z%1+&VdCsq_?9knpW$L%*W|c3xn^~rQbMC7*BD;m(_?47Z-OW7bx6b<H&6TC<*XD+m z2i?^?=T}hXb@%02zZKSV-#tlFzdYBgT<Px0vwk^cQJXKn@LOcP@}_2q`h~fd-hA0P z`MKX*>%w<ic20igmr-W5Iry1hTG^pHFN@Sq&pq`fWT)^`zsc5~??iSA&z!4JF12~` zjJb#2eAzMiv0tyX;kzw6CO`7)v{rmqvSac?zgBC(cP2X~Kk!Q^`?YEE^trp<97$cS zYt8zOWyj_Fes$Jg-!4g2-#7PL*{My!)8?*wvt)blJ--U;$eSxq`Gu72x}AB-ugv=D zTa{GxU2_+`VM$%SbMBL}s!hRn{QSzAZokY`-#)u8|I^-;-<R2%f4sNk`LesSOY%<@ z3(uQfl)tNZ^4!@4`MdU>{I=}Y?2P<bdp*A`vob$=kEL38?(DeyS;doI`xfP8?d|-! z?CR{0{H|i*IkSWEtBQqR`7Sr#x%1?gWtV1q<YyHN&z^nlj!D(z7rt3}TzfgcEHg2$ zz4xRt__^;K^W1w&DurjwK6htI<>Z;O4f1#GIr(Xsk$LDnm&(ged?%T^-g9}Tc4GFi zJ1WnX8JOGNdr}en*tf@A_ui6<;77h4=Cb!vDuN&SwwUwYQ>hT1F?-*gCr_8@nHTQN zJnh?P&Uo)j`Q-b)wdR>SJKrzcJA2cemZ!_K&0pS~QZ9VYH!N>ek?_>n@A769O}^_} zYVNtS^W8EHbH|;T@6>kAo_9y2eDdVk5Av#ZpUho$e`ZbktGSuyefAi?oS9j!c6a8d zGcD=M?#z65CM8|%&dj{@O><Y4sok2HmOg3j%5y#&jSrr4Nndt-=Cd<TtR~Ny8I_(i zH?vgj>dZ%HSkjkWnR)L_i`C_qK6z<Ib61wAU7C68%#pNZrpCSJRIDb?n(36zG*|Pi z&wS&`b5|^dpZm-<&OFzWw#?W#^;}At+L@Vp>6_-PJmWLfIPjc_<>V(m6OAX%<Sbfd zXxuqd^NdfwvE{id7Q&Bxx{Wo@O|cMu<kM~}c`n34_@Pg;G3Pm!v}O9nl|NacW=!i| zueW8Lq5c{sSJ%Q_7dpCnPVG5x;n<!HEnOXlX6!n1Bz2jtG3z-Ni_7<Y>WsghU6QJ{ zZ|1kOQ%1tmX0AK4#60+(Pla*h%$28nLeh54&OGH)W_<OmN~+qfnTyV_q%PYz^GRBj zQScofzqF>=FLTwlYyXKm_?>HS_>E%Ld)hB{9(pHQvVOvIu8MUNs#~qYHx#qp(Y_OR z@Eh0G@CADhy%9}WAMl)OV|c?}rn%aC;u6n^#;jL(&b2l?Veg?=q9N-Ao^utfn^4ti z9`3OB&`Z%fF$ceJEe%)L>oi+?!_Gsqw0q(lzi?%(6R2XnpuJ@0q35DD>n~KYp3}~W zbNtMev9915*UWH(V%9U-F>wb!aiy*csAN5*?GxwtiECoGLNV(J?Vg=YGqhWF9(pV) zv;IN_>oIMeILD7%o#7694m}j*SszfrdPtim&hZ0R!nzMnx#HGcc*+&CZo^Zq#&Cu` zhwh91S$CnFRX6;>?nC!PH^nfX7F`$P_@1jW{J`#}soEhsnWk#riA_8u`et1~Ijd&) zg56G2v>kRHx+D5z-G?V!h2aH7tUI*##2(D$+8_L3uG4#wZ$6FXTvf|9ShL>Md@_@1 zp5_z3hv!7z_%N1pZ4cfs*XgZD#&QE|)|;AFW;(qQNm%ZX&b2;xhB51P%@Z@7UW-I5 z-(bahRrAP9ra78R{2rba30PiW#cCdGFxTm&h{y5^X<RwWHl%Sa4i=c(G)uF^kFkU+ zbJ+(=*7KSrevQRkvx6_pVVb2mXQtCLkuyGw#az>aH_UOGsTtzeSj06sc)}c~Cn6_& z7>l@)mno!iB`*75!FpWN#ILcCt2;Pgj?*I%f#n4jtcNv4{2B|mnu7)AFwM}cnb|a5 zvt%aIbj_TZP7g#FmVYp3-LLt@*YUK-k7XOoTXljD7`18#UzqK5Pvpb0gjBB3We%xa z<-s$ISa)k)@pU{U@?x1lDp$ZV19R4$nl>|??ug9sVa(&o4=$)a^j^4T{)OKyw*C)l zo93y%v2*;+SvjxaIp<FQ9iLgO{SVY0dMlhWKj1gZE%h^Yj^8*-=L!5~xuJfc^3ZGH zXEq1Fau&_I@QdY|`WidOubhSR7@l*k^so5Laz%ZP-NCcMKJx>9v0PH$Qpq%1eNE+| z7s4*{7d+!!=+98=G)uk4?%-$6x&9Ao4m}e#ncwh?GkxBKpDf1y2Wk#I71o&_@Qib+ z|ALxBPlQ$G3;bj;@^7d)G()|k(rJdejorbIoW1@DHHRJvi_D+!gXM_2jNQQxoUQ%} zHHRJuv&?6B#u-2F!BfuIc?X_yM$Z%Y!E!+TkL|(toVETJKC$dme`Bk7T6lxa!&Aav z=1us{vPV6l(rK!CK;@yk!pm$9zT+(QpYVxgm%2+O(-ieHwvO*O{pSgMXHoZWs6KRC z_|CKsyIJn5e$h)jFH|voK|1Gd?+dX`^Hd*1I=vHmr*rU}P{H(q-7L3Nujn<Fac=gW z5bHEo^@tv08E46~54&0{y$fQS=BRGbYb@nl?Hv&7G)MJ7q|+;*dpeAzoXfonHnUt- zoub!R!kIhmKpJQEG=p6%7gbk8I=v8bm_8wmbH4Y77^mk#7SlKEWI3z4Ao9>m)fByh zXM_x<7wlv?tvV&r>8X&$bc3BNCskea8qWwROgBj5OqwQ;#@X*(5aaY%NMibi9V|yx zRrDAOIorJrVw@fdaZESZ!E#WwA@b04)rv@`>8b^hho-5r=rI;>)_Z@5cDgULMW->J zv)cQ_CKes<9h+G8sy@+W%;yZ77LdvrGOb{Hi>CL6Xs5eEOLQ9ZI0L6Kq;eK}Pl!G= zS@nqS!IMG{rhVAPvR(O)+`;c0d);pov)ogDVR`7CU`hXk=NuJ%6RKOR-8U4o+)=(G zckmm>R`&(Ahu#RL^aniW*y!G1%QRPck6hw8!I*x9=NxO@6KoH?5)A1Vc+OGKH=(M< z+}*+U&`ZHPG6%nKEOl3~b(*cb!Sc{7<sLc5FC1BY0#z&*l$Tf@dLEk*9~~2&ksKG{ z>dO3S&!H{Hlq%#Fe&(3te#55encxMPhi3$h`V*dU%y8df({xXL-;S=Xb;nK}xu7Q_ zTKXWVvc<@Kg$>hFLA8E`$`%jp)VKsmr3scyPXv$2IGzzaB=hhiN1uC!4bx*mvHk@W zEk~3U<Q{(DXmi)FVR|UY)~`^(Vlch35p;;Dkk+NH4^KJb`Yt@>i0Rw#l%vs|!RF9? z!9RT$%2{;XA6Or{C%8$5@wDJN8OQe=mF@?uo2Dv<STapjz9XA>N_?ANPbJ70B`u+5 zhf0>+%2#9^PYJ&06L`uIpmavo@g0YMTR>&Y4rL2VraOZ7`W~3IoKyV6r<l(%r^4Ao zrs=&vRr`i?jy=vhWSZtFdhj(Ca_n}#AnP<w@qwh%JArpR2hRx<v=>;j+*bU<d(i)k zfXr^5gQo<(wM|InnBlx)X>0T$*+aJl?!4PG<+sqh>7U;0Tk>4>-t=!j_I<Ht(Q=*; zz3TI%H{P4K>fhWa^83<T??u^hNuMvh_Fk>Oao?3!zb?%&(_dM6k~iz6cYd5vt)}TY z?^XJ(`%<2%9-OXZ8h@*%^Yc>c^V2Ww*#bJ4*eHHcwP)9DuYLMAukI~*rh0N}Rs15I z&y${dPuEY>`nzt+^Q9-JE5s{(zVzI?P(M@hv(VIi)7j#MKC7Pbnyp>9?#j+d&%Ner zXRe!)rfRNza-GR;p|@UJwQsH~*)8<UYo_+xNY1lfX<<yUnkA~H+MVl6c3qOXGc_bU zD)wcGs^uE(yOEmZsy5nBBR$KP-klm1?gToexGHSZW})}|MW6pH_{P3_kNu0ku_xcY zJt(KTd$*Q$<j;e$7G-r4b_qT9D%KYL=WyM?a8l2`W>fyW#Mfum?fa+jrC8NU-9K#7 zZ^jp16%9EazW(7(a}IayuuwC+%(HBXTFXtv6MPHL2_&^MShH9;SI8ckqqu|5@ti<Z z`vI$#tBNc56we7f;885)$Zu<~YPqbqL$c|mfLFVNRg0N(f^mzfvxIEZ3xO*<iX|Ki z1TOJBEasT!{6dE5xqw;w0!tPXXA9$&Gm0}LnVt#gwI^7%7&)(yVR|Z{)~;aLazb%} zB-0atV?2&$1P<{$Ead2O&X8exEFjjtz@p`dq5|K;0**Fk4H>3~0&MLH7AywNKa5!P zonIKS=sDjoYB`|D!1pkpqt5w-bkj7&54?%_9Fc7esT|>L3(Q;gC_dmlcuHUuPva?p zcWnl#9A(Zcq?@KFUf@+cC9r@eF^{9jxx%PrhoXff(;b0(Z4bU(dhfkY|LeXjp!D@+ z&z9el-g#HX9r_&f&bvHr(`TV~-rMzW?hE-X^wxW`{>gnI&zIhqo)EtXl-P>nifT10 z`x>81>^#1sn8*6~0o!A54RiVft9foEpOJI^CQ;faSj}@I`GV!K*M`qz4u6#>>bqFQ zb1iv|oby+S!am055-X2a6!Tn3o+Eeotf5bTU=`1$<SmwLvy<0Y9(!Tv(!cPT#KPkY zwr;bMYvc}pmY93|fz7dJh9>=u&m_|OCRXwoA3tDo?5Uwnf8aBTsmB-C9D8D@(l1!a zV|2X1=Gctn4okNg$u@F_KT7l-Pp~=m$WWwzVg=8UWEr``A0%3jE7%-+V93(X_)H?c z@8MI4*uH~LC8GNTD|ikh|B*fXUZVE+g(9AP$!}zpPaAHKd3?(7OW(wDo;}GCmTps% z11yi-HC!fh_?<-Q@d-sdyOLcj*`_3)k#&A2;om1%&ZB<3!TQ*3!#iyst$FSze&I_# zZ&1;`FkNEz;R~{E^AaCOy1g@a$8-3cK|y<=HP7wDD}2pm5}OZCkae4zc!ZC+OroUi zqg9XP;R4yVIf+~NnoA{C9}bXpo0E7z((RSOJs###iRFh2jCn36PT^}Vk;rX3m?n|k zW@yE8F>!^Y+Y1AS_K9f{^ACTJaeHoH(Z11==WOBv$zwAUQ}_;_F)(N^wB$LRI7QO! zsewkjp(W4BL>Ip1GX@InhG`N>ZGveM{f7%=+#VZ9v~RTFIhv@#$6P4Ue%L_9?V$lj zyP*Zo!Ndm1W788WB;BSb7DyhOmdL`#Tp&?@_=B|DeS<AL&G{15hff&s=p5c*#IrZ? z2`_WLL|9v3szgXzp?Qzy;SJJmcMX>CH0MbKwlStk6d#@-eQa{#5#GZm4IZ?8G~?MG z|L5PK@8Wy+-}uaZFaE{Pqwn-f>L)%Iuc({&yW4vIhR@u0;_v)B^i6#0{sn)JzR^#q z4}31Zaeu>K*17R}{w1B$kEvIDF1~hu!r!B>^h4?epNkjNP5jkuzTe^R(U<yn{v7%u zzI4CBU)S038-5<072osE>5F()o!~F-3-L>S9(}HFQ-AR%_qq6-e@>spGwKSTiO<|` z@R|Eee9XT?pTtw^0)KL!iud{F^htc;eudB6C*pg4w$6xe`FZrQzD)haAKb^{b^bYh z6z|;c@aO15eV+QjAKZuHdHy+l5KpN4_*6Wu?&4GNn7WNm#T)lC{5g7G|4-e;@7%ik zAN)RgPk+-NrqlZC{y4oCuiSs&ck9&nke{qm<L~@UI;H=nF7P|I=Kcl0U8lr5{5*O` z|4H4)C*p<uwR0UVKRU!Dt>sjF>8k57C5ONZk?+&@7gW7#sO|OKTkwf{NBo|@hu&_! zf4;{4_1~QH>3inC{F(FJ`0n{nHEqu~-#Pz|=bNe8qcvx?rk{BcbB#+nTD|);_v<s~ z(s#_iTbK4b@@@K-`Dg3Yen-wdpJd<sx942i#x1jN=C!TfeRJN~FF9e)b@cQ%ZN7f~ zS<SMSzh=%k?_*!g{PX6k^r`cgDt?Z9o!&pc_2!$e#@Egp*?0f(`D7ewI6w2J&WFvq z^9w(IlALj#$KLwRoi*jg@t4lus+sm<=7aRe2X?`Kc)o1b46$4NY39`PAM7sweselK z!jAi|&)M`v^Ly*IJu^0&Keevxsj=Om^IZ1Pe|j#yHQs&xo?Y>$$T@e?i|1dheYQjL zP1?rUS2ynEnRYI1=j^w6%bst#cDBxZ_vXl~=jrq2*Vb*@esi|P{KC9#yCh$yt(txC zW=@y9#NnM+%?lhp#+<mBQ*3<h+*yNYU-RZo-IsU8zIb)>aoXk!X0tc`wBCGk+F3Dk z@69u3#XiVW+jVor)3g*b?maSpT$XO?zJ2!2nhkkvY2xeFZ<y_Q({=96vnJ&eXU$G8 zH{M|}`{rAvvdtQW^}Ba$;y!C!R$P=(#%MJwRhaKg!b3ft*Gpo)RnL6?P*1IV;tuZP zt{S@?M3^OS?&#hV8xR)t?vc@lLh)$5+r4+*d^5Ux`a_Ibb^E!rJzL9))E&)FZ<d^Q zI>z>MkJPlldrrqJ537FZKO?>)WJ2yjQ4Ot0Hqn25zHYXdfAFWy*Ujeh7uJ>inmPNt zkG=Nao-dot=5PF&b2feP{LZ>%&o*B;?_lr!`6eisO6!jOy!kAB*8GJ(-<(OGIe+F) zp3j?4pVzV1{v7!<ead{_I<=pXPtsHDn*ZdSNl&ul{(SRsdf$B0x??|XK1%PJuUgmk z<L1Nkw)vuUZa;25NN<|o`18%_^f<fMpCqTB-&P~`L-Ij-{rsP`*S^o3cK(Om?cX|} zsNVf)=DqaF`44N;o^IBfANcdlyUm*OZ`Ou=pE>3H3%l-5l2gt<vzz?;&B^q_`IbL< z-fiA~{*Kx2yJyNb-#hy)=h*I<^Uju;U%snzKCQy+^xZe-(#p&Z-+fbNeEV#c`Qp1d zWyUwpzRD5XE%_#`#H{*m&bhR8vrpb!Q)+zeY?yiQU7d4j1!mrN-<(ZbF?(*_vozz& zXT8jo@2)wUmSYyZ`R0qXMYC7l)G0B(aQ0Hpx1BSer_G&Rn73``%x7sCX4ab{pQWXl z9lrCX$oTZxQ#oNfC7-5Ep6!_@wo`KESp{?H%`<15J(Tlp$IQoRy|WGTw(XetD6Mn0 zVqV#fnGe%iXA9<;?U?x>Ey3*frkT^v?#elqx><KNYaZK<oA=Y|W`E6HmTJ83>^HO1 zn<S^5U6-?Ld*r>eirJAj*PKcVG24AR=TutR?5nwIsm8m`F3Mp`-MsVc6SL|~k$2Mk z%$je%$u-^{`{&)Ea`C;pZ*1ni7yIJo(RX?!<rCAzE6OJB?zY~&VKeug*gNkIm5FcN zz2NTAH+m`Mf$8EKcQ@Q+og2I7UD7$dm~zE*@wK}X?jC)m7g8>mE?!VJaaXtbZil-^ zU+Ud?bErgo>28I)uCrq|+&nrfw&$HwiFj6-;4bb9u}f|qeXeIyesL%Fx!9a{PQ~IG zWrb<tGj|(o<~|b}^X^cQcxqYTPVQ5&KJT20#3$}n*vx$*w&!N+jM$c&M<46SlwaJz zeJobzol~KB=Wd5PM<43(ln3tMJ`~II&Z$5=q3mO-cwE`VRPmUyjj7^|yBY2ry|4GD z?BaHA-Q5pvAHAoy=?&9qy>)M#^2IB6AGqB*H8$iX>(tmgZ<9{xy(tUa&aJt7!EM(m zu?{zn-qCwf_Ay1gaCgBb?j5mv-X40p>Hg^&{nvYQ&Zq8~{&Hu|ccZ(fKgF~?-*o5n zyO^}+Mt4r<>2Kb<=9|&2(`ovX_pUjYx^eo!IJf7UuAhDu^Q>y-oYPVI$$N9Y8eKj8 zD2DC%rYooK#k5u3e3_c3XS{dK7o$t3Z^ayYw#jsQZ=71y%vq<M^qKeSoK2lSy)y1v zrR4L}+0!%Q+MaDPo}L<)_RQ$aX+8bTd)AyuojN@*&a86glhldRC+_6=w8?OK=T4n7 zsr}O}<E~XmK2GhPt{FG2Lh?~+`*g{;unNhCsm;?l<Jg{U(w|<rbIs}07`@v?kq=TE zrvLqGdhF<<)2COgIN=)}c=6(_lQ&|_%q25dN^dgTHTf;$-8I2(;_RQke*eNNbNqyx zO?yksAFj7`C!byV;HP%|*Ni#G-~7^(*EZpCsqyjFnm<pYI6rCszU0E9?z4Jn?%ztb z_N6PPJV{xqnwB=h&&Gbe!CFHmSLbc1rPFW5s+HfIeDXw$TB?y*u3qu(Gbd9Er=N{= zGru{@cJj-nOB45gw*FNmc_(GxbjOXxl9?}GN}Eico0&4lC$Q+FfZ>@LP6m@pZyMbc z*9%uWyovp2-X@L7Cw<Mzf1mbV{X-%p<4y90bfdF2&wkE$ot$s=dcw@wKc8m2N?zT+ z(Jt-#44H#rhmRlFQu#(;BKOPW75##C$DVDph?ct?#QJ{Y9xL03Z*rHbd-BiUPA=*G z`R<dQT58@#^ZuQ83pd?(pX@L8x>hI8Fx-0imZBo@=2#xnbFY%tZ=E}T0qDeHHIGx7 zOS{q&HSWoW&6siR#?4N{EsU<tJ5n;#UeDp#{OR*1jmba#zDb)$zE7DoxiRjW+07+) zQ#MS#Iy0wiQ<T-@i8FgjjLau5^fR-He3w$G*1g#(@^#9(-IHDY(kx~^PDxVpp40Pj z)4r33e73O`7#%vf%jelsBi+e~JA2-5+IxDF&$OpTI@4o!`n=z?=ky1?%|($@Pp^n6 zE5AAAxRZSF=NT`O7x%BNeDiIiZU0w0x8F0~CKt;cuI2e+Xx)FeQs?`|TgQFmt!sJC zC2#6KYghJNV&?G=HpgE4xbZl7ZhxZPx1SMGhW)*jJf93*b^8_F<oxX1envb^PL<nS z^JdfO<Vm~w-`XvEzR{+CshwC_x#7K|A@bFqW=uK$Y>|zd`1jfAhPSRA&62N<KC{KB zM}7YNxVT3Po+Sl~t(Iox)-yEWiHVPib#-MtHsxk)SozE;r(fuG7fDVz{Y-E2?l+(m zV!4y&-KOoQ@2LHrd!~HTy_4U3j#<x~cd|_V@?4$sDHUp`=e{|YQl@rz?wc~B+b6Ts z7thTpGrD>5m5-RU<eQWdwd%P!=Tg>9J~?wusnNBQVd}wib<U*}sCmzQb2eqg<hg#& z(u^*j^io%zyXI_4j#{+w%@-+)Ca;{SQ(|=C<RzbPmNTEH%$;25x6N|qvy==qYvagg zDQRkl=e#L0I(_n#Pnf0T)0D}RJ^jQiC1;*gP?t8IIpgFZpKqXe>z!=qx6NYaqm<6c zihgAlGash3P8RetvzYlHB|+`C(ah;5cljJk-K0C2)sM~M=KYkq$zOe!r5f!!`AzM# zk>s?K>wK1(N8U@Rm>fBC&8d_SwcWFGPNkGhzUr%%YP9R*A|JNYO*>CMQL8qJyp!Um z);#-7uF>}6b@HEU*L>e-+y4<1DR+;T$e;c!G4FVh{O->)<{mGQ-(7p=+s0eRGvsI2 z`h44H)qm8E?YG3-<8kt{KhJobTqKuW+w*nf)#D-Z-Jd1q91oJO{w(n-d3pcN$}?X! zUOMg}pZ!^4_VH^rX1`{<NY0YuuI2f%(WJlD?%B_X=gD*WbM2P>l$dq=oXxbKGiDw) zkl$T%=F>)_{!lx&pEsT)PwIEIb9-iZ;`lKewPza*`fcr={fKy++|#dXx9ms6qvVc$ zS-Z3!5f77F`g!ften`wXzR%{_(~Wxlg_Sv{lN<XP?Y@1VaX-1XKeMvu{l>k=H`%m3 z-KgFF(stT+iF?Uma;rZ{Og;WiZuX}ccaux|Ju7?OZPe&@tju|5xbyft8?o;*CLezw zS6zK3cjNt|HR7*hbIvF2>3$iRQ*L<o=qH`F^o@6pzSBudH@tH+PkeLinli&%N7KY7 z$F4b-w6Xi3o?H6H>qnpIJli#6&e16G<k*~2!>dOh>9D15ymIuOPTQ^<FO%}bjAPf7 z7+yMhOXpbHM$_(IJ+)mkW*v1BXO7i5n>4?>Qt#SMiRVePyEFCL(l#1*r|PAp8J;<+ zC%!pm&6%XB-GO>$J7+ven%F%tlBZ~+VRvVw&Y7hCZcDvuJ0u<_b$4s(P1_;yD5<?$ zQZH<W#KWZKZcaV6w2k`Rm62;sC&h@}-W2g5siFI??z8O?_miT;9!KZoZ`A2djC^w{ zsjB;_Zrk=5Q;)9FaZBB}`{**AwCxdhC3fuFv5Q4S@Mh~%ufoSm_a2o6=gP&4gpz{9 z7DwxxN(vC09qp61QN8=9?z0rb9Y^np6<fdgZgBr_760vGiT8<Be79|VzHhK;e`u-m zeS>xTO-r8d8*U%Y;a_dr^KHY;!zui$izD77ZfL(K$=oI!9~0~7>Z)~d-wqy;zhAa4 zuzmA&gJt_pIko3v8{4<a9joHL9=+vW)7K4G4~OJWtcsX(_<_u{svEPT=iGaARyW{q zz<!&1N@sN0@*P)HaO=nB9o#XaoO|!y=!~7L@l&JEcphB6dw1(y-4%C~^xk|iFmInJ z7gi<lGBKAgx%kGc!*2Y-wmxSQ7q&ObO?$TC{9zmZ*ET($H=I3e!tY#sW9DHa_S42Q z-X&~r-Yb`uw!yr4E}vMsLCE!k&kjiXlo|wII~c_-E!%T8A^QrCT9w4J#Odvka$(Ol zoI0$*KbvQ=jm{?nqjpC*vu6f|?X8w?3OATEx5_=Uh<KLR+pH*e%wopV#O`)YxoH&= zj}jI%&$WE>!Qk*=E`DVhog#x%huQdrWq8geCh+|hdiHdKUVEYBnfwj=4{wtZtB`n* zP+tG_-%{%tA_iI|yZ7v?tbfY)EiE-~gH}berR1BF34#B9Ev;ql{1yHrp|t(4?6dNS z`-xF}kF9gwZ_sH^w0v_av8w&4Y+Lz^sfSm|xINvl`|vUjxwP_#yNN-3i>-A|B?j=# zw)T0qLB0K`?6W5ZI}YFDE8czdy>3nZ#p-U`?GN_0&WnC?&S`t=JKaxrn9l1K<rh8| zE6Z!F?!Fy;<KCig8^pG3pRu>~jqa;EO5embY+q2^eLecj&eqquPwp)GDz;{O#NO6d zx{vNKozo4<|M*O7#deFmt+S(N+*|ZTY{~YFVs5kT5yjmXqdV?7oz->9XRPWr+5Tfs z>vLVJ{DYO<XQMOjF`d;tcSq^7SXy3VW%udmh<l69=xXIVR(79^_PD3?Nh~Fgv9jB6 zyFhWb!S;$ht&er3@()&YAC1<yr}R;*W4pzk)`z-W`HmIc2ctReF`d!fcjwS)-CcK@ zPU~*Fqx3<nVf&BWt@m|*<t=<FR<r%aZr5qi2|JHYjec=g>AhIR_6<edTH6;Cb?=V$ z+1Ywm_f?+aQ?Zim9Yx$4+h^=<y`wwtj?z0ZzdXkB?(NY#c)wZCc%QJh`K@G6xq)r- zTfSx15%Ugy;F)H9WA4E>JZ;uD-X?5ozRKrjedA5Srsk`XI%Nho4!+>|W_4rE!5H?* z#u2X*)-<o>TV@q8=imdLX;wF89}Hl(mOXPeVOjH3J~yj~*#~d%Y)jj4@t_NPuyMqT zgayq@CEpYqoIhyA{#Yib*x>BJ3p{0M8_pa&!_#JY<7vXQ=BbiviVRL2RAZkEN^M5X zj(lcm28PY8l5YwPjvtg_PnO9kG&p)th}~J{O@YDTgIw&&GC2hX2M-?L`Ic&+-&`u$ zb2=fKja!DNV8i}{f7o72`<zawX@1K)E!9A$Iabmqf5V=GAJ{e<MNB=of~U;<#*~9^ z*j7vXoJuHZc9rBgl~CM#lJ{H6h8+jz@UWTRxSfz!|M%b1-{J4$tN%Uv>wP|ccm2zM zQ$eFLPydxZ*SD^J_^<T$^tbU_>o5K@{XP9neCfZgzue#St?KvwGkvaqegBg`SAU7W zj$d8B@}KB)eT({~|Gqxczp~%&f6?dZFXNZiPyBcFnZ8;5+Mn59^e^te@<;TS_>1_= zf4}~m{=EL&evALN{&;`ZH>scdQ~R^Naed;y(x20x#;5*U^{4yO`jh)r{&)T9J`+Eo zzW1m1nfSziRew%@Tz_o8#Q&&2-XGT=*)Q<l>d)y9>ksYc_%HRx`-8qgeeKWFr{iP) zJ^FNg+J2`0On+X#*WbVY*S|}j#P7#f*QfsEJ{=$a@6vDW_xgMGulW=DR9~z9?qAcV z`n&hP`Zwv5_}%!xe@&mR--$1(xBaR8PG7zL;NP#`rr*}7OMhtHeJ}FOOxE{0U(O_z zi|s1s)R3C=_m=t@@q>|T_<lM3vbm|g?yZ_J=j4=$>0%XW6Ro?gH*YZJz7u)p+@UhD zt(zCjJ^Dr`B|R`*Y~$vJxvX;|_nb>QrxTN|n7;lf-|yFA!8@3`uSIU?n{h-nZR4*_ z(>GhLMK88E8ne3MXzb=2M|48c1=Ga}(k5DUn{RfQd-SEwoim3@#FlPWnCm(_a>LA{ zvm$%WIhBZIr3qSbUx-{X^XPLO8~NF;&vh))H)fi&JYdoO@nU`>--d=}v0dD~4j0eg zYc&*$VeY#2$w+Wp=r*M{E;DaRaG5MoVv0+QN_Lx>qM~@zK+kTILkQ31IjplH=gf3{ zrgP>DQ?c0e%^T*p&WsE>*Hk1ndGmxhu1|DMoM9>wOHNZv6H83{Xu*9v(&SuIp;-6k zfH|&@bOh21Ew~RyikxdI5NqBnFo$(UWX;Uh>4zhJFv{InuG+tzYu;QLkzEFx42{5- z7K#5&xR@#yleRHctZ_5LoTK-3{-j+r=hof)VD`~_I-AZgoz_`*#wlN{a`S=Nty3dI zX0lF=ymL0`l+K&9Kyz-*%?oC`PKk7wdGwCXleCX1VuhOvjJS71?m2tt?Yaevl;ZYR z|9p3K_w{*uE52XaeEnVAuIi^ZxzES#tiF5m^trel)py^e?hbz&x25{*JJsFcbN42F zZ@SxkE^dAG*_)?J^)0KnzB{_>`m4BA)kkk~pNm^rz4s<}>H5oi@4QLf75*}AN%hv7 z-Dl&nze(NoF3~rwZhgnPD}2^oyYHXwtS;6+x7Y0Zr90eb<I=yKx^wzW+>Gkjcd0wW zXYSSdzUWSNk^afOO5c?>i$94={wB0Je8yh6??rc37wR9|EA~C;j&`B`k-dE1jqa>2 z&_A@7?Yqz&?lW=m-#%>$pT2j;o2{wq5A0?5{_FPX{Pp|xZh3Qb`}KQqk>3t&x;}O9 zmv5(TbLZ=8SBFY6Ul+gL|FTnGkJ**u%&`CoQN`E|4Q^u7l61Viv%4Jv8#gGg*QmZ| zyIovFy`cQ-vz@0yzw^mPL|!|vclVE9S9&&ax^C`_d}hRxvN&O(xacLb>bq}EpXynx zs=j%*H7w+d^YPVr`kK`j--@Q{YgC_otGfOA<h_r+6>So~6PI7T^X}K`>F;CrmcQNE z{aw$t{O!G^)#3AYf4DQX`ug16Z|<~KUw<3Bt^Dde*XrwUVmFmv-KqUe@5b&IcfM9# zpR+sW{iNdX*RgBL*WO!N6+UP8gF92JuFu{b@ZM_g>9euR%BS9QtqPyL`^KHE&(>Ys z?eadTIQ&KIg7T$1Uw_s+zuW5lqdnQ5_0I0TaHsUyx-+}a+-a@6{xo)4`P7}OKk1#? zt@eJ>9_>$hM&*w8OrPl)mbdPF{Za4uZmIW4d$K?39o;ST-f7S44|<1pbG=vEll?*O z;O+x=zCP8{FE8EMeL6P!9oHW259{{t{`2nDZtv5vHRW$@pM5oc?jn9IATTg|+U^Z^ zN}uZO-Tmp^q1~rX#i<<v-^=CY7$Ty0kaLsaMjbtU)<m{0fs)Xj-KS#9%YApAez$Jd z?j?6z%fqMaUU0{>eEOYO|94%xPoIn}DBpYc>9?-?;Xn2*`Yu`>cknlt?eCt-qk2!Y zOX4R!7p;h!_`Az`{f5t6cf#-NJM>L->-q(?N8f0t#0NeX-MGG?mUV9Uo_$H@v}58G zpNp<tpHO@Bm3BzH;B(P}xQV~I%-1{A9(}2OXV0N8qD$8+)Vj_N-%xpUR(Q`or!S&e zae}|NE`%?sJo;SQCjR12u5;lz`<y<DX2caf6P>x<;4_!c!F~H3^kQXHEe+KVRv6YC zeX6Y!ANWjk>iPvWN1te`#0&o9GFsnIb96>{N2TkGaGQOHK8p6PPpCQiNLwU+;t#GP z;WGOUeGqM3uTXRJfi_D#<1^9txQ9<gW8)4!6^)J){K0h~{LkJ)??r3ZU--neFZ|73 zrPJCQ_B=YJ{UvVVcdk9*5tXh}!viXh-ql{V=g>RR()ANQaqS9ssbrlJerB)JJ5m2Q z!S7t^>l><%-qyYo_Hj4Y{m?J#lFn;YgfD!)Ay#zv>I<>1^Fkj)y1vtTx8~3}tpf4t zH)8E)4`uR7KPzar{gWur{^N*JSmAE2+o4z1HI<2OUOge!b#Ca9bxdWVC1D?Tby==1 zh;5w{x@BEcsp#s}0kN)gLJvf`zS6q4hN)C^`RanrT$e+qtZOO}%?&%4CYl{)xQpvz z=!!_!7g`SC6VpWJul^9@`drH*eB(~8v!M$jkIoEDS$F7+mcjEX5oSrtNXzMqRnydF zo!$Avf>k=a5VT8TN~G&kEsb!)om?kFUDh?7(NYLEOcPBC6HF8BUtJL6`dCXMeB%zT zqoFG6m<mPPR~y8*KGfm}H{8K>Ftj1^==9KvNZ0A11(8Rmg|e(;DiEz-{UO@*zSfpC zP5GkLt50m=(pkM@6W89*Cu^DVMZ>}ZQ$<6<3b%J@uHF#sdRJ@7nx;I_z%a&C(c;w; zqK{4vJ+k)DNv#KAAGdLB-&yzk)7;hN>uifZo?H4{ZwtdpyXM~l?=EjYKjm<(fT*PG zcR^;$Yu-)Oe?^bw%NzV=_;+@z_4Ic!mCp_thrf#{f40e3{9VlU;+y9}t;OHQY%V@| zE;N1JjhzY47a3oF9aH?QXs-9Un6<?l&uz7u{wk*MS(9=2tC;*}PIF(E=$RMKJQr#u z{xT-_S(5SfSv%dH3(fUD8?&&u@!Zt3b?0~5JbyK(yLjE%ohHwnjIYn!Y4qI4So~Sc z^y0{Kp=s+*?bLWaYmRr(x)VE3oME-RK4a&RGooqhj_;Ito;9btaNW_JBG0|%a2KvS zyp!j-)|~Ewbq9B{JZCb#K7Hq&Ggnjf^onz5Y8U7o*!l0-r`fCX^>m9rp51C5K5gfQ zGo`6|dv|_%c4+qLQ!$~>78zZ?8x#C&)9lrGdYZ)-&x)q%X%wG5t7?9I^3F%kij2hX z#N-$6ocrjz$iC$-Y>&<h{xHw!yk?pI!s;%Y<p+wp?gZblY@HkYVBVu|BHNa)uw{L# zndYxp-DS1BW9~`cyxYf5+7<q`(KNc9{d>pT&)fL#xUm&YXthaES{=JU!$Iqf=8H9+ zn>&1NxGvafVqDo}JZla|SI4@Hg}W+O7w8&nTwajk{A}&X;GWEynAaaf60aG>a0`9Z zIlOsW%Gryn7ELYhx*mMS^2!sNqxUs``7x&Hc&+i*)7-V^>5s6xQG4@k=czu|xw*M$ zbBzB(^K0`qGoAk=-Ti%y&hiUITn9EUm~-f>NRi*gDz0n6Yvwt96)E&%d@izbc||eT zmEbw^4xQEXabLJPU8K6k{bM!P_TW8J=QWA2KGXc<=UC3A-QAz0pzN+#*`={~feq_D z%~cC#)F>anD#rR&L&DQNTvyikVn|tC)2F;wi!L}TvR*F}Da^my`Dxm`UD~@BZ*Y3f zvf)GLd+EgY^V}W!6fVzNbXF;6ds?Xf!DoWukM<n>b#1o$jGJDy+$Dm=avz_pz2<&m z?^dhlBH4b1Ra_T?S5#(~2=3~>QQPuN)5)K)s>@{gkCLUzT0f_~QBu>s|Eoru_sz9g zt|{{leHMwHyZnL8(Px?_{*BK>()}h@av3i_U~}}TrjCE$Gm)vw7uXzq(x{|lt82b| zLd@$D-78yz`~`Q4%?_Sm$@(N}V|HsK_nD~dYeB_bhWVoNoX%(-n)B$RNZ;}d8`j5~ zV*U$%Tze83=Qpu}>qxMS+q$XS#qLFgotqTfeJU#Q+O6pBd|ln;23J-UU3(X~EB&cu z_c=|j^M`C!f7Us-+3ftSBC*-Q0`smu)48<S>%5l@_s2DdH}jmoB-8zA?XK-_?p1vf zn;Ois?rJsH!L4=vjL$^k{T@EmJ+@uuepSrs0^P$~`TQ?F6^Xg#B*T3+V(Ri6Mq$r1 zna<C$W_=JDd9JA_?49PnW4~m&zl+o@e_?(4y-3tKE}87}nm_zDmUrnaKTy=Az5If; z>pjg6eu+;-Lj4?{ij*&(QN*=7_{v<TQ<^XQ1fPlo_!*XS?F_cDbiJcFXAaXlk^JQa z(nsHG)VN=??y_C{K(=*W;F~_Da*;~6#&nULi+31vSuZ{yd-SbFj(ear*R8-aeNJT} zrEY@OTsHzQNFIHy@vP@isYsFAMJujrfouAlN<|9Y7}G^oF0L@<x)M02@6cHdANN2j zu1kSiBw1$%u8}<YLc_&<VVcOo#SF5pvjS`S4i$^cUHm}i=rauy_r^4lbhn9?T*iwJ z$Q%W2uLw*NnYws^%+V(rD(-@oTt<r<WRA`V?2vSw5optQs8FPLae~azM;apT6D_!o z1j_UsDiCR1tRQprfd-2^W12|3+rw0mShs_zBGGPw7F-7c|MVWp7pYx*!H8>L;G15h z(;6Fk9-Y$o;x^HoYfoT=r0dkc0Li0wHJ0@p$`dJFJi&--SD=d|>y*GVy-s-|{%(Ti zT<VJ(q>tX#xO4AU?dk7p?`{9K=jiWg^R}1Wzf`MzKDy%GsoK})qRZ|bs(t-U_xARz z`-^I`zv<rG{>sKFPjFZMQ9Gw1!ODA0wcY2U*XN(DJTi5A%>7B9!(K<PNxK)-TjTw8 ztwsL9O6^j?9r=4BkGzXs(Y>Me^%q_9{)U{IBTJUfaX%Az<Yn}-{Hgm~e}&E7eq+zp zXKOERcex+*IqZe<qkBwuy*^)iuB4^D-A42NtD5d&vG};Scvn~Fb_p}ni#c;6TlCww zXQpj4a6gb6tGDQTQ>*UjwMNlXqo;J=_{?F>tr<|zs;g1NV6koK)z)c8pSCVraN^+o zYfqvl<-6{4eWrV2`>{Q$&(<2`+wOb%BkXZ>PrmNHr9Z+RMR(-O?o0g<_At67pLd_? z53w2B_w9N5bgf=~VP*E|=*E1;eP6#%yB}SfpIO=ce(m1voA$ImU8|k{a_`jdV)vrM z?ydSHHg)^Ed$T@GyBl4a?^)UXZmmYXV`cU`-JRR#?GgPxZSwX9_o}K-=dQiKwdVS( z*zEIBd$M0fW|!;U-TG-wYx>$dTi>loP1n7%HShYS*wtmax3;ETpA@_LT-3(wgX>(= z*IwWHY|YbM)8=fAx}Fr9U8;L^>!UTS>1(fSy|<=y*R_{XdDo0$SC{Bs+Inlv(X_Ru z*}dyjcTJnM)#*A@toGTc`Pr50uI?0j9yL2Vb6soNTI1~0b*X8(XSV8H-xRa@Ow`ou zz;&iOr#*?9m_0F)yJ)Rpc4wsanW+A3%XL?Gh&_(#&emKvb%)rasP=5hb)h@N9!521 zbFO1eTdSX48M*p&RLr$oo5CJMHDv!?`*eHQ{ivvGkD{~l*Xm>^M!r53Rh9j8ZR_@F zQ@5^K<C?m5_ts@=Qn!cQjS9N9C|dheRKT@a(cXD$)w7STeVU@XW9z+ZMb@vs>)hX5 zb^cbd*!#$;bGK~0zpt@Le`u-weT{YcO-t_YYi@7OIls!b``enEn^VrODh_)Sxgq_c z<?F9&EYo++Q+>YX+UAJ!QMTS+*I1+<wAB8(#yoxDywa*^vp4&k*Rt*Yvc@cZqh<El z$i?ZM^Oinab78Z?d9UJY&m-rhm(Dv{dF@%`tn`JJug^rzOrL4V{dvvl%{u3`io>2p zPD%Hjr&<~IBr@e(lTG%S$fR>z#n&E3_NAN7J6dt=QDj%T>b%y9YY!va(naUFR$O}! z*_7UB`TBHZ+__gpV$(Non<H8w_8_u8{pZ}P<<q8Z{&DV>wf5=Ah;zG&rrnFIOn*2x z_30X|^gzqk@78Fh-<%s-K5fe87w5W)#HMV1c5agO>ywd%>6Vt<@78SJeCOCN+0*4~ z?rr?mbJTj;yp3hYFUe}3kEl3yO7``+h_YjcWM7x*+}@aVe35K+na<6PuX;qS#ok1e z9IKMeJ{Per`K09PQk`oX!;S~ZYM+ZJIOZk$`fS9C<hgxM({wIx^g6C2yZUTI&ao)t zYcC=eC9jm!F44KL@lwxM%W2Oe<|Y^RZMB^CEF$BWm2ud!h_qveWL_8PoZfh<C)85x zX~g7Y&puI0v6&kcj!PL&o3Zgw&sU3Sk0W}M4g0oQOnVg3nXK4XYBB9$L~F8OpQ**P z2N4O!ei==hzHwL2(bP4%$*g^>7T4}a)FprIU7D)1Z{xRPr;Nm=ZCuy0)I987L`8C> z<myuqA;)$}XP=5FOTOBxnyRyF<Dwqc)HORdJ~>up6m}=V?^u)c>s+1f{(tHYeHY$4 z|Hfy|d;Twe9(ku;Vn6Y@aE0B(-<{U;H+<&2<A0~_&^O_&^B4R*@<u(yKJdBl#`z6@ zS?2ohsY^Pi9%HZgTzKvLguh2#sfXALJ{K;qoA|5Ke7?iqBQMqO)ExREymY?8Uzgeb z8-5;{<=<21^hG$!PVg7!1^*>Kk33hmvA+m9&LyYL>9cT#UEwp~nez=kbDr^!sXO#Z zIMpujC+8`DpE{>c!V~8!eC9mi-}AF&hJVY?BahW(>@WV{Jm#-c=k!sybH2l$BM;Si z>;r#r9`fg@bNV2hVE6H<aGc%6r@}FI8=nd{&S&^@<i7eJyNlmBb>~0$edM0{rW&Tx z>g#Hp-V0aGKk&O{s(;8&mZ|=CYLiZ>zp)Ga&Z#+n!Ecu-{tiEn+);mG_wk8v;rxP6 zoICvY)E>$e-aq@pU6=Q2-*TGDg{#aq?&iGf`{X9eJl`jIkIt#R$zduN-adQ7U6;3N z8Rmw&IdA%2x#{voEy3I|U3mTM8Jjt;`<}Sz@>(szeB&<8tG-8Wvdr;alK1GWT7Y@s zE>82=26tUvs(F}SOcTyA+n6T2c(%aZmRY_nc}yk3nPwk%a-R1s$!jVWo;~}*9hO<X zb8fmkQ#+HxR4hDw_J%tyGkrtynu>%c&z^9{<%!yf9Ht`SWHZGy;Y72KJ2;Q~n&dSV z3U|*Axa0CjO~AZx2j^j5k-Vk?;pW)_cUWfl*4%8F?ptz`Wx8+9O_v914CWuVbME*3 zlIwI@?T6XM?VUQa4{Ykxo_*oA%RRLZW{Ii7p=OS$!sWAPY~tMQdnMQDl-dh3!BpV@ zGsEqiJAG|#y4+Ellf#rJoIkr@_mTIiHToB;J8h>w*xNGC`%RqFccDtX#^*vir|&4{ zw4Q!o?~%8vIr@RsoVUEs#5sKvD%BIL=Dgv3Vds(8s?TB$eHALwyI93}&3jFp(^sKF zJ;vukE2mc!b6)YD6L;vWs*iqP73U@IEjw9ed#~Af<b|q>{=#QM3#T*eb(!T|6L;vd z(A?<{_8fVpYNFrxOekG%VkM{X^aFd2JXO`v4}2yxb^3xmN1mvv=nGbI8clE5b7Y2h z$4-|S-ZpWEJ__|tPuO$hk*bLP#0t(M-ZF8AJ_xl=SJ-ppfhvnW<1?Xny@yYQV)YI_ z6^hmqtl&K0{U`R&d!gFt7m7IddB2HOI<2}P=FutDFM1QpIrn%+>~xvx9kBDrUDahV zhu#U5PM=W3xy##SC(9J?GqFzZg#7gc%Q@AjH|#!gTlJ3GM{Caeo?rZu&Z|_YFH9HO zJ^8|1mwBEKX1csndFONJoJxUup*81i&ntdSWkQ=LPnhd6*Yk)UQ<+eS+DEHS%gF_E zTjqFf@oOp-T0J>nuFD+H12bJ-soe8nDivBjxxkq7vgZ`PrV^oCwS#Fw*=mMXoEJS; z%yfC7;-Ee;O=$k)4|80et5~RSwB$VNxnSmznVu<rht8-Ns25stp7xwF)8(m(hPt68 z=Sfc&zos)P3hIVwLP=_ZX+r&z3+A{yR*_KOXu)~ZQ^k*|P^f*f!5o)|Dje#D7Muq? z8)hDv?pZO@Wx8j<%p=o0S^Ss^gz6`MnC)_3Ws6T!zEJh#6GogmlXn<#?)7})%akt^ zrWTkg6rxsW-l;ix!)%wkDocEt@`M7_7*mCcCr_AtWU}WG-$N%=9;kgZ<J|84$L`Q~ z!M*)AK6Bi2e^GhlopOo%#OHz)aua`dSod%E%yGy4j@_Yef?N9+)E;@GoFX6iTySH5 zLoLf(_dRw==aggQ6`u>P?N6vZ@=7^GUhuhKf!xGj9p?QGwMSkm-?2ILMQ~}qLaobe z_YIXtX1Vv+Ieih#k`w&Jalw5_<&o#gHu4ui7cJ%3Ieiw)kSly9IJ4j2GshYC7`sEC z1XJY#e{!61_px*OBsj5O;WNhx_nyj@8SX8WM;<H7$Y1=yam-!E&gr9IXTL+uk%!7W z@_|1%4!QH#IeidJko)*lFi!5`Q^6RyjZXy|`x$DE+*kf1ckw%iZvTVoBlnay*)W|} zUT5R<Ua+$NKy}Mh_mE1KsqS}dlTInWkqi9Jq1nHn+GUEnL*<b>%1`7zJ`pVJFZjf< z!+nqKp<KcJ-5+9I-Yb36X(|`265F_&<F4zINS1l7PxKz0Q+lJrR4%x^dqb?tTcr$f z!`&P=U9UvCyirOJcT5*t-#ue9$92~ekuI;5BE&cD;<)O1B$8#0>k_?3XO#lP3wLpt zcN@gIyj1cKznCVNBepS3aB;UlY|AXy7CojC!A!A_J2}p~mgqGV3(oGo5W_Odbxx$q zGo>>+OvQrJyEnwR%ybRWYbp|)+&$qB*IMsxR&HU<4Hpg^3s4ZXydW&7+2kW3H6vnL z!y=9*B?WQAG{Gb>!8F1C?t&PX$4V078+ULVbyd-0Dimz*HsJBtnOs-TDDlg4sVo;$ z!V7lI@}87e0RaIQFU*)JcB^ZHaH_MbtM(zkDXbb?Qqo#lM$*oWW(!^z$eTOa?cc9k z(HODi$BxvGg`0(6-#woD?qq~atnhuc{oO~!?x~b*n|98(;QfP(t*QYoN8H2G<;|Vs zw>!$4JIb3IME<C`^XJ>GH;>s?n7iGYZvOqqab7*YH@x#|@7!5*!SrYDqUU9Xes?x7 zy1LZb<+a%5#j>pav4geG_1)vL=g%K6GgsT$e|uiP^qd*1rqte9a>MlF-jnl=W*zk@ zO#Rv-D$4rfOQG_e<GB}(`+nGXrS{GNo3>s3x2M<M+4JV{wN!a?J-fW<Z-v}<j`J4C zZ{J^g=g+so*SC-Rp0>-Y`Mu-q+sD&V<;`_|?})v5+&5o-`<~i6AHEfC-qasGwf4@6 zH;>D<_um$gH{V@*=he5u$)9H2vCAvzKl=8uSgO3a#_t_x-#%8`e&fAeo`21qN8bvI zH}y;3vCGT1%gghv%YSrx$#=EtSs(7X_)oq&`^7z#^S&SMsC-u|&r5o)w!?hq-p;qa zS@}-YlW)#Gac{{v-=ut|YGJEHrml`-FWO$3Rqj1GXTyR;O71M?O*;fn&fam)<(zL+ z{-IqH)y*UCcHDRSWwz+4+A8zT&w@w$RqnBz^9{=X^h|Aqx#ix@*|TTdTk=J1iFD!~ z&)Ks#>^wQEcST;xSGC3Ff_pn>-TrV!tbFo?n_B6M=j0Zdot)ipF05j*$!6YjY8A7e z=g!?;cyC*!^po5jn+5MZ`K&fizVg$=9XEs0tBWU}yLs-+H1pXrXBV7fvzDHFGcJ90 z@#M2N*PU5r9{tSMB){pIT6*53N?~L3gL_Us_0`GWz1MT*?2vmcpVTIsPu%1A#P`G< zmQQNQc}maJ67xP)2p^wqa<Ap1TDN)N9?wU<0{KN1!iQ&z+-v!u)@&}ghjYg4nw_1~ zXP4~coIX2er{@EA2J@nH<@M5ydpRGt>)AK`6f}{)_~*nk-+y_JzE3>Zd*t4d_i9mj zhsr1Kot?6ibK2~fot^i5Kjk%*3u~LNEE3i--?{tbl-W1#F3B^yINM_<=Uv}LcUVsO zKFd=opR8`)xw~`n>;re7yj9CH{yDevy-!v8rgXJE#utqz-<|p5oXUBh4`)=$)ymV7 z($#ht@0{ED)+a07$$Ik5nJ3OIIp>p<&SWiYWn4M;<eZs1&bgfPiAq0YHTmky73WmW z`8+tIQmU4p)?_vL^2{AGJ74;Er8`+oHZx8%o@{C?Ik)qL&y_POC29+dJ&h-ypILEk zNwL}-<C}9jpZQ!k^W=<=QF>CE+KlbFJ9R&p9k~53t;kaN^vo$UJ)in$q#IcZpPcD( zuH}r6Lb_3!T2h)&np*$%oA<)1rQhZj<azIXdp38)_PO_tJvG~X`&HWQV(GiNNqOAG zw;$*BZ8yDVma5igoH>W{v5#2#B8$mKW-6R}QlQpmtT~7Cp${AAGA{$;pGLy^#xIS8 z^^9*CO+GM_;oOsawL0UMvpc8F{BSlUUoA4NDOD{zZISupJu@GiJ#xxt)tQ!4KJUVI z8%@?U-Z<OyuFsM)EqQ8zX-uhV#l{n7pPW4N$k`(&eIBHJG85i@l_~u8CaLLHcdeP0 zy7k)CCu^Slnsk5qk9|wNt5(My`YmLuf3vppo%g3bEa$z8;)|ZEmW3VuBy?x`oqb2X zscv1(xz22d)WfXS)spMfc1k_Y3X604Ej9OgLVS1a+H={P#5e9e@z%9KKk2zrx!$45 zi94=7i&^qTY1#V3O5M}h)$2d*UG`L|TJKV|;Qj7D`<|5vUb-3=K0Btjc<Z^VHsQZ( zd_QkJd)*@bb<Nr{+3o9R?wj^w)}!q1^%wVr{hswUd;9u_=h)0=Pre=zuU*Sqxb57{ zOJ~@uqF?4L)1O(}`NsRz9+hvZ8}t``o^*Zsnaa-B-cR-{`Kr1`KeD#-mG`4PEa$v~ z;y*o8T_GJ=bMmG4ojpgss4mr4to58deM9BRS<`#=xqMO0iWB-JbYc3E%9GE%ZQ?Ke z6gt<tC-+FMa@+RI%9GD>YxOVIaL$TPIq7}6c-A}bb9+=itER;@{hV|<{*5fNc%fvw zw@&rVJxk7bYsEYLoOE)!$3B%$swr_yKPMUL3x1wtpkF!1?XkCv|LwVMQ!YQ4v+SA4 z#mjE~$+m01npiI1InOLzsz*PwhV!wvSp1?Nla5SR*!SdvYMZ`h4d+8|ws@r<LI(Oj zKMCpUzx*Vmr+@R)qyy6#_C0y8TBrZAx^vp}4|`MIt478(Jyi{NOO{#tQL;RAUgA^9 zit{f%@#vrbv8m^7>Xk^g_l9qD7MDxzJN=`!?YXht{E5#b7ZlE0_^Zd@yg<#d2S(p| z+AME9T{P2p@m9^h(z=4D45j)HuK2-oBK3e?^Esn9&H0XQpCl(Qe>$)2`A3PF$2Zuv zJvPkfH{^EyE>V1ZMQ!Ij?@w_}--WdGSAG)G(%)Hqa?11@dzZXZ4T^L6K1oBr@zbQ8 z(@*Sua?<-z+@mL|1^SiMowvQ}!XNFPbZ_dLNY3|OUrzLyMO)8$n>l%T;JmQPsF{~_ z{4ZO-J>^yAf4b<_z08*7qVv)!ZavKGI4q&_CA#xnW<=Pebk&NmNxLUmYj4~vbZ6?F zbw|onw`wnpJ^98fB|Ioyb)$A;Ea%**d)B3#^NI;qN>^R0ofv!al~+i(P`YYC*rZ*P z%(We3PrmfJv*t*N>eBr`WZR_g7|eOX>zuE;WbL~3leIH93z=z0Zk}{;Y6surQ(jlr zsFbKK(DvLs>HO4+bxVp>=V;%I>3rsOVa<~>UPkWDv7IxgCail>q&iJobMvHAQv=qe z6sb<q?u_A_In`lZ${DXCYgEp7$%Q}KG3nS;gLNr|s$JTiF`SRQ_`;KROgc1GU|mXq zYLhnO=1Kb6A2&_X(|)*VlCCyq4Ce!{e_@ZdPue#%Ba-vJ*SD}qsj3lSMX9P)+9#tq z?|H3Rv*eW5iZxI2RD;8kwolrX`Nx;JK&tWb4I^H?<vZtoE0emD>F3ui{q}2#RB)J5 zs#Kr$!f4MaQyn5t#>~ikv(_a~)jv#VyO6qe<LtI6nOA%d=c(pv7h0cu?^)x2sd|#F z`a|2!d6VDFbNQ}P$@W{yTj2Ut*JDj9PMlDmaM~{R+s8+XJGS;KKd`F}{?=&2IdAfl zc~8!HzL~@FU1huaMqAIfo*Di|)j~HXU$OLj<C);^^ju}V`pjaX>yuAddcO9I@ZVG= zbanC(OU^lym&|)|)-%Ars7lCO-O$$arKgAgrDrNRew&`DELIn^?VL5aWgg2Hl}x`+ zl|ttym&|MVtTJ2uq7CP)$#X0{pLw2{!}3{Wy81>N&zX}$=CyoMnXEq1#`B5ii8(Bv zRFeIao~b1IeX0;TKG|em%SV-N^*|fXN1g)yMHNDaCyUH$`JmFQE@;C!V{(mU=k&=X zmYmZk=U93^@MQ4+R4%lC@|U?Tr#*l8Z7QFnqkgbxlD7IqYtMV0AN-P@s)YJEJyj`J zpIIcdd-9dJE~h+S_z68#3Gg#27uq@5#?teS=bSk#?^N>D3#CuK_o#8dWIf4N`Jrs* zyoqo6T*_4{-I~%>b}H{Q7P3}8D0}j)M~-`twa~4JXZl>qR7%~1tc7k&ydZh<wTIuP zNz)ZHxE5$7t>6Fb(5nq?{uZ`olPr}BWjp6g+|t)ls<K)+P}XzK!~>F^uRQMcu#~DS zS1vRbx;$}8UrUKfuG^tBm25X7E1`=MS4euk@NjUSl%_IY`J;^Ia}NvmO_oAuCoYgY zIdfu4-;pyO2JS_cLZ>HAk@S4(q2X?1DRgq8OJB<w4+VFlG?gSbp){3#<w6<H#~u>y zn=FKmPE_e*DO71!Hk9#v=)vJ`WFd5LVuR$#=@Tm?J*Q7BkUTkUB1<1jfl9sdM`_Rd z9$R`^@>QypPZ|m7DDN~9+B@+{FH62km|IY)N{CyL`6Nx{jnbZXJ(l#e<f#O@F{P>$ zD^HX@IeFrd-XkYH9=Lrn6WZSYr|!si<-PJZKMUULfARCgJNFX%Nzaul>?Zx5Xf40- zv*4ZnJ9S6CDQ}fu`1iyc_Z0h}=gJ%98~<|5?cY<Ea?U-*Ug^2=TKUAkCtkUS*b6;Z zF0h;QYofWl<KGi6-S5;K`J%j(f5w6M1ZMdgFIbH&IDNahxp^D|8%`-Nk<a`rXeJ-| zdE&+XjyjjK?oRehzb2Z<|NPVO+}+Cl(9ely`!niT&bptgQTeQ#X4mv{;_3c~x+Q1a zwd|dKPCVJ~QK#}rImM3Y=R`w!!Os&7<SYMlJa(6|KlEeb(SD6Om5<6D@|J%(9=dbc zJN=k=u%Dxj<&68jnj@#(ch$6<cHdT`@<F*l{^#$G`|iK&7Clw2k-z!dV_JX0&l6Mo zU(~9+SFVuX_-Ue+{K8KYclZ1J?6~Xx%1-I2a*2HBCqWJQnZG;kxX-Imd8h1W$Mk*T z_Wm8YPs)||Nx!^%VqWiuJeTusW#)@^PqdLfxOw88-a9ut=Jr0wds3#nO?u^Bj<;@U z=1RLKT1j`_<#^-vBIik|a<SQ?U4oX<nRhu}yM>uA+BMNax^eTwE4_Pea=dc$Gk=t( zyi8j2F2_r^TRAOf-LB=NlqfHf4%|HPLT^K!%2_u%^G7=;p6e~hODR^KCGEI*qOtVJ zI~_B76Y`!EDNmEu+&uAAZ$Ms3k@6(z&O014dmZvp&bS@PQ90u#XZ~o%#ACe%c`1d; zUDBR+I3Bt2nJ4X-c&Jw(FQq`aNt$u<M1ARxn<nZ>Kio7?SDNz<#{;*2W{<W{+}E3N zljFYIH?v8p$`NKosmfK-CvS7yb6b<M<doZroF{q8!DdO@C+_O?xXE$XZBY)(DYs{4 zO4}!@OLyMxnB03H_erjD-uA!uo>fP`&#lgTyw~@9?(Xd`?@fDdX0!e2y|U+K*4rQ6 zE32OUHh1gxi}%c`XTQlU&FkLF`_0U1``&wI&&{siesbqpmGtY})!SFz6MJrEv3=>i zZ_muG-1f^aE}s1|cj@+t_pUuNGuys)XYLoXi?^@b5v!7Zk(-(Kd(YX=+s@s#$iKbE z_p_PF_PINCKbskEPrO%FIs0jDYToKSy`Q$7yseVoy{Gp~?u6~VJAKdOCgxS|Is0+j zvD*^)(R+M9ZaZ>YAm4h=*$>+e-R8)b-sAhh%wT)%&a<a;WAh#t-JW)vDW7@I+xKSs zZ~w}>TqJ!zw|aZ(PTteG;dz&L>%KSJb9>F5u%~8P+wa~r1GjwhCKpNH%?-?JF1mdu zw`jZVPThBA>e~<A{Z=;ncFz9IKhIsWzCG_|Mfzpq+wXFAZGJkF_k7OI&39*>J(sg% z^WAf4*3oZswroCoPR%-c?#-n1=DEG+a@KD?JM(O*ndRoK=Z;z3ewDLo^U;~S=W<qV z-aC`Gblc^dch01N8iPwVZ=KnDHYYnxdaiGYnd#=%b8J@8vu@g@f1b0p*zDX*v-Hbz zc+cjfr=6a2_Ds%<&9UdwETd<_yJ$C+(v^*+pX4N`2^&YxxG9%jJZEj8*|D2q>A`b! z3(bz)<V!c6v$nwO&`q{<;W@l#a^llI8%0mQx#P^X)NKcDGNk{WeKvpFzMEUl95cUt zFDEkXu+i<QH@~Evp3R$YroB0IX78z-^3A?8&*p90b#uuXH}mKzHy4~SGoO7Y$3Lxm z_Sus;1)KMtefI6v`|N$|zwX=i-1Oe{FMGEAp7k!fGVbu_sCU`rahpF&z02Od{^q{0 z-%@Y0H?KdrFYNi&8`l%!7k|F>I=eWoxYqYv_S*Fu_ig(%>s5APT=VCsSK0Y-&b4p9 zn3}Jjxi9RO)XVJLxa7~bW?grS7q0a^o4s&-<GyLnww}Lk6aTuV7t{<liFf{dYvy&M zc;nAf&$6elkK7mbZ0o7(8u7Dhd_QeHas9*|wx73VTtBi$?Ag}i*Ja|fYkEI!J$hXv z-n)kP<JQC1dE&KedOvJEc%3Dl`SY#m*Z1tX_S95weQu@h2h#)B|HXZ-Ui;oucm2n` z+rCFlyS`yh*;CWK*FVJ_u0DGzJ2Y<br(1WkgX1<=uYG5#x&Go_v8SdQ>(B00`+jTk z^+$2VpQP?&=da%x`{cXQKJk}(Pt5E75a)8<wM>6e^+X%-gT)i?bl=(8F}M3c+>>ug z+r(Gy<#_9wrms{z(Mr5?FUK3#7co!1Di!NJsuHvm&)m!L+BHmnQPo5X@y6nbSGxD? z<ap)kr~l}g(lT+)y&NxHZ^g8nb-fmo@<nNpcwq6w3*8NIDra5o^dD7DJl9<im-1O@ zmbhc_L}T%jdpc%zC&WGZq%=)jvv}gE?tr+IPfC--JNIzR>~@GtIpcaHM&*pFoc^PV ziO0GP;!-{;b%}fK;dtcAr=L_Y@ldxwT*?QfCUM5%iTdInize!cKP;N4E6%xx<ALix zy+`E}_jPCN<hbwpO>fdur3k&Er%F}gCwFt)b6pd&<do}*m?!U)g7uQhC+_O@*vWC% zbx{n<Dc5IuO63#P#XEO*Ozu7q`{b=s-s->Wp6!l$pH&_9IM(-k*6!6W*G)?|wORdi zU0J%R_3DS~%689so3(ZI#dT)8XT8ZP4eO5OEi<)Ry?32iy6N?+Pu5)9CG|RM_3D-D z#L`VIRxe%mEzR`GRlo4!&9h!+EnPiv-L*7Rv(;-Ob4yGwUcItLY?suFtjw_AF=s*D zJd5z#F}}s7CadR0>K2<CuTET7wsY3gtkkg8F}+1wPhM3C?~ds`lQm&=Z=~;;ti-VD zn6rgjk6o1rkB;#z+<N4yK)7|x*@CTyu5yG+$M_bQ8mz93JbOATHtg}HThp#Gg)_&z z%{SeD^;g*CO;Y!>s#m8*@}ABL54#+#n{T@3>Y6oSsis=1@2)jVHQjynRoLWBQg^cg z!<skUx|3D3+BQ-*&s2T&!L{GE&ARPUr~b%#;=Rr{GdbS7eDO&sSK1|f(pb<&_~hIZ zb31R$<ap<jr=Daz@mA*rzmzhiO~NaUC*J5h;Ma1_B~INaU1^>0MB|CqIyd;Glq#(f z?lcy(5cZsVVs_^Ozb7S1d1^^k6EAg6@LO`$<%UnnSr<2TB`ZNwVa~Z6FI+D9JSkS1 zC;V~_$8#4m^+lF~Cc>7+6VG(cn91?XMNd7+a-xy&$~hcQUDVW-EGM4moG_E)iOVq` zmoqMhe4Z34^$BOr;dtyKroPBx;*m}Tzb6GsZNi#!I3BvNsVi9s8VLV164V!dX(Xs8 zeA8&+flda$C;3Ws!Y^lcOzZsMo06{-sn(RL6t1?&eBz$Y2fjy6xvcVOIpy+B%_vo= zOnBw&jwziNd{s`lEbvLmQz{a!G@7`h(_$va9hZA*kG@T~-~PjH$#=zSxkJANZ2522 zcD!@`WW#daxk$d~xnh}I)9(ql+i%z{`KGvqe`amR8|POxD&G`0@Gty4;d=X-%8u90 zPi&TaRb0a#S=;f-`H>CFIp-kxPtO!r@LSe)%x<4yx8#fB68_B30%rV?pC?>w@33<@ z>+B@Y^lO3%|IeC^=gwC0hki~t+n!;^a@P5rjml@mG`Xgq6Hd2B*eyBZtR?UCbHd4X z4?C4liYanTKPMRS3x1wpz+YL@@z_~P{?LyJN82^*R6Z(p@LSe&Jap!gclt5mU^|B$ z%Ngf=Hb+i7@3Lt*?YzxK<%41a|Ig}<`_8}Q7Clw0;lEk!F|9qJ^2F5k7q%+z6)X5R zewv`gzwpz9-R(Y=9e15y$tgWmEaC6`B%r}Rv%2Gs^E?}scZz;;Oy4JLZ{MN&q+D?y z_siH5^IAXXxtw<@6JNA@f(`e<%@gjl-ihp(+xkH7Ntxm{?v=3|Z=KS_m3B|C;_i&) zc;oa!=SitzvDl+s0+!sFu^g|R!o(Ntnqa}*xOu{r);*CNublkEAEhZS<JOGjc<FRY zr{%2EHJy|a#YNnKn<rdoZO~IW>trYXXy=4;tp$21#fr1I9XC%f<~|wIF|##6?@5v3 zG;Yn!6Hc`T=%o}XPU7y2;h5R#pqFyS>4=WX87DdMM>{4QYc<eIDOBv@_Ke|p<isbQ zv}3}dRsp?~0>vh7#?2G-xj$~2pvV1i(*#{^&KQmdPXELnZJ)5OH6xPazSB3cNvVnv zVnwNnRoo||Iqo^F(OGiJX@$;{JjGzKr0o-SwR%Ky+;v){!*a^$nV8b{3F_RP(H)ap z59mJ0Rm@}mY1{GMv5J4wbA>(Z7mFv{ZGIuAa^CTSjLLU~a=xVJ3Om?$+IGBk%;I;d zo^Z4Igxr#Ij!FDX)dE)Rm9{75H1CjeIp-L~f2eB0)#ep)D(4&@$f$f($meUSnsB*! zhh@i0M=yS-stIQ7iNzC4*(Gf|UN~NnQTd{<fZelr!ujS3xh0<!=CI$i>3HUNLFUOB zM<f2EX9_deciMD3bvz}r<deb__L(*vPaKu_ot`O7V3#ZwFl6T}o^ZU`LT<@Ng&y`u zn~q10Li|k?6Am{^$SwJx(84Zh)A7LZfXtKAj(cR5oOawHlXBXTiJz%r!v5wTvP<48 zMDZOepRl(%#gb!MbBtxjJ;zUcP2~dG>??}|wAgoApP15oLw3nKg&;ns@(CL3jYSi7 zHlL7va?<e;-=ilA1?-j99k(6o*dJL>xYzhblH<L@7oL=Ig<Z@ijRkC&Ps*N{+jv8g z<DEkudy@5pTa6d^QpyxIF|Rb9aHH`6U&}d%ICi6Sg>}pmjVD}d+`yMos<4W=(^$ZQ z*;Dq!?8XIrPf8T>*pjR!Txy)ax8$tD4W5*<4sPs9RsyEXoU$A*94_%ZDOQ-r{8EPF zxq}(|B1-`iW=rD<XBuZnay)a;V^6Z2V8pyqhU2M&8oQF^gcFSuBsrcq9OH30<8X-Q zNufd?bEXW(V+S$zMHUl|G%D~tDNtx*)|BCR=)lIVWFcU{{L@H4pZTScfFAQrqX`EZ z8Tg*$E7UQ+l<t_;_<=ViUm=pMDODkyZISteJ&g}|kDPK?#nW=i;T@Y%szMp_O6iU% zjTd-TPB|>#Ny$?vVy-lru%ppJlH-oUJ+?<>4Exz1R5R>l-|(EFg5Tjh;~Tk#cZ^Rg z8En`mR5O(G9XQAMK<>a>#v5`DZy7Jh9eBfdLe60>bB8U%4dw;K4C~nqo-@SoJDg+O zBFFHWagAKVE5;+13>NGYsu=S57``(2@gF$LI780iCF2A+huO>t#SGbe311jo_#MtN zw#YHOU|eF^aGv>tO~X0n2R037nJ?Hdn6MW-V=&@BaE38K?!Z&V2swwD%qwgdPBG80 zVK~X$VZ&g=&QQsa%;#{1vBk3CICFtb!!hOrn}(yz0X7Xsm>p~y4l^6rG#p}9uxU8R zEMUW6!2aPW!yXxj>C6v`7^3+UJ}@xwKX}jZhi}1qhAlD-_Zi>FI!t3eP{a_<=kT84 z6CcBShBYz{Q<)bOF_g0#JY{&px8NPa5*dcOj4qZ88tew;3`Oi8o-jP(J8+Wmfb4<W zj6425{w}}o|BJuJ=hc7s=X~D2?Ek{w{Wkv(eD1$ff9GfW-1-Oq9)FYH_J74+_P6$F z{}q4tTmA3&%l^jx#h=Gt<%|D4{KaqiKjSa^Yx}VO3xD-n{BQW&f2DrUPxe>#e*Ygn zlVA2<<1hP5`&)mS&)Q%6ll(<~(f@$Y{TJ#R{wbfexBLI_XaBkSf`7@M<!AkO_}p*& z|HPm6ne_?(9)FUb_Fv<3|Ec<bf61TZC;jjE!#=a#;a~C@`y+pp&)CcTfB2*SSiQl& z<d5=Q|2_V&KeFfhpZKHyP`$vv<PY*q{~12_>;M1osbBB^gHQds|2h7!Kd}Gz@8S3U zef1eX+3(wb`#15ae8j)Pr}9<*PyA-TXTRpp;#2l3{ycssAN()zd;hL_kDu&!?HB!F zK4t&xpW^p^_5U5e+b7o_`1|;+eBSRLciZ3FRej%>F2CpZh0XnUYhS!mK5zHojdHns z`M1P$`5nJ^+--krm-XFocmK`W6YmzEvrGEUxSQYVcg5Y~b82_Ib3SJm_5I+k{;Rbs z-YK86d+<iNR6hS(<F5Y8wL5OMzqIrE?zpSp?03TEe$(F)ciUgsU3sHiBER6b$L9X? zwH5Cc7t7E2edA91GrJ3K9-px@`kt63KjZg~JMB;HPQ6)NBtPZ%j63a5?3BJcrpZtE zEwP#3@HfZi{^PY4?-m!z_xz5y)Bea#=zHUi{=>Br?-m!xxBQm4)BeEjz?;XX?e@G` zeA;fuo8;4WOy3!I^zX0z@pf^(eAKst+xz#{rrczoRvUA({hr;YZ;jjewSTYJ#IN;x z$L-@&YHz$<oF^aj&2f9b#_xtr{X1(<ynTGq?$Ni0De?usD{i;nwyk^ru)6<V^_!jS z?`^-_N&YUk>-&jfew*(n_8y;GePbv4JKMbXiPinLsxRD2{wBBS`-<ZJ8`THyHJ`JM zdvEw$Zr%3@#r@Z+H{479D!1x;M=`&}caOctXIC${_xOuk-n+!A{!7&p?kzrRd*e>> zSzEXFidFok-#PZOzp%Y@=kaH`dEZ~`VSjFG_I_a{zsYxt;{G$$Gj_5+v(<Z_SlMs% zeZ?O3r?zVE6)XEsR8QE+{>1j!9p^K)hweQ7DA)HrV-NddTe0^GEBcRAE8KhhL9Xq) z#vb;Ewrrqwg~9h9Mg02TUlj4{eZNuEf1sM--sAUjb>Cm?Zl6~D;coJKxyW~oPvyej zEiCWfQ~luX;ZwG&?lhmWefQ4rsa)Cj6}#J~RA0EOe9Csgo#c0NMc*rm`gc@Y>}0=V zd+*&tv;O;)Kh7;Km#cnu(3;=&^NqRf?`%GuVLoqD^t>=#uIyQ(b^q<k8|N06$!+;O zV{ZE!n^$L)%j7nEUSQmRz4FY=_SZH~&MYpKTk|<$Zu={nM`xJN*#tfRm?pR4v&G!@ z*_AWSEiRE;@;Sqp-|TaQasS22j&sguZJeGnTJ@WJ{xPThxsBEHgO>egD>Ke9pS3x6 zM!8rn?OCH`|LMwzbBoW|Xgznd>_1uQaZb5NF69}cWxwHP0posy&lPjpAKOShKWNc^ zv{K`oa-m$uXNx)Q4{f-fJ6iM~tmHVye8y(qnZu`TcAaTHZL{r+a)DgK=O44%@7w%( zwlGz$=JSo&?$at0W*(nf`Qof{zFfuU4MzQ1pBEVQ@2>Qj*?!mN)icFZxsuNvM*JF| zXUuNDV>9oJa-N*uGe-0N?Ug(BKK?Gd@BNF~<MYZt>~lVEU3P!r?>?LN2R`@RDZf+M zKDYeAzQ^BWx4mCc%l_6n?Y`pgKCAZ~wd`-KU+j7ORkrxv!(V)s?=x!IUt5RWU-+xf z;(f#CzANQ>D%oFI``v%|Om^9Ojav4X*0=UFpS8ZWC;5x)qW1xx`!19>>{C8#ZFm3S z&%Sfz1^bde%g%c5@VU?U{fV0PndJ%l9)FUZ_Fm(2->LF|eaW9>C%x~eVV_y<urK+H z^^rZwXRPJ!Km5^mtlVH<@<-XO_Z~IukF5FbC;sR=R4%YD`GaiJdxp<_`tLt{>eGAw z;8UOOdyX3R2iE`YJ^bFcuRNoY{l4|LdlR3^M%*iWDqHpbL^b<8>ot28pR!)D=kYt) z;CqSR`*xLkRI=Z-UbKh#l=ZWFir@Rx-*;5EPcA>O_wifVyw^Ws+uvJNUEi24yXW<V z&3$)EU#wF;Z}nk~a=C2zwZwGU9j|x9w!gK?y6(8U?`G+Vb&JngC0%FS&1dzxBKG*4 z(jDuZ&sjxXKe(&!YUzq~%IB;etWhqN&A-;TtM78@j>z_xR$kW~clDXQPT1UM`dT8k z{e{()HOeKj3toF{?mJ&vv2Jm(?3~v(V%ncsU0Cz@jFr*##5CC%uXn_>KealwW^s}1 zl-Dz2+Mie{U3W~Ao$y*>GoRsWj?I0?OD)zdE|l$g9TC(1$V%vX<Bq<=r4s8F7s$4} zmWXM8V0B>4<I`4q)+|14wPQ{4X)C7dj63@Fm;PA0IA1pE+QIF8drMOy*{7ApM7H0v z`gE;vJD>LJ6`T09UhjxLKBe@=+QoUYLDw9&_i4Ot*wnYP^u*f7C#@b`dzd0y@VX+p z{kCP@`G?hg_ln<GvcI?dGAH@F%&zAriur7wpRheXxA=x7`#a0L^NH1cw~8;!Oa3Oa z>G_J{z8l2{<~5(Qj5}}mTxQ+#3B`TaiZ{$l{wlNTc}Fpy#d8na<Fktw%zOMrChuHg zRo|uJ3G)`8wY)JW`K+bedBrL|)8`zv>@O@Y&3XJ;X5RA`Htf$W&CV~Z<TH70QQUW? zc!nkWGfTbmiIsgu&sW&6KebdluUOf4qIiNO`xDD!bDYmu9-8y`qfFoP3>)^xmSX1@ zR`eYyR+#trgG}3V4IB1{mTc!0EBFka|0v?qfBvF~Pw)ASqP_#g4D%kpm#KUH!n%E0 z@rSv|?`0y-H9nOIKew>FZ%^@qxra|#uA0+)%JSVg!>2N3&sSKtPbt1ISNW9Xf;q|W zWQv|w6!q;Wwy<QsV|nk~L$kj7g+KZhm&;TiJ7~>k`}l@z`#XzIJ<R7VijEhi%ak2! zwC=lIc%yG|naq~QGi2M}SiI^{E|b~tc!6=>^};if?XNAK^eirwS@SqTw*8gGqaNmS z7D2~9rpc^$Y$4k|yKqL|;u4u9k28$<%pOM=_gyUP=yN`6;dGqQs?X%{51IDo7FNd( zTK1hS%;;l2YjLhexmYIcSfgd%>B5M<#b+$EjyqcRoh<a|Q!bK8ImT$&XZTpaxX<8m zg-rWn3#sD=E&7fYYV;`=%5*%okZFHt!FAlxqVHfKM<4SUi+w$ZPh0HjX+CYStw*^) zrs44q>Gt~;zm6?Tm8p4rL)v{>VS?oGsf90kmGfmP9&a$}(|WwXsBd?nk7WB@i&w`K zQ)NmXcNp<$Jf0!le#c^7k8+-j-!Vq>zU_rOY9D`>-gp1S-(&OgKh!y&H!s`2@OQ7x z{R5wS@8sY4*)})-LEYnT(%bH@_{;XzJZ-<??_R6>9e>&0n7^ob{8hSm-@{+LmiIIM zvb{DB+rRKvuf_d_&%Iaj_xxmgW$w5C;WO!F_ci{qy)?g7(|p$aT21m7=|%SgKKEY8 zZ>Uo~Yi_sy;m_W4`2}^!pQUHrclg|EeE-Cswwd_}b&o$uPrI-2x%X6lKwa`D=}GrH z{;<u=cc@D~V}7JY`HZ>T{)az$kL4TGC4ZFey6^Fa?U6a({=^@>hw=sLl0Qf{-DmjR ztAGE)r(V7L4?gwk-skwk_Q3q#zK7p?_vL5&WV>(vZQsPF(h>U#pGsHVKk=LGp81-Z z#iz_y)I5GC9lS5`d+)A%kDqLJ%@@@$pE7^8Pw{)N`u&dIZIkm4)INSIop<}k-M05; zRogeFOYgaTVRP@@+!uMu=gmIkD3?o@Z%a&<-f?@!-L|)8S=$|V_ukAsk+=AqS<-gK z-Mm(}EAAefle;6&`J7qQ_Jg~6uja1EQ$A<*AV;}WI)7W^uHMVJJ8rhUH1pc-xU1Lf zcEaXf)7uhv+g_Mm$x$wmUU1uEbMN`wioC_e(sOR#xYPE`>_X1tGiFBH6Vs$;+}?4g z?Wx(RoW(`bQ*O_=)AqznX}e>Z^n}|In|Tdyb8PNCo@<e}xKO(1cEp{wM`l9X8+Y^` z&XvepTp-<YTjEaJ1G57;k58NJ$yt2bY)4M=X)~tnj5~Vw=l;lDoG%@<?cny_y}2nj z*{0>j+-$pN_Gw$=c3$n<D>m_J-QIEg*p%EGxr_6pgSI(t@71{7u&H-v?up#TC(Ryh zdzd0!aJ%Am+ilaj^$)9i?`6N)$@bp#OHA^2sa@Ak6!Y3#Ke6}N-0T}W+1{Dvtxv4( zy_J0-F8Q0(rt2$;dv9bPh-*G)8n@o?xzxJr6N-DUWp9W}{wlTVdPgy@#dVLp$7W|Q zh<p4+DsNq4Rqv(j32}?hn%;;>K5Oc>Ua^YT^g730wil+CVjh2%ns@!h9=7MEX6qML z@|s+?DDFLzJ!2=^GgH0wiIu%Z*H`Redupn-Ua_+GMD~Q8Y)?#&#W<faJrwi!qg3DZ zj6H0RO~uwPtmr+Gtq}M4gH+pfjXi7+P1)8fR`42J|53!NfBi)fuio_=MZE{I8R8zl zm#Vw|Vt3oL><_WY@1-KwH9nOJU$?NlcTe_%*u$qxSH(1+GJUts@TpYU^%c9@ret4; zRX%09ASU^pRMGW{qTU_Z7CYJQnBH6W(5&}<<`2Kc<x<tl4qEftUcNE6?VZUdALjEW zMav7*rOK8yTKC@0yy3UFOlr&J8FSm-n7r~)E|c1Dd4X~7^~^If+g_VI@mX9dwdQif z+_qOHk9?TVnFKBWm?pL2vc=rC*_ku^7MDmZxtw9lYj!!pxc6dahoAFV6Q|{jR=p;d zf6QrnZeq3kpk?pb%nU!~vnJ<!l#8X(mNi=Tp3aQ$TYSbuYq_Ik@5xLLKjk8+lx2*T zy@r<sjC&0(SIlX9Y$CP%phfS|ObtKfLaC0+7IWGjns6<5wCFvU$>GO*#$=z*;nOC& ze40<2Z1YhrkZQR6V|LqplV8ggrb^XZzA@WvT4ut`V^cF<_$ud1Rb1X+)T?!Qfl=@7 zOrM!;cTHX`Q%sdAx!hsIt8sb8?6x~5^L&)^r2LjKn)hzc+%flC^{n@qdzZhp?EP+H zyZr6EWz|vhE`OLat@_s7%WvkiRo{A>xo!E?d2ZFW-ehiCe$`U<o5_vKFXnu!x;5u= zjQ`}~sMncmmam<+tSV~G<p*=7Ro$9>Il$lA_UzfrWy`0|bE}G)efh?mZO^t`yzJs1 zTpaZxbHVbZmTy0soWE@4|JWw?v&q@Z7v_{b+j8denK^Bhx1MHBTRzot?I)8{m(~0y z+vt8WF<S08&+M6r;qq3?w;xT8UzYMuw#ofya`dv0zq8HT4<?5%bNMUV<bE(Yc=^Db zZ%<A1mzRP9J=%}khWEpk{g?mvy|(r}omsQ|?c8ZkO>~yWTKc}<vgh&#zs*HaQ!lTW zQ&xU!%H=nHtF3)cWtJ>=wd6gOS-kw@+;2~|?6^E<4qN%H+nITb|Moqzj(VR_?e<vK z_k70g#V`A&rJL9+e%e=-ZeqRoVPBc`thX6k7hmi%v!3-PqtvZimbc8rYVqDavviZ| z7oYT8vyyt9v3l{!KCyHYi^WU(zNML5x#;I!Y&`2_#?r+T`>v&#m@Qr_nOkCV@#2*p zF)OJT8JTXsWzH6FId{>*{kDv6v5CpzxstlYCdP{s`^qe5J<UjUTP@RDwB_VQ758qL z-ZL2!7WYc}p2<jbtCl%ixaHVI3HN9j-@+|NE(*9?%bYFPa_Az5yR?jNfr-K5TFJAg zGh*Ey8{L|Ak;$D|=54;o{)@ldE*nYR&!}FUD#?2~Bi!w>v~Ir1o{MXG!ctAN7T@hP zOEuYj@s-<TBdNO?fo{!4x9(&VEw+`^%`;J7e6aVM*{s{fb@Lzo?zxx#rjqTw@fVxq z?~=RDpZLsUbN)o_vAO9tD%su{=gm+2-E%Abf?e`A$xY{1eD1lCe!#BzoN?TI!{?Ig z&QJK<b1i*?UGi7SRp&cC^H`kss6942eSzKMFOqrl5`XnvN}pi2_^j~_o8+^`Zu1p? z@tB_HsAYR$e97kVXUTczU(~QYH#VEU@F$PSd5h0IXVPa>vOP1_o1gfz$LRcu8n&m# zYV#F;_MAwcP|5bh_?V6J8RJ7Xk3UNGozJLYdu%K=f8mdwBk2luk3UGZo!6*gduYry zU-1Wz!TBGc^<pnR@#vku@u}xPI)mNg_mXwzUsShEOaEY-{9ZD0UgJ~A@OcZr_v}f3 zV0-wK@hY3<Q^xP+89tRPJHMj3ZA$tDTjf*63v80#Nfw>2_|&r_-J+81j`6*D54ZK) zPyL~{xLmS&+QHpCwx@5zw!Jg@q{DpPsAzg&x@6h3#@#))Q*Y=kE|c7HdPZ#98>3e` z%4L!pPA}Npb3OG;WZP?_CpwEuCD)veh;4gi^hk&KoKev9k7<%CPFuvb%}$-6x41-d z$?1&EJZ7gOHuqdi?a*^RYveSYaaWJY=^rs|&yB36AKck<HZ?<!`K-}79pz%lv}uhy zdrqfD=q)~Dq&3}fXV1x04?X1~$&_h~J9`XI3vBK&I9(Cb_Si^j`oSGNM^iQQlnW(0 zPFuvZJv8E)?zp4pU@C_m^BJRkI)_gi?b2yJZM02Cxj?ev^pEJa`$oT}ElicHIejDA zZCYwV<gux#FLagjB`Z#E*wmwSdcmfi-Kjp2ZFh}cO;b#jEIHk=iAUq~jOeyIM)P!( z^CbPIF>deKp1MQ!@pp-R$6weUo0t4S&iTAyS^vW7p7!1qi-W#t=($vMcsPkDb_t}k zrR7X2aTfA05RhPNYY$a>Uc@f!;26Ec&{N>3hCuTa!&4H@J&H<D&^d|uvqXq*^=wOV z-Sc(t=az=v_`)Rg{lJAAX6fSkV)HlXUQBp=<JJTXze@=T8fw?BSw6YD@zy%$Wt>O1 z{8Qxddbm6`rck@r;g-?P{%!U9^}anS)oW`>Zd7&ru(@@i&EBm?w%ktX>6x;5;og0} zRDXW#+A(Ks>ty~%k$2v1dUxrLawS*Z)@X}ur*BS^I#oTXW9_6s<vG3!x}T=~R<`ue znPoQn0n53ClH0DSr8(<YtTp0DJbi8FXZLBjf=}h2hHd-LY@NP4r>I_Y-^OUcpuOR` za=Wf9xYXO1>-J%9+(HBUMxXXB-nkv`HjCTnmFw+}JpU)|$hr-Boo6lir8BqlsHqv% zv#)M74c+qKaM-`?XA*_~zY&!X+jc4`y{yh9^cUA6?lk2a5?WWRT-b9s+5f!x$T?d% z`Q*NDf;U}EUzoMX`Uuo(bktA0etnwvDwZ1FUOsv4^;4Df9<S}Z^QrK!bN4l`6|RvI zy-PY)S*CC8wwQaZBxTxz4Vk{GEmsdMT(C8#^=VQ`@AcI?cr6|uUaP%yb!sPDzhJ?| z>kpPPu=B3ozUTYbj_XRFk`0VILTlw>et*)R@ryC>NmbeL+si+-n*Ydh<cRf5n3$*} zyLw&Z&MHM7?*k^;M|Q{bAM`!H;rrSK&h5H$GbS8#+Hy+h?pcTCFPncQy!&nBW9%{O zs_4NmOAYVFuAGdeDH(x_*Kt1ky>Ex<h8w@)bj@u0y6+n9KKicpO9;b*&^vWLpSDz- zdo0LkbD{pk#>{)CN+*AHvAw@l!1w1=q13y5!KaFzF8Vp^q)YuJuhP|bWEglwIUbgL z@D=!xd{%9(Do1?D!(-pW-BNr5yq~J_?~L}{IyF#u!NgR(RRZS8DXg0oZ8gX-;Vs~P z<`&SJy=#)@WxW}PCfWGaJ`Lkkj@cKuLGljQW0tqV+F2%1T|u2KOEmBAjGk0+OCZdf zHFjORPkMiHOxuf~BU6?vtmruq<v4HYR<&7M9!fR+eh{sBZq=<*h9dP(Ixi_r%{}o` zC}<Ohwujj*uHS9B3skC^yu4&mJDL=(J380wSo<J;?E=Hlj}^WauM}4Oejsbq_jJ~^ z0M6(?d^#TzcdxbDu^`+dB0y{1b;&he7m8|bpSro%edVc5KcdU6Uap)P?!7H);goCa z+Cr8gajj;*Sr3M-V?4rad2`E$V|T66mNUt(3Uj@Z=y^D&sKveK$p5<J*9vzUZ<bbw zFFUbG{!7u7vkVU!?;QTs<lm>vW?!-8=81{7COBKpvOShO(`r-0dhPis`7c%~`|BM4 zS+S(;!KNn**q%ytwYNOxvy6JJp;FeuE@io_b-PuE>8&?E*!K5b`+G<_W`(ll3K4ZX zwH1rDls;f(`Z_V~21EIjor=c|UJD#M?A}^wxg&S2^Zf@?4^QG<mZg?yeeK+gwMFU2 zuC=B5OuN32eNTE*qL59%-p64_A4Nzxc|~|91}m5rg{q2FB*_%q5#O>&(`3e^#OI%w z+Ez1UPP#S!z77L-1H+5(&##s~aXfnAvD3lt6Jn?3E_uH)LQltcV@_-7Iz^3XGB@4N z@B6O(J#v#(!BzgmkMHNMeK_L-_r9f^+6y*I-;4Ug^1n{WxLs1!*xo60N-}4ttf+s{ z%A;AU8MS-0V`mo^?Q#39yYkl`omDsd%->8~+TxV!ylP&R{<%lYce$j$+&*yg`uZz@ zOOgb4?-M&Sb^oW$mr^F~e35LBSFgM~%=^p%rEae4H{E%YpKf7@e45H!cO@?Mrc<(t zAk!Y<rTiD?>Ms1@aI0DUm*8y&kvF%TxA)Ac(fKRu-rwlC&Y||b<iXuvV@oyvJu4EP zRLr^bJ=2l4hGQ-s3$M=SJfYOXlC_AttMS1Vh36ugn~Z8)a`^vmIrKF*S$_H9sTTVl zE%@To(YE4`jz-C{jJ6X;AJ#WtxYPbdZCc;OBZAkIxuo_S>1ePv>t3lp>CJBE)P^8o zRg*bp8++<DT71xKD`~e0{vddRMJ{u%@~H)z9;|Hf-m;sy<EQDhWUFbHtv9`8;P`u| zv*cgek%bOdG?<!ZSpCXKw%vSo{=V{Qo_GGXbup-3u=jjo%~(^puvL`bmoez4V0^D# zmB{ng49{w0*{$N;{Znmqe>qtF=4ajgI{p7ApXM`N9rxAVyykiR_Sl>Hw$0@s|1D$g zR%}wR;T2i;=6j49_xm@;jPKlbx|hyZoHub_<RQgxeXsQS^UEJyP-lI-uwHAOrQZ4* zHdizRZ|zp=(@|EiUiffD|F8d#9&XfgyEr$;`0bj~T!(`Vw_<KwD^pYn5LUM{$h}s1 zA=vlTp~%Go3bqV>Apr}M&;62YX${UY56pg@8&JvhM(y0J9o);;<YrxuJGUTj_N4IH zE7!g~Re9_El^cFOFRo0zG3(N9^^cQJX!)C)1}a{dcuTZjEBi}-f#D{;BWgR_b`)Bq zZ<_7R>NF?oOl!>|pH9yjy_{2T<9;MZbxtw*Kh05Q4=c}xwF?cl99h7(P}SX>x6^yw z<Eds`g)cX)_~b7x)78_XdWxk&!F7U-D$jxCUkf${SQv6y6e;lSdD&OKShM_f-nMA- zH>I!B_Ef&^DBRxHTlV@2Q}FK6%c2R<?5SthPGoG@SSp&evi5m#z?R?!78`R#DX)%O zi*#M5KI*JF!q&(jEN@&rGfU}}%WSWJqz~+_vsP$YW+;DZR}nj-@-Kx$;N$Yw3z?*9 zL(~*QQU#Y7ec!re>Vf$Mvz|owin^YX_Mha&{#`F;?K9(tI!u=TyJXFC<nohwltUAQ zHnMj!dSBp?;yt2zDUfNtl$=tSHPgq$sq9}L+~Cn+Uz0fFwfCpuZlk9$dp#8R`8Kcz zvDX|<nKsLD;mk!o4z9h9!9qt262l+gTkdq~8pj$2=LX$R0&x~c{ACv1D0{0}Fwd~; zZhFgPG3SXHVSZnJ9p--E!fO<`<*HNQm%H7I7&;fNX%=>k&bX_w>Xb%R_<jv9k3$-k ztf#y$ZPS(vE7tIc>)SRr?S+|g?8=I&u-h8Br7nLSaXgy7By{`68|OVI?3Y<<<+b=W zPf6^@SDk)RkFGz6eHvissU6!`HldhZq<G6!)q7iKZOryG{60}hcenNS+aCOiI+<5f zZ>?gpj(V*%`|6vF<Lh2oZ0e5Uia5lybX^tikv9g*pYM3ZvDD6cfgD?4tSIYJyQIMN z1uL5m-80uP*{XW^{gnR8r(HK47g<;JJg8sVdgsY~$#*T@xF)N+zV6;KdF49oa5=x} z#@pAuXL-49DLaeatF=M@>n?M5T{nMub6Vfm^j}FY4@j-P()rri!e6xZshj)klKCHR z-23QV`-pM(hY9z5t>j&5t(zZDUA?qj!g|fGKQCW3DM<W(YQz3&h1@Fd+D+TiZEdft z+b*ekZBM{nx791|U6RgRxmeQVWX;Z&-A4-2xgP%A;j-4K?bVlCs{>-s1)b+y=49w> z*|_zh>cpw1efO9;mR|E%X?}gx<dRFKi>ge1c%@ja$`<38X2q{%zt)r^RlZ>ti)H!6 z1_!=?SB)F=S)1leo)Yi7>YQnlLJZdxBUba55zMt;9{E0bXr!rN{!RF=;Kjd>o6ol& z`OZ^)#gFNS|0AD<e`~e*D{ip$nK!>@c^>k1jaSn8-Rti;E`RUWk))qLbuw4qWo7&2 z+86!$t=w|v{5*B@_1>!5`x!q(m|YsrbbD7^dS3YBim=bB(l>J$F7pX5dscgSPweUG z>b6OBvt{-j%iKKa{S4;+i=UT0J8?>&Wmn$Ivs>a^=N7LFceS-(sE=CiKVNOG*}H4* zj-`1{tGEKqrLVpW(tlrg(n#S|%#XZ}FDi<U<nXNe-#Oj=%FFmvr>|V=W>5Kk*)%e+ zzg97)z?8AD>h}5VT1wMiUbVMvl$x|zYB~Go;$4%9Ul&Rn*FM|osc#YcT(~ah{LjnF zZNo+TE#&z2Jzw;K^U~q{nI0d!3W`Kx`Fw-aE-k;M8G6yUVeW~aLR+n$PTIC~^KXU9 z<aM#0N%EW?t-tLL+b;SfQ#>o-Gv~7%E28sr+HHSVTzmZU<-g*uMQ0Cxxt2b4*QPY7 zRq<(8lk2*L?Q1P>bU*v@#7BPn{JckBq*m~Kx#r%yE=d1?|8k8|!BxjYmru4^y25(m z+#vm9l@{l|80zSjR{SYhyW-E3m*Iv>=PAxDxoWw}*f3BwPIaf#UET@PsuV*ehQ6<4 zUZpHly72Af-KB|%-g{T?&6||9UPiC<-r*A4Ebo2m&cA%SU2o=!<5R3sU22og`?YU5 z{nEX#|HIeamtK6E&3^S9d&vE1tgG+!f9>>sXKo{@Tl!D3davR0$#H?Nj+WZ~xH<dF z?9V!1bzd=Uu3sf55W#55*jT=@StaoP+AEE=A+ItHEOA=Nt@!HY_k|fpf`#5+_#f7q zUHrf#VdZzVS2a_A@jPN+>=3?gwe(;A^QWHHU0*fXCfwX3c;fXJ``QC9J@0;dX>{(T z@P*}^_d+LxWqi3a-|mlP?3TIhUVAk@RqmY1Y|&FOJ8yzR*V1`A=bkX~zj01+lEADd zf*G<~b1zRYxEio5qG3a%WnzV^c*l{eT9rRG=3WU`?lL!vdg8YIdGUH*f#)X^?G`1^ zz39A_RkZ&i&ve_4tm~76in9LddfYnF>f~@>X>acCro}}ekEgZS+<&}NLS|Fh1D>Dn zi+fgDN9=X2;oB|KJ!h+K=akbQZ~ft_XT9k+_tDY!uX6SYf12UFe!=oY<|&&yqkb-O zIMFr1kXvK+RHoqL8d|PqcJ&2!o_$GAlRK7NeLCm)8mFa)d=<Z`cXa1GJz}l&E!rX` ztY<@4|L0TLS62zV%(~IAP3d*^%*K_n-|e5<m|6(yOxXB-*Uj{=zprgE*80Vwp)5DW zY)Q;K9Y&v|_K&>j)s|1~=J;-F$%+m+6=Q6g-IE`;ae+&xK&9N_IY$qle!y{n(Mfyn z(>Io$TGdyC_1`|%+I>jIf7AR4n{59#gxoxT-hSKFpi_Z6-TM=l%s5%GRYm6E+EcZe z*Cy1+pN@NOHihSQTVi4P!FKcHci%5`%uc*ua?Pslu}3(=Gh-jgd4(Ha9(jN1NRW<< z?YG-eGyGOx^SX3&a_OhbA(p!<Oy}B2NgYuLNqKuJNx4yxH|0$0t&n|%hu-R3Nvy7) zEu?FjF^yTh$?AOibeHgnp;m>zI<pQhNSHq3m}LG1`GkX#2MV4yU5wbb{;Ql`i^DU6 z_xhVyGxXh<PI!ke;aJr9W-br+s%-}hW8_YKx;?dj>JwwZ<_!_OVTZL?18yZ{XqQLK zeG#%)ceB^gw0eX4SHFs6&pTJ(c_%DE@XWMxM@yXb|1F(4b%W%KC;tpB3^f<d-FQFI z%Io*BDQ9`s^w#|pU-oIi>p%_v)$`<DCp-MwXD_aEv-Rqv@PBzdR~!_h>)-H+_&mr; z`5N(Z&5`xOOIB4y%1=Jqf2~GTmdl*0-qYVn%udI3R>U!v>iCFbF;9-}3yE01rP1c( zskOaUQq`AB=B~-Kv2yq24~%g2JMv-4p*x(FqMKhT%LIPfnk8wYX;zZzr@BN<q*Hx% zq<>$p`Z|`X4l{$@*Yg{_w%!qlIqmmIL-XOO>vpE{Ys;ri`I7%=(msPFt3#4`(x0!I zoFc^SY@=a*r(tWF&`Sw%pZl&`r=GlZcH@e?%rKL`CLWc>g7dfC7Z0)8>RKYd=6bF8 z(#HqX`d)5Xqap11Q!w|6Sa#B^l^RCVj$U3@7I-;mp~k|jnYNiKN0#}Eetg*6VsExN zY))v!(gMY063@CBLw>hSIAM_FbYV-L$`nC6sTtgAE40lHWieXn>UK%3U9-O8cH;RL z1xZg7ibK2XN*(9@72eWecez04Qj5^^DYIVuuJ@S9J~78+#|x`7i|5E(?_X5x_fLH$ zWAeK8h({YASf5N0TD9o=rD>mqqA%IcG7;iYUwqrcVvAek0e;2cKvU6zMahj$3v&|< z^rA8q1yxL*te%&tYOeP*T4{M$hVsqtl|~mHzIocy)q7(`&p~EGiQT!iFBN7U-@@R= zuiSO$E~`*LPfy+{h9@^&_}t66oMgFYx|mAW@n3$Yy6}kEooO?Fn@1ESJU)0h$M}*E zcgB()e-X#U2GV8GaY})bN9MJi*^toLW;cIZH-q$#6mPE+8`}RTZ24ot+WS5wEGpIG zlc&$C7J;;VMw=G7ThvJ<sZO$OQ{H)9@I&HUBe8`Bc>xoh51wXW@u<+e!K5`g^ib|4 z!J`W<y|rOHs-NoUzUK0hE7P)-I-(;FS@pehSQ@~ioWzpqvNlTa!lN$D2}eX&HF^d1 zE#Qq%NM5KK#aO!U<wRX?vxJ@%b}bWpUx)uuiO+I3Wi9<se73F6Wc!=oZ5ev1O0D6t zvP)xEr0tr;W1wo7Y2Xrn?atAcL9hHJijCIme^5VG;9WSCp<>OIg%Oh~nmMI+8~sRI z!N7UE!y`@PCQrQJJ6n#x9{-@S88e&jiOhO#6RZ(xSaqXwK}qRVrK5`i51dh1WuRU% zagALROMo{siwFY<G^cEhDk!}C&w_=40fhM(I2jU4Q!?`dit>~7^73->ibF$K8JMT7 z(aq4<s{c2^ovl=n;n=TJ-WT3k$OhOP5a)lmeSMMMa*?DTj~Km7uNdeY&eF+gZeMZV zP_Brv!ivFLVeK{@`>TnbHXjel%})3$7<OZ#WKLVnjBU?0e=Gma6UD)LkYN|YMut_K z^Igm~96!+!{xfU!qpFv@%a@spw&q(2_)j<!cId(0-WKl%xm&sC1pfN)mv77C#hZf_ zJdUUwJKH^dv((vZlfOMVkz>0>pkFpTKusf<Rc)4o#&PfHyR){rYzxT^oy#ZVWZlHT za_W-I1!Lwyj_TW&*RJe1d84u^Wvj@M#53u34x9MnFS-9%y!Y+eoY|LWe#o5SdH2v$ zg%3r0@A$v_n=<X}$+ffBO;dgmR%Scl>}H$d+YT8|Ju5cMJ~&~yh_1$h9VU!=<$D(x zxS!s<Lj2LkKap1sA5dHK$S7pak6Zi`IOiXVI(4r~Ni9%tvYtTu`q#JQ-X9KE3lo}M z*~8YxwA%8_v#p<Y{p3D=^$}A+INvR&RQGfxHD7^tEBivrZ->kOd~mfr>l+bSnj3z% zYR#>k0?dn8Ukg+_Km4EJy=Aw=(a%P6H;64=mM8nMYtKx+joXFT%<l=kEy>;$UFh<P zb9oS-iOdR*JsYb!-1+2Y-@DQs_;%qVJBN8~H643(J@Oe7+ob}2UP)}5u4j?9$(hG| zq11Cp`QCXfOU}=4*-|MwQSZFbC4>5tcdI-v>AMG7Ju_3YEuJ~0Pu6{D;`zvz*V9+( zg<5ni*0-y1UYt4a){EJzcV*vOeNNr_)-!pzx4Ta4U0id?X!*|YsgbfpKhCkbS6*4% z|L&}MW<cPhBYP$OzbpUP{d;0x;r@%B-+xQ}Z%K0H;uoKP?)1hteb--=Z7<Qya`l;X z#7EA$ajDF*Pf34|KH^HMOOM#Waam_N>yFCxGY+5IQBdO;DmUBY<KkH|g#kH2NAxux znO{xmXcm`A-E=m4#jjGnUb_#X?$Q<~PWgt+D=Lz>6{{WlDSUM_--2(~_G*+H{){wx zZS}qAN$6yqJ<Us4_p~1Hj@tEg=Jc|kz25uxq#X#a>MOGQUGpSo(&dP=pCzjJuRBLr z*&oZ9bfi*mM$xssYeK|+Cx5)m8XkEyvAtjQZPASz58B$_e>mjL*f3{Bp@Z{8cgH0P ztgN1i63ibKtyHWMa7gvMq|(bMcqqic=zHUf<Zsh=h#T$Z+_}xcy{WO`LVL-}C-twd zm!&?Ka=7c>F5cY6+-9*0A|+E;vR<S=*T`RVur0-YSHDlf;!9=snZ!@Czvh)c!rrys z=g6{3o-S^kJ@&I~63;Lml`FWz+GFd)dxVW;<@`NLx03e%ZE`61&&44TaFVeo<DbYc zw~R_3j%&saAO3JVUNqZnymiH!>+Dk^#h+X1=&tS$R=ag=&-aGkhG#$ZmCo7BP|%pX z<2cg=<I3&`zVJ|<8*49Y4*b*c=~h>gOPGTb!x{#UfY1wHdRMGCrKQz*CTmW$DXg^N zU;vdiiF>axG`TS{<mIz5pq4f%sbxr|P0!x&2{NABmX$9r4e$Qh=$2jie$sA}$5Ohn zE-BBHR|UM#G;44Y=elg`Z%}menC#|<MQ`72HGL<&<yuts+O1Hc`Q6N^hm`y0YiyqB zcJ%+>hlO_z-+f$>lIAkmU&>@g>8`|A-}nE2{Qm#b|G!tBl>h(t{r{K!^R@o<|Nk=o z|BrtA&_DbCf35%X`u_j-|NrFMpKQ5cZ~E`Jech>?f7|E(``Z8i+wuMXzT5v>|Nrgp z?f+iR|Mzn7rtjMSKOX=8`FQ<L^P0v@{j)<%bEB><TjlyXY^v{v#JK@;h4*CyeDe%j zkrz8V@ZX=voAXzniZ;&;y1J^ca`P!O`|TM`-<6_PpGy1j<MAf`RZ$WfeN(yry!^b0 zEmSv4JA0P+`)_rfS>=D89NtvE+Nk~4f;DgUzFH-AHu`^`o7<Xm9rBSIOV?^j<fs1M z@~1dhTe>8)d;g+`>Z$T#kB<IM&EKALhvj`uR9M=-vnS`*1$^^6eeLY5hq1xV(oVBq z?GL+lCB$^)k=x5|+<KE}Z`^+N*J+=HTYox9&p7l-YU9)U|GDj|w!iV`tV#H%{bAzD z@^!u+)r$+FFZ1)Ra=JPHw4GL#+Mcg*H=~bznb&zBlWk9KnQ{A}-8*lb-M`f|wBr7h zyRY8=|K|So|Bv<c4{~q&U482H&|mGrnfSBDzLS1#7QJWYetKr~pO5)JR$6S>E<JVO zk2AMtJ$2sB+qwR=%+7Ta3Tx7%6z|J^sGPghciCSb(KjpW)Pt|~D~Hy8f4%9v^4?`< z<E5^fcs^LGf7-75%Uqv_^JlD^@!DSU;o(VXRqt<a()X?kjonzkiM{9BS|7`Lv!{Q8 zB5!Wmq$d99x7w4enuK$i+qS3X+`k<+W&MxkVrf;eX_x1nJe0;=Gxg}s+Wm%ewJ&$r zN5yZ8PCKxDn{@iWUx((b$SG$zGcn5SBx`bY{^@Hw*Pi#u*qV{jb^hClGyCjs?3=;A zw$U<Tt?do}ZJX1U=$}oT{rB(xH9sE9|36VCn!GW$$NuJlH}cy)->}d6IPLD=_y2z| z{|^cKb}qmE|8;wVt#9Y=)5(sAyS$@v_A%dCS7toh^54Y!0pCjQJHIc7r_P`HiqmF! z;{k3dk2^~n4{1wf>``l;w8Q@u7r#gBW5t#16)vw7<tA4N`Fq&5E?+Y5n6X#>+yl}< z;x9gkT@+aBn_RxA(ZOBHW6RP;r)sH;E!EvzUW>$6YJX8F?Uh?1x4?R3`wO>Mo+YYZ zMRrZ7lA0^K_s@2z-~BId>d!rP?j_UjxpC943tRXxHwv3fu<&GV4K}%8;mf94*l<OZ zZ_=(~mO=al9ao%X)V`|pO{n5>_q6Rzo|0GfO)^N_!rQdv?ak{M3I%i7gv>J*6ijB5 z+J0hz%D0KT4pjzS-{J6zQEyUJhxd|sM^c0QA9Af+Uij5t<G04*>HpU*%~Cde`dCTP z<WN)p|DA7k_XJ$r5!$+QOW5_aQyM;&-pMjd%J%=JZ+dU(&UE~d4ioz$9U=EeI$Xye z>qw<1X{lU%E_`p{1?yuAFKQnXT#(+Qd}PK9kI549k3ABTdX#%T<=hi<*7X;1<a{)# zzF09=G+l6pZ2H6*!s&rCq|-0XkPlYuoNLI}T4~7F>uT7?5S%Qdxa_FKq#1ILJrdn| zlzV3iy62X9FQ2Tk!Qhypf!3urs(mcSjzzK@I~u8?b|g|n?Rccgw__n5NrgSmiJm>q z$&o$E2R=!-cO)A2skj}n2$GO{?2)|Xc;F1yZ$~YBlAdIKTNL>qW{&HFnmMjX!E;;_ zQ|GuQm-@7-@Ex-d;X7(!!gt()Nv5~3<%aNMk4G*&%B{hMeJ1DX{v_WtuWXMrvWit{ z6n|e7^Wf!f`>D0BpL2ex={M!DdF(x*;+(Wd+$Xl)@Ep}Mi=Nu{&DOR*ZTf42jYIsj z_7}%LsjzLbX=T*$Uu=Jpd+{IBn|7^+_omsjyDIOI&NtBZzo&4q&s<}VqJPoF6M5&u zf*x^3d$#hu`6o3uLT-WaY3Gu}oskDO*|f^(_%Hrlz0+j>qMQ1iW}I)%J^w4|m@r*i z<4pl;@7tqI2B|s4tc`2eE!c2V)FiCt&Vo0O*92sTU0F7vSI{-V*(ESSJkaEtRTpoX zuZv)WXrPFnyGZZkpA56j@)XZ}O8=qm>Xgv(ZhlStx6rT^Sy8${M;EI%u9W|^^1x&M zI*s4A+=XZSQEX;iRuGY7(k|L&IC18`e~t6CY|>X8<8EWCIC()}y~He+YPGb@1&J#n zw@f%27#;d^`l|W=Cmkr@<L+5dP+9k0^GfHL#LYenvqH^(2+cSh&{&yrvGhvH2^leo z^NF3cGGRB)yQmq?U&y5_xyrD8AtRfy$q|7zHNz<jHG7g)cxKxFSog-e-7Guq?y7B@ zDo@|qzwkNx{b{v<T(fWa*H_hi@V)u`=oz2%WzT2+e`L^jhEey{SI2j1KC)RsS9f@Z zGP!%kJ~+a@bGKjLJcsH0o(dPHvI$LpxkzN)1&7C4yi765i>Kaje8qL!^X{4&58DG; zLHr+QU77sihvL%Z7do$8ei5^3`t8jHd$;^4sup`U&8+3B@Qlb?H^ey3ENnayBc*X> zapN%uDV7(0*PI^7MRK?PUb60E!xd&5m9GNACmyRA_Ff5|;rA-@%#RPRH@U65cWKVN zX`Ela3N+TSXr6y_`Mxs?+Z37oy9y^~Z9e{cN?zZ`x-AEdtC+kq6gJFY6Y9=buweq5 z6nBO|f}P}Am-wLY7tXJw_Ilbn=m+r|PPsBU!ta%)j`CNNY13Xk{ibt9xwNr!DR1Ie zmbks{OpVt~CR8{xw{H7lXd-v9n&pL)TlTR#)l=3LOuusWiq|Vft4UAWUpTz-v{60n z`Ot9X@{dpUzR*~?ZNBR4kC(sJ&f+Lh_NYvo|4vTn!sEaCr=&zCzhV7avn5tLdPa6y zD)*WHD_CY|FJt7k|Fwtbs6z3_y#j07c76!ko)<X#fGfYC#n-qetL7+k-l@6Y%#!D_ zI-*@=U3K4*g2T=xc~u?_(Q-nMdexg$%BnrMYV8+zN^M`He$1ramv`NMdC%r7t@?E< za_0QhubSd&73`+xetwgOR_0e9u_-4@tERf1%M_cwxcp?@+N`r-kKfq82;LO$rtcn~ zwg1$7<KLnGdg`v!O|_rCxIS_T|KG5iH%j81L?t{{2sLwrCKhaoty``0f2~oY;u1ZB zDOXh6Chj^^5Oh7m{T1UpSN#%6+atw6{*PS0Ov)^}vMW&JZDgs-1E-P|Pdu3PYds#c zF1P9YEVroOoU_TfDvt(ZIiW{e+Eof~ItNv}Rm|Me)u&_}J~QykpTCdzRvkJMv^dW) zYrpn`H5$qh53aWFlr|IbTTm={oORcRm_^#BMR#qCNoF|Be5R-^y~TUav#j+W+73(Q z?mWb7^Yk0v#d)`_JNab%7Am)2esSc%HO@27HbiO~tj)@ws+YR+fYCH>o%EfDxTa;V zd2-17wItu{P~LXaXT=r=nE2WwPCQgeE3#-kD&v>T+4?$9dd5bZiHDh#SB9N^%%Zk2 zCc)^m>aq<niCU*kmu<8;P_nK{XtBi_gIR~Ak}YHsblRPJ9v28bww!Z_g>SiG)4?>I z^A9#0h|OX<|Cq&WQ%r*SY1MBVViNUFn|=%2oYLo=^Jv4NG`{SdhXoC5W%xGs9`?+6 zUchB-G3VGh{_f4u-Q9Vg-d6Abp%yQfc1^N+@tL|Qmv(rjhISvj@qc0e)W;5A8cNsf zD$PxP)0xKUx}o>L+wFUQPfMO&f4^w+`?=c}8$QkRGCO>5Qbq9^(=dTGHpRy`Ns3iO zX6ux^^Od}|WY4N=X-<FVSf`2Iu+C4r7Zb9{osC1MY|=$0kA&WKjx}EeI!omiZMf%b zVpieN5L<FV$U9@fhAC`Pr!xc+EP0*IEO!*r&YW;aOKQo+SuAOByS<njvr}f@*!gB( zJBQ5&fzEchMITN$o5)prG^m$cNK5r&-fXbEF>?Cl13ITO1s<94PTJwzEVAx`LT~lb z1vbWEewQ5{6lBg$abQZfae1(&-DA#Yf!5D*iwX}qGsP@vo@U|1+`8E0f`wZ%!|_ak zM=ZRPEIgY<{4Of=x}Lk+B4d#JRA^_rr1tcaW*d{29(Okplz5^j)NJdL*wLQB^K}Bl z;W@vvE0r$JX5+HWTyU|Qjq%q71($d!m5cpsnqQVXN-^7M?K?h!ai%}pnGDHy&P>WS zC+3{xvs3x7XpP9!^!~4x+Rtd+YkqS*t;XYluV?<|^0Q|r?wTIsVeGJAc5(N52BD1C zicJ1<q@`GuHXhyiH$p+}^=E-zUpb+K#l^GD{Z)2d+8X%6iMjWj3CD#_HbtvT2iAPb zoZwU~wd7(S%QK%m1?`M;kE=HMzLD8*#+hYWRfng(jZ5Oj_6(g*6BxK(F7Vyt!rZ#m z<U)jVGsEi4giR7sGj!V6G}kO}lsfJ0Sedp)XF=nERhP_8Hn8wjb{ufzpLpYyBG+%* zBWw29s2qs1%IKVI5@6xT+*^3=cw}Y5y6Io!6#FhJbS^gWc*wzf$-;-pIPBYn18d4a z0hqd_orCAQKxd-dB7-Z=CTx`+4V)zxgmz~v*f5z*$~r?J!J79>)C@LHnFWqqk25D6 zlX&jDp65i(s&u#0A@V{qo;g0t@%ygWD9NIAUM_nXKj)cm3dL(I?NkiD?>(_-%cez( zQXkJ+b!)$>!THlH%PM9bNzo6U@g!ABc(2X@0ex=6L#HQ6{EYj^&ND6bU1(2N*^OQ2 z{bw)CHTk+)dF{n@Q>NVR51YMkZ~K;G#m_(MIUAKbAKNFs^Jl=-NA>I1tT?;&r*)mn zqp;E)!Cx|dp3gb%nmzUZnX<4g>>_rXWnb3Z3Tg4#_1szL@M6h;e~<6%lv`wQ(Anfz zwMWCjd0MR!uWzb%8p=&Nl)>+rJms@vNZXBVa^LDcmfu?w^TT4hq*eWs<!djh&Z<tg zf1BI3&LpEZPu?}p+G;+t`C*4?+mD@?G;iYH1v@0uDm=2!{L%P+xmQN>AJ5L2KiM~X z@kQrk_TE%&O}9_aYkMhoNve3I(Pw!_^TRgNwjX0!_2kt2vxd(rJhFYdw^ir4eC@e6 zcTH|+wt4Q;<!<FhYYy>k(=-v7A;Y_XPsQMrGs~{(jw78fn$)~i)Gi(mpW(sW%W1-4 zFpo{~*hPiTjV2z6JiM1AWMk!}E^LW>Jb!i6gB_m@Ys5mgdOToT$t|N?+PQeix`LJ~ zrhLLxrI(jkxR_LyW*47oclmrsM?k`dxzo`kK*EE$m+_pq$EE{kf^>~0%IavpRWtQn z6|Guuz?tQnyZC9#Ne9H_g>JlY7Mg9-KIz7DMXqXFm*oC-mK$#s6~ih$gsg2<T65(l z9lN&alGy*X%hnw=7ux+dEIGQSxqZe~?TclSlMckn3+;I9EVSLW-ALPy-_YBS-!R*c z->}<{pL64B=SdZB6gBUBpJ4KCs;l0%{h?-NC*SINiS6(_nHK4OlIuF>%_Rq}YeYWK zQuU5mn9}Nfu~YH$UwPr5u2LK^3mT8icp*DcC&0q>{ldaoY>ekFC>)8DQYq|XSvK|D zWrqhwyee`R93Cq2n#f&LI3O(5QsLFCGS9U-;$L-m@uJSSf7Rie7hC2&tPY=C<FQ~a zzo$)4+KQj+Q_g;3S!i(C*(9yPqv55TP|~b+6~n8}K{FmHX8QD{9lE(b#kNBK`|owD zo~^ClzF)6;?*F6VVS+b4b*}cv>C@nvt<-hwn19jqhifkF+E(hz9sKX^cFouaax1;> zsF${~FIo5T!`rsh`A<p{SC{;K+@Z4J;p7gL!uN|iQrvQ{EG@L3&w15zXO(T$Y!;^e zOofjvY+U}C3kpxKo&8tve(3hRZ{aomcUHX3|01`ebo2f<)iR|smleNO`&3%}j%^Av zlYWN6$4P8l>oXR7ytQ_=N&DVM*L-I&+Z8RI_AG#Z@uZj1fhW)S=iN=&mzRI1p~zvu zTWggG8Sc!j-%KvN_|PZZZ`8i`#WmlV%=gL{Z#zHB^1(dwRK6npSC=Z@T=RYU`r1Wa z4R)4uJExvw>~uB>u<&8-Reo1_`Pl~ZySp-r5A4pna;vO<d)_UXCw^C!e!Q}F_POhC zS4ao&%_wEzRq4Cz@Q{Vq#BWzA_nAcNor-MVq%{LQAI*&3en93)Wyqz9hu3sZTz@-7 zI)IO3&a%cMl2RITmNp)9+@81atzMq1Q}w+&E8afti*r?NjlL**wxH~_*t6GV_jonf zeS%z>JG)H`I;D9{^mdne-?DqzHp7H{kKk05dpk<KXEn$4?=rbn_eREi=K9;B<_lyD zI5l}y)?GXxGmTBk{LZeWXA*DkR$O+5alNamaqiB=MyY&7s;@3(yuYS9b^UE$^93>j z6>iL(7vH^^%*>UXvB2=!T=mP(HsszFxcsbP`r=70?A!A)Z(A<X>+Q?Cd+Fz{-eTrc zjEx^nCd_bPZtXO=Fyo6~VOxs+-TpZ~r{i{7yNYz46LekZ?Q(I)i<GF=)!&|!Ys4mS zt@QR$Eo}{4vd-|XW%Q-AsP%I`E95RKJ!r{)DJ|;x;z@^gu1Hz+^o+u$37KaLW?AsF zq+L3&<c&$d3}5D6%e=d9URJ%kc6Q$S&3RY8wa6By31ptXF>{6Y;~ml44_VHB%@$&j z`8h+ua6TIscgBK^7uL=`yJg<4(#<okWtwNr&YWBDI?Z_P-oWWQGj^3~r$qg|)4(+| zEM3Z@WMQLIxKu_-F-ZP+-rbyKrk8tfr9~~jv+JhM>ynU57LTv3osd~t&a9OZWt*XJ zaWWg%-i!qoZ-Xt^39=v(Y(cjdm+>934KuHCr<YA>^;z979iVvml{a&zpGm+Af976B zklCg8KxPNuS&_S}RPj~N43W~zJ%z8sPHbV@#VBgD*3o2wgb#D8qR9n`SJ%#_MY-Qy zk-N<FAV|;jMS86t1E;&~_Mh`u%xG<5o<plowgs<>+XaV26<(8NV3(wVT%udLc-zct zQ&)H=W?e}Y^UJ%-H8YG~{pAVfM)RNQZ>$_1nev|Ln!+Zff4}I_#+lbbL3-8}g7mCf zv1EgiR%S^V$VG8GKrVW2VsM&QO5;uCbe6Qpryw_72L(*_HtDTrx2$uI<?>#n*X#YR zLOUhO|BeUu%xlR~GoIS=idgN8T`(cDv~IVB^QP(BPy3xqS)XTaS0vgwTkz<bETyiU zMOHJz9-g{(bf<Xejt!-%rJZ?8)?Ju<#dMdo<^D}GujM|s(U_fiw)|Z4rkU5~uJBHb zyRx+KtY!4737K0<L5aia(gBr6CIK1#%*M4~zXv>DwJc|u>2XkCnJ?07bq$<;EGd1; zN~dL8FY~ULkhykw;}I(<jWtUfk4bIM+ol~?uy|W-VM53x34y61VY^E=-~BkP`X1Nc z!mZK)3!T?3YCPg4HKVheP3kkq!>d<=JUne@;BBAP`B#=2XumS*oKt;|Yvwg0^94@J zwoYLKw=rk1Np*vjF9#`Kwi~3}{mN1U-B*`hyauHs-4akb;?79eR4=8Wv%K*b<MuqW z%deif<r=Ro%)2u4_%7GjWslusrT*U8C2F+xFF2azc~!n$IuLU;W5GsnvTNHBc-tp? zDaeXx?y8$>y1b;C?}C)af|WD#s+3)DNVMiP*#-)kuzZjevtEO&I2btn(5@BU$sN(# zotACA3JRCpg^fqjq%?9CH6C*VDSY)Fr0`_k6|>8)L`yY&dUp9JJwG?O)hBxuC`C*8 zGIs`=7)ToNnz-!%rG5oa`soFyxydWMA7))i-PH;Xm;zA1nD(#<IcFw>nVDR8@iZms zn^3{+lUv@1F7S7m_(D8TB+hE)w7LJbTh9zj{3ib-#iR9Py~4`b+?p$Aw`#1M{qnSB z^sTfg>p5=M%`Qj1SrU3HEy{cGq(iG#EGazxvUAsj%&(xd;Pd&S!y<ED5w^<?$?Kxc zXJ_6m{WW9T%xj7um&x{cas6IA>6q>IyjGv=K9Cn?`7(D#ngq=7WbTy&XUhAay!;Im zx7qn2M$@OSSn~0}+Gxegv)-|VoY=DLqC*l7ugJ0s4#}IM&8^SK?JC{;IA^Q$R@sc( zizgl0wqnV}qca^#64&?^F>CQ`>x2}GA5AVuJO|~M!*@QW8L#yPh375FQq7#6U1y$v zlY(tg*wo4dv9@zvmi#KuE*;QWk+Hz=f2_LP?9ARWP<CVkxqofH*Rw9!sV2vEfQl_{ zP_cE*Ny<ZKQRB%8nF}@^0Xf|bT+T^>mG^pa?OtS^)l&q@!_&Z}N~(#$N?Bf!XBQlj zmx6+ax72yd%xmvfsBBc%(p=N;CG{Je)<VIF$COv)+Jyru&rB{ve9!wh^%~!H$8+Z8 zZ!&JbiRpcta9b!)WSg5vZ{s=9-3FJg?e~7U?Ed6kN8EzUPb#m>&MC`EVZX_++^wwV zZONjo&N<;Ofj49WO@3LMYW1w=^iyelXxehcH_r7H*I|#?<Q>7v)?2<=n{HBmy+L@b zY=pZ~*P*_Sq-%A4y<Cg4T7*3l1A0|TC$mo8btogqJa}rbvh@N!E7MJnGj|HFmGx^^ zl50II==!)Lc=4eKsn;$+5?+rzVv}rE&Q9sNVyb3kx`}(5oL^4a-c5&APK;fr)2+ef zu3SCy=JUmml*O)TO`hYy<vsP}5<SD#E27IL?K)<%+^uZprK_E1*9G~xiFB&^^}dlx z%|5bt<B64liLFL=JC|&5nCbXR$k;PBQEX-QnL6|DyalV%=T!!O|FbHMbIk|m#?9}a z)iH)}3GX--(D<5Xs>R`e*4aE)cO1RIu>G&-B#Y<mT+jaoJZ_R_+wr1ZQSWD>(Dc6s zt=e@nj)m&y%sn7$FS6rxuKn-Dw{m$zZ=4J0l;sJ%aWbGc_TL26cRv>%s<k)K7PII1 zaDm_1=F?#nx!;Lg?0*b;*Dl@gjx!|9<lQ&t#*O*Yx5Ue-6>Qm3`Z?r*gtKa&amYg! zXH!2TlLMOdt!HLk<`@0;bK$W%d!7wP`JH9H99H4`naI`t*PvH9F2n6{roG6Ece(b~ zQvG`#FK2R>)GF**sCGVi#j(!vJ&Lpc+~XJh_G{tEpMMPw)Y<bC9^jvB@uFQ*=F?#l zzWtMbE2gnsODV{kWcs)K$a0qRbIX2j=<zSMH^~V5TO8V2Ygx3~?CH0%n?JW_C>5M@ zRykMIaY&N?qQ&2^ciW|ps(h~fA8eOmBq2Y^;%6WG%$WzaPd`>Db#r$1@)t~ReYah< zOQ~AF-r=&uzP%>rE}gi?e%n<%<9)mAN5#&=c1sG*mFx66e~J4x?W&`^(2Up4LeF0& z-;9oAuc@AyImxYT=kD|EEZ=@jko;+v@=RFXXs2-R8>z3`_&M)<RGiHBW5OY3`Aa+e zm3MF0^V*qddyU5<rrMx4vpz4&*YA}!*|hrLYde*Kv+XMDeoZ(eDt~E*kMi!8-5%FZ zuY0QZbHWkT_(rbRwbhw1OTKS<y>79cioxY}6|u|5H+gS*xo@wD*@Vxl=kA?W*>NC< zf8vbyid>s*U6T1EW1ihUvbe@0VIKcXRn40MGxH_&KfGPKae~`6Rk5EF4kXDNCH|Oj zD9Fm`w$$m2l;<CxmNb^h#Xocw(yl$RW_GPdvT5%dn|;UHS+4z>aKuX9sQE~{$+Z2> zU!`tKNT2+dayw~qyGPD9fmU6)MH{E8Y?Iwq*%7H-)e)&))e)&&)p1N~(hcuOd455O zmx@BlHZF-f+B0~RJNI_+eidj`lv6Ui;Vi;d*>TKa(hcq#4E%yG-YW|IwQ*UvzCGiM zTIb%f-fsephH^@V*PKO;RdyV!m~`XxH5Pus87~xtCfm3qZfei)QS98C<oi{iF;h-y zW0TD7Z$+kuH}@ZVYyZ^a^t1Z2f1>X$d9}Xm%KQ`e+4a=aZ2zl#U$SgZUSI8-$r+Yw zwPuHgUEx}D#{H>KXQ<qw4Y!<4u06Rv>)HQryW+Q;@_G>P?`_Z0kOa?vt}QIS2`T?3 z-eVDaaX7K_o=n(_qb_Miy?>;cy;mMmYMXUh$C+6tA*16Yk8hHYbMTGR7fjqHo}E}f zJ=LF&`^$oYhrTl&Tk06}Wxd`twTvlQGAm7D(+jJtW$Ap}C66~8v0TOcY{Mb9Rj#i$ z9FcC*+LD~L^y0LIjB<vm?Ke(dQ1LS|X>H{3O-}mfJHs;S%Y;Aoewrs*8GMe|5%=?Y z?6r-5nxAf*<nVN6`tiph|K2v0YJ9eM!=g7~*MXydCcDerjZw^0Fz8_uI-I${povZD zZ>B&3ljPb+<B7W>?I!J-`NPxpz=S`mXLo%S2==TOy6j=w`f|y<V?9B8@62meUZS^Q z;TFX;^A1=^&6qQjO(;7fLC@Uef`xN4!}60Es?#R#I>Z%p{f65s#%+_TI&_!JJCYXU zpD4U?`9{0{N1pA8`>u9oshrY=c7>-iYs;!TW_Ee^<;$;}ec<w++pOKCjkkX;ueGdV zx}B-8p_ff4I&;B>nQT(CGXxS?CD$HF4hpaEcqPT}VSAu9h`+G^%H$p1uQX+pzM7Ox z0gX)OD3msS1&>VkU37S0z^hVr$>E^_uL<7;g#&d)QJq_ttlQ9b<*e77E6i(@z6wZt z-i`U?VcROaWZtole<rtls=7Pd;P;fC`FCa;_&=XNGX&(ubCn&E&Og<6UYq!a^{d~% z&b^j9-}%n4{^Z|#s`=BI*#_I6&p#Q(ZX|yH_eJLC^H)_Jb!O3fK7W;zBB#xF#m4k` zpUxaT=PYurs$=G9Ta^Q*{G1mxt4@9D_se~+sJZ9MlxfGhUv!?dv)Wqx&#}#N=L_E% z#}^A{d=p$@A?B+(SAEW-fG>waze@z4(Ym=l^y6%U^l5LVUp#GSG3%yPzvR&cf3&q^ zV)|~%yZs7y<~w6EN9cod>`PZ2(RDI?Zh1>@ru$sgf_Yb1^Cs*%;usWe;qXeT*~8YM zJ>%Ati_SKxe?4uw`F{QpzS-we9rmv?$Nls9u<i*g>wZl*AnIQ!q;2bxIJZ3`=i>y1 zavPN+%KV0FA1G?Ze41dg?y3ClY3gMnb5HGGzG~TrmpONfwnQ>@+of(eaOF??W)Z&x zq0_8tn`4r=PK&y2ib<9d-*-fVPrK*YhGP<Z>=LIR3Ou%$bEJZAxk2OMw9XR=zjG@1 zxX&a+U9D41vN+9ZwJBzi@M%%2%`uC)#rGZY;nP0zXv47_KK2=h9|{y(&N*Vkx7=X< z;Y^(c1$T29jl*^qMJ$lMx~g)HgZ(t_FX=mvFig|tN!hv6rQo4=$@2|P{CwG8o)k31 z$?#pAeb}?)K>=5-#T>VIw%Hr4W*la6o)NY+`Ri468S#p<MV;n4;Tfmp;up(`?>iE} zr_J+tgIhHpd&Mu#W@nqn1w!X7=N#hZTmJFW<U6{1-b`;;J7@NM;fUG$W#heAukD?z zJ!?Ul@A<?=Ss62JZ$9lek2V}D<YTWme8oFS&{@>XAS7AYnK>sZqvI@(ZxXk2@QqU! zOt$@8DY+~6bG(kuQl_`}zM3tS-Ld|!Ztn41{=EFod7zRdvG<<O1EIH)t1dJyl#-Q{ zS|IG~T#}X{6e}^yDZFjj#oh%wIjtJ)ctl@#o21TDE9kZ8t<)))dsxWaVoky1!&2KV zWFDAZtSpXLBp;mJ`j6+T#DNP8%#u<`0?w0noIf(>kZ9Yo!mIvo#lJ~v6<ohRrEG)U z;|&Lj`Mmc$*l@_3FMCfC)ASw316oh>T&*~8fq`E#OKd%l?;}I!;EF>ROy=$T9DH;8 zQ`7x_mYzMob^rFvz}Vp5(`TJpCVIBcb4zB}-{@4{u=-b~Tk>vRk`VjNvuIt5X`S=7 z7S;J_cB!k4ceKa*ZF*Cu%`wgTh1aCi@6k_LuB_Tsy5*er-?kji?Ao?F52yd+y(x69 zcGk{?DLkRNS+}=jsGZWT_j~YS`Aln#b+?vH)fA5Ilx67?wC;Grce_JYrBB%U#2dcb zcV)9SDaw|VpICKM_M3n;#~h`y72KKT8=H@KCbjRHP$p!pF-M^+;HGSuh4q#!HLYk9 z&xFmJo#)QF`;cQ}^8vM__FYyoYGo5{IJ^l6Z+AYz$0gk<`|4G}fx8RUq%Vn;x38IX zH&K3L^N}i$ao>ckXQWKMu~2Wx-uZPA-~4{wk5b#PO6~Xb16OwKS9x~z@6rv6-s$(I zme#XI#C{L&FfFZD-MH@A<W2l%;&Y|USNkRul_q*8R9u{Pq+^k8>qOURsl{E}n0oYX zaTzYl(C*xLRpa-Gys6>a^8y2B9+)+)PhrC)QI%;sn-2MeU%2sri?M8{Q-|-m2}h1i z>pk@^M&Q<#4fo}2K4o{VDA*9fvvFyNPtw)(@>BPwyH1YL&7Ao=Iw9y^ekbS8{i15m z{$HCh=fCxVDSz%OE_?RhFDdHu|A=q$TC@J$S+;1CYi??<Wm&HD?#R%)%L-jxQ&)%O z{`>X$yy(@l(X%}HzT5qsf4Z(~M)dYoCoN~2tjo>O`{4iTp-@E>|MVl9t9FRUT|K<C z@bl&WE8n=^Q!O;Vx1>P*-jYJ=dm<0&_Glhyt_T+5f9$Jyr^|fl#~b%FHO#iI%DCgS zWo5>lODPglEp8u(srD|MJgY1I!rq7Fsqxb`%{mn}b?b|Z6LhvO+j=uAw0j=!S(ebQ z=uk6f{arW0>Q`n(b>I6^w^eP9o!pfrT(z|;q_*3vsMP+Fov~?c(3M?FGk1D@S+1q= znfLly#ft?-w+on8N}bx(ChanPcJ_{iA$yE27wAh(IGQHCPI>SA+#P;$DN^fJ^M@`z zpKfBrd%ZQwVrKS^b0Msu`yN^a@GjrPdwpJ(Md<Z{w=ar{d9P1f)pg3`j`FI9A+d+| z<~h7emwq>ueP&zrOXsT+j;3=?ncVrz=5lyt%wgMXhme5h((g1)LrS+joEKnvrIh#k z3_bJf1^Fvhz3@5QyX0K^y6hc-A<eIS4)cCjxLk0!W`&gV%C^fh+oYYoTFlMfad4tu z==G#;@ireertN=_dbnQm_r4jXy8A-HB2M?U{onUy{@-cK>~BY!HNO42k#kMv5BXF7 zRIg1taI5Mki{G`=>V<3K>{|bR{gf%QcDnw7iB&(HWY$gB?{xn9X=%ZRxP2zuu0G%5 znX}fv(5P|d*H4^hR!sL#s@hw_b@|oP%QK?%=X>hEe#&jQDsG>O+SSw34;`ucd1*$# z{L9NWEVVnjlI7U-)9M@c*tK815hEYn;QMuB>x~HcXd&rW$=-=6%~dxpMO?pdRwp(( zw0qU9Pg*+N=AnyUr_Whg`8aIrH#xt<_2J)|?}ywyvW6-BcomD-@hTOujq|3hogFeI zS@HGC%umt2mSQG<o^N`;N3PoP^{1QvgTB4r)3>Pg#-FzMDW)1`x1#+y-{iQQV7yo3 zc0%!1&^wh|fkj+zH7$Gjdh2w4FZ0L?3Y_Yxc*|t<x*tn7ak9Bx>ap4WzIfixNS19G zcb27=`sO|h+P!QdyV=UD#;v_~R#!9LdKUR?vFX&_*$J`A<~vVb&Y84n-^6My?b+M% zLT5&*rv5XkSJ|L-O?ktniMRVX{h1~|_Dx$WpFc@LI6p{2I{%V{Y(AHP-93>cr#+gT zg&&uRq#c=kX@+EVm`d0Yv6OYEHb3%Z+Wgp8sQ8gDSMg(Csn3sm8J``W?WEITuDa3S z-jqX&DuSiDlh1b@`gEh|jBB~)9?yi#Hy2I9j#n}H^n7iZA@|xdDQb;+>&lJuOqQ7x ziOczBOPR0F362cBxNOm~MVpo_+r_q7`iIW5|B<KHu)SklcIDElRY%XC)iN~R#GoTF z+sy3qeI7n;os0)@A~ACgxJ~2EN!WR$YMS<%<ekT&rm;sH{v@zEa^4ZGY0C}fJ<a5K zIx9R$ef#d2``R|dBp94lW!o5&$Z*>9*Jc|B`*l@Z))s3v&OOYyt<d5~7@zva*29`P z&kCfprFTfiF>e1A-Ti6REtXwJELeUWu~4ZxZXr^2)WW37tYEp!@rz5A?b>;Ae)W+m zmR;rr&r=RfK5(RB@`09$$wxNan4q%jXqCvWV^t=<j#V-FbboD`A@$lb$!m>z>&cDt zOw>#Zt}k6WZ@*by*j1Ltd!}<e{=IhBGEb)D$2v^Ok933<Ki1(|{76TN`>_sV+wn-J zo{SHrk&BhQLtP(F>pT;b9QMR4Nj5VyRjh1&ZRod1&Q)Fugn3!4>fB_H{hRY8(s>q# z%~yd=b-6_!ZaACBReChE%LzT2+OA@FBzV3ETeXK&^NV8>UpTx^(u-oYbxCMw_xSQ% zptVjescmaJ%ZoRPidEGfLVImgTIb14a^v4sD01ua?ip9M?{4QPS<HPo!@ZdyH&ft| zud|TK$60KedlooKo%alwd%$^u!vnb$Qn%z==Bc-@{p<RIm4D)n7m8}-iobXM4Sb<` z-i~oyg-6F~xk*P%`8^-*YF|>gj`602Q2)zghKrx6#m<m4-EQOZXj{9+osR;&yXAx) zbhNkJc&zC8=IaEJZ<QWWzh52;tZ*^!lQd<2ap=qnOBeBF7iY3D=3P)YBC~6?y5V#- z%`=M~rFJXJ+oU>vd-gCViA`W;_jQ>^k5o5_uitqjK>XVy(|$Il&nFMx=)P{iFDUU& zQApX=<)MrrkM2c@r#ICPWbktu9&?@~@mf){=ko*;wF|w<8yoH&<vH9br(|%_Sw*e7 z<B$md#Tl;@)r7xJ5V&ls(z*JJr@`S5UF}N>XTI2TC~i;Mg?7WkAB19ONVbW;JY*tv zak;{QB&n7Nr)HIDmlRr4O+1oqIL{n?&11;UQT@@%*T+OmrQm`yOIdZt5oi9uiU*2P z-)&V6*z$80-gKT6;WW>%`s1zVikWXz&oA9sF?SJvvfEj?T?WQkWtAOA{P+VS-YZHi zw^2Ee$<JAM$a#{*J4H>I4--t%F7_@in3N}DoOSGC>&!soX?i}7S?=01%Pe>7NH+0! zq`@0>!?oFj&wXF^B-WeV*KcMVIU9ZZdIx)iuxyqX3!BVxhl~S;Tq?X4QgiEdJhmD> zemS9CLuLurX8yC*PR$JMD>q&Grt#axB~d?gMy<g;Mk$sXUQ<%z#dJ2Fb`~iUYE8W- zyr-Rwu`D>1vwBLd+@cNhwa(Zvm9q0P<t#rn>6^xNTbGAYp)+bXJolBF@uf%0`{v@9 zPXevNA&K8^?+njeP}s`Gs29wB@!N-VTbGACp)+ciJ!h55n6uomq4<g;Q}vIla*H-} zX`Qj#_F06NY0eTyF7cJkE#E$XIt7-YGiuYGr%AEgaB3C_3t;!Wb$I<}f!3`diQgLM zN@ofrF!KgQ_{$#g(Pyfay6CcKefx~BE88=^<h!sWsIT@7Nh#a(%IW>YLkh1HGNnIx zuQHnX^KEOphRt_@-t>@^vS-pBu4(D}>P$QyiWUm|4)bqxmrD}T<TvaT5sY{iI^RE2 z-~lIZ&<<Bwmm3G(Rdhr)R(Bjqeq~Trx7VL}^8Jent-G%{F5z9nUieFQ;){2RTz5N! zCs&?K%a>w#;o2-x6~rEBw&9$d(#4a`B341|8z+~BOR-pZG>gR5e+$03-F3ka$89si zL)I{43q){yZ=SxwF*&tIxi|aU@zlEZBT9B$tw;AbCUfR<Y?xx+5^+p=Qp7pQH|0~m zePnAaj`2=qyD?Rw{n%Ftcd6++u5I&gu|Iu0bKT=Tj*m_9ISS^Px9m6|J!!`Y$v2a) zZ~x5JC>!IQn%3)V$k%z;kgrwQkgs>KA>U?`j>08{Gp(0@oB7H5=e2+vN2N{5KDRMc zS2%a<-J{s~cg{h(({%#*0ui6mmn}6Cez!)V{m2=K_Cq}q?Z>`IxHn{imKPR2zw+-~ z-pBco&4-lkE!a50oF(Fbw9}dAyq;$s^L%^DP+ZY`z;E5s9>vB~L%znZhJ2GPj$8OJ z$v(aiA^ezQgVnK#$6Vj7xoxie=D}_6MC<$uH;zf4Nt$Eca^sNnq#I`>-$+ZgeP(OC z7vs(PZB2P!A&1TXDdip|J(|u7oqL=Y*Y+qo?3Qqs3g59g@4nl`?tJ~$d3ziev*&YM zm}}nh;+XWL7pElOL>t5vUEQL8xZ>d4jTh#9ofs3{_H9jnN1?};&O!~I?!pY7-a-}$ zp~oJNb?(cg@g6W=afs#K0>gRcEE311oqC?~diFfz)$GYQB6UgPoaCF`-oJ|5H>@{! zd3<Z>rEjzQV)&+R`Mb=y$9Yk0&taXk<VP1Wq=VfW%nehQ6gIy$|F&1R_UE^t8waFK zb`{T@w%77XuX)RhQ__=O9Fh)taYp)*#Idi^zmLs)9%K5pJAGbZ?%Yj((<I9G8GYZ= zcFaPh?TCd4+i{CCUt}K}WUrdBYe`|-Yx8fp*8V@g1yvl9Hn~;!{LH<D(r2ZedLHq5 z_B`QD+xL(+ljq^D-dg_)qU&aTD|MW{bDQ7ox?=5PiUrok6bsdlEi5oUwy;qDnBarD z9_8N4G5a^Z_t<r0`}9Mu_ZAd3nzQUUCG8aRnAbDrIj`oO2fUd)slR-mxi;|65HecN zTytW}R#TM%m1Bw<w2vtk>Kt2GU~_C?q0%wI2Pr+uy{moppWMUrQSg2omwRRNvAlZ% z3H<pS8>gGAZ0t8*vSGgYlEMb#lzRtGOSAYD=j!I{e|b7ae$C-09)^6K{|xzB84dY* z8x8vy4kycG#ytPkyVtq0EnmNN^B%{?GWi?@lg(RRoQz3bve)@$xA~F_v(1-WY&X79 zJx70s!du&O(_{8e+;+dGtB|9ouh3&pQdsV_g&(YrE&Ql<OmIQ2k4)wqkcr&Cy7Kjf z)$=dBI5K|{!{Qy;Eql4G8th$!m@A#Rnk$^8nk}36_TOqX=g@h6E9z#QvFb5J1Jh%Q zhStXx8W<j1XlQ;+Fd?%?xtBX;|HksD@DFd7ZkS}AvE~skBU|y`WA`%eo|r!2h}yjg zhg9xOIQGPT_x>bpvl~yZC{FX1N!fXbr649*>9n&?TBXoxiM-3dgmtFRI9JqasB?YB zp-&8xBjg?#T&^=~zT5GC>zn)HAD@N#-nwyiQhV@>i`#eIi|b_l`b}%i%I)FFxqJ6A zF1vbLIw@!GUeBJ@+s#`ozkXY0xP4z-=B3?L)vVjD-qtSMbT6(o`RlhVnKj$Z4>VR) zJLjz2Zr-`}>$h30(R=r1-dVFgT(w~By|~8J1@pBcU+GrOIQi5=Eb;8Q$qsr4Yv;6^ zrtFW)dinDFop$@Eta|JC@&!Kpz6r*UVwq;YdN}Du0RQwuzp8eaTs!#pruM^0GvxDw zW{BrsngMEsYTpw{O53B^Dfw}k$g*RzFG<M%oa%r2S^e5odij?v8jUCFdp++sz&k5s z+kvwu#3#M>Fb#8&i=WQ?t@Uce%XYQpoi^DULUSL_x^+!Acl-9eB|gsIv%*?tN84F_ zx;@{<{7qAPXxR2cDg3{8hVria9g(Yjr_ZKG<9OZ5Khdt6PaQLTx<hzn?zxMb3XkgV zc-Cw>b$?Q+bGgIw1(qwnaaLa4@!qmx?!lJw^YJc|Ux`h<y5qI}At@ih=hC}Ahvt?p z+v@#X+xT6%$*T3?N!5Gza@~IQ_UetO{QL&puix5k#N@9(IqUUX-^BdAdoyL$ufP6; z{rIc5q83s4>yJ#VsutzDetUJ{ihFUB<*(e9PO9I#*Ygc1etN%tTeflezPQQqZ`N%O zPY6$`P2YP?wchy&XWX@b9Z&jmp78x!wA$6RY}ee&2W%alR82Y%b5FrQ{}WH|3dP2! zhJ1~phJ2mAhJ3B;6Qx@M+mHQ~aBsM6*e6h)B;$Ffw{S^;_OXe_CVMOsFP!1hp3i4& zZS#~r=~+v<Az$ZnL%vpVL%!bWhJ6g{lVlX*j$2Hs5Ps~jFxRJh>BYYHFS#!NR627Z zPyVsMiv#j5i-q$!HguS`M4XYH6mdv8DB_g#r3kS~Hs2!?YRkNiv(@Wqug(a&w<dCS z(eHCDw)4gFxnqjAsjjoS%@sZ;xA*k9vIg&SWgXq;%7m_S-%eZR{h)2LZo$LiDYYTn zW3%s{EIBR8k|+Kx<JOK=$v@||Nxo{8eN+Bec*k=trtkLo0pAKIPB`$eL#aSzk%vsL zMw+Z#pvgD4DGcgLULBJKRXc+PRa-9$s`eV^sjA5B5HsF6r&ojNxl-4WpB;+|j2C5m z`Ew|g=}U)(;x6|oD!W{#i0pEkVzR5|VTjYih1CVd5!Sn{XCAz%xyYlWM}tdNsmtwm zhtPw-ClQLbTx~qJ^lE7C>3lQwSoU|H44HCWtHy9a*9WOC6DtG*MdrDS^rj1{9=O~g z^vKi2^U+4>gofI636qS&Jsve^FDbYnddVV0BK28)@5OBi8*Vc-$2ulW(AMB7VePeB zCy>yg-6HX;^6(~quBJ{F*_iX|U%cSjpFBf2%W{`hTT$b~bqa=uL`B?oH67Ck=P)=R z>eN%{q`Q4#S-I{0MeW*u`ZoHl5Pk7fLW||t&ZZ+3;Q=!qa7hWrDjrY?=P<k}s%5zJ zLi?J4t4zVMNA*6={S|uSmu>zNk8gQ5F1z)f`uD~oH|jy6^7D%Qi)Kq7c>m)07H8o- zh2JuiE?mF4xYMORJRsr$m(=PQ#RFO492<|V3=)oBTDI<a_?Gh4`x~zbMqN#~`~2I* zLy?O0lkFR|XR7nLr!=1K1#M1IntgKi71nc;ckR@hyz3BW(DfZ|uNd<tRCUZ=GVh3P zkpDxomCFm;v)DSlr`(M>{BF{&1GYiaclf_byXz<$_E1SRJ7&QXhUXfVM>M_s9;v9# z_O?`={kn3o|7p85lh2m?S`wJZaN}l4?Zt)-lV7r>M<*`cymsTsn|vE?-sIc3G{YwS z`Ni~eg7rt0(|?!e{N1B*pvXq=SQ_8muo?#A*1Ym<qGcAhrL5<^W!OFEt-$VX<}+vJ z?Ug;gzT%<F2kS5~_K3!LN6U<h{mQqgrdizPGM<~;8+op*;pVxr4$E_8s?WsEGSAd3 zo~JxRvbe5rs*!M-xVxb&<6QgyYu;Q=I_fk1dbf5~+Ue=%zP+Cj7V}Z<MTpFdnOfpj zw}rar<hC9?x9ylvy7hsebn7FV9xX7Q{N(kchBGohPu=_{d%D}(!1zhmowCnwW-b0a z{pf9`#dC5yC!X7Oh$B5ZdCO+mgr3c^NozLGJ@VsFS=w2{vp3CRpPt@je0@*eS;OO^ zENSB346=Jzj#=Io5}uRW`taPgV=C#^2U60lkBFpOcdl$@$oVz(08hBUjGw7@BUcIw zy$}vO<7&Lfqoh-V>#uUxv4#$zgaj8(Lybi$h8ByK7^o~-Vt8e3i;MmBq-XyV1zi)8 zTqfR-3KZGqBGP+SQ1!sF4xyxE7tbVT7th367tiFm(VVU8)*W4wc+E|u(NxeisnjJf zLNw4M%xwz8W+kr<Q9;$tr-G`jse-D#OY>AscI_0~+!iSm$Rg%0V!YKwq_tJhHQCXH z)4+aF%M9_rNi$xvNi5i{-SXlgm*<y~R*|ZmPEvdKuh}hPuD?q}-QXp+lbP)M?p;?7 zO%$Ht^C~UW@s-eFkJv<wmDxOsUm2P`@AkL``6nr?TyD^C<?;)E)8?7CG<Hj_{Ob|B zfPba;7q!yXeOD6Ca`j9yJr-QlP&g$=k7df7BNASIi6*Mc4PSNdI=yzH@3L*ry8ndC zJ$q$NZYT4(ZHMa8qm$=vmQ7f{SvJZ4otR77?Jv<jg);k-+BW~SE)!>u=!-mhBPDD1 zoZQa2=eC`^GAFn9?YXjs+;e3eYmYLf8Etj#dmOG)vaSC3vquct2J0ne`{d5uth@2( z&9EC!a<X$uwlU`vY;(3L-=<xtUVdG3bFR_W-F*p0TdR+To=VB;mOWa+;`4WrUhrv6 zwP5LoGB3l=lx?d&{`XOr`N2C$IYwKX&uu%xoF4sf;pVj;Pu%4DaN{Q5$0Zgoe3orH zQ23|o=eck1E28$~8f{H)ZJ0fKpWX6?*|+!A-M%@k;$e>Vo|0{*ahA6k<E(Eh-m|zJ zni0K&&*c2KznOiH!_Sm#J6rYl^rD8-8@EZ!-gkfBgmBaCwfmbluf1^b=Cv13a@Z~2 zPZ58#VRLM&a;sSWJX!A5vd7m)Jk9yvxA>@TOykR=H+ou=&uu$Wn0{O5{oLH%$>+)% zg3pz8Ts~K(dd}i@s715{SJ`gXbCyk#((PTVXGltG%O36P6>Z%(G4#xh7f)qghU=7X zt3TfQs4b%@_2`YB-tKeTj;N+bKisr=ZQ;?Id<9o;@)e%Fx$e-{M_wt%P6ZwRs6EZ% z_Ws9iAGb+rn|E)oUwve)zMW3B`sRHz67H+cjg0JBzfqpW{P$Ad%Gb;6)9-~xUtacT zy4kaWslGxxzHXh*Rr2##o$7IC;TNY98|!4Iy*QuP$|!T~#hHf;%@#6A2JMq24m}iU zE3)WKy#M2N$Rh2{n@?u86-Oknw4dEH^)T0BiyX17GG^H^e6xMp4}11JF5vRtpH?7s zeP-RN3%#1Rb=vX_QfE)S=({YZ_uZMBJQtc5DpnbpbjI=cE;M(({Ni(OZCdHPS^rb= zq;I$f-~WB8nPpu~>i+ZLU8@YgX#e)zt|2&+Nxov{0q0K%hr}#f?)lzOlCPY3AbFQZ zVwa51d$rt&{(F2LXvQuvaphZ79=z>DdYsyaIeI}emDn%s&WwJ-tuI{RDZbKoBJ+#8 zOwms~^@VS^i%ZT>`hEGtv>o@`S@<T{o|reg?up;b;NlDIEPNB6-W0yveMhr6j_>z` z1A?1us>6OwvQG6o6)10XQXq1kt!nLwxkl+vcJOn~Q8>N%`C{{(!5OD-zjkI?e&Ul; za9nxO@+G?OxA1e$Q9SKE>r>Sv>!mrB>9rmYWFq5EO}XBCM>F@d^?PR~@e`jeE!!g( zpE~Va>5(&2zGdfd#+<xYP?*}dIzBCr)#V8b--KO}>JxVzQ49*V@OvfI?rD2KFo?hK zYqXdDBd(Rp3ua!qyyJ`RMINE+D-O@nd7>2B<7uxqVb_t$pl}PfS5nVCY!5^R@fWsT znQY<vN^_3VR};UWvkNvfXR%GTn6seEQ1Wo$Dv92?A@STBx+gimS@uNbTTl_#Zw<@d z-1xe<wmmuT4R5it-}$#IM0AJq3ZZh&(1-V~A8p^9_3Y=|@0yVh7*)M(mZY@0FWvO_ z>aS!ku?nY?%bo{qI<z_H=D$rpEmgVqEJ*2`K4r~^RUzB<*VX!-WXjiwT=dqwWzB_k zA=~^nXZxRIlGTV@q^zo4qBZrc@nyE4O$VgBrbT$4<a+Iu`a5Oa*C{$18Yiim1#LRC zEa;})-b`av?wo}woolD8*|0Wbo4w~<zmrVX8j(q+s@iX~roKJzS{}UVfSlK~8{Q|m ze(&q-3$rfyCcNt8RgTa{3JbMlv@GXrdHmNvb+%6TB-Os4O^40}-DI<!K8aN=XwwlD zudo?0TDL#iwN7GP7PRSziC5T+I1s;i5^Gw}rXxCDVKZVu{M{*+CY0^bU!KyLI%Um< zEg{<;*K(?I>nuy@be*zh!v+waQI-45@|4cXDQgV2gZO-^vp@QsT*e=?>CnWWn|<F8 zPss^Wos!eWr>ZTZG4*Z5egBhbo^B`8_5^P_G;h-C&2R6ibA~4PojkE^Zne!qtuxv$ z>reH4zpEN}qdYl5T-E!{l9b85Q%{xc$zPt*m^&rsS*}-DL|{;{waWA9S1$IQU~SRb zI3Yk(Z0d?K3q7@vM%5OrjZ*?dr%hc^rlF@E(kR-ZwQ*8_XxP*hWfFc0A&r|=bJN%# zYC45l#4fs}SkV*UE9SF8NS!0}p-*R4`+Z9%Q49YSLgE~u50g5x+V814iSF=OAr#IL z`fydT|Ar5dIkStbeZJMdT+YNVsZ}_8A(!mFPl47gaaG^9DzB8?yxyg{O{?TvkoEmH zALXu}vv!x%`Z#MLSN@(WeXqCOTk+;c-N856lM>V7Ckt1vl>Htnp><*ELLu`#fj56X zXq<kl@}ZhDYo1}qBR*%*cU3Frn!hs+d0^$NDrXq-aO3n_l}|aHS?3vrJhF5?YxTkV zx7lNvwJS~jr@WtkJGJ=GJ-_4Y@*}--OTFj(IJVF5R<hvDq`sQd)j5{OZx*>Mn={=| zF4~X%TZB>Yxp@-(y3b9jb*5@Q_v<-6t$6y^7e1D^Z&s8no3lB`(s9`wcSE`8bII3) z(@xzye@r;-l;!bhlg~`~Y;r95bmgI{pqWK{8$T{FdO9clv}gLMN{PoCpW6Ird->^R zUd8<FH-gp`x=*?pSU6?%)H8iu$vKuc3-XRu<XD<V_?Lyqq&+^_*TtP<c{A|hG+lLT ztzDbuy;)%aG9o4gB-IC&n)fxu{b(b|nL^V3Wg&a|KHeyb+c@vdiW|p|O_mj%ezR!P z;zyM|$ESVndHw0;yUoqJ!&0ixhraXBvew#GxHafzU|}oB^mWH8axCZWn6P>7PuB2{ zIr00>MsA9&R4-qU<8OCz>ZG?P@+-P^m&%qe$T7cnIyy&l`3~k(>%#PPQ*z|r9g5r( zTVbBRap|0gNhix5RPQ-Dt?2U4We&d2<bSV>Smf)P$`n2~=jzh5)c;feCR(|!uFDII zP5yRY^0B~;k5g->@IK8*4f|V{aqVBcXuaWL23NB$Yh}c4e42XM<fw)CpJx``2h{w3 zcli8}meksCKzzZ8Bl{;DX6mjv*je+Z`>_s_ca0#I^#7nXE}hS;8pa>>pKmz86<=T^ z|NoRl_W`Z=mP^O>&sxZoUBkG_=TEk!j=_ELIj4^Aw>zh_;h^}!O&`lk-YM@0S<w9X z=n|z>#vivo-w^q}{w=59^T+wp>4FuHyW?;Fh&K*dFkAnCg7AL@K5m|`tm01|%L}Co zO1#N_c;Hz5P4W7K$NQfwp7}xFDCEJc^f(=!d#?@o8l~r*G&C;B|F!Udu7A$W#_HJ% znaXVnMcdxqm1uWZzW(I{qvo<H>YvWcc>BgO{-)yX)`d*5`<Fe|;<*t%?*#Ybt-IAf zohf+xu${@)c4I1gwtgGemv1g{602&HWSDAg52mtZ+1rb}c;BgO?Za*mlCVI1-64g& z?=wFil8}A)QedW{)h&M3V-;0JGdgQ@oLP@mKH~a3vA@5N!{-Bw_^lJ_zZM=)@y|Kw z^JKE5mcb?Aa3lGjS0vgGnD`fXO8+de7n$*}Q+H}-jhZuSTID0Jr^@qX9}CQQ%&C{E zJpXk&(`TEFON}S`C$2b9;2-m{=E>nC8K&1Z2bbnPss0m?P_AM&`GL7^esJ{7RoCk7 zZLl<Q>Rx#=L3w&)`z)EB!%UMcbqu=?a~WH%F>F4}xXk$Lq#Gv!T6uY{Mx4LE@LEDD zN!NLD#Ni7fVa6uCp>bLEcV`|8Xk2-3*_k~Xl1<vr+8j&ld?*tpaWJuWq70uw*UITf zMB21`l2#mZX=9T(c0u5=<g6nWZOaU%EzIosdCN|EyTj~h|8wkT8k{^iT}5EW_tcNu zqqr3sjpdXIZaJ&?RdyWm<iBX~P?2%lWk+wB#f^tjr7~g`IW}~ga1=JOdCpnx$n`v9 z!m-HbpBLSFH7(cZ`P!X-ZC#nZ@B13uxck0X`3DYD&)4NVW|I2ts#b*&3zgeVtqLq0 zs`=V8jz3hnRb<g>9<zDL$61-doz`d0UFs3#n|)QhT{W&K;vs9hsa%1@fnvV)ienE| z<`r4As>}F2HoUxyYx~T(p-lZ2Iv*xzMn4vFX1<e>(IL&_`^d^Uc*pq*CcEmw%hmtr zn_k_2Q+iqCtdC(Pd7*(<l^R9uk5#ff`<o#jbFxb4hD5&54T1bg5%T##65{!nBqZ{= z3^eYEBo*w@>`eT)Or-7j>`M}Y)nO`O$Hh|8x-NcH+uYY<?%C68uIbZZKJ$pmJ&}Z< zJ(@?JR0InJKl0V|=`~+!sQhh>Moy>hi8H3gkA2gYidUQT&fPeV!8*xSaoh1KpFH{3 zo{7b4)O&sHuif4DrDB!vi*K<#t_$MN+zHEFw#0FxT;suwa-E7B<yseRl<Q60IFF$= z$yTxIc-5pAqOUy{rms=&l`CBCv?xEuFiq~2+-t!X@~<b(ka`_BL+JIz88WX8g9Fy6 zA2|}?FC?5~tJ%~4b%~+gyAX|@PVHkpc88N~nUWv#Ej6?{mvn7g;WPo|$2y)p9h;XJ zD4d&g?7;h1b!IcSZpr&Kz3Y_Ov--0_6`%LAbME=G@7?pmswYZb%GdZjn7ZDhQ`~OJ zhg<C?R{tJ2GFtr%=s0IL#YJ4+YvF4Cr5DdOX9>M{>CCj(MmID$s&MUe&NZJ!H7_1+ z7cu)a;aHJ8*M>vwPHVm_R0;bTz_q$oqu12_%3c2x3X4OxuGqTwn#rT@CWg5$zVs?h zI#9bjTU@b`{r<(Lo?pJncrNU3&-n6n0>fV$l_SFbES`5hP7rxl<stQ5BWao~r_C3E zM)#1<5t9yN%L`RJ*7Se0v3-W<vi1y{uM-%~+o&8V=J$Nm+P>t&CFe^OUt>R7oc8Oh z-*`KX>CMA4MMr%W{Qh2Q{>(d)>Fu9@1+%w@bZ(1VcH!!2lU)^&4d>#-7EPY6dhz1v zpcfBPGq-#<G?sk#Ve7V;yx(h{XPQ{c#(j2fTzxM{wXAyPAw7L#Z4Q0zf@`Op?tI#$ zl2;YUWxhwJ_chDvjklNe-z!}weAMT`tm&S2J{lRv)t;GiFgi}H;PPpeyo#BJzRJJK zGkiMZ@2pvpi)PM#%o%g?ZorZa2cEuvV|}m2qA^)V+~QPGr?O7C#hIdBXC3~62~QRI zHrRCT)$vdK@?FM!_4oHPpH1CyL^MClz<B4Qv#(OcPOGMEj!EP@ZTf7Z&4HR}?GXn) zsfcZ|X?4}{PnNvChf93tGt<?Rj>ddUbKTUU9ru{Yyo_ni^Ma0I8NVd?_F#*H4^8?e zo377`o&AtgM>TuiW2V#lx4#$t^ofP-6I-L>9>*ku`~ZnV(k5-6+87R3ICne*%@5t* z*e2rkL3N4Yq}<F-(HzD!K8d5!EWbXpbsFw*Ow`H0AaPupspmPbqS}Wx6}3-oB5EJo zOw=~(uH7(6_ka(3a7FWhkZ&APt0UwROiw$nNvssgj+}R>WZH5=g;};~J5o7yx}G^! zs%<csr64wArpw=egx&I9IiH<d*Ve7rc$A+x=i6a{*?$Z=d+TN#Vzc*2Ubp?LidaRt z$>Qq0@?2{^J9loaTe0C7ziHU-#D>s1iKM0SY8wyn2S>bXe`fS9*S7WNJ=wDzv9H>n zy?U#ARmCh$ETL_B>x`GFo@c&o5_wh;DYf~8_s-PZH|<MbpJds`$DQ+|QR=hhoCAK- zxX&c+Jfbp9+b3=3F_CHPGfsUHxEwL>NXfM22F*`1&peqGo+P<_x8(lK5qbu*p9%>_ zt}~eabeZzzJ1H-8%q6W(`?j4cn!IqcP4Cf|&ssT;%FLN-I8U=qD>|B;^Q@pjSB7un z)We=%o)vK2wwQCQtp4n(x8axjCS3m{BW~fNrd>JjfZjcY4fD)Bavt$=Nmn)>W4kAi zAe+yzvDI8<<5cq*pASf%nVAqB^++JPVJ36g2e!t!dmNLD^8+kSNt>Me*v4R9;oLEM zk78%^9>vz%dlY-EbG)@>Qn(E+AB?@HP|$DNbc}Ht`;GIT1b#=%I}$f-`G)qVnQ!{; z-8pw>naPQJeS6-wGx6_R|2s^KQP|>KK;!3sO{#Lg79LWzzf$q0opIie#E#{4GmaSB z`#jt!zpU^sceaql!*(Y1y>HX=7sMy5IAB<RP-yv|fQMV;vtqs+W|;oR;7F>y&!aB+ zWd&FHFYowPdsn=+_(C0DO1)0uGixD^Im;UUKKiREHRJP#*t^arxDNF77~2bM(){1P zYxz;DBBpka*ar$Ly=4?iTmN23JZrf$rFHU@HHA%+m}Qnf5jd`4*|~VioI`nDevd!! zPyCma`di*wFwxzl@MJ*sB;y}BTQ;W`q;ajzYRtTN)TeQlkiqxgmm1F8xb1!<)9r5D zqPuS^c6+^I3ipUj5?`q;vye}FWBVjgz2Hs9BE9$u`X)KgS^h*tFQAA^T+_1G`^eAL z8~>l2vw-i&&()f9_8TAhx%%Y%{V$LFT)p_)AK&hKj#>A9efPPTCcxKU$2B#bNw}`V zM}~EpgS@?n#53K{q&?F$dp;ZWZj2L4=$YOs@ix`7=hG$;x2i}f<(e}*-3#N?431pS zC~MgA*|~Asy&zS#>Y0ZW^e;=iNM&TJi0t?mH_gRf-+STu=}RyEYd`aPS@9V=E1$%h z{i=(OU0RV*|6t3WkSjBOC*L*ZG+tDzwotc3dtp}2?~N4~qD((ecpExp&Bje3+X8hK zrt}_Fb>H%gv1Qf8{VrS2f0uS$A*Jjc^4n)$WXmeU-7Z_tUyOENA(ibN^83wh#g<iu zTU@rDU;WyBh16s3kl#H!Gh0>}?seIEe&rnh6;j<^A-~_8WNlfs@x$>)DypBK+Rr{a z`=UE@<34+_`ru^@LN6XEGR?JhSv0v_qvVS~FQ1&yg1zl6JD%4RuW^`^@%pBEtNFaz zOFRBE-?R{_eR1f_uV0L@Ga@7GzX)`?Ll()*tMF)8E+_P8X1mJAJI+BB4;3@_ERl9! zXnxls&GS!}-kCM6@t0dOzbyKl-Z0OE<6<+LXUTF$uDcl%+`^yV{`E7Sf9msnX4(0b z_dCCG+$pU)=TIe7A(k(+Lo|O<g<O76g<$@r3dww~0{wd;k4pDwcJ|pHofY&#B;RZC zXaB-<*GFYJ9%(k^owl<iZ^b_L6}tP#m+S6hUn$#1zG*c_W;>O1n5%x&+BY{SLq0x8 z>TS~bu0wxsES}l9B=^n5Gjl$vm8E3abbMv0ka*4cQRCX=Bab8ex%`uCGi^%i<U?Mq z^m`C8$Mr$VnTc=SH}f5-V)=HwO6A+pDv@u;s!YBesbYH5_qD}B=C$Xek~QkB#vA9E zoI5s`t5dk}=#0u2F>Bl()U0uTl(xqGq1zhw$5m_84{VL_?^wNYp31r-RY5ysUVA<c zy0bFCLN2^#W{uvlDi*yXvR;oP=BOUHXyM(VTsTdo>}X_=g-E*R;|KlnQ}?FpetYM6 z`p$hO<tQ_q-$(x4-Lq}a%ADkXhi=T5S?L+d-SboP^R`=aLT)lGj=j9Tvxuk9W08!r z(j=YZ3p$pZGBi?pS=7_v;b__BzGQ>UVWpr=GS2m@qJz?xf|p%Nd<}lK^w9n-nK%Ee z-{kw4<=T#>16kn{BA#+AJEUEIYERyFzZJ*2<{Q12IhSj`^4IDkpM-pqBlX-ChlWnS zy*R(qi)ps<B+CcOVrF0Wyn5qD@ZDPm%TxGvH3s~zKXz}G|0EgT&wC@!%$-@AR$W$} zR(*cnyLIbcJu32S+iSc3<%y0HvutEV1x06GH7ym5SDgt5mi;Mt&-9FT-v9Z#KJKK- z%m-5^R^Lz(4VNjNm?V5AG^eYw>+JW(U1!T5b-hhqnDhCP-nm<`H<E5^tvmL9QrENt zPu9;3d%5nUe9Mdp`E6gnoQ%JtW4_|Xi}eO8ew_GYVjKOjpKZ7A3G<g#Rcd<PYfM)B z2>CBEx9Sl;_p(<vyXu8!ta09WGXC4_FMDMePsgtp_ucl>{N+`(P_KUtxek45Tvp2N z!l@E77I&`y&wujb5&KM@t>VFR*7;Tn9roF+B2_mdR>;@thF+%<-zM)xEq_0&w7uN# z`S1R24b#LvlLMC;jicS=(*C-|Z1|^GDXqQp;Sv8CGlVDa_L%X(b)VOU&)kKQy{q5e z+Mb=2E70^~!Ij1LqYFBu)x_l0cBLLx{Ps64r|`6eq{1TC?YCK*9`6^gE)CXr5YXpz z;ru+wqh`AgY`CmfaU)f{=FK*4LB1QU670E5k8@40=(R|EsxIVr6Rv1lH~&^ehu-{I zlB)lMz8x!Sc~XChtuAZZLIEy`>5Bz;Hp#apHN_^($Pl;j*~D$9cDt1$_>EJ~N3(;E zd#|3>Yk83=Tr+Rc>{yPAC)GM8+TPL==-IS2N#WM_=B3}cTHlN3s(b(OJn8W}P{Qp7 zEC1oQP5p^wjo)2&ANai}w!`3t-Vupp;Un^DU$=3y)aI-e?Yvf%o4wX!OIUh!nn>+i zCTIKI4;Jo^{a|=N&*ep;cv8uB4xcY;8>dY^?Q(xsvarkjS=r(o&mwQRaIk(k8TjMI z)^s<+`+5dC8@T1fZn4T=e&f`TSf+TSV7EYGOKiu*!)hJ-OmFB3@NC%Xd1<@ca}5^% zH!}mjZ0F|r{kEyyw(Q_>zTE;37shrJURLW+c(gKBp(1T{qRy*2D|bbU<nuj`cE%=D zB#PU_Y~Z%@yV1&_{?@4{c$#RS&cgJyHb*SI-R5yPp1;l7baR@RpcA8Vu}IU(Z)Y_0 zZn3s`mmNHuy8FT7u2_zOt9mUnGR2k7Y~VIrcAG_TuJ1-}mdUxflU&k;i$$6|zn#%Y zyUE%XSa$Gm#_k8nJ+T}H*YsK>(#4f}wsRY*-DsI0pS(DhW8=X~-mV>+uAg$w*;=si zxJAxW7Iz)?Z%0o?B#Lu{MHMfy$a>0ie9fK1Qrh1)-Z;gqv+<LF_gb4nM%w)w?;JY1 zXy>U@oMF*YN|8qIZ?HD)zBWfgEYk9Dl=k-x=T6nG+5U-P_8OZbY1;i8j-E=sv#p@e z@!`Cw!gsctxM)PJ*dD9!BKvITqsFPmd$ttuuU~WLxT!XO!QE5NCEGu7*sis4TYrD~ z?uS!WUpMqivCi!F+VI`{(Z%<N{}5W+v=c&hJX*oBD8t^VfnS3x8R>2~d_PT2UiD zJ6csKY;mog<&lpyk#B<KccnD3?-%rMdVZ2Mru-F)aNX+@^B(Pc{etIv%%=9;dN+^D zPM3MG&6}_Aw5t1#2a}TToR~g&b>z>pO4oiA?mwG*HD&4i$5%L??b@_l;`JoSV>?ph z7<Z(|FODf{n5Z|?JwVU$kj`|O<g$G_>o%P$KFRX=bM#bI?_I&qwRdY*cd_ctOl<T1 zw&8^8=g|AApO4;G{VZ`n^>e@h)z2LY@nY3WCjWGHd^5%G>3M~dbt|juR;%w`Cbw>J z@SHN)xet51zZG0l{cZ7l67!t$lZtt}o^Zs*igsUoyS*~IZN{}~tB|I+pOM{a@-HTF zvRQsw_Tp`T<S&a5{#cn+{dIh%PWA0l$qso_S8!Oe?M`V@+*uoNf_2ZCw}+k7E2dU2 zTghSFwyW>lhg(x`9ZsJ9=5ePt--j!z?G?`^Dch8vG>m(4I;pdEihj52r(SQhirM#H zoK(7L{(p&T_mg*j!o<6uSYN*=d2e?LzkJN5{(e162leoaP9<e01@(3s^%okN-w<iP zH`Ti5$i(tztCFVPd>G)Ib3XKF@3CCIsR`M6y|#s`uJ1_M$6ETtcjM88Mr(>z$eCG& z$V<y+wUqLi9=jD28q;^<O#r9gb2Im%%tuqa)hu3~nEj~7IW^*afNf5}ikizaZ|fg@ z+P#|3^pI$~)Z?OcT4r0-i@a60Z985ZTKM+&3}@9FlW$)*8Tw(b-pquB%K2T3`KCVX zaQ<3wW8p80CjrbdB`Xy9EUs|;md)y^-MOkmX}NVsQ|3<JnMWoZjx{=1;eJmfSXS$> zNc*eAP0n8pPcC$u@gg9(=f~QL@>Ofh{%8BP|C)Af+OAt|`yc#$e|714m22kLw&boj zzWaW(@PD0s$2zuuJ7T|mN$2rtd6L_%{J(K)TW)r-ckS-5j}Ctp<iF)Qct)l*DXj01 z!SCB^{yCjo6>$Gu`}}{s-`fx0f3ljzT}DgZ`u>uu`S&B%r1&1@_m#D}_W#12kK!f& z=T@vbDscPnl?x5r`$heqctpi6Y<RxkSmB_taFC6u;$dOtPkg^`w6K)>dK8{-;o;}; z;I7DUYWl6V<i@W~M#*`S6Fwfe-ePmhRPkuGu+bjF1>pyxg@yJQD;}*DHmWgL5P!g3 zSg7RJUPeu;*%KCabJ~`eF6_@!<0&|2Q`NDVjps*Il2eoWF-xurc{5u{zS$QJD+>SG zk$i~Zy065eS&WC7*3FvmU=pXM-s}kvyBL-4+54Vo78DMWF;P66%zTX1#!&HKuyD{F z1I5E<{V%z%GK!d^wCk^*&0+P2DMc?<&0i+}^T~yyEi7|=JuaSX;gSE!`TTfO`*XD~ zAMdp=-kWJ~B%1jX=ks1p&bZkV9!=$xjGJ}gFt_lp9|?yTY<qW27TC*kLVe>sHI*01 zPF?%dQeLDx@&EOGk=($^%wv++;mGzx_;G@;5Raka{XerOB+lTp<uPB_FQ>*+a4jQ6 z?9n#HpDDl3wA3`rnDD5R^XCHNh3)QYUp`)FVU(L;aHN*`6X&0KoIjf~oVu*lQY`YF z__zDKc)X00`Hn$i$7{AH!aq!fg<?z<kBSN##h5K@_g4E-c(J8sp<!ajR5$*I!aoXy zg=CBs<1>6td>2=Ha+^!|)7=)%pK6C%YThR}?9+H-u6Qs_IOvV3;$b=AsvEgZ^1FQ` z5|(p1zcEmV&)7D5Lc(m$%7Rp<HeIzZ1&3N{9-1XK+*ac$d|iI)QRp4%m_IJjdycGQ z*#33ux*y*T-}S#_eL?bFK=kE^`!~eC=QQ15zO$X1h5rrHQNi5@3jRzk$yVU@eYCpR zygoggBkzfZ$n|28w(R}F{!QLpKl)Da`<8yqEqpY&L|)Nb_p51X>09e9M;rYw<t|<G z@6M%t$+b%JFWug<W53nf`3xI3ADZcZDK^}h`~J(nXSQqS9u>ax_Ey)S?fFNho<CxK zG~{o)d{(`NRbhK_y}*B?xz7(2ADm?05nd}JmuvWwfBv?gzU#c(`+U!y{aQNX3;X6Z zGpAczJwDg!D5rbiZS%4f`3L0OEqAy_^|AMvdHy<i`1~h+6`5O)CR%eh9e=0e5p7eL zesFv3JDrSZo*&J|+-(>8#Dw{B4NuK&ZJ(IOF0XutkFo9f+-p{La#36skFId?S(kP> z`^|m0AozR1ft9~+yuZTy=C`xe4c@ui8NU5f+?$cGqUMX-S<&0#1y=DY7PG4_e6!K9 ze;M#I<$1u*mgfOKmpl)!jVWDWx9&-JNB)mbu5I2w7qxf)T-49~GpXV8&!mpxpGhso zKa+ZbcP0tnzxlMACARps|DKq;XBP^Ul&=u6mC<tcZ-2FLy7SkI*881AYCpNQ?fbc? zz3%6t{(nD{8qWPp>S+9#)Z+LvspsI%wHJcEbGJQF`@2)F|8v@ewq>{We_(z2Ha*i} z!RxcKM?^lE=~ouF?^<@Ois!U;WHGzl@ja)bnP*vV$zQW}_4=c~@BFFHTlssZp!}y- z*DftowtMFLUulKl#MtHM=kl@4wLh<!@an*EA69<50<DUGlGP6mUfA2z-YzF<Q}bob z1HlF1to;IewJTg+1Rqp(i!Was{G~YEk-K8@_52|Irf5+cjlA#=2|I!h9zJ}ROU~|H z=m(C1{Y~y2?4mYGHftUTKAu>uVQ{A;{UBFG(81;hD}O7<&D$wkV^eO;Qt_~i<Kv~b z0uQI&Ry>v+ZaZ1xk6+xE*;N8}{^_L0PpT9tSUrjR;9W00k?&eNKNv@!Y@I*dOYhH_ zkfMjrmrdffx1JjF<LAmJht3DIep_A<tSD^P&U5y*Rhk~lckQQ6AJ<LdKK$EDPtGo+ z=;OzYle`=Jre=vGrKwKmxUIeO$c?bX7K5C%6FBGlZ3`(<yb*J<H7QtCysdX?j7`pp zCy$adRi`t&*4o(;5q(ni&@3-K!?5s-dj8c~DUU=qY?{P<u**wN<h1rq1D(}R9wnBm zip%fT+R1Vw@}%mKJ}*7NYvDzmhTEKe|CR_>6yD$PacT^QT1e5t84)L26TMW$`Gd80 z{+JPVQuV+WFFnPykfKh5Ws|&nB1Lc6A9%wxlZExn$|p_++b3}!-Q%Ul^I3a}*^wqL zIYF_o4;>pd%-8&UxV-+cTtv(*K*8c)v))O>I(U2pD#UBSzDJi8*f?pEnORS!G4 zCh7U<(!S35_iqSJ|2*k((x=yzCo?Dg35qKU^QqI-xAdMA|3l|?n%1U_2TbRlce6aV zJ8gDgS;T?JcCUh43xnnquHe~jcUD*A=-HZy4;|`CZSI`#fBCp-pTvWxeG-qd_DMYS z+9&aNQ*6$<{LJtJS866EMD6o1w2e=h@iB9yap(H(zgO<evFymdA8_X9wWY>q&dM=+ zvt@h?TsQ05tv=aX|E?@-;GX|{HOuq0S$F%7Zh0=Fb<lA4EV=JHoK-C*@(Os0Zm-UY zx_!ON+TrHByIR+`{?|VDB;~@k83z~4;py6RBH_XF%+;slYSJDb&k(Yl^Eal(%{W~B z|1~M`f{&j|_HDE7d>nJi+VA$GLbfNVfmd9`{%-b`S$SH2t8BDXl=YuW5!!O>YqF1s zE<fylw&GW2m66M_le(rq8~3f=?_IronHvAwn3Hl(H9H?o-1qsWu2rYdolOB<++wFb zoN%(;vmsz#^p*<@e|1fcFih?eFVmTlFrhVwXIns<qS&j%6|KJ{(iSqdMQ3yfsy)4Z zvQEs);FOc5TeQYu3GS~3*PJSAG8Zx)i_YlaRC{`ReW#e0!AU1gwP+3JbndSoF1@L( z`TpgU6Z4*J7X<QswB#0U31})8^ZIztNzyK2MSH*4tHqNe&G#LwNc_lq@#vSQ4{O7@ zRbQkn6uGNAWnp`3)r-u9c2$uX4fn*P7WI2pTHLwr#OW5LaWsoNH6nST+_k6`{iR}3 z342@HZX{gV(9kR9wc(_brdhPcVKMHf*6G||H=cE3&e<NY@3={3$N@iY)f=e`MRw~> zN$hW}x{<xm?ptI=!(TC}q<x-0oh+|9Rh~&%XcMt1Ku$GsMf*&#SIN^_KgG^zZJY7g z^A~HIkgi_W^v&(fUN(_@`jX9IHb<&1I&tbnXdJENPPIr661)(taZr+bsYUKWp5;EL zrca+N=C$FxljgH1jl(jNKcyyYYZW@PDWGen*eU<bo<B=$?>bd}-x$!fQtXt$(Ique zvWW|8Zf*+b>J&R=aL~!NXM4cDGf^208^xrOdOUw_vAX3{8IiD1L|J!A;-=QB8z~Fz z%%U<Hc*UfW_Im!@vg@1^=d~z}qf*?d5xGHjf7fmbXsQ(R+IYxGa@y=E{=uhprX;Lv z4bs^d&~|kH<>iwfIky&XcE76<cfX_Z*qh**H3eSZQsO()&x`79(0cUk^C6kmgup(h zjTY{S5uZGNoteMLq*FSqd(&~o($0j$c`h3j{F7$P-y0Y*=}7B}N6c;Bo837?*M4bv zxarMG2Uk7&q#buM9y~H`)9(2^(I#bwQT3Ie`)==l9MTfVx!#)ddCyrrfgID8FA~vj zm=30euF#&Eb#HrEW5PbYl%-J#;y-2@w|tS9{FZ6H+J4{ib*_$kriNYrQk<OCb=N8{ zZT4-O>DzXE-T5P_W?r|2wds!7i_3N2rCgUjWw&(kl}8TWcFlHOd-z}eCA(#-m0m~5 zl$<QJSaRx-l<y|id<`}s;j3v{r|moP=Irn}vF?LN?5XLNQPyT#^RxQZZ$4Fa^*?%i zzE-=xzU`6Lkh&?cu|ZFY?(z5TX`R=_ZxUEDd*{B5+m<b?z0Gv2X0Eo-nLkl0OO>|e z{|@$U?>qaoxAd*_e*J{k=Atni+iEBK@oe(;_I(_m#dTxeYQZ-rE>~<Uf0kv_uuWv8 zr|#ExUV1Fmo3^W~PQR2rbF%K*uqy51q<tQS53|4eOk0<_R6YB$cvRBP={bL#-l`s5 z?Y%T^(*thX{H@d17=D^ob@J7l%Xd{bCI=U(YV8!+{;K=Sqba_k)3=8`Q}tihzxIUp zyja=jb)tL2vZsB#zsgnr)k=$Z(OV<4uBJ(@<~_40=3I5p#O+sf7Vr06udpo1DD~sZ zX{RMu9h{c0WwrI_v-~Sb9+TzY%d+-du4-E)-1FC}Hsa2z?bo*C{>rXpe7yR@qs{wn zug<M?zI>k5XXT;pgjI2QZ?BxyUU4@1O7O=7N88fUS1YYUE_@F2zniF>|MH5g=90uf zt!e9h^e?kD6$Mtk6wmBX?pC^^k#JJ{C2MA+my=+fhhTle!fO|U`+prflXy|wZQ-TW zce~FzP1P@1Z_0huOjG2b)#iZQt4?dpxm9gWwch&BY9-rsb=$JgdHf$gcyHC8p;u#j zq*;HesomFAxxZF!Os@5I4({!k_CC6JQq8}p%K-=WYVF~87xvJ#a5<NL+wIVb${nGt z)B9(yD-%f6&u^DrH>bt_65s08ACw|OTg8u_(%K^t7V_|EVx?%D-L%@3efJlMzrXPI z^{b<ocU<2e(q6yn|BZ#R=U4D`uRVJrRc^EC*2ky+e*YTrW$CUDBDJqx?-NfwVEUTT z>*D#s={^Ndy^}Mhrrx{Hy(8ve^Mgy%k8SX?u_}4uc+54MwL8i8?*H$>?R~k0551GW z6wNPtEB*Sie(0R-+ZqZo?niVN$KN`(E#Rlug-d<@4`(FWJ9+=@ubCwteEFt+@6F|A zbG`JlU)M!?y_-GLxIf_M`CY&G7BR<Mx%PL_Vg9f7OWf^}bMGJVkaxSE>sLS1eb({J z*PdbrmCi3|2-chVV98dNm2<u{3X9rstc$v>fAi2hlk3ciAD6xSJaz5c9ZGHP)pk}@ z^{Lra8|B3%zn=;{%Gxn6{$^F`$4!h?HL2E`PCD<N?S7ti_PA<aq`2@sks2S9ttxlx zHg7%5sabnu|LgE=#Y!?qM7s6jBl!<L4VquKzV%e9xS{33&3n5#|Jc44nLEeop!@41 z(_V`7&#^jOz5Lz7xxwE*UR%jt@%W1Kp0ZbhaXY_EW0H$Lp1Vxgrs$Oj|6HrX%*)?B zYzhAU@!(4KA1|&rmlR#IcS?V~MlbK$=Z~Au*zKtEyw?|*Cu{SlYWj{p+Yc=iTC;_( zJ482OzPH+q*ON5A?MP|6+czn2P0<RT)v~MF^Z2eFPi&WZFu|F(@WMhjiw6P8Z_elT zsTKY#X)3+yk=pc8Z)VaQ?=*?*sowLKNqt_rGfgD?aptYCZA&_iR$STsOlyjclSuG> z)9D7K{2Qmv3eH>Ua&l|9PvH8AoYQx0d!?6jJ>2ceik%&?N6xM9S<z9wa(9R6wK-p6 zk9^bG!?7*&q3g!2T>5Rgp%s-kVp^y7`>reVC>NBDwVYZexcF?w-499<pcHvRYmbB* zC`DF?#@V&aoNV}A>%EfM4Cl}nH}#TMNT!IVW{Mjg=~=kbe_MA%zO6&1_{MXp!fXDX zl88yQ>{KWZ`Jk{PRZp|CJz`?P<y-z&Vvq0|&w65aN$=4vOX+2NN#ccPdv*kE6)EI3 zXtsJ7bk8;B@2MH}uP$ZF|6Ox-zHdzJT1)TU9d_6FKvEmG<tHuK-4U0@Grw|4Wz4pD zPcG>_n&kaV+BTc>N$in{TyiYW*S?+pMu}~<cGHB4%X2o*E6)@!yjFE@bL^3sF`;ji z{GzK<cXeB&wp~~mamRJenX^%El%~zP9k%e)?hfH=t2j09y2@;yC;V{28>PO;-&-bl z*H$guDX?7cq~4<yd%KQmOf2te^_!c}6})`Ln=6uPmZki{b9eP~`q>_+ST2_|C%E6> z+S1RlM@nArI9t+`={L7s*KclOSMYa(n=60McyfjLOz|tlbu)MKc=i`u-Sb5I%Oky{ zp4tM@a>2!Go0m)M7Be`tQ0U86aJp&mR(tV&lIE|SDQy$`CI$8stl&8;yQ)2r@9Ob@ zcBzC8XI{e_3*9811SIpE$?Z!K-*|tISKu^Gz8xuD&3ZE*P6*X|tHd`ud|ub~G=sZ$ zT&Lu{N@&~Jy~0~evF+$Hy+wX^U2}}f1rIsjbJe-@$zM)R<DToArM$~Gh15*gzr0L$ z)0*z4?-$m2i(Y+laNe4VyIZq6XL|qi^E7{J*Ym;SKI4ll&DcP#Y3sU|mOQ=AY!&Tw zJxurR@i(O$XP3t8@{3>5xZuNzt9eg!{=V95w!iduhwTj^-DN&^vu0;SS*0jGPReBr zt8uxq!{hcG4ZfwW-@b~!x_f!j^gZ`yXX$U-_b@u3SNh<etUDUdLLVP9*e+$xU%aaD zv*B*3?F^e&6>=MHmfFr?ysv0(bIE$kyEDTxH&_1e*1E&-F7&Zm;dUwWHtVZ9DtE;8 z&hC%Cx`TB`WN&nb^wk}%6-&=vpBep&<GS>8pATWXuTDDkH1?B8uI4G3OX;W5G8e8> z5<YWs;^jkUOHZ=+zezp+?fUmE7KW2I^L~q6?pNU6{Up@(X57~+a;Zi!9Bwta=hkmM zHFw*^_~_LWb0@ji=FT;q8vDumw2*SmsoPH`#z*_7RGM4=$^LqC`4z>;mU9u)CWfqD zoxkE|YksNzjq|SC1Y$ReZ&>M^x!pGS_N=9HuB#)CB;GPsT<QJt^q<XJrITi!E0)j7 zf1KlNr4lb@ed%EQ?Cu<`&l9R9?9Dt~eW~&1&d>8L{vMUT_2-<@b#s;H7TGC0Cp29R zH@u&}Ty0{leYId0V=7~9tdXO9_2K13jO*VjYhL}S^XQ7^J<HOz?|yd=voC+Qc=PHi zk?(JdBLdSZ9!#>eDLrX7Z|4(+^)W>q&-E-1aZi_dTvoT+q_g*sop(XxHO_BVrCqju za}%eluG6(+Z@+%4I6HNv#Pxka^G{7JF`T>S%DgQ)tGr@%cSbEbaw%qFW$uz^PokFJ zjeGHF>&^>Vc1qjcX3bpw`bcWJ=C-$KGqt?zk~Y0xXDhSZV#>1L#nWz>ebj1=w^{cp zQGc7%V$lmLrOcc9r)iumSX>d@EA9L~i|^ILRMVY5D}s8X8;)Py(OMDG8{N`A?Z&n@ z(~fQ1JLjv;(QV%Q)*R|xkZqbLa(7i>@{73M+3j*ycl>-2*&E$(@9K`$7xBH(EsfKD z1ngM)@Ug;lp9`+L87G{2dgEJDYMChC&EG=b-fX^6R@XO2EN_vwT18&x@>i$6_if9% z&S7lXox9z#wCU}%jMZzz^W{T}6mP7&d*35=$&Iq<d#6R_?2g{usdjSd$#-j1OGNCR z-YDz;>oP6*_TKne8*^i;8lO&4-R8ShXPa%3z4*<fk}Gizn%ivi?A<PEi4}JLZJC;M zJAK;HkQX-J|9&$&mvo!?=cbNa{w(v8Dl^@uw!iU>?#tzW{`_41qgK^zqMPJy%bnD8 zHQZ)9Yq{FSw}#b%U5*0$MKMMPqrj2vKga4|@N&P8XI2{9l)sXTvnZ9<kG;A$;`t=W zYr9kUrDHbro9bB}P@683wAj1v!$H;K6?5+E`6_Lz&Fu6&ZFR76xnJSkmBuz(c~3l= z^68M!ZLy_EIlu1+-JW*O@qn>+PW19)+oW|it}O^mzWp_1uZaG=bt^>U*$xH2&8k@S zA!kF_?ptxwDz=?uUo)>RS<UZ#x&Fo{v+L}B-rWB3^t4GTYU`ihUlqLh!O`HD1Klyb z4^r2;ebfq1u9&;JvSRY;pB1xLv+kI^x^>6myI)UiS<<g}TAXv*^KKFE&#rA#e=ced z{kf?B>d&MG(Vs~jf47-=*mG=;u5R(Zw8`(QOZ8{JJzO*EmT>f6ovsmGpY^PIeVP51 zE7!JPyC(kOgsQO3l{Vq&SIbvqef+eWORw}rP(b?||3|*QA6L8XN!j{ncg@s<r{!4_ zlvm&64m+*=OJGge&n5cdx@%uJZ+Nx)(w8sX{41rcx0#r3xu&vwapad%wolD(>sTF> zTJE>;`by(B+TRy%ikr{2j{lUt$1A0SNAtb5YIomSz4*J<FM&I2UpN;oU&Md-?I-D~ zN&btY7BD|8|NChU2VYp(B8!lloevAXoix9yS!?{Q!&%j0*7{Gu#b*`@$(#?*=C*hk zz-jhubt&tb;uS2fbN6ai3;vk4);u+z>HZnfqwZRtU7KF-R9gRPYt6(5S?f+{SE<IU z?1-87>{L=^ck%mZ@A9kn{P(52zI2QG)OYP)0VV4yblUvxPjeDE`Fwd_R;O9$L)Q&E zx%9hqLn{n(wocu<bDM-^>g?xTb~Vhgaqm^;*Z*~$)BStfB#yPo&0oImnR|cQwy<5{ zAFuw8-B!8f#e|d%hs|U~zJB`7EcZm-HOB2HtMsY$maqBjKimDhpek&0O8@csM~|x( z=VtqQs!Ke+qIt}!w5`zZ?qPxD?~?Zf^BWvo**@dN6=k2ISB7f!&okn>j<0*Rl+~v& z)t_^jRcTkI-`vDq!QU@jUirJ?y-s}tzn|?9_vLa6Cx8B7XtjIR^oO0=)nN|e;oo`| ztk$mPcaGiEpQvYfpkTU8Qj2$=!6nt>5}|#<yq48dR^Kk4_H@P0g^oGjF8sAV{X{u! zN=lti@r%T4`+W&lm(JXy{a!pZw&v-I>*dobS67_%O1ax{?|!7(gD+Kq^0y1Stv9J0 zdi(kc=dYW5ao4zKJT6n1@w#lmjOS$uGv1ed*l^(OgXD#qr-}TXYjv1m`Mbmg!QTx} ztYnvXbH({g(JR3;>#q{VpQSWzzlhnqwl|esZtYb4wg_3S9T5kck9UdMX!wPHNU(@J zc=+&2E;+lr9opW7N8cVOxcT<M!%4Rf9%tR|-0(TCUEuj0KEZRlWRvEcEPfGizS;Vo zcG{ED*^8JD>X!3~Y|s4||LLw_Wzn9<TRTiTR)*(XIvxLB%B|S7X`z|nZf#SQcom6! z(aXtwC&W3AUCI#-6FTkx;gRXdSw|Lib<bMwu}x;G?5tDaKJk-sEH|Azx_X(u$F-xm zc8?U!dzvY#$nAe||4`klOZ8dDF231q@YLQ+Ks?sFKE`17u9Bumzqv`vg41UtUca4Q zAG4utS#QRxN9&oFJy-Srzat?xu4tCZ{K?a8{yuIhtvuOXAG5*gwe2dMeaAx%tg4xq zka}&aP7))xtkyxMb}z&G3ysd4))zV2RWmWMa^H)@$bB!8Gxtd(c<z%(%G)QASh!Cj zd19>2zrurCY9{8(e{${8{Hb&}WftExu}rHIasPDf%IC#qOt9U;{@Xs~dY-pk(t&jT zUn+X<_j^8%I_z5a=YMS3Lcfof-mTj6S8Z>2rp3zdq4!d@mv>EHS%2yOltk}Jg~*b& zvtPn<|M|Uedb;I8)PbkxOOGw?KY!U?O@A|!l{k-w;S8w>?JQ|U^6I^h1J?X<ORv%V z@0V^DCSAvPO+x2TRIgy%em~Z^A2<H)jdD=pvWU`Fc*q))pYr12;xLCp+&d0_;NEaC zLC~yra@~XA4R1Cl*-ZO=rioLpcGkU}K?RI=?Kc-T<%RiOyn0G<SH#Ztb7AimPhLAi zMBaXDLYtjgK7VoUjpH)Xd<M7MDjV_+3#Q#F=#iZ7neLQu@5yCeH-opvE2Bjs8H0U2 z434&l9QHk7aI!^CZPtb3EQ?)49`hbEIF}%IOzO;WiDp)b+zkSYd5(Smz}9W(r1^#4 z{_pG=wrZ!H_i}Km)y}JHiD+UGUg4>E?1Zq##2_D&;?x)V{I`_8TsYri_iL7c%l!N; zhlM9*=5v3&aC$+~wH@E)-q{@#b%0m;*~VYxX>vTaI+oQE2@CC>MQ1crik-Ti!QESU zSA+R!>WthUL2+%7Vp0jaRoew_^PH-Pzmc?%W${!^{iUoqCQ-eeVYgFGHYcqLG*b&R z?q1(+D)uUQlWIG|WF3=ZY|8BY*OQw9`Tegv?f{c3RQc`Z^ggaka){QDza(~weQPUQ z#qX`2&NkaFNVNA(`uXS1+YJG6&(D87^>fj=<GohD7oAhrsSL0&@p|~#s{i_O4nNzT z%>nYsx+VuCxOofj7j5DA`*mgeWr^cGpV;=Oev8uR@93#vj@uq97T>ne?Ics&_C&E) z$sMh15;+SMzeV(kEu0^?z2SOpgQIg?ceI<XQ(QN*+djqhM;*Dp7MyUZy|d+s^n*4> z<$L@7a;vHC__y`mQl*QR*&^p?u8TBE+mXFcZkHsp+9TF?Sqm9=MPziG6O&3_5V&c^ z^fi+oN`IbIYvLvLc~b3$3k<b7Kiew)Z{4=2^F&$8KCdp<tR)vqk6e(+C}H3DjqlR+ zIKfYSM#ZTww2%LDeet+Aas|VBUx`OkIg@>^WP7>3`4IG;qe;wQU&29_$2ye(doDyz z>sngZb@cjS<L>qS%f+M~bhfrt<SjH*lXe&8{%d_We9KLb!_z-alUV1!uSx7f%={YG zXZL<dE=&5jH&@1AXL-5Kl!t5WwyccsdmmX-YqQ}3L%+@x|C4U}k}T)0=oirvJE19d zs^I*j=Jw-auabAEwlf&(m>lup?)`Y?M7U1t>7HGkOII$R*gPX`_v?jC3t0D<8;Nax z@8B)Q)2wsla02(!Y)~L)EmUla%;@2FJ9(tV>dpsI;a42oych1iIF$72P2z<JpFp?I zM;2AeNwx|YztVVIkmNQuZJ}J4<a3Q@XE$GQV%FJoK|uR!XV<67vgvlpT^D!8%}<|v z@aL@+%@VUG_iWTmyWjcijdx|K`HbU972oGF+MY4*ewEzL$(&=H*s)fv=cAjxopA6c zwpuT-Df;%mrsx<P)c8Bc*id!-h1s(^H7gh2>+tb8Ia}w-@icDU4M&}v*KCu~J(Tg+ z#K16+-~5#Jw2P*0b0_b;$Flg`$xl|-Cb1ulS}c;JDVzN9tHBkgTA!^K7+&lAY>NPe zyQF1@+}^7hrWco*OO^WldTE_x6`W(lr(X3cjr;3{qfWJ;k><TyF9=xcnj8~YT$Cie z>+Fg-5uDehrzS5ds_n5;oOa0a*ux`E+s;eK%Guv3dt8uId3&M$y{4cNV?On;y>qAO zNIa8j6<B>Qhx_Zs15V63TP_H6>zW+1T3nPAz2lt5%n0ss>8ak|>gTRx{XFBwip@7( zy*24mH;b?CnZrEsX!T<5wmX&UAIfk&*E{y{$fe557V~cOyfNO?;Ubpb`}pXc%KZ;z zxSywsrMIcNX?KghYHDq>SbhHeB5C;x;Y=61`K6vapYba1+0}XZ;^7STz+3x%tx{$> zWc({hf$gB;W(GOF*%yur3bR>cImHEkb`Y$Z>DpBoy?(O#Hk)$YDG%n@ZDZAaFz4vZ zM|<kHYz(#aFG*h$-u%gg`>Eox)=vVLot)=@0-t^F>58OvcF9x1=X~mRdpfDOF7!Sd zhfl%HN$f{e7mGY%Id9^nbMAu<_gBN)PRwUEUJ#hB>)w4K`hDL#i?iW9pSo@qud}<v zqMNWb@cEOd+g09jBH=wj9iFB00+S3^p4%Q^w=zm&eu%Egk-XCvm23>pZJv>}UFxZ5 z@Y_6Y)ftPApK22Oa3P~Jh3(y1{f>D%n6@9+n7xC^{OXGK8Pmk0SM;ljNhPdoZM%_e z8P#I$=G~!Pz~5ulot^u;M^3)O>id;s{YK6z$x^ZKXWyik3S57{7qOy0R!nNaYSsG! z?7I65cS-I`lHPIV#nF>y1v3Ks1Fj@*QRVM)KKAj*yALZb<|TDjCoQz&i!S0QIO60i zv-N_+`Y%sCTWn8%eHq(xJK3!&@S(WdPM6y6(HgGhwYmb%KX>fQ>X>K~v0p`%?GuCW zFN;Jq{(?&_iheT<-0W@r4Wq(e)Y@~F+6kQvJz}C=dR=A7%a!3FE+(r>uB$9~xoUUU ziKmO|f{uh<sr}WfU%0hoJ*TADtP6)Tjs4}jea<s<`${BrawgBY^jRdF!SB^`yPXUL z_ez#VtV-8vTAix)Wy75oyK6HI4sZ#7TDzY!Ip)N?RIv>UU;h5K_0h>5zO}q-bC2FV zFE@Yb`RE%db<f1o|FflCmi(2P|NV9fe{F10gYnI5OS75ZQ>V);Os~7xxgtt`x!;F} zpTF$1-W})g{`?$1k?u|D_tx-<?A?5Q!CI@s?QiT=)>`G;rSsN&DSpNH%lfOp-?>k_ zKfEfQE|=V(T)&Y~;zh;*l_~KLG8_zD_m_w`oVlhiv)o|jj`QjL(vh+n^Q`#~d^oeR zy5iN9TARXGjB-|A1)61abq;Ml=x2K@)BW#JC4(aq<5=>=_npjtpwc7#%HrtBCZqd> zU9K75Ph4bDIwAc`XJPwEzq`lHmdhpV4(8u@c%}P|msgVC6un5wz5DhG=Qj%}3r?-` zR(^p(ap!a_WHr(({3dp|e7EX8@!>`xm+P5d_g4O{cz%U>Pw6YgZ<eRU6ZZ%A7oIcp zaPirEbz+>$$$Xj30YdunC36m|6v@r870oYSFyonptI~<Ow;4);dGbYJ2M;GMfA_c} zn7`o4%65xqSCnPSUm5yYln8pyD|yATTqa#%r|<q#ZygNp7P7hYSly3gYGd`gd)R6D zx#o!F=N?BcKj$31TrP2CaR0_L3%k9$Ps{Soh)J0<Ti&zlbhz+mXV*g6)&1Ul1`5@e zuc@_1e4Odrx4~$CUWm)2&!1ka<vj{MbMn1RNX(b$w-ydX>yH&SJaKvuwVnCkn?Ig$ z76E69#3m-y)aiH}{TcauO;h_<zq`lTmdhn94(8u@a;3Y(jJ<tXSCezfmWZ2A*=7-C zv9oi_g^LYJH}=k!KcmiX)N^_tTkdu48y{9)ZcJLenMEX7c6mUI?%fwU3)_AD?jBED zE|<_9%)jyKO7|Pjt|aIDHz^nCHr{bqRrpN#mcERCbNd!|U%NlYzP@vJ=jTuA8imn? zdsi38mF-TEuZ}5d*sEuGBqsc#QqP(B)<<<u)&88>ooBCZUwrX(`t$8omtM9%k&h{P z>ACKXq}z_5YKeD~YR?p<$|WrG<}*C3>Mrrjs;p<>&rLVV{m!3{$+2F(GiBfV@>ID+ z)4iWPEc(YO9(|1Y=VO(dA97F1t0(O@Gu{6pY4=s*{W(e7OAYqtBpI8k+81w}K5Nc> z$;oR&rvGW~uDu`;{*LEx?56fay_?4arpqLBc=HvWxT+^OZRZmS+fT1`zuCKg3hsV; z{=uIx-!l8%pR9d0ZMU3oKk>-5G>5vgN6)2ATU{WRwmU_>IHss!r=F!#dHC+5Gp5gv zdK3BV`F8J3k8jMsulFeF<AOhBg5e#zpHBCU(T<xCzir0#oQKO_9#<8L-1GbDI^FA; zzun#hcTL^5@cPCVhn`NnnZ3A8XP=G5xrISJr|wNMz4ENBjp^GnxtXU~s$-0f=1o7d z;k0V?jhB;Z-xQo=+_w9P!0jmq)~QUtH}~F?E1Y3grCp+aa}z5SYb%4VR^EKIQX?gM z_qLPSr%rRt-~RK+)9HJC_iovg{BiF~)z<o)&#TXD{IbjH{axn$8@LpA)n$t+=}fx+ z(EEPY^TjzEd4+W*#{1|zWSSObdC*6Dc|_h*9_=-E4tr^T-*_s_r|YWOnGMI<Y}af} zlz*LLallxbukd)Avdp%J65s8n#%&g!Vg9qWS@6!kkX`1XDXcc;iU+xcgZ7vx9@ZAF zs>pSc&+icm++!+n*t)s0BHut>oJZ`zjDt=x<`FHzY~6)H^YWsnyewO8qu!MtG;LX5 z$}U?y{}o+2%WezvO?{M-ckN`!?!&6WIprrscE=bUHk|(E;R^3>g-2A`E#6G3+%RQt z^14$?Uw&H<tZwn(%Fjb@uSkBo_@%WfRR3(>$<DpLm&!jE&#hoTc-QHdVuOtSMb5m` z`|KybF5D6HFypPX^%e63!$R>ro;4CL;ubCv)(=iq@7g()|M>QXsdH_5*1UO=EHW|7 zu{(Gh*Slqx9;JOg9d>Z<RN3y;59Yn;56S5(H7zaGWz{L3d-O<qLZz#`9Cyf<#E8g? z#}BXSva<^d|H8RJ?YB|y)#YI|RjR-3`mQeCC-`~}-&^j8_hkw<9_YAK?+eLY^T2V# zmL~S2&$#4xqO~e2ZbThCeB7)&Rdd^JS&6b8vK0nz%06s7{Z=4h`)$P|a@(C-_U5%q z%$~#NYvVIff97mH7JjaE+wvameU!+`-{dZ8qahdmA)zAZ;NioUx#aBT?bP=Ec<1eb z4~O19csTX;!Q<K6og2*a+6DIBeQx4pzumd#-?@*MK?{T|H~-iv#~rgd>X+gFhkEnB ztp3Lx%bxY}fVum+Q12RJ-<s$DD^k^)y4O9mE!BDuBpSyuef5V$JEB^}4{z1lV-vIf z(COp7T6>u8tU1*EzH!xuu7dqs`um($)ii0m3p>{(R<Mvuzv*viMWD=zL){M(MC0W6 zLLWYTTp$|9*cb9p^ihUroM2z*L($~;r@}`H78Ql9X_y>ZAvkAMjRSwTmi14I*qfRM zW}fm>JQr5hRj_VTaL?zdw-j!w?$HhTrc@E`@&DABr=bUaXWikj3wiAJaif%Zo8J;H zbN=vEC(pW1&nn{nuxZvEr<gUzbPu&=-T5K8_EAjWoYhLkcI|W59_xMJW11(je05>6 zMQHEr_Q_Xw{Im${jc!Q3x}$XmXmIuP)g4DI;*Rc2+7acNR%5k0tXKNr1+8CtizR}3 zr4K*Ix?{t$>e$)i2eR%km8?Cc>vBKqj?$Nv$8_D+Yo&Sd+pa!wMtgy~X&wvns=~!D z!g{3-zsR~{b7uXqv&Z*j-C;Vj@|f<CH(7U-&a677d+dzXxvBk<p()SA4faZzH)USk z5$Ln_SZ|`2X`Y-~_~U2EL8f_(YGIGXlAKKQ1doM37E6v1eXe!jhL#aGmqc){wBz%v zI~u#fA0I1N-W6$c<gL~or8{d5X&>Xg&U*9B{uArOZ*BSc{n6p`tUh_3^+G;bKWCf$ zH~cAM@_g}ZZ?=Oi&-Ukjp8v|qWV={YHrEXKX}V3$7w>QVd46W-fy|pvPVdhNnlsgR zpY}vw{^zNekF(z7DF`(CS)@I?CUWXoiSR{5lA3(pO8l|wPN^L<y}4wE-fSN8l*@;G zZ+<ECHe=42cvj$as_`MNn>`zYw3H7Ep8Ql0vZ+R9@*0NYs@g|5Pj(dqZAzNcb>Z3m zC1GoKoY>&S%CdU#{`}A8LK+D(-8i;owIt1Ulh~Gh<S<|Fj~go|GVC@HO4{zG^yZ>R z&)zImjcY6P{_woN%yHi*yCr{)>7fEYWw~8PwLV^qRc5TpP;v3^b$PLLqQu_hr9wQ} zSEDK;mNUt}4i!jf=5opjacuF`>ev{$E@|?O1H618P6ir3@^k-%sj=?)XzFso`TL{E zi~1yXy*%L@F8k4UavxL4wZINPtF8}$`r$qN`=aE#8l$Z4+26~4S;1bpT)AtzRnm)< z&z~mt_0^oY7T6GJ#bp@mE|~U0<5<btKL$J(I@<q9eZ1(e%=jxy#YMi?<;7Y-xm#@e zvp$z=X8!Q}bGwkSHfgTl7gGsW`)0#0{obF0Z!@uZh#fqz!^C#m)7rc#IYK?te7g)c zoiqqDv+bGeE3bb_I6wN{_ID@JI(9#`+^p*wzS5^gSUFOz&0|{s!bzeDGg4Y5^dbe_ zJi>Y=K3#Z!TiBUX-%l+LVQBjOG;me}Q(kt<gQad7ahWX-x4PNvx!BRaU5fLApR(e; z)vQY@7BIE7Ykm0O!D=VBTH%1OXiLSaCXVwV0*`mbY*I6<_SOD=qeZ|+mw%zs!-ezv zD)KK09@UUF?71?rUC`>2VT}78;};r7nm_HCqR^!obyHF;`^aIH-VbrhCo%||2qo=t zQ|h_w(X-KUrT;at4-0MBvN-Lgv3uy|@87*JMd;3C->%=APJVDOv$dJxE8m}Dd?5KI z&qo(C<vSD3N}NAcn6B%({FA`?r^dgEPfkDoOh0Avqop}UdnWnvuTQ;vT>2)@hcGke zJ(JIJyic9H-Y!T|(#ktEg|%i%jpV`1n@et}&*u4^digNp%`b%kX3R2^&I+`r8Xpq8 z*;5#zwYXg`NOCVzpXWZggM~MjSSZfsQBS>mSo7wWjp1g@b0(e@NM9QCV}|C}{S4-+ zx<_<Rb`?Z#O1i`O-+lIe|FyHGO^eUUw)!xW>G`4mH=pg#obkOXMsNKK&gbi%Z<U#( zp2D>w;V_GM?u<u4KlpS1t!|lp<W9*&bA^Mf!a;M)75m-({=c=%m+SRUx7S4)w?)E5 zcJqZVHM+8#TW{S-zeoPjqO%{ZED3s*ZD=;>(}}2zn96HUC**S)#?6v{_1ZvDOZUsx zuWzL%Gy6}8tvjG{Gv`>!$($eD%ch*Ki)9Q}?RH=M^q=NG@8UYkr9WPuVcq1pF~Cex z?BufcqnlnPcjmA~Xw6pqbvmu(+$PDz-63vWFDIRNzG&Sh&kMe0l~Z(P^Vp_bcJ|jy zZj+q!G_fb;=M2?I#x(D+4$DcRiR)61&Jdq^%;95<=ml?gmc6Ae7v0@?YD=FijQ?`~ z_JWSOA1fCow|~2D{>ko&rdIFlp01A-+ic`!&+1N<3^=!`qatC2a+jmkqlL5jD)uZ> z-dFRgL;u>-celS>|23_Q*Vq1WPkh#<XH0(HVh1(%mmHf_*>9sUn}t1Pa?<`Bqc@X% z`KwbeAD6qyQ{ZIgyl2{3j`b<V$Glheh^VVh{C+}wljnyRGtGG?)eZ;W{8DgjvX8uf z%GC7_Hc393nB!KVG23vRM{3Fq-OifQJ^Hh4PEGLb+P&#yfxDUQooT-E+fyd%t8bEg z)RyD6LvOa>I?vQE1u;TDUsZ&f{mc^ZP&>+cGsQxGw%oas%leHsNj_MU({>}M@7%0f znaQU_9;`@dwNQ!_<ns>easFq&^=JE)RWEOB+_!XNZfvc)U3+rzhyL8Ur5Y;>3^b13 zIlDEf*l3ST3%7&8qCGMy3mV?mhj1R`>kW8wh2yYZZ^ezZf_4ffLJ8~LoZejaXz`Wm zEPT-KrK;h!YU%^$CktkE^iOh@T4=FGuuWO&V?l`WpEsE*2MT*zEEZ1WP&XBNJSB>= zEig;<hlJHywMU0DR=R1VWwj(ubF(>ft)t&kiqjxmS@Bt>%AuOxBM}S24js<eDpWH; zH$?bj;WiItk#5sN8(fs-(lU-5H|k}HST|8X`wG*q8-e%MaSJ4Desz$2HJ4IOsAEs= zr^HDQJ~&i5PZMbR`_wjhqR0kccNXE&Bg~He&OGTQPabaRtC@2tuwk;*qu5|~!M@iT z#|-_~^4~w7q53rW&5CJ#{F{`m9xe89=ZP+T@^C?4jm(9>hQC&iKDYKMy}26LV;fZ~ z@h$7?!^64Tr}g!3kF|OfJikw(?8S-0WwJkSEK}Zh@p52Cx>eVQ5O>3Q8DBqca9_<Y zU)jI4q~?X~CBdW4vLAi-_u1UJKC!>rimM>pUGZIp>er3Y(%Ot=nJP#8dR=ZToG5X- z`O>rHOP!BPt@)FjDJW9r?7Z!9<k#atJhM5D?!EHL{?y6aNxezelitdEmkMsXp|LhN z=GBIO{Y(Fbc2}IzI$7TQ=nJ!%R+;g+X~KVxOn;fwP<7E;yh<tW<lO(sHy<s$EPpw| zDS|a-lJCC$DZX~qC)5sy-uzPFYgTzdX*T1!(`g;MH%UI+mviif{?v(&`cyv6d>F9p zw6NMi$D2zm6d(nd9i&itGx4mz>=ffedN+GE8t)G9KBBwxc2KA7ok=?K=BnBUcu#T_ zI&M;$GkJ}K_~xaJ(OZ@F&04_J^!Dc7MIs^vj>;_0O`Qt;lzGxkA3faQRx{_CM?<g_ zXJL@CpkIc{v5z^uIznB!tGMkCA2)F-2vHVsH#xMyOIc1V<H+%vUX~flCkhms3MKZr zC7lV=J{T@5T5)3$ll<&ZfrRZ`PBCGQE#6uWY@PHxCU@|Pr5h)BRWMBPoh<p#@8&g2 z=R4l+BHX1%HaNJ;J$rrPxQ*<`27h-&wO1O4a%7Lrm>T=bPf&kanEXBaw5*pE>@ycC zcfGVqnz2loKe+Tsa#tUd&$Yk~Ppe0NUEB@JGQU2|T{YM6-V&*Yx~nEK6q^VoEuL1~ zvQ(;b;}L)T@Cl!EcShb^yG=-WMy5g6N4De{aq~Xk5;O4K#IbDJa`!^-yuCR>YbN`4 zZQXQogPWP{nrXiB+^NO~yly^m+!4anr8()SLF6VIpGi9M!m8Q_SWa>oI&V_SnX*Pg z`c!k!olLESom?E#rn+Y`aY@WM`bASwVu@f^qg0~A0zv+}CXW`+cVqf;)uY4iX{xh8 z*V3%cpKTtja$>6w99I%$u~^(BaQMn%7l~C(63$O1nReb>9lZ0n*!$W<r%Db{PsZ93 z7Xu%65$Dn)1}^S$Y_CroSCD0uSg}}udCR0v9~TO?6-s?H2vfE@mZ5SWqqjw3=|m1? zQ=w#+vX5;Y(M5Yt7dq=6T>a+PgbiFXg81ei(cO7+lc%AlnWWmOW$h0)y-ePd!zQ6V zTk+Vbv=+lnlF3^_+`8f>ok;%@xXIHX$V}7igxcZVpI8*~PTEfNmG4hAKA?P)=VO4G zvdx6E65mfN@13@Ac}c{+=H2Q)Ki$18dh?0ns+><10cMpB8nbPbrufPUol5I?y-D)n ztej&zw5DEk=3m)U6J8en>8bUmb(_2{x|&H^onF@Nx9R2M#dAU%_%}&D+8e?pe|KrI z^n(2<tuyo@1($h-^{lM-(f=y^blRQE7JF6I6Yss=xJ`yD<@WmR8)rFJdB5xB72dNg zu`9fASGHH-<QcQCv9hT|<U4ios-@hRk*nbOaoU~J5!*E5G|FV>d8caJom8!6{n4~6 zO69i5^`#Tz;=9d*9KE(bxtCUbo26^+Gi%FGS-Z6JZ#j(brk9<1_m%S-v(@P|<9ptc zCVyDvH*sHZxijhYq`M`mkEX?3FY+q%UN=8S=*x89F2+qK4Fb%5K2o1;_ih@uV&2KS z6VD24Pc=TId$Xs|Q_JwEx95}Mi@Y~gcBsu32~VB;uq&r(hfeC53$a;Tb|I>#-ThB; zUGU!I^kv!_j#?FMxBDAR*fvEMec!a&SW;=ndgU&5tE3$(mHGRdz7(-d%s*+%=k?fa zpX&ByHgcPzi~LVTrfq*R?^Va6nSB!TUY|J3E&H=#?P3Q0(|5O*c;}V=>~b$=T&>cb zkXP346~Ve^lCPcf3ALlrH&ZIKXUp9?xvc;DrjzMDH+en=nkm~%KPxf!^y^CFqg<X( zY&UtAX?xD7@j0pHYJT&{TtBnQ^^<+?y-k_?-TLMeS${KSp6O>LPA@ew(wTnhMEG&h zN&4}!;iuG&YTZnU(48%J?ZmSFt(znh=H|3T=+723JCW9t8YF3}Ga01V7o^zywAxXz zn@_B(ZhqO|YgU^x{VaoZit!P@l_GY(yuuplCW$WEpW<4f`1Oi}{@yRsWG?2P)ctsA z^+Z)w(P>pnXGGueeQ<k203!?c;Y&pm80>6JoHj%$i)5P|+7PBJcP;bCai(6D8w&*Y z^<D*6of{+9vK&>q+R8ssS4#25D#5N?sl*%W1o^E^9wje#V|sJRqvNhr=LUCWL$y^? z6C&2^`e0}hq0HiJa_GCIRHDQ(LAkBjM~-v!vPi5H-1qi^N6SU2PD8h~E$ynSxaCul zO`Qz<lxyBE5p3g>`e@*xY^Ro~av-I*WyT`03&(>*St6D-37ifUNNnXwS~G<|n1}E5 z^kkt!%7;HiMX0dGToOE(DjT@wis0c;*~%Tul;y9N9x)fP;wlVww~@FM*i#%;TM@9@ z@}uFlt$Tim2_96G4V1Yicvw)j(qfge{P7Zz2Rr(l=3EGDnQYZnxJu@WTF3FnQME^8 z3v}m3Y%6gw^mpg^T>2!jv9CtwN??Pl71zcHcfn~dG>!$WwUaZ;{Q6Kif9AA4{=HAF z9&K#xlX&*(#9=MjpAl;pGh~;DB&`h#lApbGpJ+n2Tg8rb6FHun3O#NLzx79D`;%pD zOI-u@s+#NS9Nln9cjwESk9#<CyCu6~yJtQ<V6|J-+`Dk);WuwKo;>2W-K$t+xx7L6 z#@UAJ=d7sl&I{gV?W1=^Y{TS}W(VV@`8m4oZcw~=D2|22In=>0hLuM-<iWz#vsU)! zX>nX|W>u_OrQoD5dgR60DRYwMKRS@!Yp12~Vl`7&omRq&^-TQCArF!la53=&Id&Y> z>M(F&HOvdz`Gn{9)L9iX7Tu`%8Tf`lf65m{w$#7I8<lxN-bm~<vfkNpeEEEx+K*Do zWhtyWw^+{vF&^|04LB3Pc(_EgV#YEi`QT82gcV#)KIdM|WRT0zG&}5c^jYxyq~9~v z-(Y;ES=O<$OE$4D>G+J;P4$_2Tf_<;`ET+qh}o#wr)hRL@aVS!mrY0Ii)F%c_O)r6 z9d$gKX0hOg+%~UmKlqz!yUre8mlXUaFsJQq*W1MDDc$Q|zYoc|r!O))X?K!wPH+zY zZ`J5O3vcBfRok)jhKRZ7?1ybh)jRZVK1%*@U1auyRY}1yfjMoHyWT#Wl+w+=BR(Xj ziMz|UFm9vdIn8bDn<weo#n!oP<n+@vJF0m!En@KvIkA75v366k`xkGHi@g0o{35q_ z%1WWx$64yV+5<nWGtob0BPwtvl<}yHsKJ@A#`Z`ff!gwATopNMnYvzTCCpgH#2>tQ z()QJvBCL0p++dLwo$cP#b#_DC#-IEAH@Qk)*D^b(baYw7+8aEpRib;APwhI(EOs<4 zV%?3J$@({w{^*3}G^uv^Zj9I{`RmW-oX>94a}4X!w9F3j9DSy{?#7;jqO-SePwD<> z?7ERtP223K%F$=Pt&e^)aNKnChvfPjd%QKwjz%0!lURI1&dqz9g#BWX*$GRMf_nmT z+8%bjP5frR{JPV={59FR2bR9M)L{_4;nn=h?==3c2`Sk>RZAgaAyd~=t%QhWO#I&e zEqTRQJ^pc&bh>efWw+?(NImqP?e^o*GJ%VGpJ%ru%yZLtmerEj=Vs#*((&Sl{J$ka zP2Z&!RxB56+b;F-gPUTK$DV5(2eo?x_FUmO%-vhDW3hmt#k|ax2P@q)=4G@z+~{Uw z6VxH0#&7EM!9iK%yXm0<e`UFMS}r^@o=ZJExTQ$%$O6elf^7#uWrbqW3!W<+2N`+; zzFg;Uw(qTYv0C8caY6a1M&0|jzh3(@;L9ZrXaC-c7YhYGs^{G`b-LiIED~#a=z^=V zoYm>(Af73HS_(VntaWj9*!bqZRzto^=!3+2|EKaz{-b}pruW0t2xSp&(?c6Pl;y;< zU8a<Gx^Ya)YVmiKN{m=2$X_g?#P*G?_k(GevdC<cLmMKM<+f?K@Vr?or6{ppu**^E zVQi$bTpLJep_HP;GQoXMuW;Ni&N^~fqqU>aHcFW#+2qi5on8Z;D;)D3M3vaS@$?Fu zxx{far`KT3m5%nWT!PHibKN+uWwabOm3k<g@$G=Om(8Bw4v8E2rcNK+lxwoCaU6E; zt*BThV7Os^W{dw>sfWRv-F{qIBgoIc>3N0FA>+CwOilAXZ4jMX*}(OqFOF62*U8?a zM-IGOE!boyweZDS!L~T5j~^V@F$gp7ZhxfA_D%b2`=hOH6%nhJe%cVGxJWfEt0iHV zo5r%tmc&(VHa>wJ5;rEBIvIE>iwK(>GVoKDbJKF+dGk){;pe?>KWf$s+TG7?IsctY zka_oHHx4_kwOVZ75-MerlM>3WceLk$#8<m<?8<0awAoF<D)Y!;_oXdK3H28^`gfWf zO4jQ&u(`z1zY`R&*`PrXLAwp6hnDm7erQ}fAtfQZg+B+x1c^R+xYkC)b-A>*{)I*7 zdjlDdW{4W}1TnrZC|X>dl+b^H<6uE=0MBKP!vVb?OuZCO9=OJFP@y+~=L*MRhTe)7 z>jexq{LXAyu-;AMS4PXi?QS+-f;uuD&y!Nzp*LT`f6J%LUV}N8I@%|52{Qj~apUOA zZh17rO`<RB$YH_Oj>g&uWtMgmr;WbKJnSZq68AeDIdE^eVAEcyg*O%pw%wKbxWQX7 zDdGJU4p5&o=Q_t>$KHwx4Su1EXZS-L3gTHszK0wr2xqO4S7p9<XnUwbfj6s&dFX)x zXI8m$Cmc-PnTiU;gfbo#6*Y(nYi##65_nq`$jTxf;!qgJ%JV$r!NWB?M`C`)^=TXl z*%>)6?1;}!S5_Y5kOzr-c#fD@I<m3|hdLN~vhqw0Js|$fe(CoaOZK16)>hlvc}7dX zX9Ks$<F`!lTi!OcZ`7&%=PWj9wRCckNK>FC*U=L)t?X|tyV@Uyx%%uqTqM$DYsq!= zolI+Dc;BPM-JycPU$)7#E-3DMv~Y5$;N?&5S>YUZPc%f{7mLU}ixxGk-g7ok<K7bu zp7q5d{Q7IVW(1f;X3xr5yJ>lsN$bNpKPmq2Yr1A6%RSLx;V%~Ha<}B_4=fVl_g~XB zW5T>=8Z76FM7o|^a`o>o61jIR%=Jv%`XZ60>y}(c@5;13%<Vf=JvCG?`0j6+*6;UZ zS|26%9eSLW&F{JHW6Jrtnq2x0;=3I#T-6IG+00#IpCE4YWrL{pPPHq>?ur)qt5b@4 zUnKM$D$sEMSa4%i$1nMlfgF9$G;G992TJrk*O2pz5H*yYcP5a-@2Q5(xif(h=bmWP zyxpdi#AQ2uv4G5pKn=Yo8a(2~B65>%T=TxjGW{*nLDAg~g;(_qVm5Qjt-H+<_*=TH z@xij#1v^s3CGu{w%9-6_*|=)YMs616+-A=;W{cl2fld!eoZ&0reU@Rj!-e~L0be$A z^VGg=YPU0UTw}lYEz`k--42Gg^$gBz;+9Lh#j^3snGM`5m)|lSHQ9Z@;Hq8)=xCNz z#z*x6e714(On%eU-f5=Dx$rF$f7;uo_QJSdTPFXRcVP7!&*@J**D-BN`+iAyc7pde z4zG)P30t{1!d5mUE#;C3TXEoUk?4<zWlap;p#n*rTuLS3jy=!4d^OCp`L;BqhcL4E zhdO+8VYPV?*x2r__2FXxE2G>Bg(J11E<2VtNt92WWULeBb&hMt;w1?z+d~hi>xdf6 z32JPY*7{Hw%gVTJrNWU=QI{Qynk1%Aom72e#gseR4<=1=s>$|dt(h6fc(_uu!eTj- ze0r$BgB~uYlEA8s{k&bW344>;BbMI~yrxywW2&<^@Za@Yx301AoV=$al*S$Q|MUGV zKl{Ia-IDwFd(FOlElHabI?^KRPq7_7eq}}e8tn(Get6YiRM-(_rm=21Z=1L4VedZU z6*(Uyx8?-!=t}b(4pO!}H%s1|?cl9VjvF`R80dKQuuYO#o;}GiNuWeuTEuo%rM5`# zHMh2TE2qh12F-FUTCy;;IVs|1g2X>%`$E^nTbm<RtyjtN{+Ka$vW#%^BJZ0CFQ&;@ z8Ae%)T+qHc$(i3{vj?B;hMWK%J!u}{$<FF-*`L;&+$#UScy|5ub;~w3EY&?4&vN=w zyvXUx@ik`N^_3Ab|9#r1^;a~(^OyFKS<^ss3_sRio5g0geqWwWG)ulp_oKBbhI=OK z@V{3*eVqLy*N4DOPJ42mhzf<>UN&DU`!)BCi)$E~=4vgtv6QLpuGWVQ?yPp-Rw*2? z6K%1$aAx{UBaM02OYNm5Jler&`^G4-A=v)kbhH1C2ilmPnWyjP6q{1_Suf_-+3JFq z+uM&Wy>B;dO5JDGWnZk1Y<l+p?z8&3_?ntETh|%>l<&JX?OX5t?c4H?yovvBpZo8k z)~B6}d+Yz!-}*B-;Hasb@yBQJ|M%zq3-8mJ^j%l=x%DKc*Zya-KIJZbvP@^vcYW38 z2ThFo_U)Z=XNv2m#-&eeWR@MfSUz>q>`fka3#ZIs-?Fdp%UrP9^IEFU6<4Oq)Vgc# z)a-s@?0vE_KvK&6ew0_<DW#uZf{NtC*p6M?J_W3G?G&4=r_u#~U(EJ8=^LpsKgvsP zdy)9x7t-Ef?x)vV4hwy>)YSdhw&t+ZA`dG|>rFSZXUmr5m8Ep+O!C)KeJ-8)`Nn66 z<_NEI=9Ovfv0-4F9t0KHHO`PNs{=dZvG>WJZl~XD;07y|5BEB^-7Bp<HUg~lMo`hd zn}*hJ76lari=A|zKI!w@uA7PC)4@($HD%6wmot33mw>%Kap{wq$%$n*mV*7-f5J-V z{vqk(^Cp)@IE#3;r@M>!i_VZOs{}ibUt3ju?U^?lv>|p*5}os1L;2&WH4oY}Ch?t} zRJArOK6<LEsmsI?pJ`LxZ|GgT?%X7hCzZXWw#=%{@tt->!1a<{<fRQ)-^6EcUINl} zYLeU9wEI!hLAtiYPcz9g{eAV9&Pv6uCCqham8}+b<;%t9|NL!MKUr$+S5sN5q{%*Y z&onps{jm(2C~!wWFe>ZA+O8DAIlAhqN6$Pvp>i@O&(gRnJ9Va*@R`Y;lM)gw84o|* zbf$|_?4*k4h6QsD9G<%Aji~UM37(S@(kvVMSEt_8SDT?bS>@oLq9z9M({3Hbsmh0b zZW4U##NEG7c(G#UcX`#x4_@`xybm$r-l55~Yg4Swi6TK2F9XffU1^r82W3@LtE8T3 zX<jzo==Fx($fM9xPw=qo%`XLxX0>yspJmuy_a}7re(jkrSLTOB6rIrhr09HS!sOPY zDYKuZBpTjSvy5!1F50wWMo#k_9WAwJef93ba6d8e$!n)hK6*4Id)DnOKfmAKE<Afy z;Z6UhkB{mf98tb+zde8D@rBRgxBryCU!JpW`P!}jqb}cwxPKw)_PgKHe(`;^IkUoW zYuo-UB|ldG*jK;K&0y^_^W3_%S*7+SrrWabuUk3e;}<DIO=-Tj)@EBj_!um9^Enl^ z(=%pi)VK8MejEOrzxFu2ic$CuAIsu9d?J(Y^6@C&<&%46sqMJ&$Vy|KvR87?tV`v& z=TtRh`q>@{SuU5@72I$5@w^-Rs_e{lD_3W0CM>(TGpIKqY@M5-b$IfO$*U`8%wGL- z#`M*!Gv=&rjhL|7H6r4(f9}777hJB#r-Z(`CBDJJT`?l)^Zr|Z%4Y{T+_&jm5I@i5 zqP>5T#02$9i5coYC8h+IbuG2zI=o5d=;2Q?M~`>Os2(^aqk3eOjOw8eGOBL!yDx<t zm|ee7n`Qm~qql5)|LEL3J(=UzKTG#TJ7!PsdgwRr$-}UDPabFb-PDYGs==cVY7-QR z$e%Bs!f?K5iU5D{6b}BPDH7lRKb61z=TyKeX~P>;zoK5%9sFQ_d(xknenr#yTYlQF z3i$6`+kR`-v0bzJOuy>t`szx2OHU5<uAOxKV*9MsQCi~SM-xr==YHP5w(9t_Z};ot z?>F5uRgwy`+4p{i%DaI34T8^pJkHt_(SK(053h8;;FTc<{>1b?@L1<oXcC@mF>Q6F z#k|!&EhdJuc3rF#Iy}SX<l!4OCy(#2(LC_OM)OFEjpm^vHk!v&His8@{yDh5<0;pR zbiKus{5EWy>%IKOt1FUa+k|iHJL{L7oV@K&sgC%&$NP7bH1Te0o?g-g+T_>1wm|ms zp}DIJkF+gY_H3K!)_TncCHp)I)Z&*|Wb<u$c8l*(O6PjB*s>KY*U#+Ownl8jxj71_ zj1GEFKck*F{mh43s?`;bC)MuxTU@tS!^|@4?1q~Qt8P3BsLd%|!MM%xih#9DR*P_- z)X^LBZp-*4wO_oiD$HZRzEnm0!r_&|CFQR~?#{7t4qyIm;pE`&7jK5zb~WnFeE4_2 z1?0G?ay`of3D-9|^Aw#FtOBh8`k%w|=UM&VrOwA^_1Tv?h#OzY%FEE`SW%O<_U7~* zSAy>Qt=OEE%h@?0?f?Ity`^*BoJxHE^P`=I(Z<Q~Z;q4+6+b#sIeYu<&_(a=_Rejb zXZhCJ*mnE!=MG}$F2#C%d-^j?lHV8(=7%p;Tj}xZ@jc_OzyGZYS;FM0tEoIG=}6_N zjvkc)yS0ui>X%oUaC@p1Tyfx()657qT`zC9LpSirHW$ucS6*nk+B0vxaNi-9XT`+m zwGMwLZ(P49@bruY^MyW_PL5Y>p3*69crZbDnMBf|H!dO5x*wMm^R{Jbu74ZI=4Eiv zK{HOXZJ*HAg6j^scZ>tr`+2S$O|EndSW?p&-JIUVx#7UyX)?=lwf3vF&o($3d-O!$ zF|m0wHuNr6d-Gr>=k6W4>r1*UuFp!3?kY9CbmGzapiOU!OK+@ekezI*5_mZ2-HM-) zSNUBdV#Dtq694+As+`Gsu@1}btR;Ut6Fd{#mb53NEon~*TXOu+n-x02Z@M={SO}zU zEHpXw=t0Dkqenk#bhonxPE%Rt8W}W0Z~nENeWtlzTUFoAky<(Z=N1EJRfDj3*O})e z%$XS6^FP{^E!*np<(b!B9rJN6-o+>U<n=UGZ@stC1|Rd6=4P!+&W-I8eR$eQ^VzCh z*2P;+n_Wws$7Yt6z5jqnCBp|r`|cYnjp9QqdBpjwSGrC7ER?#LRZNTb&H7n6#j)AH zyw8M$^8a5QWV<=!>f!%dq32~%ziwH5E;TB9v#;+}&NICQ0%!UQ0?u?7Y!D8J`!`J{ zCrBoB0n2LMDM?csqaxNV+tU@vtrxUyfxj`Qj#)sHDx25FD-OCks{^lzFYkT%?a$sX zr<G+`w3TGmq<!Ht3*QjTvHI)IGn>9n-}i14OE>S7M}OCUxpHViyyot;_diOnzP)^- zo9U+ws(!g<;kVB$Tk!DHAMpn)%2RA^Psu#@<n@h{mO(RZ?}b{*F7139d@VQZ)M|tI z+rzehda>B_qIF39#Ah`<HD`^lPmJH5th|bE<H0ZCm#(f*@=Dk>P4LVGqpq1zX^GRO z-JG#DmG4=`%<i30&l2ZNQ#Fh~9Tc%(wUOEW7mF^kh-FD0RMK5MV^ONuz5hYSb4o5B zOa2|NTYS;=v`x)5IsH!msA-3e$7iiNbBN{c!!;~%uP1Hcxc6ex7Q?{n4t#1aCv=~* zdXl(;Pt`EAJ!r-<D{afH^J%AcvE2K2=?LdNP49^5iH{G4T+KN8O+J@bH@A0frcWU6 z=F+b(`{w>oF^TjvUaR{uMz4{7|CQh-xmAU0JT$AMR<y=_5^KJA%+GXg)T-#{!X<8@ z_m27L9B&VOEbn}@^r9kfo~}+0->PX#Q)8y*`YqnRX|0s?M_29pC*OsKM(#3<ot;=L z|Lc!eVS|uvO>NS8Eq+JtgK}3ttx=4fyZU5VPVSj432U=n-q1VyjN@8PZT-?#mcL&n zEZU!yr{l0+J*i>UU8(3<x32!yzLmSG=J$>pHqoJH5A*c4{)jgDDDC~xeD$~JGZS*p znmnKVd8ga6n@h43o@st*=v{Ry|C9QSLx;9WN@wkh{>r{+spRX>nc3%_-JG~OTPpG} zU&z(CqYefqau!JBm_@u;CzU+QdV9$AhihK7oKDN;Ix4qHN^Mil<$Dni?q-QR&fa!4 zf9kqbZlAOFY}=>UsHf)hA#xvI&_R*;J~s}z>wfdU#=Pp@o8)VK@>>f3ChfhRWTvA2 z;am&ny;%lFrG>YBh^o_B(a}BkN8hyo8{xQ9=6PLh)2#MPct2lE@%F!GpK?Bz1zf${ z)fcl(t@ruW`na#j%?H>2bKd{!^s4!iK1&zN{+p_2Xtzqt`%B2aJI8!of4M}e{C^iw zTk5M9+^xK7<H`paD|3$qUfmIQU~SFy&jI`9i9cZB@0s&xpV9B7x0~PpbMxmkyYgD| zs2KCs4U6LpqqZhAWzEUv>@9vA%B;HI{Xsv+<E$mW|MzS<dMd(HL1OW&DN(mX_1JpC zdgr;m_`WoG)iZ6Wh&1<A!s)`suiq<&ES}BJohrHR+0pb3LKf>@NvHZ196F)tC$+OR z*e&nzmaI2<nc;7KF^5i$^S0h6pfUZyne~foSbi(piab}c6+7o%l@k*%ZN<u67vyR~ z!`Z#Ayyq34`f+pb)tx^3gsZe4KhKJ~$+oAUCGOO_Lozu(I}=YGk^I8mH1E{qMGiMt zU3bu|I=y|P#MkG~y;rTY4xgLO-F|dcgv`db3-|(crsM~TPKa-u9-8{(N<Blm<Jw0l ztFQbM4ULQZy=lhhY|-Z%?a!sZj=mhPRuF2sIfRGhdPs5E%T4b}ZB=$GY!@*Pee9mS zJZ;;N%rhp*(^hS>_{Lr1z4hypDM#n5?0$Lu$&0Aac@nA2CT6S7{l4b6cBy50)!I&B zpJ3H{$9@;Ys{5=BeX?`y)%u)@E-R&Uk;$R8t2XS5S2DNRzwz+oIV#5=b>w%dtrFb7 z+1#<6<JfC~qZYE848q!TdM+xmXFvV*U`4_5yty$GkIs(e)R}7SHc?RLvQgL5sI<h^ zX*VNQrt*cI+}k;K!y03e_qWzh@_%*Br}_SdJ&fU}t{$G2x%JPn4_UHG5rNva3NxP1 zpX!+~vrRxJT<y92_hSEhH^l`G*2*rbSl}!s_i94#Y^x^^HuCMPSmZ1>?^S@%`4WxR z=ccc!7DcRI>ByaJa^zSLZ=r#+bIhGfPYxW;<@J2j*S4e}-1En&53Owid#(s{bxS2Z zT+(*KLiPMXyN9orO3j%j&i?a|?z2NzRkuZS6$s4fE!fZ+)Y7aw`H8B>#e>&M#6vD- z-+J}h=<C;i?WP4vha3wu4>=Y_#(n?QcPVFglw2UwoP^#QQ|<Tn?>`d%#1+1I-HG2l z8(JS^O=*1;HRb4`($GwAF|!k@1<HpUKWH3sG+cG<%?5*@I6e`Xe|L`vvUwTYanNj& zT+zDl(~Eh}%gbIFpPhNFEJAyIZ_SzaR*4-phktI^x=XbA>B@`gfBFR3G~J5+A1YyD zF6~HYcvWDRB%S!vDR`pbp6f<k{84ES7f-wSV@WDsT;|Mfy<0{VFIJ^`mR#N>vg`G# zo<;lhq<j~&PZRud#i%PTDlKvQx))cDN&S58;ri^sifKD<EKQZ`%Z?OM-=foc`qShN z*0hE5*Hwr~Pc89`%CWelnz?xWx<;8V7Zt_lix%(ix7l-Tp~}4%0bKc0dnfyuZ{2d3 z_vbb9^goAp?d;p3X>WQcqtb6@@*x&}pBYKpIioAqttoDce)Yjea%;{0=PNgP{`qa| z|Kxc{?UVRJwQD@LRXlt$kxhJkf$fy}g`5fX@jLuX9oh2O?p~K!*sJSiW%795s*R_< zcj=w<U-!hjyt3$J*0!V3>!q%EZ?DW-owclF_Va0Sn{I~fE1UCvMrPjhm5IeiOMh;h zS95&XE1%-r=yg-$vv#GK34EEjK9-$VWbauv?!9N&q;1c#vD=<u6Tcf;dLd+P_Jioz zc~u@4Lisg!g?NW2f0muS(eYmR^X~7taVx4EUmW_D^z)S4$%Fbi`9WVV9%y~*|7mgo zqu+;%CvKiu{p!e5?%VlKxo<bd@6DN_AIo0%dDB$~-8WP8bDmpoOW0hKs2#sE>#x_p zt5Ns%XZ;Q0<*@s|w#0OD^8?<<oUpmROSNxjhdy`yaO#^_=<m>VIr%~AaXU8c4ZUj7 zsD1nP753-OQ}#C=FT1+p&ZTqlV($Xf-`TG?V!SUj^6Jvlm!hZqJhx?wiQ$gGnfiR) z8?R?4Zw^`?Txu!5Nv<>bl-TD?yStZW{j}buyHnz&;tqkA3oYbdZY<Edl>B(jCP|~U zkzu!tPhFa}ZtDE7UjBvIPj62-8?q~`F6gFE<TdNA$3F!(H$K>K)1mN0&W3^uIU5Qc zOhv<aW<L9NWBr=;7~kw#v+&u^rhome`FdkXWc~U^p*MyBUEQDd+WTF8s%26X*6emp z>%xi1zmk@#?S4MXX!yNV{r?-I_&tUj{ad-r)xNzFSZyGi^xEa8Uk2mPy`{TXZJ(C! zvu5i1+2Zw2Ubx>|*mLLFneykHZ%hK3V%bg^<t`BWwxaFBwJ+0iGyk(T&ko(Gm)PX1 z=Cko)i*AlVqV#Lu8wag~%QgmI4f}Uj>YvDu+)(B1WhYF`A51g4`|0hp*{4dpw?}_F z6~2>u%F#XRx?g_p-@Phxamnt~`B|zWJMI>_mP)baZI20C`^IZ~wBE`6C!Sr{f43+^ zc4NWrr%tBzR|6Qu>`w3Ac6a;geWD>ZI41GUjxcdd{M@r(L!@hf%p5@x@#U;X4{JJl zCdPX_%x{SoKc!UvREh0cyRS%jYlc`DM_2F6rbh|uCiHb%Ha$w5v_R#fmxp@mysuN) zPMVpf&bGd8BJypr>5hv5FXyU;?J+;QJ-1(KU-;Kt#_d<GJ}7iv`hMycnb#L<&Q3ZY z%&c0GwLs)N&y?J`yjPBOw|Q+B)zm-hy>i3Ca28(k#HK_wAHypxx_j1|CrCT{Y?S3O zOB8SRxpDYF+o=~JN0x4XHs`uxQ?ivyVNAQuoC}K5>ZK<RYRV=pU&>c$aXH}Tswsl6 zzgVnh`g2|B`IUwT8O~4TyaZG}1UPg2+8Qj}^-n4(v7zmT#0o_|wynWwvwCY@U5ePT zg3U~CWn=T{tOt*}q$+l-V)Kj%ZWdXm?P%6`GRwgrDDwNCujc-*uD0;%OF4b?aMrQ8 zCLn#+)Ux1$|J=;3_OD(E4|}C<RIFv=i(A>)Jw5Be!&WJkk1@PK6=C{(A4~sn=d-P@ zlAVA5w@0zl-2b~~E51E)al7LAc;oP2Q-8iKo0={yx~DjM-&!{3-cEr#hH6e+onLap zBU7jN@Gi~ax$fMwmiJM}&46?NJIbt%S*=pK{in2Q=?-<#n|vRfwz*3RD_W%bEQ$Db z@$Hd^n^r2m*N-pQ6W7e)_d?*Pr|hNzulAfd*A&^?OHLe>l>Jl?+dj!+xwGaSu01-- z8h%(yyvmlyNtvBiGig!LyZ`IHB-f^D%ej?i{%^V{u~K;|&zm=rt6N&V%PREecFSzL zvas7I?BiK}`6-fG4*Lz}^Rm@P{@-;lL!lzsEMU*nbNx+?@%5GJYxhYgPu(?7+4squ z-=($=r7`lNuYc7xFG{LmUj3TiD`L&-otF&GDz1Lre=6eWu6aw&1T0vWF3r>0eKL*t zVEX39M^jg=^IW)Y_cr0{2X+6=wu_2hRh(H8T)Nn)R;9q?L!AoaCe!&`)7UmzS9uh8 z-byLB@oK;Dn%yQv(<B`EL*ux08bw!`X*o1Kt@79y!mq1y%~{&}%Y}n^p|gKXShRfG z6tSwznZ5U-o-OE~wo_tRs+?KI=b1;UbiEVj_FZJTmL+*mN_TO@+ElTy%$dDYKUD-) zG<!3-mvlVXz!xZUNs*hq^u#en*`f{c?J;jIEAm$_wapRyIs1Yho7aWVTQ68Mn1X$0 zB+TLr_AyW7Hukx3%tyG);B<gqR~}o|!`KJkrhDXmF*s6s;j%;Q7o!0Fy}Tx!``Bh3 z5)sw4Q<`%?j#>4F2EQZgDgC53mmKTt%a+Rty}97r^|mS_akkv8h-KQ{_vb&C)Hqnf zyfh+VftZ-oieA@CUw);=P863*ySK<(B<}p?$XmZYW_#}8G&{M(!c}gX*`%dEKYG+Y zy%#=V!x^)wb+e5YDV}n^5TDg`pnrLo*W}Cx+k>^gOi0=(7Zq{KZ_O&BoUGR;`gY&X zQLsob3y?99){&WHB(Y=rJ{NvYvDb=6m29_c@HrJCDR!#%Moz-oJhK29Q)wNU?Bn+@ z#u<EYpZtUMnd#T5+2{79C6+!g+M;h+F-c5CT;BEM;q*YSq=hqTxV&FG@BE-&e4gv} zkI=VY7o{ZkXjn?<d+DilPiog(H06j%pjV=s>!bLe&&+wRg=DSK*vJ>9nd-RMLeFc> zI@goO5(A4itO~lydh)R1#i>Ue1HB$P%&Ko+p!4y(^+wlHt0~d{AKz0~IeRGCv-o4c zB^#RwTU6e8M{#{`IrGD}%GcnN*<OuIvpmmP&9f&xd(^gMWAB~?KkrvfHEGhGl=g7b z63ZPbnR?s2X0?Yl9ouiXEocQxclaTmlNrf7m+XvC%aohu6(!`YqT713>6rcRT>+Ok z#5g3kRI-VCx^?}Wl$JbiiRBFKOuc6wQ9_$lbz38y(q!h%eA_g!Ua)NalE@p%nK|aF znR;cOv)boQns$iK@$vlryK0#%t6P0`+gz4bRX!@_xp`ycrI;KclYgx@cn>++)J<49 z)o`;$(3<H=w<FXu^{#nE31zG3w%%?!X3t|CZIL(W>K7l+&jzuVf+Tb^{xClhRy})= z#q+a)-=!c4X}Nhnk8gd>X%-i%?J~QybWuw3T8+vGT`$iyLQ6%$+W24Ad)HLft~j}4 z+JTyr9vgiw>G*K_8~%HKWV(kP`(@Sn-A5<sce+lRc8JOGvH5IvPbt@O&&?NoFU6Eh z-J-IKLrT6poul$u{Iz*nnPPUWyXXD4{1`du{6Y4U&mZkwQdyy$>A7d(7Lhn^ss94c zjXjG$_+7H;5jl0b{kh<zX@?3NA3J}1Q}&SWG5^uMD#}L_JU3r(zLdi=!G!&<>RDHN z&(9aaFHL%(oT>RmtZJuyO8i=0>7NtsF88~lGiO?j**VWy&D@ioJzBJ+a)(N$XUw!M zB7IlejNkI#jAl`m-?ZL)R%`U6w8z_)Sng2H)RXg=)!sg7+7VGt@5I#$KI(VnPctzK z<!Jr9yzB6!iAl=}^gh1t>Yr{R4GO<HO<R6;RozZ!r?g2n#{R6z^Mlzq?>5(`*GySr zDWROHcg!<NX!5H=?0z4a%Y}RXTz;b8a^}PON&BanY}-81gnzzDZ*N8E!kdlycGVq1 z2dx#=&fg8V=w_(6NBF_wN57*SHyK9uoLJ@`SY#MB#pVn5_4cF7*A_ku?8xS{;Yz>$ z=yF)T=BCz*lhTrdcKnX0x%Eo*XUvx=Cj56*bvw@o#RdIP$f%M05O#@Uo~P7NVbA2{ zjRi4wPkX1Bn9cH<)h;+`+7ZEr5_`CP#9p;-|6X=7J+bS_=8b`$EN^IL>b>)v)xLYu zv?IQr-Vfb=T-o|(t@rc~bJ9QhtIZcx`n>bwk|nAaeY<8mg*!gJeA|5?)6Xy8953bU znQkKfUZwZ!@1|!P&V3BJ#1Yrh&a+OnAlxYE&U6#*>#Apu@p>lDj_@ol^u9D{hjPlX z`HSXpyV<$gPB3BbS2=rF*wg#b$|Xxb_*iVPuk{g_)#)#KS>^1p5YJ)**Go1&leVa+ zaY@bAGVqt^`SWsdd&&|C^-Qs2UbA`)Cp}BpvSg=(YNlt;lr17`98q0g*Up>dcES77 z4F;`Dv0omudiPCwwqX8}oiF6~DIC$a=MhqEI_TsRw|MrUU}pQ3?Hz`?Jff=7hh0t{ zEC?(r^zpIl)Lk^?(6fT{Ey6ngrB!>^<{y%slFg|zy(dRoCo|`=dS=db^-R8N9<#cy zPI{I&b&2Z6pi4m!iWxOcQEHhi#jS^a&c1$g(!`{?im(ko*KBpTl%q4nWLxHxOT~uH zmu${V*&>q0DHVVJ%tVtWO((}cqUS<SdKmg&(m6BTM4DUW>_I2b;*B1cY;>k=QJLlu z#pT`V^IQG6<iQi?`%X_vdpK#y%^ez<ImXJFdgr{OxYAjB;}2TvX0j}A@%erGnCzs9 zkCrTnv{1}6d&UvlmM`7!ZO4CGMYr{B)3N8b=1y|k;C)G>%zIX=?WDBi^-C;oXl3es z^PbhtJZahyN5{wFzxq6+4hAk<^jGs|SIQC#xwt<!YOYsAe7b3&lBxI2Gm7guOK<+Y zWu8*AYgNx4J@BC*|8sWL-NVi%?;{?wO6%X+`a$CC?$arT%X(ifdh&3igkfiUVrO}G z^`awg`wPy07Ee$=^jr2`U|zSO?j9l4ZJolZ?D;O8xer}Ba}8WHTLm9FeUdBEnxlWu z@m$O8yYJ;|4|je{v)4aXvcY*uOpdTB|7;h{&e%m$4$a$eL|>SPBfTkJRYK28<gm-h zLk@wP3gdn59rO+?x)|E?;$iO#uSZigmVU6&QH^{j-z{|TNP++N>8;mYq>nx*(EG8d zphM%Pg_c`Mp~DoLH^NI*zI6q0?LHiPVE?NRMt3TI80CBX5HgB?$}6hMe%PgRt+(sR z!@-6>RpxaEap`N_zj4as`Oo^7F}0<+yNZ36i;2(Qe<8T6??r}gz0$+QjY2g)7X7)< z=kT*Pevf%#Q~9nff6uq?Hw}<JU*h>$%kx3Ou?9}Rv{UD2X%#S~_s;2Mb@MB{bwYQJ zQIRxz&z*yc%H@R@PDGZQe^U7-UCCALd)2$}s>s|;Kd+y-8I$uw<ap1WLxsxQ3lE); zjWI70H}AP~*jL&A(X@%n3vP$(6<arBQ8ecr!=k3$ZhnR5Pw3t;FOuf(xpUB2xxDb~ z30oVZPbzZKm0bQlSF;~)(%d!oK(MlUMcNaQ^SyTtaVu~Cc<F@f9-|`h^}Ta^pDue< zSa7l>HpV28U);x{^RwESYo}&@@{dTlvs3SG#9htCr5DwjRA<f)|EqH7$hT*PF)YT( zJ2cXc6hzLDJDi#v#WTHmrD2TTvLgkRGh_}=J+ee%ors#7xxmp!FP7Z!F;Z;nPN?A# zKe|Igk9%{{j+~y}heaD~+D;$slF;MVPTnyoLiF&|L$6-2ZQ7t=rD-O<w)3G<g!Ez8 zje2dT5B-wRla@}}A(PYduxO)BTld@dNjoOpkU2c{(6kq9n>HF)X`0Q>?R}_pL+r5Y zM%%X2hx#P+q^*;8$h_%#ShUf)?eyV!FT6@ODBOCIRJY~i;XN6_LdA=HHP4)!68~zk zLF(W6tuB!qcH6b>ZP#s7`Ji>l`Ge6V=R*5S$qTd9P9;B1OtIpVbE%5C)BUAlhxE%t z?eiY|(Y4#UGq}3^15a+4`95<=`&t)e+Z<D=mm5E5TuM$(2r1t9GtXzq@uM$1`r891 z&Qo!7s|u13EDekJArV?)cAU50N@VuKHrFbRHn%Drp3W~fBxGI|8faX4{Kz%srY%p0 zk9z07iStB$xtg~2@(12b+#Gp-h4aRpYy6kAFDhSh+-bc>fA74Ba_qKBwtBnVt87ZT zS2?l2UwlkX{QV*wxqEJrlPZK$Js*}nEoDjcPTr;%eA;#`C(lo%OD+aKxX*r(Gf=&t z-PV1!X@6f?;Fq3>(^};WW6X9PFR*+ecKGR{Y@X@u{)VS!962trPQ>ny)uu)bHrMp- zvl;If^PhOOrQ9$^BtLnFPD$sxSTQ!Y**QENW|lAHbBiwO-+Hnze~V_P-Nj`hRrMdm zL+wpxTU}TAVAa?A;Hvo3_g5ryIC(O99xK0)Kka@|f7*Gc`w@21{>f7hJI;@Ix$}kS z>E{d6b>f9=lYR!hxF@mrrbgT`O+9Pp-A65Ttlf7X`?N9AY})xlvn}?XKh$kuCVl?# z4VjY8;BvjTBO5CvWR6cevcO`S2-~p@rki5#uTQ=aQT*3xm!#Cq$lLnU`sZ%lSR*pu zxUfcy?TA-@u4B^A8@J4-oj>{@!p>~|F)jAlfyqB_%$e1BF-PR?qm=&hs|)!~r(cV( zGuwXb)5f)4bMB=ttd^PGD_5AqS9NUTMn=oNv(E1=%*-wy+qm??_v=qx3zK)<`_8)O z-`Zc{fnxmYd&T}Q`#W2M`{3#L>E<F@-Sxl!xh`pcu=8WUzurH~1I47z_qac*Ze!7N zsp2>n9=6!ZpXvA_Ul#Ypz9Q<2eZ~4*X6MNCnORzh<Q5eg+<NlRVT)$x>x;`o-nnh# zI=b^j%MFcE{aY>t_P1O<D%?tWn7HNSvBepan~z^q6Zc=_EBDT2_M{!Mxn2*$QdUP; zNY9)w^}yK-;e)*y!bg{8Om5A-s3sl0*q3je%j}q#KC{Xl61iSU)mt=MWhbspdhC<p zRK|3BkuOX1VqcNni+sh(+-K*!=`^#vA)Q;aQUBJH#PpO{D+}3|g@sy|9w*i>QSTI< zI8UT6Tr5Y5KVC~^gT=J|gp2Fz1HYzU+{co3%vk75NBWW(a_2J=qih!UuKd`;x9o`V zt{F1tUnG{<Bnw49k_^5fvzk>??3mYtvwgE4NphMUGY-n>NZ+!-Zr;UXyDHq+vmZ;w z>U5@m*`PMhu=DE29?dmfSDVDdj(ANtyF~l3Bxl$W;~<^>^er27=3P8?slttY@ngx@ zo{sb{23qqBJ12hZ(d6m9+GO_ZxYvZUyAD5=<ZL@?9Msd9zQw?7-o;}(D%{u~KbDO3 z0ckJ{tF@jXe)@S*p3eMs%Z)XjK3z|}6R)j5{a%DO=YIAdc_q8wvp;299zJtOU3SyQ zxOSP6zf0M6?pWUa^x;xI)sIf?K^6bZPc;et3qGSB<@O=sw8p!zxZBzW-0Qb|%!#>n ztk~ewbgN^>gmt|WH?)0>PyTk%s3~s0&i=#xDLId>iZuQI^{Hu@z@P9L&rN&&FZ$G^ z=KJsTso?s>=X(BgpU#{W^dUYf?t;;_tCx+oJ-lGV-oE9`(K_AFAAC+vs#uq*sdL5P zU-EO?D7Ov1mz)0S+mvT12kBfj+V<|Ek@V~>XAY+67H@PtZIg4=XxrW^M%z|jG5B|Q z|H`Oi*M80N`dlwt5hXn_Y2LJrPbW;fxno@_UtZSC?%Pq%9<H3G`qATbkj0wBKg+wH zZ|QlkXIfy)6(jEHTY7q1qn;&9oVL?qouu0jetG|lNTKE}nac`-g!})iecCcjaLz@Z z=+^j)S;|3kt{QPa-*V<yrEc*Cf8%eT;v?g(9P@rOW7^V!$iqL@>#on@dcQyBai5ve zQB&Q`1+k}d?p!b`Q_Gy$9UV38NG|W={TKJFPG#A>r6+0cw8$F^Qq9b=W;Xwwb?oW> zM|alr9{=_(YTBVN-pB8M-&mH)!mbr>A`r<`y;V?iTlUOW*{HPS<<l%JR;-lxclc82 ztqQra>`0+%lb-4CS5z%M86UdQzdh&8bwzgek`qTAWj_^ov`?~F<E$wYDBkmF>jza` z<rnMZtpA$Ze!F1Aef>)B<NZH3E;pOktbMCO^UJj(kF#&>i%MHOf12fs^{IMn88h1( zqo%o-^FH=|s2JsD5VF_dp-yA_EVoDA4-;>>=<-}Ql3u*!%)t!ZVnesnlXk2>o6f~= z(xYE4pDB4T_-oDKNGAC$f|_|*Rl@P_?p-#LUcWWds$y}f-mVO-HvLTd?8i$#1Rk#V z*|(zn%$~n~FDEqbwR-ZXg|E_Lopa9G1<sl>q0?{W&sBewv8Ryl)r8i`R!6Q`Sv`5Q zj<2#}g|ntj*z<e$MehW<Kj!|=Zk6(QDW7G<QfIxm7ZcilTTMA)E9>>JOX_3jU)|D~ zf6b@lTyfo8;M^_~b6HV5y!6CjMp>_<PQIlZBIZ`tzqE~&?Ong;`RfV2JFT81^zrSS zvDR5G?PY*a^3@Lg{^c(ww4Ss|NuI=KIb(&hp4y8E?H{eC9I=q~N?a!O@#&X5*`(E( zvPsrUPi=p8sH4<Hc2i+syUd+1<$W*iE;z>)|M_0{dEtMP%4h0H9kr6(v>~iLXU#=L z_SK~)j%vw%+7Q$}DPp0srq2J=pW4!vM@t{GoU2JR5t#FL(VvGEOBEZl1^Jp@3-WdS z738}XtR!QW=Vl>ur>kJc4%vqv3e*oxJaU)Ao$LFVseXm$=CJrasB39{;MmgqD6!@6 zp~W2Sy~hRl*!`7c^!(f{Y~J(~sBF|fH1SYe@zQ9Ee7{3p3H~k33FR%#N#QMr4}Ifk z@68qDW4Bh4(c9)`abu3$Lyt!ZEy}IV&%Cx59-PxM_rOCA_Jb2S*pFW1Xm3px<dZ(G zEW_vLVi7Z^r=Y?jb)5mb_*pjb>1WvZr=M+8SvP}MGiL1{JNMKFpZ%GV7x}U**51GV z(k!7FB8OcKEZe$u&nE5A>FId5$xyfL^uaF@dg8`OJ8aJMJoHKm;|b^5yjZ#;DWoJQ z$847HVOIn5w(g`jp6RVW4P(s2juco%NF08el)*E-J<%}6lc)b-((T1B*ftp$S!tRT z>w;QfQiokP>a?9c^hrWbT03cn%$lBuN!`yS^!QhUnrtG6ryiR1f^Czbsg<VL>9xHN zl_JCsyKb~=JAJ4P)MQKEA(I1YfmyblK0NJ(SE+&7ttUw_TRPuPT<q)V(_yyM;A8ju z@Y?0F{~Hp0*X>$a>>XVF|GrL}`M(9Ps<{HDXZjoZ@aOX6{t3J)e|m%I-5KkiZeGs0 zdE@Ptn<o+vnYo$#3ly!1IW3d>_0M@0Gt<*r2ai2_ANIF#g_=Q>s&G%x632~>3!@^| z2js2^Ucq-wD@1&?=&E*8F4NA9T&AstO|w=%H8pfvDAW_OLgcZ?s@8{&rib_6J5~S7 z!eyZlPw0v@pEW|bn?+akI&xh-=+OEqsln;%h4`>y&NTrmShPj8j%u}LC3ZS(-59gb zDq>kctWMYpxoK>n%Ti}m{`Cu9!4fR0b+n>2E3wOIt6}6qs~JlIVtqnZ$f=#sT76%a zYih!3r=>TR1>9^4T6pWmnt+?f0vGPOu`<B+O_m;S>{j2(duwMG<v6VIO`jDlbR$;o zx{Ak!)f-Jh*b>be-)1>%So^U$^gbW+v<RlZvqG#K|Ep;(JXg1`?3DY1h6MM={Hyor zH%u$Ly7pzvF1yBFwo_4yKU#<G4_~%*t7O=e;7M);p_eq)dCY3P+*=>>wOv%#;NQE8 z0!@2+>w~_!YaQBl!OEq;W3t>)JKl{O;+=D3E(ox*n;tn@$osLt$9a;)Dn(71zzK&c z{)Rbo+{@%RTFtxh!?KV3tLyANez>1tH}O<DcwpJ5oxx(l7J)NkPiq}?ZS^YjTo|=O z=XpcYggMM+@+TJc8W)wYr>9;#Y8m;ZAWm$ug=V*A3`gm{UopSD{}sMJcXn+~#%0B( zvsNw}J=<^0GHdy9s^{<7>)D(o`Ii)$@~m7ghPUf{;q0|>J;G;VY!WoJq)BpN<MK7? z264O`$5t{PjWFF{;8vN__0sF^A(N%&zUg?~Jt(sDUDBqY?*^VL)eQqzE;m@XM}_xQ z@5@)e&XvCT6P0s_rTfc{-rhglzB3XWAI}e~4L{`TyVaL-&n2TK>4lwoM}&5)Y-id2 zWx}IAxu_lM&hj7i*4_NU>2!|GbtCrkTh1H}*8Tj!<@BVAwW*ph!E=w#jh4Fk>FqW? z!8=zKyQ-~H9`572S+T&GPwv%(Zg;CE4>w+6t*o?Mzy732a$Uwm!6P+FGt(D{6knb4 zcj7wl1>uwD34JjO=$gltwb1=?|LT7#E;oN|u+dWAu<POx&M-;Kqg=|{Hv~P>eH(T2 zR!{Ev?4MiqA34D}P0I49k@EHpG0%E?Go$h@TLw*@Zkd1OrkanTwh5Pr*qoY=Z^hp( zmJ_PE<lJ?>Dr2E{#<}c=#o4~w*3MkN?Rv|uG6umlR~5TTt&VK_zL0fVe?-Gp1G^Iw zKdq^<coh|Q-sDNNSN3|_Ka*<`Cnl8dzI1A(lG=vIg+e(&D@3G4wW8BcurI!$+AUUg zYEiH3rWXl&b#~p*>z3PgGD#>p)v#4J>D}x<)&IDrKFHa9>FBJ6SqDO=`V_dT2FHY* z6w}k}^C<LN7-g|OAU7sp1)rW)i1>2RRqfJTrk$_3rk=Hb>-C&HJo0|BLhRjH>z^*a z8)vI>V|jz@rK2;<zfM@ob}DrG$+RDC%9Ed3KhpK(n)#r?YuSzUlXTw*KaFX6JE?Hh zo)+E{y_R#PziGLB-m~n{?ekt`r{1QkSj%54ve3P$o3~%#rtbZ^jVn!D3ig_95Z|{> zF~>Jd?hwl%HecB!=VfZPUC~lW54W}5*s)lVFE6X3J6-D0!*y*cALE>Zek@REoteD2 zZ9`yyvxZrAM{DH5X~`;=AIPUJ3{syFx6e%YlJJb>Y%HIrY6hMOc_o&nQ7RogWmj{i z*WIHbOW!4K3-V9u3-V9QTX8uxL+$kcbtj(*SFCns$)BPzKec-1bt{(-t6rX8RTtv; zZ}zpH((7-Ctz5cxqv_f73%R~aL)#xDPVk+5`*gMyYnkku46WW|rt?=z5BbHW*xfU& zYiz!CVr^y2rPO_Em(KaQ_UX&}-#<)J-{2s|Iqihf(WJ;N8#Jzb=Gyu)-#l&=<3VfF z1r_Vqaz2Y%HQqRICS>0&&j$fJEVY&t%g*jI@D!f-V1t^HrpufaogRfQ!V|@m{4Tg` zDF_k1sO2(8CM%{s|Lpk&r*Qf8?JSSKOh{TI7bUT%-R#(lh0PbGUd&Hg{AEJI61kwB z3(nk!zg{?IU|V9aWo6028HW!!>~p-tam`yQx~ctHO#I{PE3U6fX)@KCnY7hw+Xk&G z4{LW$*f}-JVS^K|#<bOqt*JpXb#!=3UDuVa^Sqv3{PJAs%x|1B#)(aj)qDyMwdl^7 zV)W`hhu?!)QUWod%w5`92@i`hj6OO}vya&7y>j!}zM$nd7GKeQqdWgeo%`eZD<XbZ zZl29@GH`d+c$U@C`co<?d0LyrjO#J8?jLH|FBR6#vFnw9tGVo^3$g7vHG2M=nTjqr zPc5&1Fk_`6i?K;d(yq3Mhy{vfVp$!{uB(I$4`r=i&L{Zgl493AtCWTPd^caLcINvv zbw1CB-^$j@|Lm^(eoEQLL2bwSNt$viQn>j~|J|!({!FN1$rVmH?b0rFue*nW*QMWh zwSC>x_m3}aF~09}^?@U^w2{l+kKH!6A8bvND%k%pJ=FfnqjPItvc;@TpB;E`(!mvM zVLpLt558av+db*c^5XT4UwH(7KFK=_suCtFW8260RD1Q_ul8$yHTHd)YuNYs?WPAO zl6oFhv@VZ6X4X-9w0x534&|$&_r0dQezh$m-0{uyCygQDEmyBvtX#*je)Y$o*utCs z&!<f(4_dQ&c2Mj@huF6hUuik~-DRbCRWz~G)Uj}nQ><^!idDbFTO*gmulu3y`2WuP zu)p5_&$F~m|F0$y_-}Sn%Flf6#i#0TOT_-WeQ?I7`+CQw{r_gL?oYYU<J0xa4Hiye z?P64&sb;Y8kGXW->H1)sUz@&cZw-ICKX;GL|F;iZf98vyKV4s45%$lzbNSQ#!4;oU z4Y|eGrtzh!e%O(+kt1&U|79<NzeT)={de1K{ipkURnz{LU0nQUd;7bm`zOB$`)A#1 zzEtOiM98FEGxn+f)qcdBYV!TK^3V3x{-^tMYc&49eUSSzU;O>4`s#{!t0~O~m+mUR zxc$rWcEzRklO>{l8Mh|x%n$o3_J6YdZ6niYJ^`Q8b*o=(?`~uXV{u|R&8*m!+9(hm z&gx_~jm3#ijNM63jMYg_jLpeYhodEEM*K;M*>AKuzOJZj6gYUtp(80n;fR!}K+;($ zj*V@+EfJU5oYoXFD_+~v7{C>)ExFlXaZ`kS_2n2&!4gh`F2lwNtrr_7^aeIgXr9<O zp<A$VLi@wU0HMQ73q^i~7^Nkrv2MI)t|Fi$A;S^K!_{&lQ<&qXgaC)-3we%>bz&Tq zFTyQ%G;f^R(0y}iL%Zcv2cgwkjUr)b^+8{kKV(<UmfF1a->J=0>!&4uHSb~D=58RZ z5jKlAC;n6K{7s%N=^0OUX7m;aY;m#B*w<4KapLcqSME*C_8B*)<)1bc-_H9}gWK`; z{LsHQay%dG@Ax9{hkv46*MGxH$q(zhzTEin+oQj^zT?Y|AMcfI<^JvV@E3}AtqS^) zzj#*DqS_FnoQU7~O13QjOP91i+TZmh;)lMnt(ld3l}w7Vt=<}ses-yso=q-QK^`uq zt*v~4CpR?yvG4gJ@P~b(Tvxs9%Z(qdPn47XU$^A=!TnudcKlfF(cd2LQZ?zvX%Bxc z`>rf5Y1ze-5`(YvE7`KtOTAq9W3oqot9{>>8$Sw{96#u<WUH5@Y%5nM723*Y<r*3D zCw9uw!}U_Bo{#LiH!b-v|Nov>>QUb3%vCo$lfJ0ewDtav?p5>Mb_(4PJMLDX(AWDY zL1uQVb777d-|>x>7DC6LCDqH!Zm%uO@qE*p?49V}vu#m?xU!+y-nV_p$~Q!hyKOY? zJA0_l!c6-1;~O$M{mI3KdVOaP->}%Ga_q>)r3O}R(UB4I%7$kDd%KdAB_xl#87lRi zJ@moCO#1Mn8!|k-$;E~$eP<6pu-K-;c68&?3+8UoH*W|i8=5(O>r7UDA$#2IqITa| zr}GwO(tjV_xN*#=@9fb#7H0g+Nmtf2_Zr6NnH?>t1P!JhnI^GLWLw?i-J$ozV*X@D z+6SMM`{y$$`)BVK`|y)2_W$Fqmb2Xa|LZFMR4d2cDLwzEu1=ep(`=tCH?4A_(2k31 zSXj(|{^elxc(Aff;LbIHuH#Zk4=1+Ws92%MCzsLD{afnM!+C8gh2hRYI~K2#>B@ek zk)yVEogz!MNlViFwul?+70viEI+~lM9zB}SR$;M5(NpH)1d+Z~+Q$#+mWr5_%3c;| zdMxF%G2B^a&J_Xa<t9fCX7Uymx;fj-xjI4RT&4%t^Q%*nA9q@D&DPe-;5g{YyKu)6 zMKQhXj$UD@M+w{8cI;TBD7S7E_p1j}rFK-TWRr_q;V5+eszPh}*+2V&IYQ!QPIc(d zmoRWFZ~O1kE&RjYXU2ni^Te)tqeSlit2uA~i1)d1@c;j0=CX@NBaHa}Z{}40nD1lJ zT5obi%hmky#+wX3^L=MLFjw>WxOL%!oIP)T^~%5coc6HZI6(UU{6?!E^}JV({!d;Y z_b;E>_tAf&3nKsgU+$`C{nUN$@>A~Tj?4$Q<bQ~sUFFQQSxJUvv$Bjxv676~F?Wj` zo}L1W8R8EM4DAm+Omu8f?rat86A_!KJvV8UC1;tOPG5n5PG>=YPH#a(MB2F(t(s}g zy(iO}n?2K-yF1gG+d0#mg^bU%iKNv%KF-D*?NWdL1n0i*!p^Rrq}Sayk>;qop0nVG z?&gDs-p}AQtGk_3@IiL-!K3oCc;)sbnyGwzKa*GUk8!eZaLx4@4AzGKcHVUOpnry~ zt3J~#;)imwoNkEZ&fa|Koz3<JMdAiU{QL8tc>b9;arp<`muhJfK1V*b?<^4bbNrHN z>cNQz)-Tdwsh3V&xT0@U#D>K>X8)8<JzAi5>XDLc>c;^2RL@0<rzSb=?)bI*N57I# zV!D^wDW?ywHMoz82a0j~3hvxGp{wAAhns~=g&?1JUd!QT;fEfN@|9#X|9rX~djC{P zw$=62SFerd{#-8@|D2`sL1n<HU+csdxqMLjK6lmq)gF=m4!jTcRIZrz`1k5X*Jh@! z>3qJvc$Z{s^v|qlN2~46Zf9Ls`}O;(y7uIMJW)*kMU4svAIsF&t&>R6+!A)(<kR*w zTeMP|_N}d%ee{X`rI*u6)|Jd*`L%-4@qO681H#NVS*oV~S9=k8Ds*8|6-#r@{j0xz zhlaK;h*#_{I%sh4uFR^s>Fv?0Ue_Exyusmv!uR&j{qMO;r^b|JPIYNIpPC+h^rxp7 zr`<39M#G7+ADn;YH+^5K^)yzkvHYOI!P`OiKDTbV!{5sJPBcnExT342{#J6%Le@h@ z2Q?#~$uGB9JBjn0$ScFc`evW}O?z^=+c=N09{Qsk^Vm7DiL=q*|GG=J0%jysD!eh= zrz4^k5yka+^{gI$u2xPpwnKkzmwP)UHo4~3ZBFC5ZaFhCF+w3iMx;VW`!LhNT|u+{ z+)_8L;cKaEdH1$Cu_><6VEwIq3k@9iJIuKLa=Am*9>3je7V9tfGoE|BrM%&De#KYL z)|;L8p7jgPeAK^CXm0jK^Y{JijGDb`E9-4IGG;#ew&%=^`TrfSes@}8d4J!ojk;&8 zpEKI3SgdFlY5#KJP@?UYLY4FLSBD-rwDR_<<t+U?Qyy(>jH*alU^Z{tC-te!Th>{{ z&&@n`aZmlL@Gm~ax_zCx7b4o#lekT;ZB2=~l;cu2UwqQJrP4q8@7g_a_VtdqT*ssS z=Kq^-!4`=@nYYeA%u-vp?Cqsxt!u(xDY}~5Zn+T4pZg_)JG7AXm+x<-I}D0m1;-sU z&q=Om<z~xz9JC|Tb7f}r*4A0me)!(r?3=-OZOi*3VjAXe*A`r!6m<FCx!;ouJjLtl zE0^d_NqDe)di(7klV2GX%En}H%dY;@eA-!S?$boCL`8v1%=U|IE<8Jbk>~g6nJ&*~ z`Rv<jc)6+lsa!nEA9acTl!<fmC*AnLn)mEy^83V%Z2qT3LjzwMz5S@8|76jE?GGw< zvUM+57hF7X>oVz&i)=bNW?Y{BGf1~h!+rfJ^;p5w!hNA94F8{1i+kQ(oDs10;OtM5 zQB(G(6gMmh{4&*q+gA0gTe)ZPhn1mMVrnLs@V{5p?F?^q^>sbH^!DSm6%($>=(S|e zn{vC}Wk={w2^Rx5F-<pbrPbR5AAb9ORH}z(`~LNxzP;Cfw&=>g<il_MTfWK5<^5-P zRuZw}ulJP23m<-aZhvxzP+omd#(%|!-}G0QO31A9I&}Mg*$!Eb{QsLLr9GUt<mL|j zOg=e}S>5TAo;_?^qFQKr=U<IWRzG9XvdG{3XT-zZ{+#28=X|TLJC%#+;J$74D}JSU zez4!RCp>`TTZ_m(hg+H&EY?n3@hZ9EB2Br9t@=~8ad91-HsO%C_iWbIgy0PVHMZLg zbp&!aj(xPBZSEex@vKc`-Wfed#T}wS6(^T-a~<rNaLBvVc*zH&I|3q2uN7PMx2)&n zI(T)$q5U<*t^pi+?4qatJ1kfr5W}OnXGt(iYeJF7LseTX4VLH5T=|cSo16;FmVCHn zp{$YPEF4tvcaDfildxjz_49>}iWXu)Khje6E)aObr}^i%8#`<3gA$L2U+*lfjZl0c z7LpTp-j<~`x4@Ze{d2}9rwjH=K2+@!w%K*|_0K$yhhJ;_132Ebi^QGhXK8(q=<)FD zACCYIIgo%qOY4J7kc#L4j(P0<j`QY<CpJDv4R|oKQdEp1j-7ShRP)5f+%?Ti=TGe3 zaKP-DW25=^7aI<w?Obp``nPk0LWHPJ?DLphrr_wqTn9}Y7tYYr5KEh~?a|Ti;XN9; z+}-QfNyu>p^KiH7^62UY|DAvB({vUU1D8u2Y~E6?`JS5%JTB$%Ofg}vz16jOk^RIe z`u=BhVhcD<v26Cze&6-xyz=I$RtIHT&z+xo(ra$QJg4W+kJK#n+u*S9GvCgDmBKnf zFZNXHg}q|&7O{H2#(S#OL8I1l*3Sf%o{I`z_&LuiWTi0A<k_v^&kLsd7&!SIoiFdM zRoWEDHMc)-v8Yx4R70=1y@!j+empSuQx)!+o;vRb%TynOz-g7tenBT$+EZrstLzRj zidH>gl)uMTYi7cFozKf|FQ3HuZMzt|T-`2n!}|v|I2f!jY5I{r!*fBshL6F5EdpnL zX^NYrM|*XDZir|;yYy(K!_)51zDti*Myx-0>{6Qr&#UYW3%6X-nP}DYb&}ggze_r6 zrkY51tDHTU<ypMZ>ypiyDO*&+rs&O_Vp4CDn{nnz_U(t8B2sVOGMP5r%u;ot{)*|H zo|{>}1_hqp%;<PYL(F?ttLdb)<OvbKf6nszS+Y!$|H!THjIS+sXp1SF=PIy|X*i_! zHg1QqNO@-YVcCUyymt$q^YUDOa9%-}S3_yb-G@Sg_XNv4rH=Y}Zr<R0DJN&T3Hxo8 zvq$YblYgstdOxb#p*$hLeTT$4_kG{JAJ2;D-=iEOIyp`DVb_X{eFc%1H1s@YwLYGd z_IOUj@1=bOL2LdNxmRe4HI%mqFO4mH*gAji=g6=Z=OpjRrcKwo<Jn>Uzsu~Oz|^)) z3)3B6&RTFpa!%B)MWRjr#Hyzl#nv-k*;uUASeg4LQ&cy-^L+TT+13^NmS<kpe^R~7 z=>E}<B`MJ#c{ukjeB$sj%JBuOD!aFF^oPGHIXw4PUii7DWJf{0&I<EqKc-Z<u>QDH zv&F=><$hq1kLu>QkgU4+b?2Y`I6aSTie7n`%eLneT)XNvWh~71%sFdfK4se4<lEj# zv;U_~OzP2zlDNJvA|{?kCzX8_<Ls|j8j?0hMMx}SGizJb*qr#bd-+uUrcjF*=Nq>? zoi*IDI$8tYcGsR%Upl#}=Jz9)c%ihZR!M;$-oH$8`h9=huk_xxH|q92EnoGoGTGY6 zaOctKF>n5ZS{GSQ%tgENwk>?LeO9y3?UIkTPwl%hCHLHu%{$MX-JzVRyW?!Wsj+Ud z=z5jSo}2fr+bC?k_2-Yp3OU?M6h(|(oxE0_y(G|dQ_9J(-9LFw$-334q9HnOIO`^H zX&pQnW+osS@^RkJwrlxef2Z{uajsdx!VxxY@~I1#R^JecIC?#b_3HlaeQod0ol0!F z`e#y&Wz5e0eY5)6C;4qywl(5FQSQfRks5{fL0VNy<+{uDpK&cIh;BaqQ)7wSslsO; zZ!UHCQ`soX{`qI=xedowiE*Dcjh?hQCoEoJW#zIy;Yq2H2TK$qgFMQ*CNO-QJXdF> z%}vigT&a$^U*qrC80rZ4aB!M5eoSmkaGUpbPdC$Q>1iiS_lfgsuv|aP<^62g0)abP z*H`{nb2y4uF(Uk(N6w3gcuoNw{o|Tv&Oc=}(VLdN$fe@%mxtc{8-A%)d56Vi?)_9B z^))syb$0iyS8h2^E<4Sr?UZ|37ZRmC<x^3dg~P(NyErQqZS-SRwa=gae61j^X6uaU zzD{u*=TE2aJE_^xDrO&@=O3?NAuJRTJeQA~W%()HdAi$v>@La{6KUF>*sA*3Er26# z`uRT(jFo4LY8{-`B~_7?vf4@YM%X)!pDf$LnOLm<T>5<K!}dnboX7neLs**LTFyJ& zb>NzE)1hlhO-_X#0Xi~bBGT?GM-K)%78d#h*vN=aQSsyO;!;1{y5NT3whgE3RW(?a zv$j6!SrB0%?RVqQxeW=);SmKJtaWzW5Eip}!^`dYhMQaS&G+<6n-0tut-Gn@!J;4a zV}isKp&j~}EZ<dnAFW#wxkEYAY*(FT7T+$<S>47#G5qsYbUXVeO*_QCF+=3Mt916k z?~~j<_+8St=QXR<{E?!Ljj*b;zw60^xq(F=Bc|Bc2rX5S>k8uH|Fbo?dYk%*k55CY z!(@$%_Dwmovngcqfs}vubiavbFY)}-ssBwq|G%N`ADw6Q#s<5Ne*ZClR`y5Rx~G1+ zCpBgMOwx7vVQzBc;Ln8UAG2J2d8Lic{fTMjIQL56sHN<tf`89*xR*NZG>LBf@Z2Ts z&(@oc2F8aDPD>Qz<KF!57ib{LYSX{q+Wj3H1Z;XX1=w_KirDeLTR!yf#{ayNh4`N) zzloPLI4B{Ub~gV|&e{LrIoo&>=b8UAT*GZ2F5J{D<y08stP}Ik^7b#UBj??lBsY8$ zkMgsKU;cc`=dV?Hg?4Ynb9U&xRa_-~bJ3rK_6<%2J^>ni99*+kvK&38=$L3cb-{+j z*nk^5<U+D;MOi1Nvzu8@pK`10>e;iIWvAW+tzh{rqBZ-3$g0-ATvv}Z^5s0xUfp_J zTD(-qw5fHH+eQb->b%b?XAhp4FfBLl{;cB*`*Jenu4Vn~zG=NlG&1s={PZOf;yv-< zvEkFb6XM(hm1pQ@>fE1{mbh@q%?K3`^I4MTaR=#ZA9eKKo=|(f>5y5`X(y)JXLR|w zS+t!xQ*Lari3+%(siz^5%zE^Yh2uuUxBwX*ZuvP$sSz7CXzARDkQI~h;o#P5YiH$G zKI9~%eAtO=u@lqno-gkOggDsP{Q1>X47>t3+_<@pN;qyb2n)#R5f)*8e3*T?gov09 z4<EN#TMH|@^WmoM#KeP#KWvzAq+`Pbr|$vheoQVAcACbQ`Gz|z#wxm7*U{bTcGp?i z9~?0vw^EB|?tgt}->$XFv%~F6f`qp{dwpbiHmhesJKx5w`}qQE-W_327JYSR#=7&5 zSLv;4J#LkfJe$w*#wtze=-pWzt+7%`kCWByQnfr3znhy1%HFx?Qo<#epQ*HK$67_X zvMdjw`6eo@zq2k)a+tn9Shz|3)v4UX+Jwn`f;m?dyYlLnzhTy0YFFSZe)UkW?52&5 z?J{ewPnq>-Vp~MSIz_X4t7mUodV_skwvtuFiq42P%;qM7u{IY3_-C7{bgrBA&F5j{ z@&jwm&;PVQZ?>&{$&^rTdDW}Q_q>C+<UYrRtl-o0(Axj8CTL;MoPZT#d|DyWn?+VN zUz~I*KjwSz3XxjTRjqbhSKabkUoBX@;+OwA-|!V8mqk{!uH?FUOr-Ty!los^_Dcn> z5P2-Rs+E)L>M@trR|%7rd}5bP(+Ju2Bw&SDns$hEvB;|Cos&+<Pl;F)pgBz=gxgzm zRc|WS)q@P4PyMfz1g>DYE28CE-kP<r-D&H^;DuH%R9F5<><3MQY_1S9nwqrWP<G-3 zr>%w&3#}xSR{r5!u#jtN!VIUS66*qVdjeLNxoL&4FIJgqf2_!3p^!}2iX8Q20lG4w zE6n;dL)ee2PVEm|E~0fXv(>B6ZDEwf>VVui!7KRAd27k<)YA@W(&n1_sKIIL2G@nR zA{GY7-Vype^MA_=t&6YiRd+=#3|bSoLhPDWi1cfbRn2!NopRr4%QZD&z0=Yg%L8u4 zg)h7%u{a<y+T-hi<NIcFO?}Yjv~<V10Nt426=v(SLfFGqruKJC7u7nb+UivpxG-wR zf`D9`@D+S^tXJcoua^F^TJW}Y&H7ij9jE>;Fph1{J@}XP`s_`mGk@_YM_d2d@ang! z(2g0AkL?q8?%O->Rz&s!zH6B)|2yn$6v{CQ=sL@mmAtyq>c+CAd*t7m1vJ^Rd2PJz zko(3wfSrBIl<#Yl*}M$SJ7}s&YDAxvSkb&P>eTZmk!)TDw;eQ}Nvvqy$#&|R3)`!N zebYW!)=X>^(lHCzw#_hL+cCp{ZPyF~*iUbra{lLZo+)n`c&9v?+89-lw!lnIdPTE) z)T!$n{=8Ej>}(9$V-Udoo%hNyZ|2euQQkjiJ!)<g+G7~dmCTm)a7W`Ui^K(dep#CF z&2x+cnm)666&`fB*>}+)SH?7eeR}DYdcHqv8inQ<26SC!%X&Da@m59t0=|2hn)BDn zNogEpW?mYRx<D*UYDKRs+pC1uX`goHENc`xV-V2wk}WH7R^zQ1IScssvNh+wER)nY z=*PS?B6oq<Ht7|;x@@l!oPW!Q)cU*r+_2G&KljaLXLk0|3G;8Zf4Ojs$+l#}1bhC7 zrktG-J8oaHuCQOZLg-8I3X%CDnh`%%1mu>y{_s0ARMm9$@ppXp*Zm69oa4QH+2;zW zJ(*W$<-NGGPx7_uTGrE6HTz##tM=9}S-Wf9wrTdqZmwS}B)}8I+;uQ3;h|?iV+_lm zZ(q}w`hD=6sQ!QABE2r<AN^u;Lysr?4lvzN5XGA_Cy1H-`jrDmolQS%2%URZ<=ZMp zuDjN&vRWQ3{v<HvE%Q{(z^1#h%(MF$JQ6mx3HV$T=sGEtlsKtvgX-coiy2E5^`2#P zwC|Lfbfl2i^I^-bB8{>Yjjg6x3CWwKEG(9>>GiE@Y*)^ja3s;x<6*<5@Q5D^Z0c_; zS$*YZ$?7YydqQ8y$!UL8iPQcn@=Kd*!qfg#pOBLKI`s<4DFxj!44frb1)82pIc;=u zzR|K?(JU;pqxq`Tqom%piip*Uo@*{o5Sg}0yFIBvD&oZ=HZ!}=#~-CWSg_uzt=lf^ z!NTcMDi@u3gI;Jh-Fh{xCR(p|-@$faixsOq6P(#SRc=Vkk?dBA4%Q55isYJ_w9P5C zd5Y6k!|;VxGt|?2k|yg!%~0;nQB>>ZTXtem_sUH#5@+eC8ODkQ&rp177yg@-t;^YU z*Ub1zC;yGSKcdsBI+WA1b4}~7yD?oqdM`?a<*rGghF;dA4Qpq#-LSZ?SkS-8W!9$B z%x$MHDK=?axfDi1H_3&r*wFV%H7rK^baZ~C$_wT0H!{;)xu+lbmXlifLd#nE<1*(5 zh4S$^(^sB<JaLMHT4VSoj}Jj&H`C(9bZw@)N}pFToPD4sQYAv6Tf{r%;-RF-EgL;V zK9@?K5nHViKmDjv;it^(m8;J!H#GfmMR5P-(_%9&tMn#R8C-0db|5NJB|^Ph<aO%B zLu`>-HU^5x=1eMbF?7D9^JcoqHE-3k2Q@v53!^UC%$c}F<(yX(*K?P(s*Spn+&1{? z$khLOShhisTj`)~<dPkl-C}ts7WHy(dhsBuK>2aE$nB*uQ8zR*&B{DyHM0j*>Amxw z)m}Ym+L2gK?}vWv2by``Ufx;r{90M$xB9-w?KRRh&)ko!DT`yD-z~7SH(YJbkz(ew zwLi}l6x=h(_qmw6$Kat#Tp5=RQ%#J{=eZAVZD8Vf$EH4oAtCU``u8F&54N=h*j!wa z_Hbp}jU7up&mKJcplX$q#=Di?$q(mQwe|jfC9&rHO2*q^s~X#Pze-m5=pn1zdfe-P z)nQ}P1k*KZbn7O?2VWDjzq~$+<EXW7me{@Qj$Z9qS7i2tPMgomsDEW?qH?!YTkrH& z65ySL*Rvix-1SSsb5G!LkB7O(4}{M7c2+`&YkNY*@%*sAVa2nqEs?%aHM4%vPJx8i zPrMq|`f4+B&+cw4DUM~jyI+T$L+_)!l?ao_axF$~_2_`FyIUR7|JTZdbAOEaf54hs z^Ap3tbzTig<*EsZ{)P|aE8Gnk{~xf{X6%Zb+R!?)Zo$MK$1Pu|KVawJ3uO{%-@HHk zt97eGdRdpOrqO?o-CdqP+@)eU1A2Z<ntqC3#H;kw^0Te4Y@Vt=V(0J+XA*g?%@}5S zw4r{@yrV3Cl4HMRU*O-cG+#C0;hgxnbqhBCH=6RfwW9Uao(PYP0du!&F?Kn&x^P{+ zxnAM}|DIJd8tNZRoyrhx6~ZL;?3d~{=DB~%kJ^06To<sv`LD=dhJzct8s?hS>HT52 z9WB;b|3L02%OB?NYgsgYg)@m&{chxIowfJb@@MQE>%y5t%(WP~`MVkaTNHP3{y4t# z>GK2p0W#}ZbY#9}U*j+N79YE1yTJN@&FWm5Vp-oRR2>S}2qkoiF#l`h7ZLuzzh|$| zf`~xQh=_OB*T`$g{CG3HKI-e;X}5fK73bDEms(9<clPSFZQj@GCgvSmzW&Am)eUVW z#>(>he|cV=&(`rj>{Cp%_r8@|7w%lE*~s`m!9;+^L^?v<NLpvluj<7Ik1gK+zkAhu zHlCe1)2y>L@09u7K2>dQ=KOVs+t>eF_3AwDHnD9QCrr#ggukf!Iz7~W^4zaif4(}F z(Di=bukcWN^PgIt`$D5<Z$2BeDu3Uv>!JHQHiQY)$>!{y6|-zzV=mLo#ggmy{o1@r zeyT_Awv{V)?OeBP?bemEayLpo-_Lsd^!k5$UL9{*9<%?be9z-!;r1(j&C56?7F_Y~ zDrl<sz_OCyiFUuca)Vi;&fL5FVBzaaZ?iX_{TZ`uV%T$|uQy}bU&;J$JvupIHmmQ? zoZw|<cMp{9S^F>g_{SSB&Me)Z<1K!w*FFC4o>%Hz>ABv?c9)ODXB^_{YmaBOntJK# zzFmfm?1ecj-yh$&p`_b)_R!r2k&+uyUu`;bva7;9Kf&}M&-UiEVg@G9DtYf-N>RRl z<I*JW>*-cvtNuI-2hCmQJoawQVc)-M`f6#{!c)G#yVib8O<ySebkmGg4`o09%#y43 z`tWB}!Bt7)pR4DG{teh>7FwRO^4*mgYtx$FEYaXTctnHyD9c*a>Fd@d^G-bQLxcO^ z4h`<35v32kmEAUcNWNmb*z)$RIcK*S9M0IfaA|C*vGwE`i6I3AYb0(R5tIEXdOMY^ zMlx*u;{T3UIN1I(-_~p2%HsFWO^DO)q|(vM$SnnKV!3msy0Sm7Q-0PFx_pW^_hXf_ z$0|IF4ID4U_)Ib3pRA(WsrldSO3;g+{tpkXGL4^`pRGI3q4Z_VF0=E?dIS$~Mi)%A zs=s+H-a?jp+kqoNWo6=3jt6gs8GhmLvo2km6PFlV=xa6oz|;FHI1h^QF5Iz7QEXji zNAGK?M-STCc33P>lq>tAW^nxK)=#(A`%drL63l;-&6)pZn=}7SHW&WfH-FFeull!x z>Gkbd*`H_T6l;3__L-5epEEe;|5^Ev+RaU~Z^pR=+-Q4d4r(6+B<|k4p0#tqY>mJY zQPpiO;;Pc~k3NyD5mE(j?qm=2dbC$#=?6az{@ar|)n*-t4pgd8_7Yj|dh(ER;HE<F zDKdA&RK?3(P9Ba8^m;T;V`)LW2LJ64F12o5H<!)_3pE1oh^TV+yPiBI99Z-rWJ-*U zuqyv~SItiGMN<xWFSIf1<5IJ3{JO}+AY_V0Tj!+Khl^5@TQn>sG`;lPIw!SXTr}l~ zM4(q<M}QprahA!G4_E{$N$7j!+*I%qQ|p@4>$&Jj!X}NKGnBmKesu&1#ky#=u5+r4 zkrDUFW4i0oxnQ?O;1>Z^Zrj!?wlN}8RI2J^!-8IXEKOia-yB++n{8b6S8c<jr%6`V z`_^_}+IdXpcH(!lfTr{R%?!M{Od6l==Uii{I_E&~zpdfA>D_h8%PTUU<UG!PViqU8 zv)SD3-J`V=t1Hr<<lHd*B(kkGcST&2=(1O-SM85YvFWzIc0%)*<j&TQZh6T~6Rl@t zJke8=+}VE8ZQhX#W&gxgE2}iaX04j;TX)&#<mQ<LyCw#oF(~3T?!9wNN4ebK_K8>? zvm*YzzE_hILkn6}lB8Gr-Z&^FT(<FAi|rcYhbq%%7H~=PBulx*JEvTGCG9&SVKQfM zj#(miw9k!WVZvn_&bGv!F;3*a>|@dSQf<y59_P7HFVZ{COp7)4oslq&Gx&^IB6qRR zjbkywWd>JUV$YZ-@^kxGbhfI^Ii%z~_tuO2jx*Ehx_xIPbaDpkm?oNSoVB61x@}^! zuJrp^2GNgZZ)m@*Hs^@0u;0UH*MC`+8XO4F+xBNqqQ`7q*Y&dvj+zQ@E4bQ{8)KNr zKHc}mQB~ozZg*k7M@^i|3+@Cg*JG1<HAT1ayY!{B(+`=|d<rkL=*k!+O1JyoI4CGw zR(PewR>t_D%D0&XT<ScfuM#IL^xMngCw1u?hu=(tqnX0n3XZkp&M{47f9`YRXr=JC z4;Nb||42EcSz~r3Q>dA@be7M8)Ao`Ej^V<~UZfoo+ckSbubkSO2h9s_c#7}!*?80F ze9Pn)$%iz*{8g`=yUgHP!19$Wza%gD34Sq8>=JKFTCQVbkSNXXd*h(8aM{NjEw(m> z4^`&PD&RW5k1xhrtRUO!e$Tvv*7bK3?w#?zZn}8=hMVipKAUy%{p$l?qxH6#gk4W5 z);aqxzJC3y+liA_zrNBF7tfkkE&k=v+%0K5f2<1rZar{o)@9k~OIvyPe*NlSCD8C^ zUWIWRN8q38rDw1H=?gP%<N6xZlzY}rPAz3)$fbwz?C&NT?OnNRquuQ6M;R?0S*O1m ze|lYTaMos>?wj9U%4mPlSU4+c)68o*#{H(QC!D>vnV$bzdg#Wa{G7zCff?Iau1RYg zWLtPcan=DpVYM5nheUS!-Z&&Dylvz47FiwBMDb$Z8;5O#{gU=^F5hq<V7Z7i@5GyW zc?&s(b&L|bj;iG(c5>dHk$i}6+RP2zPu1QewsNX(ywnmLk-8$7do~Y9?N(0VHHL{@ z#}6$%BCu`4Z+YGu$K-^|He7FsePf)+|J&E1lUZ%fp@a2*%6s;v&yWe-wcuy`=JV&Q z?^SO$w%+|a<wwVS*HFeNuh7!fUKj2do>}_a$lICu@w+?kj-8O6FoB&@lI2sJ6QAda zYQ-meoeyzJPB`wB<ew5Y)#y=8e9GS!Zs&j32^O9cSMNK0LdSNwoiz8Rq_D!@T<3Db z3az`goNiQlTe!jFw~W>$#`f-#6BCtW3%4j}GtTC^m3ZPAlk~=A2ae_FZs5HAFZwF` ziDTbP7oXcGzS=F>c-<BAW$PbqF}c2++4J;a{>=xuGX8|RCl@;>JO7@X_8=?mYIpta z>+z>qzGUW_pYLutTdb5g-SjHw>$<}$*6rPED7*Liz6jHV(7p93ZE{CvB)S_e`yA49 z-0SF!wlxyP#-ho2OOlkfomjT?$c0zu&#vw{Y;bf3Pk7Jq*Igea7tCl7>@xI@JvxIm z`s;1;yUYBaN5`qUiB`2=Y`vG-^X7JS`93$ZZNExevi`3=*HCzM{fbm3xp#-B*{`4V zrQ~$lzRHbRWmnIhzI^QL)yv27rtV|CcxI~j>SgPF_s*7oUG;wD-mU)6?Y(nW&3k;x zkxzQ{{o5<~UfsU<DIm7^u<%}KD>>iw_s+DvGPhafRGj#Fb^OUyT-x?;*S>shEg!mH zG(z^{g7Ad7K|fygHE#9ll&e+Jt=sAIvbrRD%Il^7-&7s^aQIbD_|Yv_?T=(V{ju#> z-?m-%&T1xx+VxMp9%_`iMj`B(ckIKT8$^Hdl<X_NY+hTsaq-@*8#gXI(sRp^Pd>!_ zF1sVIuW#vB*}d}lDUth{rZ#+ue=7AYudZe3)%P*B9hrGGOO`G!pF8v5^wV)kp8b!` zuxxZM)a$MidA@POuIDd4d(8iIzb`8%PtS10F7-7=e9fYdja&@noa5I-i7Zo-RcgC= zA@N6d=;`f#5lL$tWb3L9F4Hq-eO2haz-~LMRDt^f#rvElkE$Dw{ZY8kA|Jm&>&>TK zv8I~?b|lU}u!g@*Y{lu=#;QBQE6&$8vi(uIFrm)rq|z^LlV`k*YG*dR-*BA4`pTI{ z>D*Tm`)Zly3!3prWFDSio-1K^s?Bh5?hJ!tO)tZP{@-ch`~2S~{@0h|7xz~jTgEq^ z`^r<}#;O>B73V7(*=n>dOekjRwa~sW!<fm};%`rA;`GY0R^QdOsq+tPxiy)o)KW2^ z|1s0oo9Y)DWSMwxYF_AwW$L}Dd!fa)tkw3}Em5<z-;#f`EW7${tN#Pz<9X(cn{y?M zPPYjf=SmdcZsUx%`&?fAx0k18(I;WY-|<4NhZ!Sx$V_QHt{C}4W}52(!N?Ywsjf%V zH+5L*b+-uXhw3H<O{^1LICHXosIR#8kIrpv)4pUI&9|9s{it)>myXN&p=Z6cEo#$u zFWq_4$M8JIC(h;j*6n@UnRaep$X$h7@}B<}#dW@&nHIE=@3*n|`z2{l{^~Q8NoXWa zXB7JOLO*eSosikz{Q-8Hd&E~n?&ZFsbBi_W+AAhg?~=w@Q+GNf_i>seeyCrW$N09t zq@MNpKjYB%cfvbQRbBPj`g%vW_7lZ-*SJ<be;%dC`{#?#Rh`XROBQZiWnzDguc|{n zi~Z{7+P4eX_Ejy*Dqo_tV&fkF^K;WzJ=+r*TX%WR?eigLD>pO7<}WUOJ#&?5VyI8{ z{9WIk?K-~Wr_a?sr(@=2TMC>too-&6X?m{oYk}~gt?8@oO<BL`ZLjQGUtQU!@3)(L zeRp|xbN<wjFS&a9OZTnxOaFEM*RE@;zGgo4E}6eC#P~#_*IR|@E4Mb=EW670sq*{M zRi=@@?yq~fKk{9Cty?i)c*ygjpEFmr?ucaHzI|rQ?027op3U&Pw^Qi%u3vVcF|+=h zn-rt7flqt>%2ykse@`i2Y{fq(`0mVb?e?8lWsA3Z?fz0ZbIX^?E$db)$6hj@dTaK~ z`L^lXi)U4S|JjqZy8h0W&%O1NR?WQWBz1Mhz3z~+C!Q^h-Wg~7-adG%hn97*-Q^9l zEA_K)ot?Dz&a2roKhzv6`C{sS`!N6Z8Oe23>$2D`+<M-*>r3`R@p<cC$z9g}R#N-( zL66QE5nr*iX=>cYfs<R$8%DCoOl_6qPZ2EK@oTqP?#u64UNW0z9M<gKS(9hjudnm$ z^U|YCdXYL$HXT)37gEn`_IF$Q_40MUOv1`am)Qqz<yp^_QE&8DFZKEK#!LCzY(M8$ z{pB`Y_uAV|t>BjN|8>{*hcU0-Cy<h~+%EWQ>6I;?U*F=%%35Ro|Lx_7{~7O>{pwqG zZJ+PkUZ0iodbWJ8Ju%17_j}uO);Alz{anSjP<r|e#iI7PO68Wn=Nz#u>;7n0?IQPK zV~Ef6sY2f(6cgt^72=CfdpN;VsPBf-!x?LZ{BDHZ-5avurIysCGw-iXIjnv@yi{K@ zH0fjUtm23zR?}NoeU4aQHUH--?it_b1hG!9je2YIE&J5-hGk54mqSjNtd$T9HWf8? z4d$FYfAy;kR<~Zwx_w#K@v^`FI=QQ>TvGpj^=mAh5t0zACKl1ZoqNssXjZd?lty03 zKR#DyM5S8K%gIgg`0BNJ@!FlHXZ=4bpZ)XU_NwDwKK|<ab^P`3D_f^YMA`Pgc*b|w z<(*N?6S?)>cb@Z3WbYBEJh9+aYt{8;^F37_Z>yfP*@kcBds%lwE%g2DQv0;`99L2| zIoK}axRSogfq7YIzI>Ujhx6M@Rc|&fJb&Db=SKG73H~_}8_%~H&cEsECGp+q`}ek4 za@w|6zlC&a*I%@)3Y*}0Pqy2#Cf#uQ`~QnxmH7QEiT|^H)drE7&fovooj=3%?faKs z+M?HvpV2*g=%1tco#lHMm;X)npZ4(}dvmpWbnwy>$Nw3fmr;v2bLNh2+O71q&{Kap zkIH<DJoEHQd|BxBhYP=)ub5%^e)svO6AsVGx)OK)O4#uw>BTP;)t&{~=06W)KKyyb zM9#`D29H0#5Ipwu;^LmOi|_TET>O9f#mX-di|4(~^3;6wJ0Qw8@9#FPcZHkpXnsul zx=^!7;%82{*zSPNt763wsfNbR8)nRui#T(JS4Zqa<58z+kvd6jN0X*S=p+{I%hFT+ zl=#xxMXWd?!*DvQ*ykDfhVv`MxMyT<oM0)|JtKGHj7Tx>88g?<IxhXwVEvKjV#N{P zZ`%|{W*hdKihcfhA&GIduGpqiNrKwCVw(>oai0F1d*%7p8q+^Q@=y4`%1(<sle+S# z&Ash2&L?%V>THTQ;neM_vmxS4O}FZq)8V=g*4A8Y5-R@O&bF+6(~Q$O-IZrH%{cGV z&3a}-#0jBpSDB3wXA-+rWln|bro`^cO6+X@>FnIjELMCo->|>-r?<<Rv-WI;*`G8X z9+s&(!6Ub`*!IZ=^YW8lo_BALI+NIaG{!9YO!DNTHD*yd3C%~Hu0`uS((OLVvpM3> zg_^4|cIVn>#^rY$m0^oMlRDw34O`Ti^oFBMzoK=P%s;ABcXI2LcAxd-_meoke=@&x z=FF$%#(VE={j+e{_1`y>^z0+gJa+H?`6ppx!+Tw^Pjindy^GL!w(Y1;-qY33J_qj0 zT6TT+O#3Tes?2qs72QpeE7yJYd0uI6!%H#l%IisjmvzL9uO@K@f8sSt2)8@)rE0Qn zTG~Ic;+ZLi{heZ;D{m(;9@Z5zI+!H*SXa#WToPyU=TPpOGx?48-jnFI?AbQsv_^O3 zo|*eyj`Z1d-JIvndAn%Ez9T1WiX<lPPTBkNYoFcRbiU*B&dI*|<n}KoZQeY0JNtk2 zmMO>f{MqTm_bl+pLK`Dye>qi|%3ay6mo9`vR{dFLy=?8)P5uQ6{W9O4PdvTP=F^R- z?snFnH4@zAT60b>?pRwX^7-KVU8nC|%My#sS;zKL+IIG)1Bs8{@2cZo#N@T+lwkW* z%SW4(+-;^k?>IkAhI7Zny*o~~>3oQo&${gNsiua~NP$ge+)CF{9M7~zPS{|@9OWfb zUvNJ7LBXS!uQn~u?}|Ag*nYm~$kWd<AAcyh*X%npv0?ot@p8+Vta8gY9e7?M#xmz* z(}cf~0)=|qNp@$OT0Z;#zZ$o9mqW7g_tl%PT>GFRzM6Sn#*=&Rcg=fstgWwoUe4O= z{O9l9?=qXiv$}rEx}7^WuC)DYY-fM^P|wUw2F%NK&b-j%Hf}kk^kkZj65Aeyt{JKN zCAIT{RWI3JJb2yz^s%Rtl5F&}kEL%;VhYnxOIezv6sD<`R{H&}U++svvHcgeR?cdg z_N=|y{?hif>-M<sdeOS>d~C1L&59)}Hx`wjm8>@3yy5ruD?RW2?ETAn_jcmT_lc&q zaW5^~OZJ=0|9A1qrC?wGsWWcvHN0|EX4&8M>*luRg(daz%BtPGkUEd|tktbHJMJ8b z4Hw!JyK^Kq-e@!Q&Y7{{%BrQOcZ+?Vk!NV!yeZ<qZ!zwfi5oj+iW#r2?N&W=Zez*s z?3qVp>`sKP+oSvAbbQ2xh4W=!Ub^2V$DH%R_(B_FbB=`3jW$8&90}tqZJdYKMD5bq zKI1r7_s=z3A`WPEx9-W?*s=TW?`5$%&*mK!dY3-w^^)zYk9_d)J$2#Biu#LR&)7Do z9{a05+p0%=#c7ttsy(U!#y>?f4!AV7oe|AAV%T_0Mm*yX=k7ykRT<9xf@WVNGY>bI z=SmozY7<<XD`9-BEp6Al_Lt2im)iK2fBLFp?=_$M%EJ{7vHL_;91myux>Msq!+KV! zBF_ba(^;j8T^DeMzvbFJXWPQ#lG4BCY)w31Dcx3+b-3fRS<lXd!!74GU8vNm-L`Mz z!o%BdR!vLn>}PqkA#OohmUcjYFVokXDi<2gGV$J23fL?rka5PWQ7vb?_M4)!ZF1Lh zUlbp1OFNo$cmjWJM%r_;p2+OOEv1z+?4noazSv+KfBw_vQilb6?5wYfJQm2cv%V^J zS-=>+d2iv&wmte6IwYBTXKG$(iM;u7!6(iude+=>yK`S`KHkPCf7>8sJ+HFe#>6vr z(rRzcJAGgI<>supx;0#~&r)7TwyE9|UUAr{aaWB(z-A`V73aAc+tvtW9LZ{&^>lG8 z=k%RsUuL8`&-ahpoN$22+9+iqud>)pgG5icW&OvZq^;JR4qy2vgGYG9;ey6pd-MYO z%~@U<J1t;TW|jK1%t6_W(<E(+gYdB%qGdl%w8_2BeX&`;KKYV+@)}u5qZ4g{&AAfB zN7^`@H(#jMsx@J|bs;fk+q~3>q%H^9W!zU%S3209<Gk`bxUnrpAmd0nQ}53^>sn4% zNLTr6Sa|;28(ELU1GmdkPPj<B`D{u&^u)$TzE?l@#fQ*+UQgX0?{bjM<GAv4nS*T} z=auK%9GvS!GLHB&nciI&%Q?N<?8}c^ZFajqo%EaiJJs6g$r@heeH#-GZPocQ-z)lC znzU8U$*`3?-Z>&G4%apAk`Y^RT(I$%jA+IY&c<VNwsgPVbhS<HcFv2<*0ZmPw7uD= ztZaAg@Rrnh+ZG<4S>t$GxA^+|+*cc-7wEaOW=&EJFm~WHd1Bk>rX!khrssb2)B?#2 z=YB^slj12gT$iU=9_ize%sSkWXy#L4%iUabdQPfy`^}qLQxiM+Szm2%TcDTC`f8)! z0y}w5lcYECw@lLR#77-1jZC?IFnJ?y?YY#;vkpyoT9$I;l#NgHvm<}5UY_>TZ{LEa z({9Eu;M>0G^An@eow@=2^I2aNhc6H`XO%KOpttUHigcCF#)ap9)@-`;%<Ony&y3lv zi91tyw=6uoASde7h9h~6Y%<~*M<N^5=4{q~W_+aWS>?vWGt!ka?!7&q`(lGx{m)N# z{{<{~c2Qu(X{N?m?#>JBUb9MVa9kiL%__Aycmb#Nn^ik?wk$j@B>hWgYvKtnX}2|- z63@KS={a>abv5r<ftl?uYk!_;<9ojOtB$j=9mkc26C7gsxUM{IaH##pZIZO!A=z$Q z_v=q9d2RVp<#&kulG&DcLh|>Aj?0k}(=YE*=fBKTH$Qg9vI`G)=g0@O@onBzsueu_ zJIgD>yZfe{mi-+i=e~KW*TVA~b$m`et=FzTw<PA@&zt8gTltDNANG)()j8QA)=q52 zamU|V^onybex0rfy!^?(c5T&btGgRCH*Ws@_nzLf4a(KWyu^PvZ=0uSvi(S#+CsB0 zJ93@p>*q)m9Bxy*o|Ca`yIIf9q{A(z@8+|VJ5GNacGlJ``b_%dqfEylbe`-h?d_-* z>)x5Yv1NXdPOkHGoo63P*A+drOL2NvHg)#Z3h7-vn-?CBkp9K9A@M+lbX(7+#3QrK zdL%Osw+Qa^S*H6-d-b!l#x%qEnb$Tl2J4C$9ZeFPtSe@GGKn)ko$Kwg`3h4il=(0J zF4fqqrQzJ(JTugh)#{DNiqm|JRXN-JUl$!{lgm$;_tWT$<j1IIOP;Q=-ZOh|$jn_n z!Yhtnd9zh(dq&E)sHxRomhslQr6#84r*Gk{J(iM~8fkN~&F+0lV(QFI_uA~FH*GM= zzccYA`{lbkh5j17UA?FKg#OHl3(t7voLHvZ%9nrh!c$r4syW*izGTmpC^*@sc>k8c zBX;RyIhzs>tvk~`U9)6n{?$8==bmX_sQGzj&c+5!G47f9vC2ssk0ymh=_LC0Ej@oV zuiNs?+0b>mdyTy}`KK;j>c4&AX)WohHQN?u+MAi|tzRatcX+~fNWXq_?hFI{a`8*; zZ-iGrlRK{StoTk6<8mD_Ba6%Xm+B<#IGXe=N+&T*O)ze!ZQ;MEulN=-dGDD#b9YF_ zf!$2KKa7n1S6^u9p2;(H<#{gYwmqrh+G$C0%Y2_*u8BOI`z-C>ucy*~_BtrniDVo) z|1)W6`IA+=XE$dbp7A<z#=G`u7T>#AWzVH)2ehefOT8SmjIo_ns>ox3;BS^$8w_V3 zK6NkOUYhO8_QVsv&3bMo9d21$Im7OA`X^40_YYpyFr6wdaNYOgsr8Py1&aGq-X}ai z_co(Zt>^sx8DI9D+srM!;Xs=rcdo?dGi{vmDT%4}-&W_uT5tELKe47k%jAK3W7{8< z3p1*je0R*3d~xbZ&z_qsA!UBcZ(gvuW!6)1zs=A%Cu7$%8=vR{eKkzCF0sg*ySK`S z*Fx#SgvCs~mWmfzCYK4?u-(3pK7*IJ?Y2Qu3-4K(8*QBVX^E-#i>u8TW1}bDd^A1t zfLdc)ju^C(>V8mou+6SJM`FW`Ho?<55}zA+g{M7Fyv4+}Z<cn(PF9}(@@Bjhu?rOQ zIZV=89E9Ee@vr8PYyY>KwU+JXM8@V^3Bx08hR(S&40O1)e^36tX>LMl{inQbktOf^ z`%Yh5SzKSV$H7^R!{m`}<E*Rwv3i@$wj>_VkZ$YQmUu=&TCL~o;VrCx=J-2Uas8R% z?@%f7<J<n*CJ$ygIIrV2dDP}0oR=2ukXl~2DE3Cf`!^>hXq)xy%sSkXziGp!r$3U- z7^mtUm*2#xaahPcr8|CET86+1NhubokDDEY-`#rpX=m!;hTCR5JChI3IBn+h!;b&- z?}nc&OLQOXu3<W*``~O2v)<(feio@sF$)B>S!Qi8s@|+!J@5OQLu_}pFPvF)!(iF` z+H)=cA|r0f?w!0go9l9*Li8mg-Uzh|6L^_=Z)#j<@huay3A=eAeJd}s-Il~7QqsrP zY)w403lvFj!IAXljQIYV&FP#bPh=a9`G{p464}imH#_&m=A&(l(YX>E&$b!f&YiJA znOpn!>Ft|1Jr;T%=*jtb+9Y9_gR>gvl{HSRvkZ>wH60I;o;7m;?^&M<ZGx9`B|gvN z6~1@=@D|pZ$^H&IS#{2d@4v$|jl(2mr9<*HZj(f}Z<TA`EIYsTbm}!GGoBgwhdWr! zdLlCpx48aaT=g_IlIPsN_m$5K)T2*LnK~oWxjoga<mZ7lxzo8BVt37WA~Fw82sP`8 z$UQuRKX=B4W2=^)j_IyEvw6n(n(mpdGmoB`btXx$TSsj3jU-O*BAwis*}Bg*n1t{A zbi?FS8{gvG7oV2#+N#~UkUoi*`QFCF6DiVeJ{uFygh;FTY|eUVbSz0OKk`g^)6p|) zt|Tcw))6zjmX!7`&(OH|{DxDXe#UlN`kW70cgAbZhJ}YcrFYHQwD5SQ^shM^6Awg6 zx6Rp{cqCE!*qrT&hgern(|aFz=5cNJ&po*t8~k;}ijE`+y6cJ+pGo38UcBbd%^gQ& z?9PX-`*UW^_JxPpq<7_PS$JGc`d7}j!~<f|Z8=*PN}kNka4V9YHSx}>rKiQkKL5Cs zWEUT)^I*|Yr+wQZj;MAYeX}Lvkh^5q&4P$@!}i#jr=~`BHk*~qNOhhr|8F^~t=X*$ z>63Yx&2Af{bn_~2yJe6zn^$<-|MNAvv1QSw-)|%v&hOV1+i*QeaejnO()^=I-=cLM zI`mEbeyAwbZ~n}=J5NoWKa<(4#3I9ay0h6AiyY_q%4Q~~wWQn5Y)m{7BYo`5=EOra ztEcJh)_Jz^c9Na6j@XBdN1eV!={yqdKKf>J#G!vYt8*^uY?yKQkk3;q^Ng@t7ZRuP z#)jR#@Yq@U*P4xq2co3g)@)8Z;%;U#IZ0aW&Bm;kn@%Ljb?ZLcd?bm{TUTt;nIyr@ zx?-E}BynmNt=W^cVaDO@U}wG0eNk|=P0#+;g~#gBzy9PLZrE+cvorm0$8WQqpGVs` z{~FEzwDiK$S)s-Kuf;y^Ox)NoTa0^W>c)=SV%<BFH@3VtTC-{8Rr}y)r{-O2IP-kx z+~}xuV^cln_F}V=pI6%Cp69+OzS71x|E9r{VrjRU#KSZ6b7y>*8d^M^TkNw%n&JG% zV%!#q8z)Q_>$XVUIODRIx5eb-m&U~xllbDFYlYXP?K`t3_Vk5^+S0pXwk$k;-R#TG zl*0|D&3JYuf!pmrkF{~$PrG(Qvt(z=)jN+j9j#dxp_8!bsFPmA^^PBBk__iZ>pbk{ zS)Iciw|U0luRc#ttvMnmZB>)wJbka(mmf#k?0)A+Y`EX1$etrnc%jX(J!eM2ja5re z^LAI>*)rq2Za3?lZ4oDAyIpJ2H_lKN^S&`R`K9sZ(@A{H#Z$HIjni{8s*I&)-8<7J z7oPjV*y?k^w8R4v(rrCk6OUL(AM4qkc&Nok+JE8cz}3%|nQxx)@~lo;m2vmXduNj1 z+|4|%YYfeUpMCnLmY=Q_o~F9&_JxPG(!2KLJNHYPeVLhgxFOPvM>6AZhrChwZl2`2 zEs2Nx!_LO4MVWq|kzzQ%Tu02{RFYz_j+o)qB*V)((>~<&O|`#%da7k@+Pi0<Fl2jP z6kM0qcH=_o3SQf`n-|h|@G{43Pdp)Q)+3R4c*aCCpNh+?cAmCf{Y>t=?z7L`N11*_ zKIeX!zp;Z+to!FZtN23;{@?t{{dDha>r4Mlx7o&o$9EcZ|FM5{?cp2w-F3Mh8&vy5 zGTRTk-df|^z2*2irMH`xd$7OJyQFB{U2>#PNp|yg5A~X$3Bl8iS=o21eiO}X|Lc0| zsl&u?KX!Qho}+z<vAnC~#9JlV!qpzf@913OH0LUMRk-Ux!ulg$mEIPt_1Ik#y~K8( z<|VuE?vevjm1K){dbr1ET{4Upf7#N_YWdYNv|vO0qt!}pKcr49`?<)Yx+ZQ(t)2EI zM)9ta6F-$?KV~|HG5Lwzm>|q*_Nbz<*D@?YxbNS_*Z%D{zpZRLwrrQWx6fM1#|Cfv zW9wPGdo>N0mrXc)=a!@1=PdThGwWraY+g_naNy7_N5$?ec2}KsvLeR2%K{Ero4)Tl zz1!43#jVYAYqN~nHNK+C-DMMwKe^>-=bgpQnzLS3WYgNRfFsv#IT}W1v8(2+s|>eU zcHL&8WVG2;zM>lu)+bV(-zxNf-P&AZw@OxI!@9D76VY3nm9Aam>xvAv)_8b$R{7EA zduOQ|Ex!EfmLuQlEcTsi*2q5DxTtKx`A@eT8Rf6=aYcq%Yb0f!jbYmpZ>*N$c6LU` zOw;6~w5vUyGd>+(XJ4mz#AND?KkZ8IKb(}`^>4|X7v4GAd<8e6WFBl<YH{H4;YSSf zw;p9=%<f`#3KM5{S);|*@pFZRLSk*=ve<88^A7ZcNp~^(%#V<HuxX{mf#lf2hV%O# zH8kjVGdu0m;OqFY$3o%R=0^=J+^sXsvbP-)o+0?6rm*4g@}rD;&%2my&WW-An4`(Z zVG%1MP_)WI;ZaJV!<o)U4K0sbXMVdGT)||#N=BQnz#>ZKLD3S61CI*|8_q9$)X>m; zlu?nri&^QL_%qFf@`e02LtiMDiT#=(+iI8iTmE0@`ubJBPDKPIrSLD3x%cPr{&!!` zXSq(T*sY$J_U-OugXx~OoS!R}t0&q`t`-tASgM|=7+ftRX1G>8(J=q3iq6BYa(A?+ z2ijW9l&oy~u5u<Z!v9cA+c%Xnk9+5}{M>VU@`i?~ww&CN7bkD%cxubp{d0kOBIoI< zg7r3T-yfu;y@|Rt*`Qt3ma{nW&SZmrS6j}{kvAr9XkfMF<d(cNc|*rfTh8v8*C%gi z*=f5Y+%CDQz{vR5*_WO(4!@b#vh&O<&l$(h%xn31=7r~s$oF4Vbe`;;*W&8)-m#4N z*f#~Olo<X+O2@t{Xr(#vFA{S5voOK-+LsXT`+Y*<b@AHA9{zdHEw*^-458&&?3Qy@ z$UeFBpWn3Erfx;8+I;zJcGf>3)*4Tq-*OZz&t^Y*XSM8<g8k283x95T)_KO`r}tZh z_BvDHJhzkYv*({oc6e+2lV^>rh{1}ofD;dHISSstqE~U|`POEkeJd)%ZO&b_nJ6i| ze~s*u520@rrgv{`w%NB%_DS)|vVa3mZ#gQ4XR*7+td&g(y9Uy+wlcit+%=ntl7H7- z<ty3|XMN(S+gpYH*;|`y@~-l6?TEG3c;feVLC5>7XGRZv&d!*SY?`dpcfF_6GSXP> z;g|RSBiGljIJ#v?@||9L5%(Fpf3?@&do3^OI%P+U_K}CLqZIX$|3xStNsd@6F#q9J zC#JadqC%hC)=uaU-0GC%c8$xk;&cDepXEEll#A0;q(dKDrO8OgY9CqiHLJCvXRYWX z<8@&k2Yy5;Dhg+{y4+bkb^46xtxiID*E9ah-M?nhDY^OG6|TY`>%ux_x?bTjG~OMh zm=+hVedNrmtkxNpv1<j47q1o-D&8E{G4t%z6Z_AboBAcWEsxJ?olzOn%a-c4?B7<W zGcq9U2d{D&e%>CXnD#A9TP4Y(cb@Wttlm3qHKEE%kCJ*jW_X(_39b9{@coxxub*}- zQ~SPfS6kb%W!H{vGu!w3>-~3Mudk|bXTATk^m@FV$&QufpOfFpmde)N_P#T%^{{T_ zjyqFYkMl<Uh*>YTHdj|x_p7dN=E`-U-P?}+I(Dz$eB07Tx7TEto<6;8T1ZWDci*NR zQM$LJXG_1jbzADc%3p@{zxy4_x{jI5c6;+T_IkYknT{`Wx7`nYYGAsy_SGx-<n!-W z1>L*1eecy7&!(y0n9I5T!?fc&f?^l-wZE=5|NgGvmh}IY(@h1zg}<)HzK>eAXyw+m z{|dL2|G#>6%HLJx&cVv@|98FiKWJuZA9nvsM6$7X{a^m7zi+v+DwmYk-g3J&ziP#& z*^8U!U7B<F!Sa8J>-Q_~7RrBhDRGKktlR5L$vt|t$6gsF%+Yf`_Sz_^NiX@{rPM>W zv%bZ&tvHvw;#E!CO1*>~ubkq-V~<F$>Xuw+WgPKG{pQm3(f%QQ-toKVEm2wf=hxTp z|DIF%#7kd(+Nx)(_wv&7O?u4hUKl-DrFXU{Zo1+9S4I!_%!-^|e&tM+PuSe^reEhU ziie1Qn)yn}E<E;(_$u!oL2LF*Hd`%pz4T?lWBby*GcryK*^5TB&*xrq`YzM!!u1D! z_0)K6XMD|-bHbg~EUC7!_hvwXuvypn$eXh^Mx5VtTsQXDoolHFWMkWEmY?o;Un=>z zSx>m`O3E$4+)I-td~=z-_0QDZi)>l1J$%gcdeim;RW(5gwckW<H2h^Xd*aa8YY~x< z%qM!I<#3f!?6wz|l2_~1ZhL8zuv^c$?1fR%a=qlTmqv+ebuQmOqhIJUozMO0pQ)*x zlC0Mf(;7=>h9=miiAT)0WHn33Yvi37o}m0p{KgDTR<p!~^E{>}@A?w4z<d76T|6_E zot{u$Drp!y-SGWOqldM=%W5wx#aiiH`xO3ec9?iXJ3sfD)3caf7kbFOJaz4iJ@=ZK zjbahT?7AD$#G(?;+H5(X)-%Pu;naNIh(+l)lUM51PJ3zefH}5J=X&Z9uGnL1uB0B) zid?qeA@5pDk&xE@S$1CM;-9Zy?ys{UZC_l%*_tf}W=Uo?ew`VVz`RWC#)M2(vqyKC zq>c9;P}{Ry{n8r$(#&hicbU8nj-B;&rQX?JA=A@pSDl`*5F`Y0>+fA9GZsEwf5$xb zfB!C1;~z}f%a*cUJLAp0X66jB2xDX24QW|X31{zYIdCjSYeQPuFZru3yUtwM{N`hG z-IB=7uP&{LEX};OW|v8JSnMp*)q29~P8$7Hb>3`nd1>VIlRvdj3pGF47PgJAnS0IY z2~4j)hBcZBUuMcqTgH0L$CrD}%-LcQ#+JGpICno~n;f;d<?7~~unoJu>|B4kA-+WN zlD~d{OMUDyo(rjm96+v>i=9<EbK3r*`z1>b%#y5WG`)O@>2=|r1Ha~IY+zL9&N;D? zNxE>?fn#$tH*ltZb(y8QM(-?F*z`2U)!v)yt}cz-_v+G`xKhc@q0`geYMuTfuIxSM z^3uc0i~gOu<nw@g&CG-15yrZ@8`9#U63!mka$wfX%tq7T%S_USs}IaNnb~N%IoNih zo_0xQ*oIvu+!3*}zOL3g`zdsK+SgU5XRz)v$qolOG7IL&Ejtfb<!Elu+x^ru_GVSh z=lP|tKj${`?g&e8UMGH|Lz>m>Sz4p-j<55tf?PT;wSVibFOkbnH}scCZi<^OC|x4C zIdD4X?39`RjM6)A7YUu(uYF3^vOYEPQC(x{kEI88efe#Cx9W@j20Lc1oC61#q>WY_ zaO2V2VCc-1bLfJ7u<*-IbLXY@zb}3Hxml07?n>$j|6M&hR-K-)dza6S<tMk~OD$7X zd^`JGmhPfE=Cb-5_|&=9oIc6)x^UHjUvu;}Fs5_moY==CUAXSRv6`p^;d8Ge%Wkgs z?q}Zh#d5{z2IpNomTOLTDDUdATy?r7d6Q2)<JKdru~s!|&)4gC$7pUyvyDiIeRk@6 z<;|)y+8gXXbLSjrVv^pp;eeZt)&|3F?wmtHKR5cUJ^j3Dn(e*|OV3Z=#q+~!x}tcg z<j1Xg$$Br19&S>*yf1U*w3aRTQOiJSbgkwFzHII_r*|>E{utQ!H8MVd*-YfdgkDy& zq~ONhn=uK(+g@~*{B)Quce?cDW~b?l+NF|4?$ZTVmq>1on$9VI%INRXn?k~+FF)*> zvwxG0;kpA>J^CB;gt^unk7m90{4JBT!JY$cK3W?D-;3Osagx<6v1^{kbn}vzhECJ% zluIQ)EYov#duf!kMKAf-3!}s}Am^>plU<hiQ~SH`>?a%7<jC%u9G;u{`crM=*B=WH z{Q47-z+9)bLD63PM#pYevuAOQzB}~m>!wCcFaN4j?Q?zU`RZLf74g#*jY}jAgQpvA zE}3Z%J?&#~=KU|-b6P^IzD&OD9XU-RF2Q$ySi;%EQ3+=+M<twn9F=hPb5z1v=IDg8 z!qEw5m7^2R8f%|d4|;tme!3iU$xGva>5S!HW{Vp|*c&Bv=q0zkGD@7Gc6r}R?X?b> z_e-4Wq)uZ^?`$&q@azsx?`fQ?y*D>qT^f1d)ulD@rIJNqp!Dv&x#H^5$la%m{yt3s zW%k3NpoEz;;m?|BYY(%tUQ4ZP{2CFMP#Y$8qal>lETy!u_s50<$JS_W;LLuSZnjnL z{)@<OKf<QlT`!R=h@GxzULsi-Jl$}6$;^W2$(i@J_<1|sGOt|%iuGLm4Sd#IYfhhI zdcAS=fnRU*HZWFm<(xRqYL=AW*!y$I0ku8r)i0&))w4BwaVdSV9`m%9Mk$;1l()Sw zN?WZbyzOuL%ef!F`0f&V9QxeA(Ju3qm(S&;hj;HPnYqrp|9i<xW54N)#wC(Q!P5md zmq;2%Pv<PY@@D6rrB?mhL!TQ5mNHHb5&zWpN=a?CURu{Hp=DZnZ`+=&I+r+a(v~81 zldtzzUt06KB=eflE|YA@*jZb9^v*`PO;6KZ?7ex~#ify_UtC&~`fu+v+vz{E(rm?6 zoJ(K$ib-sxUdqN-O4C;8rLBA=<ky+MeaFjiZm%<f#=+D0ivRDuk{+JYnaX<YOgq<_ znf#&=#`0Pl((FPK&ep6rFzaVPqv_{`Oxe$zS<Moc%=4IDx$Db}_1^O}ckx85I6c92 zS5L&6(=$|e`9!QbxkY)`|K+PnemwP`3aTs<qsmU#Ui`rH`cqZo*NVjle)VW<VEoQ? zO6F7A!Ht58xpL0DV47{<c7Bt$eQed2>r2lw#Io^RNj;$u>&9~}^^8EQ8qd^Jt0z+> zR(rP>7X3T5=|~gP>w--OcFob+Ah(=*&H0&3(goWNw9V1pAUI#_#*ELbW)GX@c})Mf z>&uJ<-t)JYNPgI+=dAY1C~1;j^1X|xhf+WVX8Nv@A2FxncjoVkN|4>Bxk2x~SVVs| z>$T@qjl4So6P)wJZgfPmnmsFP^xd&o{nAtJ*s7Y9-t*U&NESFvSB!sc^k|Y^vfgW> zhg~|C*NaWLvDUl26zsTdOs_ZWJ+SMI#s)cS?ltGvF-dROcA)Kz<_1A|@f$PtvYI^< zww<Ua|MF7uUcFkg7e)z-^_<OK8YOMkOWyXvC~-9?f6v#G{gw^N-}g@$PuWyDBQPO0 zO)R26lJ#18StIX^-~{JqVmCS}S<TYw8hs-a{2hW{7df2Yblfram&~Qq1BtP1G8a>i z1jZhdxtw~aFmhSEuExdyKc}DEVmgyPhfh-t6OCxU%6jeTVW!s`mmT=EMt1{7ljv1v zN#q*ue$`!HB3GSm_+BdcsZmdP+H0e<Rz2acETgxxkG;H<H~~~Gnru2?wI?zm)=e~` z|03(Pbe~3EiRc7pHnAHWA6d=PoEm*Ay#1G)PKd4Ixw!OvKr9>2<<t`ev2J_Tot|M{ zGSlFZ|I)oHN<?%%ZhIx8c1qnhw)1<*%MCu$^`4i$EOeZ1H~*#4gW}k>nuVu3^h+f_ zPt_CFTXnN|<`S#^@{s4ok)@2mA>u}%rGl43#6PclCA3Ui?`_+@u(^j-RWqOZy;!Cv zoA>h4(`|aT?_OMbZXL^3v;Oo1`4Y*Gi}jN0E~OqipY<(9Z}qvyU9W1^t<rlSeYLe_ z)vk{0Roy$+?P`%;<n6v)s66y}frC%f&6%e2^kl!ixb!qRw(8B*rRUXm@!VK`dcxmb zJvWw|p3%I^$6`s^`P9v?Y__d9m%jQH)3%j*DZ5`Om95ZATmDL@OjGY|G2iNQ4?8Ap zDVkp#Hl6Qz$;+a^IX9*+o%yw6{*x(7XMTBc=}cvbq><nBv}23CjUQfII`jP>cin0| z_p4{BWP<0OFTBb+Cm{BO=T+A^L9u5tud2=wjQz#yWqe-nb=*HGP2r<Urp}%D{Kch* zwXwU-Tv&SCC-&EwOQ{EPV%yGKOg$14d+f~R)I&9<)oJq<TN$4ZoICURigRa*L(-pF zuIiRp3Ki1Ods{5F;#}fFkUKvgt`AsxxPMp4PtWOc_OCBJU#G|X?xoR_eR|4u7njat zdttP2|18_-b*nz__$Y7kyg0a&aeIh(QFN(bd5HMuxvzxwiO2prdqRl$$gipU<xV+l zd^#nzQ*c*_#7gh!=A|zUeW%-1mr8!<)N}s!(kN-aUNYYcqlXJ-*-m#{^;u$#)qKTO z+!CvHO-NkTEwOIbjO(G(KeRo%WcPf+D;Yj*PwnrP=_M}<!lvszFM0WKrC#m1*G3PT z^qlQ3ryhB}tLLZFbk6uyH;XM7S@kbo_1SXSu7<~}xGfj%>X^K$`)5Qc=W^HSb&oe5 z313ybLql!t8?QANmLB$s-L>Y@(&JgNziL*TZZO@&6S?|yN9nGf$R(#+zGi)k*%vnV zxcjQlk!yA}sIKCUT(zqsbyfFIhf>bdqSL=wN{2k(5a=1T^Zd=F-tBjHmE2tH-Ov4K z%OaiHdzVrV+}_1AbH(Wn&s{y0?$bFZAFXuIiZxp~^XrW@R`YdNao<?AYeMd-?i=fN z&5&K?eM58Cm)Sk4%Q{N+>*r2hnRMyYx+6KUR%b3QJslHUb>{NY^EI(-Iu}w;IK{f@ zTuMEY6sx8axcRw}Z>ik-Rp-)oy<)l-9DCyTs_q#Jcg^5j<vnBRj!)qqLZ5H&0l9Cd z`nyw8mL9H+-SuaYcYob4)7oFJjS?F4oY`I(B~8#vW_xY)(E6k8%aC0)3(~G7cf6|E z7k*yyL-Q-Ax{%l-{;RrwMwW7xyH2lj-gqQnBj3~YnMOW7Q8%YbEcR~SxT{2Rxp)7^ zU0-IdJl$}37mwwl(;bU<^;j-D-SQaJC0u>(ap~2cdlv3$5M0GAxpG&Bd8oLtS1IRW zP!OtzJTC|WIq|2@biVnoQA*xG)RK3W?eySPpDor}%{N}fZLxaSgyL1*KSD|kpNCE_ z@F;m{&hH%)I`^<SIOwEet=3#!dfF;>R%p9k?X}lN3C()WX0MErChH}ey*5hho@F~d zKlFK_Z>e2$sQ3n_Qbp}h@r_=khO0xTe^~hFl3n`FS2Ei)L1}LOi%W^~^<uxhzVx_3 zua@tXQNn^BZ*Onnkz8=P<KM2Hm&;GL#2?xJda~E_w^?bnWh>65FMq{Uwo)%;`zxhy zEA*ZvUsZkcm%o0sc<S}#<@2}2Z@p@2vSsRzSJ%x-e{A~g|19*PP`b*S0?$Kw$@R(e zZ}kKnVzspsUvWO2iFb#>g$bXTOl7w?B%k9nd6?5@cBS;nREz(Ud}a0aOj%nrbJ`A_ z#CC0=vYlFq{rM_yKCf-@WmkDP;kv5K$F`PaHxG-%B?0Br&$lFOKQddWOhPqr`bD8{ z63U759}4kFs6U+WQK)Z*!owNcRpxxy7EpfKuQg%)5f@HtpGh0M>p83c{8-dbTQ{lT zz<D9Qo!SpOs)hP?>L*?n-IsCw?sM*iH|jd;gvx$6T5Nr9<k0m^LL+g$qY$5j&cg|c zLVXfi4`(C_`AKNs`QD)4`e4BkCr)dfNgGZlaaQY0+;BdKlU-+W!3lPuz6iaCGgyWE zA~dhqKG9aR(Ans9h;Oy(n@<Z{Y_ECTNMGB+e9hA$rL9F-jeBdSh5CgV>se<Nyj;3& zzgE=3-m^U?`Lg^&+%8^ZGL5~TZ}fE41tDjZHy>)tMAjxucRaK*_MXU!;~tH_dIT~K zNHdupZgWs><Gy0!z~n12YjLKUH;>4Q!woTNr?wpF-{~`D<I6ymH=jCNY|T7w=tQW< ze3;nce9hA$X>CjLHIExUH#dLoGBRs!2q-u1S|@fc(d}ZWP+6pAV!x=+w@AH*4g4xO z^RzhKb*2`a5#m(W5qtISqW_BBlCI*M&kYv8aN^vpGhxH=8qVKmCKnut;cP!MvEWD! z=kYU>3J&=!d}p_x<6xRdtXg7wuuz$$W@5jv&^JrHhYiU>e3n`dJCud`EOj2XI6K{C ze#d<<O~h6sv7K4S%-fH%`px7G=iNBj-%Kqyaa_pETaWYjn`s4y^cKET{N9pa-1TnC zhQoZEvrAXB*lzQ@k-oZxd7Fnt%H|ejGtRA@JG3v%n9n-vL-6<e&(;MPck!*0<Fndz zeG!vs_QhA{7In|sx}rta&-=#HDFs=Z#Y9)ke9V1ijVo)`HIRzH##vieIGl|NS&*g+ z3eB@;9$L<};;GY%erlv!_<cK5*^kIWdgUr_3jM6MpJ(J`mzh#<LXp#5W?I1+K~8lU z(N}qC?oJydUHd(6JlxR|>*sOf@sgHWKTnGXTUwmYd00GBSG=1ha#!C~y+-TG-8JH^ z#WMoG>?z<g?uwhRA@Yp(4I5ApIB?FsI<e*KA;&|4;;K2z9tfEg7c6{d#|-j(+_Vjc zMLBoJOx<u?jq|t8<P9@zJuOmpwkU^rSfnj&5f0-l<$s~iGCQzjYm2Pilntk)IIGu8 z-EiKDb9UtB7Uyf;7D>%5$!1;_i7NxXPpAVq*4+C>Vs}gIHm@5!i-f-2)O*+<E5vtG z^I=D<keM;Z!byVr|6E&U_?>lD!Tar@&Drjioxcjp-n@ueG*4i~OTo>DQ?Cn^&To;m zo4Db0+`}t+$5~z#hAgmiXOSw1SdeBSm~q6h@tBN2#-V>QzQ&P{mN>}%`d_*2RBF_t z_87HOH`Y8<ee-E<i>;p5jpy51nDaa>o-Auo&hxN%wyQ;W9cO9zOZ6*vdDh+MdT!AC z+FZzN?wS_cbsjgKZ)#!I^R#%9&FQ{odcm0_PIVojSMNS{3*@j}Zxt$wP)MBqQ|Q|a z^~Cu*h4^MDKb&w=sBebq!x=M${AMU#x%+${Na1(YHwJ!(^e(Hu`M9p7cA2L|!m1YM zWgZqun_80ZO)NO1vhW=vD9P>co3i2XZK1MC??ZCJDsPPA4l%y>v`Fc1Q7-egNSodw zT*g((zf+rK_QBHj7TImyH&SP}*lzQ>k>1_HZ02o|GPy<B%*!IJIpAc+d{(K?lO2TX z{`jU9_=d0ZuKRqw>TiDPm0P>FZru0MaN3>^{ndZ>=3V->I_U4>(zAPSzn)cPf9cMR z1AoN-#jW2z^K$Oq+w$6m{)T+T@h?^dEcfgVPU>rsP22yWyy|Z$_w*nCwhNX2@Oz@S z-SwT}cgxP-KH@twcib(WtM$&vTWjxeAI{%zs^ShXzW2JQxZZnuPlfX#LuHjY1|N3b z`J0iGwdVcZ3s+A3KL7V`T>a`>TkL+NRJ+Hz-!Dsh!k?9!X;i-Pv8Uayv-jVAHDBfX zv_r^vzHsYdoyZ+$&WZmhyu3?l$G@6wPc3gwD}Vbl=VDN7X61dYV?2*`Zn2&^tvvJ) zpL*PxZ4F#{AMbe!mCw*Cn%=GSeTQDrd}$^A9XJ0v28fnN-ufGuBKj>t-}U#Mi3JC8 zINQ%mDmdc9IeVeUA<p;vr|g!$tNZ?%_swa~Z89pie`YV;zNd8OwC5#T_q>l2YMr_L z)S;*CHI3T3?~hO4q<jACwmtKUT)j(|KK;8!qJ8&H?VVGnmHQv!`(CWOpvk*NrKmku z$=us<Vs(z-&hu##*>fg!WnOa-`gTJ*aelw5%m(*Ein~>F!e$Be-PC;8BK!0A#k-%( zo<+_3z2Ir?3Hd8`KV5qkHShM-PureLMJz9{Q01Op`;#d=>fUwzwCH)$b1RMG&WPz= zojUFNz9gA@r%U<cmOk~JzTxohop$S+y!WUUwR0+!OX?T(Ybt$@RQ%NNG{`V^ndgn< zRV}s0JS`G7wK(6KSa3vz^SIBHf<w2#w%b)0-u|?QT{l1S--373wyRzLv}fJ4?N3|- zEp(=}o<0NedVb=%r$28_+pZA4B_urRUd>U9GpA!uuHUj}r%;*1jBG~Hm`6KK$l8hS zJZ(9#dXDJM^O6(U=S=H*xyj>@oVe<n&r@5N_f07{AuiPSBj%9dd{2vqPXFpkw(kkv zIqkW9Mx{D9(D{pX&%e*Ad|u4ECfFioQtRobAgkYJt$WIPbK3XZbr~zyZP}CERk&l` z?x29oN^_Mr1;*Ezw00Z`n`qthBVVXoQmv@J_<!glJ9ihkf@k}=j+X3HoqF%_wwALt z{)ZUvds;lH=5&8Ez2Hn4r+Uum`~B~xmH*nG79ICt!PC7{XRl$utD9fC?kQ`mZvLOo ziwY#vxu<X3$ypbWS(#j<-D7b3liTyIX|KFa&t4&6eCyddk+7(HzlF+Xe5~2V8dA{N zs#G4KQZ)Uj()S3ZqWKGz_;*Z??wq<)(rC%0>NArzoX_E8KQpo5L=30<naKrbYB<$( zPJmrtzI_|7{FXiQi?eTU*!^_vsjYi9tbY1;M)aXFu6Fs9ho5Tg7Ehh_{cQ2gY2vBT z_vXfcbHH}d#|7HuOIKX_l;?Hhp<7=0;wEpNb6b13$|Y2arZ*~mmry90|4)hk#q`}9 zQ{NTEYVAF4%K3Yb;#`#nrJU_N(+iFma2~%iU2mp=%Jol+-c9@Nz3!<if7HD%e;n`X z=BKWE>Uwu^?z-&PB56`8+{XN;t}njy>0H{nyMbF1w?8u9R2cDZ_PY16B{Qelt@Yje zde-Z+CEKdYH(d^$b=Qc~TIa9t*SGHvsk+(f7PgzazB3AXBB$(<7u9yc_i{y)#<J70 zE5FD+|L=3aPI$>=mY(SaCoDMKd!`ngk>FJCsk16yziam0^Yznqhp*N3H`!*o#pYs6 z(v8criX~=QKW=`zMX{?;VvhCv;H!FUPr2^xylNJ3h>yAG`||A7xie4rS_|zwEi|!O zM{MVLrHSl1Q@UPWia#XxSLMxT?{zy%^0wZcUU0&e)BVoWf-?m#CvnO@c^_K7J7U8A z@X)tO`(B+<(knm4K0CHp^s#|@x!v|vv1iVS?kQG&lgnv+=FHvs>v}hwR4T7<f1)?p z<(*;R6T8hWavN;R&8^H2rFks<UJ-xD?!Bi)!mbwQds7RJm~bBVnObmY%AdWj^2F1N z|F%Y7)jPN1+`}*PQ_ufM(0(-~NyhKlx#yu<yM=e2Da#9%oxb7lM*GwE=C8|L<?r%t zL--Rt`R+T99p3#b&kcSn_?WrO`)G-!R${-h&^Jq+hYij`e3lvyJD7#~Zt6d5sr^}f z^{(EwXYWHpci&iM)h@f!*zShLt(6k(u{%Hi41N1(cFe)kyJb}CCT%#}zw>wSLDhBL zcOK3<5xY-x=keuA-*;+#YS0ccjIEon;rMr<Z$JGGF_x>y6h$8r+^!;19DIn={L}YU zyJKU{-Cw<`tkAQRFaG(t9|_XW7bVH;J2h8-MV5H{Gp@LM%^DwDTV(Cd-<{8;XZYZ{ zQ27h}qUm)?-+!oHj}O^#zINj5*0Oc8^@^vTUvjBBX2OQ^mYnQ9FX}Gu<!a}dSa2kP z^El6>f<p)5pTE1>+*+1*hJV$qqvux@|Ijoyvb~YAbCJa6Tj%ELugMa>|J>;IPR7r3 zTV&rodFOwf?M&#z+5cRh=)He_%k?_jnQEo)Gxa_-low~dztgZ&cj~>z(^_ityeuBH zwK%Wyws<tFC3)Y}f<xxuP+Rubs(k&bTSr5#TKb&ax`jPjVX|m)TK&$?uAy&}g7h}e zy{#;)`sPE~yMHCQ{d+Wv+9xWNODY!io4dUGyz2yWoA=QYP&MwX3a-W<tH>CI9TH4d zkui=s#JLz8Y&@sI!DjZo#=d~>cM<P~g&Q~ezg}E3soLQ8m94gyZqLbDe?rzyZ0G5D z%m1gX-ye1K_A4u?n{&DIR@d)YyKrN3tbh65u&XDfZ}Z;$S#te+!4|WfynAm;y|$K; zo^>gA<}sni@c~N@v&7D-UhCbzz4WE=$JTY<_w?jwZ8iPJX+7_s^xl8p^RDdvxpCjx zocdkW>MKv0rf0nQTag@{94&oYE@ZP#6i@x6wfvKkUaa4h|7My_{@crupPwp~-}v&N z&1~n}+S}36v!$ctk{>rqU%c|+f^uz?)EUcr)d!pSl5;b{QuJ+BENJXEzc&3wK<G=Z z;)wZseNOr8|FVWvcA4#L`&&<yU-!tp)_J!1S`uTnj@YM#N0shvTqkXOGbyd}d(QW1 zMZw|R2DZtcm3w)0&-U*5opU|Duxi0&>G<p07cZTudj9X^xcc}FQ-!BgtiE8q=jWW7 zvSM>-X^F21+pUx5zqothV@Bsi*IS7_CGYyaeX~2;>pw*zIzjfBXvFl7tk;sA8ox?L zCNSTd_UUS-e12BKqL8OoX16a|+39)y@8`JxE4*jtt>3$N?Z$QfOIK&-zr3AxY;*al zb@zAgEO*Z@zSBI#Z~d;@m9Ia<HJTRB)VdvVJ?9t0=RMo6n}*6AT*`Xw;g8Mya-Y6T z={GUk{k3%MoQiMp-+rlw?%BF-?O~bOufFYDyH+=M*}pk8-yGRPUQT``U#DXGE97gW z_2wyMn#*0^6}UaoOLu)&==a2KewWRIKb!fjj$Ct}pX1ei>r89<Zu390Z)x34KfG91 zHutS;%{QIB|GcJWz0XMd-F)`vvQ>7UZfs^M`>}{?ySdrS*Hhb<>aYG3dT?&}f9*(% zm^E5S?$NQu)${Mk&UO8>V3mt3zt@-2t4nupJgmyOJErd2{BOV5=kCbQUV3%gHdDJC zw)dwD_P+Z0Zr;}Ok9qgXzn^Qi@~T<Mj}200X4h@6T)A=P((~P)Z#@4vZJ%sR<%Qty zm3wSuo2|UothU?2Bx|>6;ptz`cS{vt=dIq6UtD|n@zmKRTYsBvzdn1~y~wuS^W|Un zYWmKuHJ&~1eO2zRzbC(a+BkdufAPKl3TKv>>@0n&<~()c+I?#`E-ZKdySVpjf8pbw z^;cid7dt+q@x$!&-D=J^SCmNn-?sbLv6%~hJ^U4B9DVYj`{noUYqu{v-1d&ku0geo z>q_EuhqDYC0sXd2Uw=j{V60}9`qb&5{EgEjZNGys-wn~Sn;Gr9RQb3dEB(2zJnnF) z?c*|e5cOUBt{iA2q1Qs|!i>vIz82r3S09p_{YI%`S^Wn82bOmPR-7(vtg6upn7^5c z*FyQigkYxL9SRp_oM)Z&p*1#f{@JqDze4f1)IZ4D39mT4o$2ci&4BsIOuQC)7bXZZ z^;&3Nn32yitKjOof&*f^4>@*ff8%6oKh0FSQzf8(J?pFDzy*xES*47AOU`yXBbad} zrcv!o^|4h2C&G3gO6>gkjnk=}m8mpRBcR{)+fk)$H}`s+DU()vQ?V_3X>?=lt66e+ znXi+VnRd^ADOIAq>}~i<rdJP_O;eBf<a5<=?cLbjFTYL?lmBvH$=rWm@0ap6$xbbN zb?g1V^Kt)IF-1kqH!5CcRXlatG``ROzv|}ZzErh#H?OOFdjIRM>qRdPryaZ(B76J& z)&I+{?_VoA+wX4GY*h#2zh6H*o0j(a4Rc&+)!%qqj{1Ve-|PR#@BLT#Rq(5B?XN4Q z<~CQh@AZ$}ZMnh7$@s<9`pd85ISTjAzC8JR?%RwPcjNeE{$yRxQ5C;&sdAa(`hT0_ z{s(ZpxO`)|aZ<*=DBj-w>)TDrw``rUao5`InT-Bdwk|bpNnHQ${@#DRVmB^cT3%lJ zSUI=%?OT4+tutmG{4nd{GQ}^sYeHsSkN>-U@4ux&vH8!^_x?7SwR6%+)BHWNvNe;Q zJTCQBb^Bs+B{9X{+4uP`FMem+b$c&uP1)dFZN6njM)23yM;+C?)m|La-g!GhG-cUA zwMWmiV}<{+3+|Qnno}G3D6R2h(RCK<!`^QuwH}s>+)<;$-M@F!hnwo%4S$m|W9`Iu zzI^2Rt~lyR+B2n36Aml&-_ZLsLsrTE#?PYbixzcnH(##Y){?x;!y<82!`UAOzK8hU zd*4WHYq3q+Hz(U;nZ4oNYm0kJd3$qxb^B)|u5fFSoD}yi>Xx>k>b;esk3M+zzMkQ@ z&aFlALzc^rH7f)K4NU%LJXLa1yK3Qn<c*Y|;rnYH9y9(FeOFp(t@PGYT6)&azeU&M z&M;rk+0}N+F3tFMOG&xO)>(YZiWrTp|1S#jII=TJ(eQb8t4hoY!6dc!^RIFh{@4`O zaXNgfla1aru8%uov{fE>t(`Do^;RdPb=SE%cLr*!JWS{n*c@aLqJ1PWcCEl>opqv* zHZBb7IDafkk#TlbYfH{LQK5}bpRUWDaBjk?l|h|Tf2Oa#ZMOOA(>s5b@6n8rjJ~|L z>s-B6Ooghs^%}l6w=aI0Ff(kb`N~U^%|A!h%?l8n$Nl%u)OWEW+FR`2GDn?F>DB)< z`S>dh<JH-jddELK)vjuls!v^a{lbk4|5A!=mvedjcp;oQ^;D`)daOA2y1D;0XWRYy zs=c#smDako{}lB^=PX~laq-%9<;7k*{&;;~wMgjt^NDt{yt;lTM31_}g!-J(JF4<# zT5ohxf0B${SkLLWqZKuaB#cFad=B^>ZIKD^IpTQqh)j^rp~Rar>VA3r%Ukx}@4f$X zu~!$J-WR=@dM7!3-m-6ImuL89+vUmLet9YR_qJjsd!6u_Uz%CZ_q{tQCCK+X{^hTZ zMb?Yf{`<JA%y@ZT(V3$6rk>`@Vx3oo?@ODyA$oV5v3A_)Qp?o*bFtz7BaBbSzy4*h z`SLXL)vsS!S8X@>d@y_GqVSWa`W<yw3qIVi#jWM^Bq>3;?rfJIXI2ObZrI@Fa-!*a zQTLTq9ewK2(lh@@t($8TcR%odMeY1u*MvTYJU0k0)hiBpZWvu^w>d=IAh=YqJMOe@ zrjK`~hj_yKRJBKU=QI1Ce|%i}^=q%3dfx+lx8qjF<(E7Z%65IX!R?8jeAk`ittV=i zb=o9sI^nF=W%DR^;_*GIpISJBq++&tJzTa~PQR%A`qIl4ITJUWPve};+127~=4FvI zwI$hZQo$jsg%9{={<boczIQF^C-2s;H{F5{8fM48yLWc=gOYQbkG1j1@4K@%{|2x3 z9l;fca~pTv5n6HFxA9kv_JxN0H%&X&Y+HC-OZwNI#KR5Ob0vz7v<b53NEBab<Gdfa zVd;?_*L5<cJy`$r_06PD<xvatmb1Jn3|e5P&LUNCN3ZF0wb_>$dCv38b0s!hZ&OVF zv|;T-&%TtXoMh+Y&i>o3ZQZ{4&(l{2uM`-suHNxox%T!eE#<hh!$)51Tg&^B{lMwC zb#iL6XWc2goLkm+L1${p^B-p>o$0YxKHHN0@$}u<=lOH8<&8~@^*2j+gr+9%+WD08 z*|YUGJ{Hves%pG^?}xg~8J?H?OJ<*9p1Ehqrj=KH*k^n#`ub*-|F7St=GrgY^WXHQ zMa{>k(ub>kI{i&kpDjK0GsZ5w=X`os=gtK)S~fcgo_haj=B3n4aZ6?TGEY6-vCPIV z<J9vd%b5H!wVq5`rc`$+<jnb5UOQH67}xGwuG#*3R?$z_V7b3>fm8hJeOY-fgq(2j zb>+Dfawfr7l_zjY{3G|Jar+J%yw#ZgaMq`a*x<CD3n2$Md|P|2gdCYYt4ng}%9e{= zTwXmFryP#(-Pv<_%JB-{pMMsl*m3-@GhVo2#h26x;cMIXe}0}}zAtO;>eudR)3w=m z<^=d0s5-dBRePhKAzyZ@(iGp$a?_^<zQKCIrcVuBgVVUytn4T^nQGv<a@uLBrwW(X z?pvwZzTNccr)kS<)G|(`?^(ulEK@6G%`&B98Cq#umI=9OR(|>sV5#v}Ieu2r4~JmA z(<V<hh6LMrn}}|R(A<4K>#4%!aGfANu85^FDZ9E_PdO@TiwbXaGBo@wI@#cF=<3-X z^;yLSS6}_T=_U7*+@JE-WhTyCYRj>*hCeA~S^BFjFI9bKU!QVV!*^%TwJFCXe1G;_ zos#)^7MJAul^vWjPZlg+_3NO-%M+*arT5Ie`D)#YJMSZWMS^}k(C+%%T3lZD@WXF= zty2%#eRuv@q1iv*<mu<l%b4C}X+4>@OzGYKi+-6`*W3S9l8yAt+<&IVK9ql7^v8Rv zXBE#_ZZ&=9%<p<@^mV5Ec-P;`$j2O~$5S8~A@jg+g~fsNg0z=`e~-3X&eT)=ytMV^ z`mpuMQM>P7pFj1b%&PlpvXdfj*;Xtrd2#w`^<UXrzZdeHw$Zt^+v%|JY!2Dnv$?#E zht7oSN==)g7_iw!e8p*ZrmsKZ7TDeAG<neH;GD;8@@Sevavqn-!#3Z=Lf2VeeVFPH zyH9k*adW1xJGCw}XfyHd)Va{%&D8rdY5}KsuGI7$Y60yJXTI&{DwX{9U%V_XJ}Bn8 z+`i4%VqgF2yL^6MT-}a;(mB^Hmp@uOqai)hhS#>a<nI-KqYB-{%YSPbcFYmv5C~*a zQJm;9sY57Gse6ub#~fp&s_+@>*2V5V*8lsr-`t4WUvK8UlWLUW5nj=|kg3$bWq}?W z_m#s6jlU}V7ckCy;w~8R;>>hjkvPs&(>Sgx4_X~^ZNyhJr!$p)3|SyoC$yqJ`2DrI zQ-T+-UHfo&)`vAE3nHZ6=ub2IChE;z-MzHi_UNU<{6{Yxf0rbg5Wa9pN@?=OkQ;$I zrrX55n{$s|I(9AT<%8czFCXnrdYS0G@yo`Y5o|X?G&CFUM(T*_iFx<RcP~BInw0st zW@Aagx`<sC(K@zsG^g45iJxX*=T_Y-Iag;ImwMOIV;_?;3*Owl^*DZdj=uR6>HldD zGt9#ta?~F!Z@K>?`k&uCtu+EGj)gOs{)k#I<t@jRgIbNdB6I`zvsqpxuXSKvb2`{- z?tZ})hqg0aouM7je3EIaq3Z%ZJCPOLE1A9;_%5(p#%*$-$7X3s`7#I5G|rI6%8gPr zIswv~SzjgeImG%1t!VdW@pAWQWc#7xpUFH=J)rgc{gr>aU0iB)P9J9QzMrVSuy5UT zfxBAqB3`?apNV_7vK|dePTXh`5u%f;qc@FjpUyPBX(HbIue+D_^By%iaLmc```u+p zD>p<=`N-CyJ9GUkmrZ+{TMj-vGwpl5?%LX0QeL^aOYLN@Ty=gQ7QW-owL2FaB0F1` zzU-IXwcyaEFXe)v8HsBhM6W&7z7R35olX1Gg=5PrUp#niQ?k*B-*(L@XZh8i4Gzqb z`NRD-yVB!!lw8)D^Ulq@l^My&a#lB{wCkBYSClpRckk=!Lmb<_E^?hUC7DY;MW1hG zYh$H{WzoB=-cHLj1I6Pxd*&ze9eX~byU_AkVou-Bimzhnj8>JFQ8OGZmlaHi_dZ>u zaQp?s+~ktHbB0!W&n4zgD(>Mso?mKfs+jb!>CLl_xQ#cp7eBo*HJPjZ$5JV4OQRbH zC-%OTvAl6?V)t5^${+KT<?k1YByc-#;b^y9w3y#r%H49&VNrLpzTzhjSlspeik>_S zDdW36J4!cZ)xS^Mx;10Y8TM-bU$av0SD~5T{?N^xQ>*uc{qy#2Z#8BLwa^aeEoL&c z&<~InXL<D?@U7dd0P)YipRR0Y{kv-aM=t+`Vq$5HhZfbv+FSNDwyytumB(5|>|4Q- z4bzo-Yh^BWcPdM>TND*cP!?~u_*5`MS>D}(t6)m6d!nkgi}Rgx6Au;knfMi?6iiZ< zUT#rTFiTlH-QrWhG-dhc7F-4Mdfk&nwOx)c<(AR>b7JB#*FGM-!j#8G?tJSCo;>o5 zZ?mbnXMQ`lSwG@_?wZ6S2cz6#)+8S}Y~J(Z$FzwIuQ!`-JN76?aMn`Z9*vw7mt<jO zw%L*!H?|70-#2{psM^gYC-um2GdCumvwVjhoX(l};e2+FhEJkPvXL^|WQmO*8I}3e zj2<O1Da*APKT5VyW;~Y4o|x>pjYCx|Su#-KaL2I>Hy$^`q+}IkJ~x9$k80gCUM9B) z*|}-lJk59L;H4bFb|ZT?jn~O7Ms{u*x073pY~3_YCm%T)<EF7Yxy8uZO=I<GzC%Yh z<p@p>vT@Vco9vQos?2s>Vq@W4L3VSA!otad{M#iy7S5i?P`;`8!v>q^Bby7R3$|AG zWZX$V@_<p<OwP#Z{nnlx6=yoy)q8&IIOWl>{HEiNh>5z7qAQMd94dA*i8Dz0FiB8) zy+q-KpZz+8h7$z&-y1$k7Eoqn3!iT%Jdq(jbvBm{&&fCu4W3k&WC>-q!;%{dd#=7o zU{}^FGkTOLu54FkEcBqg?yCKiR+W=8b!#q$KjQlQJ8XYY+-Y5%>8*#jA~R}KxtrIg zCMM6*ky$gTwfpL(4;$RX?5>?sI$%}kW+K)h-Xj0`WK&R5WI&V-w^whf<I9aY8m`k= zm!90TAS6<3%Dodx2fjb*k<by*Uts=Pb5p<!9qwMwO$I+4#LU!A9XiModBJ*-j!n<> z*8YW?I1Iw(2ugP!;eIi<zDGyI?X*+!6dfM7Q-_XmL|RDbaf=^Ld6+myr=~`O+v-rK z@uP%|6^ydV%T78a?$R+yJ8>vsnNH4`Nv+NODGwhdM^?<x<(4l_5lmQTp{%!0M1O(t zN%c(uKSIQ$=AS&2u=K{Zc2%YC8$+HjPW!sHE^XF_b^E`@=o)Zc|8=cqR#=~X^3h4# zvoC*H)>h;kytC)^%quHbUg?pZ*}c-|^X%RoGaJwH?mguzTXA8(nQ->&&?;H}-`8tq zIBNW1JHFUwW6|Y!-8-kA6tsxsrCVL{lifKvbMmokH|{m;mT7a|9nNiC^(C|JEid=? z&jAk|<+5zfI5)djW;`yG3+kKI$a~hddRys((0aDRGk>hiKWn&b@kOWSw<Q-Ce0V!6 zvg4nX`KDhlF1JMd*iz=?yySzVyVPe3qaSmWrQ-{pB-pv@i4{CaRCKo!D-=m6{Qssx z#BJlp3j+I7t_QAEmC4k3dg6g?U(TKNf1l{M@W~ZCd8FtrCs+97v7tNTyb$@x;>uoP zH!EJ4d=lQ>cTUmY@>0dIi3f}Oa`rqpanQRjX3wJ&&g!!(n+@OG+hjORko~blq2WA1 z{`baC(gw<md@1=kz1270UC@y|6X!Cyq(iXxaF2vc;*n#4ZZa~-M~)V{+002ea@^C6 zY0fFWLkR)fIAk~b880em6YSmGBQYoW$gw&%nV5tlNBes!cAV(w_wHfYF-Nwg_ux&X z5~E#*Iu3=pnXEHRDwr!MZ7xw*Fj-K1yTr$W*@E)r5}X?}tvT48H@Utr*gQw@RGY*? zlMcaC^%5I5P7*v-A+a!|UGP+)#KI?Sf~T@JH5V9~M7Nxizi;5g$)h}Fr-73uxAK(L z29FXXm8Z-#aLVLVp3-mN)ag+sAlH`KT_Lv3XwimV!QQt$5^oZY9P@LNd6RVHXr7zR zp93BJwmmF2X3MtpKfI~5ueG*EW6t?^3Hy$@xM_qY9XY1rCgYQK<fw?7P0fjp{*^r} zGp5<O=&LZgrR<i8m@#j!M!<}N9mjm!c+w1$9xJ=a)ST()zS&bT<3LCM&K{N@OX|<A z-2Tg!iJRGVUBUWa`<aaI99r>j(SkRAVp7Q|i3VX}X2(t*I#>}Iuuq$tzc}UL;|3ij z8Ig3C<Ovmwd-uytYCV(~nISW=^<ZG+4GT4H_T#A!AGPS%%$d^KAH0d9AZm_a^T9`~ zU(#yb#JJLr&dT8t+}XTPX?aTA6S=nTJI6UDvP;Z-pE2jK?b@?u$`ex@p8vY8QhsuK zmX6Kq*~_`kv#$E+w17?D*u+Qb@bidw=lAp-Uh>gtS*@3p^y#H>6;n_4ObYpQ{LDgI zFLwQ+B?X$7U1spbol6Pvw5n)5Twt^=ZT(w~$5B(iWaev`Z`s%P`l_1nqQb?yq&OG7 znHpqs=gs}8>FE|{53lu6y*Sy&dbRwgYjvHSW+oH0_gr6}?P@$HZr|0V=QvuMH(jt$ z;BNlAX~RZ;F}`i54jq+?yl{J+PR*NXt_`tQ`E88izaLU4bjxtQt$EEw`W9d01x;r$ zw%aL*$?J7AmQP?^Dz<3>kF(g6j1x_tctPrf(^byc9hr4V#YJpN_lc$;p~xE+`rKXt zDUOw0IvTMPSyOd4EqLNONAT8+qv682XOx!rMm~}2>-zfJLg^Dj`{MpPQPG+!F1Q6` z>Y0CC_vJ<4#tru-@y>m~-E+*G^;p7O(SO^t^B481|9N=pl6`r!Lt^B2R+DKZ@dpx) z&Ogj<Eh?hXT77GweOY`{pVa?lEyoVl2R9l0vu}&f%+Fo>%17Sq|H>O$J+F>s^}IPI z)$=2`BKTUwt7)N`*~eq2iff6Kvn+Hjj22K6*&gzvXXBa`M`RUp6W3my8y<0a?e&Pe zYt184|N8%3e@b_$+|>QkZbeynJ@t~-<9)D3T#xU^{a^P5vkj+CJGSiF^?zxhQM06@ zUv_+SOrN_(L`_t)m%rGnG}L0Nho+Bqkkn=C*Dn}^R(T~mdoNw=w4B!};*ZF`A6<d1 z%O48+B;C4nN9LfHceJ}|jnwCc_$&Xzmqh8rcrv%HzPc)^<a~jZwbx#q80m&zzh+$8 z67^%x=5=BkXI`&aymkqf*2&32x-tCn5|bZuneSS*%PRfh%n4#V&Z`gmFE=jH&7E;@ z>Z&+Xi}kzK&AJ)+eRsx6&#lv{wwVM(&k!y9VIJub+|zIUy6(OyBd5OU_XF;gcN{Bt z*3YtPIk_iGG~9OL^@WR8sXr-<`1*Tp>Hd}fD|>EduHI}gPq4KxQpnD^QLt50@u#cP zp}S4zPBU+6Id>}3Npx?5%fr+kE9P|Uxp(Et>Xmcucz;<|u=#+-omKauRJpfbc6eA+ z`q%qp&84%~ZhUexw)1|z*t_+ziPfI3^>dHzHPni?n6qc%+|v3L|80*?y%uyhd+iSE zhVUyFrIj!JR$sR2)<XYE>5sd3pUVa%wzn-^e?d>G>_zAgA6vi4|L!FJ4NR<WTf!aL zHT}P^o5-^?&b7B>+(gpKul+TPJQ1|%PqW3M$Cl0^R~`C9tS)c-n&mV7e_iQmkClg- zr+o7~Z6~tg(BfBf9rH{3FFQQ+l-OeaQqI>__l;I4bL-s91slzHdA6xL21hS$P2Rhz z@ldD91&c{+Q>>3PN^jk)x=w*d#B6!vv1KL(H)gN|TF<TuXKwAyT(D7tmq*Oaadp?N zn*yN%tR=hrnR|a{CKTv%E-G85F6#33j0bb?%*+G>ZLU*=SIZZ3EpmA5Cna%5@9(OA z`yVfGdVhGkka(z_;!34eH8oNaXI}UInt$AJ>DddG?;KKYKMvg`7%Ifdb^Wr#<0vVK z7~iIhkmrkE_A|8>XD%o-;^k>`<8^mjdUjD;|8d^qr^{5=8OCipow=aUgqKH8&GE{I zwk1s~9)8VSu+f5-C+rw+yW^b?S5NGd?EeuHk}RSz$CtU+I5VNZigQt$yzApGrq<(` z4(>-y3?w8?WmpRj|9;%|Sa5z%c8~iWHC~gx<&6h7s&q)rn;arwy2pgqWZKfkgMB+5 zmK;&9{=ey8dcKKC$h{m*^F;p}C(S4Su1Jljm(f_`#cVaB^?SI?g}F_ECqo0>m$jZf z+IZ-S$%5oyDTx~CG8I0p+%Bt4`kPL!{cDh=yK}4j(#C_2SG;_+_ODH0;N{}UT8oyo zFK;|H!^A*B)>QWX^S51P>-SFd4f~rKlDz4a{w0UxB9k*ISJhP=uY6c-vf!=BO5Lm< zepx(W?!4F6{93hRg{-NKnw}IJ*W$|#$u3e7Hr=~Z+<E^`2oW%~vF9}rThe&&&5nl# z3p^JKzJK|5^RNFo|BwIQ-}?WDcz`!EiwFY<G^cEhI?}gp@=;?31`y_B;ABWFP07p; zD9TUPt4K;o4h>;tV4k){H$!8q{@(>R+87zm&Sl*7fHyNtAR*k9UxUjhP-KypfK*eD z0!PyVhF3XVKaYAUvx-VY<p{{LG*u|MbVWWtwuo0WYl7Pp^Ypi&B0p01uG3-utAF#P zLRa&#x%qQ0MokO-QX=cY!}&*8sEbXAt%1$A#FNMQy>-RC40AAe;QRg11x?YH5p#Ri zPU$r+QGe!iauR!(wx@~P;<*OayJoCgdRax&W7d<6RvRWeJ~U3+qqC(V^1_|$Mc#Ii z7nawuaR1s;y>5a3Z1*iqc5kCazhur=6#bHUd)Wg2-OrXT@W1UL@+EV2z=h?$)lGJ9 zBPD*No}RP7-})L$?JaAc3(MDTW~sepp!(wSIl;*b{H57Be{G3>B={wBy4!{2zFn>t zmcLbMvU@wtf~EG>Vu3HG^_18g=YQjIxUk&!3Hz@t?F|e4qdWM&WU_NsFYy1}#{O$d z?jhM1m(yN4%>SnK$7%jHE%skqf))9`WOkch(6{EvUEqKFy2Jdk<&Ac^1#G{zWT!0f zpMC7O!+bLV{V$p4D<ocA{`Zlo_LfnF_>0TmE`nI|SbuFvj%cjAX;8Aze>c1MuPv1; z9Oj#?TJA9a8=oNSuPyN})GsVQ>n!}@^0kZJ3;eCy)f?^JUgr3c`S^v>h2?LZ_#5rs zia9KZ|JKX@;&R`|hPs<(Rtx;4+n9f)UKcQ5;Q!l|`B&=h7Rd|C|0X)@&yo7a^lMAB z&VqO|@9$a*{Ht4;ex=S<TM)mkYkO<mO{=bwsSYfcLd$;IaJ-O-cD}f(=;dd*1(D~j zuXU~dX~Ui&yL<A>AD(AkUu(L0;6m8cSiAL%R>!XeJ(!g-U6y5e>#>ccqQ5?Gx}ZPX z<IbY2U$t^#zqXi)`jngzbMn5f{e?SP-r?Bu$c3@r1XR~@wXD+YJ)higY~xoEt@+y- zWH%oz@e7v>y)F_OJmY+DLtWx))iBNrI@U3Y4xFK)vr?<CGEVXcTo_sA@7vg-(VBL1 zfy7ZkMUh#l*IU>w7)DD6s!X?g&v|xJX3fN$UpDF`XRf)5oLq7~+i87{FGKmX$CJ+| zJ8jQVc&Bq*dww`WZSrfzJJUE8En^YbsM4Y^@q%tN|HD~x*G@if?X>-kq{ORPbJtEi zZ+wVhwNlCHeUX9>I7J0_rQTk__P`~J<L;(NO~qLP%@=g5RhbUD{AkX*x#|LYZSrfT zA78ht&%fTBcXQ?SwXV*8f8CyX{;}iz8*1t;O+^kmw_?LQSFftM$7hwcoWo)F8(lVq zD~|QmYz$jYUwbGtzxm&`9_6>2k}DR+E!p4ev?TVMXWq5@dM9tCPTm*s_<-Z?9R6#1 z*2~T(SR01-yuWG^b9Phb9|!B71+$ihPIB4*M#16Dkr=tTA2<F=_0aIx{6<=5g36mG zzh|XhHJp8dW4?^=+=CaQGNp@sE-!yIWA3WGd21*0d#qn#zQ9aj<->Ni`O3C7+b@S1 zCtXV0bfb??hy8izB)zwrk{uRuMJG>`?BEd;dUC97YtYgo7s@xZv7I=>FIjWq2!k{K z+f9K|0h_GevR1$BZ!f#))Foj3GhkNgVw=QC>nG>8r`>cq&@s10KVw?&B$t;;@#^x| zb*zf!6zsTgs8>STz%(w#PD)otTW#u;wXxrL;!Cb9Ry~vYD!;AlrejaXRln)yCA2+n z{M*tavFn&zP|F$B$#3~xuitRhoAB|67Z1PXqJ2F_&q&_yth+h4=Xj{st<-F#6q|LP zzfxx}v-oLqc9U<=iHbieZ5h6SbGi=C+~;>Z=K|LyKA$5Oj1v#Jh#b98ZOC)@!tZ;# z(}k+^+wOkXUY8*BOFmZQr&_E?r`?yt7{xnEJ=!gQ-SZ!<W>}x$tMJ8lmxfU74p)gV zj_UkJ2S2;r?%bJD6TSV5<@ZIwGnPDvJ5#xMYn-*=^ncry6+Uj9$7A(8Zh?a8+F!Z- zUGLi7d8AKDj@%u2S-yTz$g}5X=N!y?_M^4e?!80azSG-c+Er!J?$!Lrh&yy&VY=(p zh_F%<!8_eQxBSbq*)aK~?=Fp37fWNWAO8Ph(Tgj!CQnW{Y<Pbp#&}!!(Q_Lc+CHSE zKDIx-lBf3nsZS@|-Ri@X&+E-Tw)xGzRr@1v_8t*Udb)qn=HHJkmj5e|%`(`OHp?c@ zPOmiihDKj~KmZ@d*`v<JT>A~rq~A=<wpz6$G(SzUE_CMU|1XZEUi^C6@}2PN-lx8Q zuK#%YH!U?gBO__uIyaN-Ir|(mI-VU6Tj&+Fl`Sp%N(7tHZq41#p7B39UVNI3vGKW- zRL`E?7a7iHH?y_Ht1j{nQm(&a9a8)`+RP*NQ096`9^K>(;;&ZS{BcpH{zq?O$-kl= zxi=E_ACkOuH9|5ZRehC06FBWRSoOYely`0`_w9_BCt)3;5Z-#H{GP3Uqs>#t_m`Zm zml|c2#EKtQ*%f7J?w+<$nbFXC%k^%v)pz}*w``s=^U0bm8|NfcdG|?~8K@cOK9-a& z&UjHV^Q@QnhsW_RS6z&gU#@6e9<yaeb~;=4!#y!(Ra<uKIk4%mRAxHo;pC-LW*SY_ zsZ3b9dd<TdDHi(EPjjnH=GNL4<mT+owPWI!`JZI`qu0J!IMZ~tciN*pF{S~=WqZ<| zS~gELGIm=%*Lc?JB^R?S^u^CSFHc$*mAU)nS?AvqwodBmk<?-9m$|%TuGv&64Vxmr ztT4AXGRt4&9C^C!uNK#lZ5neVHr*+_m}I!`a+r4Rw0FuE1DZZM_#fMSamhR7t+TE? z{V?l=kmuxYo$W2Bi~k&Y@u#&etHPxujz5-9?hbFMW$eUtn?EMmh@C%tD0%CJTIKgv zlY8HFZ+seltn6jv(+3N_osLP{dHPVns$KOfUd-Luz4*l6px)b4AH7xorQ3f0X6jG& zN3ZAK?LWMC)sN<cIcn$jy8n3`VIErf?(0Jnqtk(FecL`to9v!{+Wz`77yIp>^#3oM zeEG!d)5qkWd0p8a`g2Zmtb}{-?Wfim+Nm2p%T!7>?~OC*t4ds)<>oid_(sJv)3|Lv zGIyV>SgRAeK}IIx?C~W#ckoUtzQj;?f9BDRy5dEV=KoyQ3rxQ~=R$va9ru@We^!*n z+pV_e4DfqqY`^1lo#pgf+LBXF<eaJhed^Qkrzw_CKOWz^c}{Vml)SZ@yq5LmjoIw; zEwk(ef3I@So&79qT1RYsj)IZJ_ufdQ@1K7>wg2Z5Z`W1qnUoRyed=TW&odWV{?)Ee z-?wc4)9l&Rp?1rijd?Z&aEWYwcU*R_?w|0T?SF30%#AeVeN^}>+VuISnO`4U@UT7J zG-sQho$}*<I`SVo;`QpMs{YcppH&~AbzGn4d5VI4NAAu!$K>5umu{MH`dAKMyZr9> zb02^3a(O+K)t$}uk^e~e_w#zc>*o|zh84e-KCIuP7jeeKT~0c7gK$`J;gsIm=GO~; z?g)9dMrXf)`_>JGPb6klUO0VSf3r*Wsk{Fhf6U@L`u_i?{`(4bSD2Q*(_U_GCwkO5 z%kP<^PPzTX=XQP1ELwj`ZFV+xZ?$esmJ>R)*D%0pyXDX0HcyLAU6=pAV8NU-u|Gas zyt6Im;;(&9HE#26E--KV>0`BAn}5<n4aM0W+?F!?-t5boy-jm<UBokut7&%&BI}+k z+x#oJY;Nbo{};}*r)}%lt#7^her;<^SlZ($=g(yRPVS4!m6k5d^SgUBbt=o=Kio@q zlsx@-I`wDneT8?AGt#2PG*T0r;_5{9*JnI)&y(6;^KA7y@ucX5?~4?t#}==BwMj-b zFSK{2WnH@5{+h7MKlnvYez?!HH0QK^!0lzuM&BQ%T6CSz`y{kv%f&Od&OX^{SXO-S z+^vkF`8Q8wZxP>q^VChw>baYP!XBMT*m|AkSfF`y$LSuk$HsQ*I&9g!&vVWcUiFf! zzi9FHNlN9RlnoO0nZ|YZqU6u<xZO)yC)soU$CZrdZ)N<Y*I)d>6L~0U8PBowoNb%Z z<TQWw<hnJ_H7If`E-W&;v|;LoVzX;m$^B=Shh94+Q<uWleXy^f$n2BkZOPre`f}a9 zHqTqWZke!o;`<E;cjVqVcse4x=b+oXiPN8GJWkDhvh4=P>Z#8)9xq*c#&T!B-r@YN zOVgficUXC9o57q<$_o4bRi+hwZ2$S@-^~}rF?`3Rc4}&!klVy`r1-sHefqwCb96Rq z-(Kco^HlHj_5GV%@_9b%Z+6wVw$JdS=KP22rKZkF%Sh|4`_um8*K-*G>GMXri+1|n z{Bd5h@BhM?`!{#Z{&dqfdEx(c@n!o@ZL@j$`E}9Eryq}R%v^oy&8#5p)D4EuHXZcn z3%aRY-4-)tn~ZAm`3rk|i#PweZT8Rk^XYK&(8}4nKF*Jwwm7FuKyvl$A}Q&!y~pcI zi);RF{`K6vZReR!SACn~`d(T0JlywY&Z9@>CsKa~&N(-~H{ASb?x}O{;%_Q^58e4@ z)5|yew5H!&DEzb~?XSzn>Gfw)?`>0F9vCYz->~TBG}}Xm`KtI@HalGST<Ou#+yCJD z(b^S8GNvi#9h@q6y99+ROZBbVm^&eAPtbNx74iJwsZ;ygd=ys9)>Uyk9-6dA%zu3a zqs>O!;^-Y=p6t#-qGv<pkE@vNoxEzk$w`Y%I`=)VTJWu{yQGqob>(BBxsJ-eC7w2& zZM89-YxLg71l(}SG!w77m$AhDVx*Q#sl$D#`dKb-mdKax%I|%>;&E;Em79j4?Z@kV z{|m&&&*^m9`i5WQZQg;Ec@|qZS7kM2Ep&>HSb2MU`UXXA>AWT9Pak5_$unC~HNn7f z(JRGTIboIX6;%^7GD8)nhjtxP3u+cMowMZpW~b#>4m3>O5}ef`STreN+v{{jd-a!} z#Xc+s2_^*8UAulXzU*wT#+1y>7akNJS^kUXULk+ZAqD=NLwC~l-27tKr+!*v`XV=D zuJsFa>wM#klQtc?=8=4MpXs@0SMMATG+SSl-E&aw&gRLl?5qs4O!l9W;^&(8!bkKI zM?$sDfuvBGdqvkI<+!S|k2L!Js5!gm{;A0Mu0gB!th>fB`@`Cf|Ap!I{_n6cKA?8T zdC6|OcHRcX4V=F@9GU*@c+fB1mpmcgWcEYzS%+`=?mD%(WNP%>Uai|z`Jp$}8E)Th zoO;MP(f{GXDc|>+u1gSp7P!zWYWixm&$6MHiq7rqeUj9F{qVO2kJg0$T3I5Cb@F7r zdLLhmQ!1L#bH;ID+M6jC%GYjscO*tHvh!9?%WJPAiw}!2%wQ5ct0^G(;%xcjfW@IV zLiwyaZ}ptXi7A^}%dmmjVR2|w=r+c)QzwN@t?nt~Gi*4bIl<+i@Z8Q%{k!)I<}Q{n zPI5o!x9G1+`sx|G7QN2DZ}8;K?|GHNM_<&*EY;Q7tfGEe!k0~c?isIbU5bo`C%ooQ zZ;%jB=yu$?xM?AmM48w8a8@4n8;y!fC%<xZ<)3@$dEmuluDNoLRz2^qS@K}xE6b(l zCpWo^te$sR`HLbKmxzc4^MeIlDbqGo&r!O$rTI-olKXdyrRS@Y+J)~vp0bUL=Tx7f z^Qzv}R<k~7@U}g2obckn#4q!eW)|Q3+kN|+uG@E~iF+QMR*Fo$|3R%H^4A`<EvI_> z{wtQIb>~<W&AAiV7cATU<Xn*qi~B0$M&|Y}l|C;-WLOU<z7>(#e*J8#YD~pcyL?XZ ztR(epU5$%PH%?x9u6fbvjOgx(M|i_uex9_$aZ(D8kYUQp&l4_aneURg{wAmLe$m-; z(|VP2D(-95-V-$I`LHkamX?8r*}ckhTP?V@Zs+ppeU~a)Hh-$OpkCs4&DuCYJr<7L z{oSkFzY2a7{K&iDx%by489a`Qmw%~rz96uuy=}%Tn<Q@m-IeEpf9+9-YVatXH|>Mi zrauRYv}^D2>)74#ie_bNJ-U8>u3&K7)$^0$>vwNzU9)7*_2y+87rjb<`MK(XmbT4` zUy&eZXxpY<(y~%utUqD2&82<H^O!g4@rTl<#x^cUyB(r<T7pY7`Sp~wp=mctj5<sw z@ucLcq(9DFeDGVJ<QW(5IfchfXK7k{8Qy)qse8_w7fFXMxJ}+!k@QRX<+KftV|yZ$ zYgP8<m)zsq)BQ5)h*akC0}C={bGJs_)HO=V5Ec3PIB5FzmD)#6eO$dt^ZUWa_Uub* z(mZ_a%OfRYUj4JU_qj8yFmlFvWAUP!S8Ef09{;$y=;!X7*Uu~j3RinYrH0i{GH?5N zW}n_0Vb9eCQj6jrzmJcUJ6AdT*!{mwHcySZm5xq{vRSL7a-z34PLP4Ws<B|^vDFi8 zboNYBj4j#7bot%BALor{vxMH%xP9!@C2d9l#s$tAeS2P~xC&e-U9>~R=G4LY=C}H# zqP#OMx?KCUB}3`;u62`3jb!zYPDt7#q4bk`t$gZv$2Svt>K1-|Z!0AzTI&DFPW}9Y z%Ef<IC|-Nml$cjA!|_v|K9`EA=?0#8H=kD6`<BFBuXL-ht#FIIUTT?tV)sGoM>Auu zmpa?b>|1`fechY&$99JIZM4@b_5UU)P<7?dn>)`6G^LksYF79dup|5PwqqCftc{*M zNkv0Oto3qxmeW0smz!Cy?y-G5Sw+WvwX|ZbS^oKm<QoTP_HGq_yXRt#n}=|Z)M37W zx24$^FG&YT`*<9X+IE`X!}<G5O?~$o{}amhf|j4!wrXR~jTzb|1>0g%64t!`GDTdL zpS##)&K2*_bsp1BFI&nLer(EgrRBY9-Mz*?<}6#IBGi31PJNl8Q`4Or8^Tsik*L~q z?WEKxt{I<#E(gbD<TPwZ3$qU~T2j+tl*l93cJJ|=yBX`E&X+yh_&9X+)U9$;L_>1g zJ@=iO_0y?my56U$i&KyM&N2+o%$K$Fvxv!fc<kJb&B;u0VjB#Ov@0|evTApPE;uBz zM1WPp#&Nn?7hkx9fw`Z8x2A8xA)zS-EG&_8JRJ@R_5`p@<Zu>f6<{gc`;oatzTqMB zMs^zsC040D%(69t&luPr^5zJ<3t;x)wc#yTw;_=&j?M5x+<^o86F5X%F6=n4y@9ok zHMU}wh|i7yN3H;ce@kW+FbTJ~8t)RCa6s5_>JPye1)UD<*&f2;`c4ZDvo`SySSB^I zS1e#QNlD;jH&Zxgs-2<0oG76DgNa%ELl(bz!~&*WTLMdVbf{Q1@rulFcwTAbT=XG{ z<DS^AErAJnZEJ!TynJ}{$_qOo|2~xyMyoaz@N&9y{9Yx$c9(zlWH*7smNwlBd=<I6 z93QPdktcZY&r<;h{$F#}DzoIiTD5P{g7BL^cYBA-b$OU0p~+#)@Q^*BLP+%B&8gXT zFBo6M)^mSUIQq)c+M`teo2={#8$RFWI-e=8p6qJgcf#?;VR61ga{KZaW`D?FmjCtP zxNJ7VY*pSWjc-M48#vpI7@S2V{_QYq@QwI#=-9E{2@JD0yk^xAJN<vhLhc7)++nM( zo?yDs60aTba})QCmiU+@drtk|k+G8LMoX}!fa`rH#+*ZIg<BT>FLW0^pcXUjK(+rQ z2D67nEUO~F+v{n9v??;#zKB<7@SX9MarVE*eR3aC*dvV27Zo~Oz146k_UkmZ1mU<; zg{7}7BpNc+WOh5Onr^%P-{W@?3}(I&%b6CbAD=qInej$Tx!Q%p{~o`}VVu2zrRINO zvS35FnoP5UeD(jrWc~(U;dd(RUna;L{P*~s34@uh#qv(x6Pp<8V`Ox9aW3Dq;cCQ# z{?&(=*!FUsp0VbD{Pkt)lfqX7t(qyiO6-(#pVo)tUmbGtIoJ*?<2>!b{o)D7za1Gp z?Qj1sU=Z|ckl5rR$>elZYfh-(vOipZ3NPMdOqj-bdPPL#hvTlv!3WfMydIWqf9=9J z+km@kN>@|Dt#=X(W{KB?^TK!Deaez^$jWzxTzivOlxS$7qoq&A<TtHO3v(owFin`I zp!u=8N~cr6^srx_Z2Mg=504{@_+EBY)*h8ruF~&*F;_*<;aK8VYZavwnXvW74I%lw ziob$7HuO7Ihzs1EyhJ|ErA$yhr)D*KSj41?h@~YS)+=iK#DWs}#E$GMZr$)Y;^6Y4 zRSnHEoLT#gS?}D64?3_`(NE-oh=|MQH15hn;fpS9yy<wTAkZ@O@LYxJ4&gl#*-qha z4HQ|qlAXWtcngGjaqOMRqOY{@eBXuOjY_*yS!Ty+GkL2jA3DYFD0;4C!mBg^mrx## z#Q%-_2VZE)X9VwArzv&p^{ykQ({3d5ACmI?l)!GqaZx4POQr5&_+Haz3MXd?E&M$F zxFGv2lhZp@UZ2<>V6|QUJa0|_v(y=fKcD(j1*(MJ*6ulaV6(%gFH9=_5*@~Zv8nUK zJ1j(FQ^hNUVjCBCt^0J7DbO{1XFF^5oK<tStaX^ZOYhQD_1K*g7{qOsoS)1fF8JUf z6O&C$M)2xWI*I%%L-YMIT5Ok`uWs<$dC_tKqwSKpOwEg$L|Pu|tXX)V`ZG%{oAO1w z*QUY;a!e$-no^D@ntCS`?@bX+O_Xe(%;M&z&?HbI#Cx#NFZ{}BV}TZxS+5qaI&CYj zkZ39Qf`R?fqN_T3t8yJ?rzLK`cp&}ZyiY1w%zehQ&mAh#WuE5}`oQ9}=*CZ{+TXG^ zGA@pIV>d50q1UmfcXuXZZdIb$^i}(9L@zA!TO=nFZp^j9rgPJQ!nM8%TVJW%Iy+^j zt9qb-Ot#PkwVi4yl|o-@dBXpSG0r@CwZeaqX2BOtj*Q8F9%b!1HCf|^dvW{QpC1@@ zvWZ;3J!x$-vy1B5F0Q?XZ!R<B8s(<&zA^C>(Mp{?D`O(-Iu5tHCNJ7r%}!SNyj!NP z79JsZtLnvJhWuaq8tyG_sQh+UopH0}XN3g6vq|#DwGM^e;D3<5&(&4DdR2e$kE<Ga zo(p}AZ=_$<V5{~QxwS;9b<>8`Zif6Kn)=7hnP<qe+kf2j?DRT|&^_tuTR7W;zp6D{ zxS4u!;m_X&3w`hR{=X0-mcXgH>qg+>ou5?#Un^#(tvbI`+_dYWrC+gGtxWxmc>*38 zmm{U~-6aBBc-J^HTx^rME2HEz?YjjtN94WLZ66dY4_P`@OprO;H~F@!<AG(IuNfBl zuIxK!Wx2>qIc0`ar|on0+*1$rifkS^^WQqsY}WZ8>*_7X*&zX;TiqB}tXd_;5E?qy zYQ??PYYJH!UhPfadQ5f0$ycki*r#3%y=o!1v!|;gq4?;jotp!;ewvwRd{ue3@x^X4 zJHvoUA6$HA%l%_ejr{l^z&UiaoW${GxeHhOO*0JZb6DZxG5?rw=m`-gCuRv=Cjo}Z zk_-%o3tf0G8%#A9X<#-9vC_~n&`{8`vQXk|VQlgc;b>t>Fpv;nXta>?dgQ_5v7zeT z{**=KYmQ5A*ZZTrce(b}BzGk(qguU>@kMik4!v@5_^I#wPj?x2llZ2OyJsC<`7UQd z;<4358*Y4@{5VlO`^LLctJeFa4qiW(GPYe`^5?zab)HWbGJ0)Ao_{fDU$M2vM>_M` z!?)WPuzgX}V{$Mv^_}khOLX;(fN;-+Yvz1Dye3%VZ1a!u=B9Y-6U%zG?VcE{&v&^` z{q=|6IRebfI(LVjShnn?Q{n&r$Js*tML$QaN$&c4=SZLKyGbu2YQ$b|X!)Cy<bI;y z&jYtoN%rZ#9)`RL&`{9SJeSz_b;^=i?lDRCTN4&d@D0~@o9(##OuB_Z-QuT(KbP)u zsk?FVad4~muiKk-!eSV<`RD$9Zt_E>t%jxHNG%J?v6h~lvMk3|Dl{?gxOg{l{tR); zsr83=o>sBC{46|t-(LK$&J1=l?`J);`>Qv+Gfe%gapJSp!hKTbR*ISJe0@uF$I9&a zr^;);<$sgR4SKs-)#Lt!gHgQ}J-x}Rn3W$0dMxr=<Wyq6A%P*oq)m_W$__WFY0u~L zy>;&0shZ8Zz+P_K(Rz-arkdvafhN0*#nhaPr0i#VrSGcdcp>6jo%8moh49yfeAoP+ za?QUb%KOJ)|7^DZC0UDhZAnT?+_qEe1jFm?J@-s6Wq4*Y-8e9_E9R}M$CI?$x`QS* z$!!n#9^||!Zqa%hv*?-moNFuZyy<pz()-yK6_&_#;@|6ezR&ImKVJRyw9AJp9qSEV zl)sK`pUZLeT({P<=4rmdc`6;e=6dgru71xF#xBr4^H!(u8k0+VO+9WOuCmpb+tl`L z+7ga@<&;f6jSm@Q=e^nW>K*6d0>R&Lib7I`MLuU`UMa@w8{YjHG+9qns+Kjyv8DDY z_mZV;WeP1#Th1-5WJvzB;zj>a=4Ta?PlYc?y!ceGWt-E={9m?HTn+8tDRx{c6R(e{ zQjUmF6y0gcb!oZB#wW!oW#OMRA4=*pd{ecUzkZWf<@VIdm!*^EF6=70ZO}DM{dj-= zp6xL)RzIrxPMlSJt#`uo_oUqkny$;g$6knQnyT?a*psb-Q79;_AYV2%OlPw8Y@>`g zgDVeb>qqLehf8REowZ40vgF$Ni>|QQpAMUPH)7U{IY<A0`QsBkgE8rrMt-V|-sTXa z_Qe7@pH?5yxS0H7&nj8TKW%QkH-g(flwJ~N3)x$~Mel^><V((v?AKQKCCeT@{YZ;d z{m8VewV^8tnIEq@#q(o|*p_vFIW9Kw*c>(yi{5<gZ0+|09<Ln4Ufxi97N}*HBFvO| zLa^p|#)19IR~xEbOS|&t)bZoWkN3@AJiq75^p{R|PIDj9X@6k3cIH*Z;!E3hS*L8$ zFB90j>E}{*iINFZT)(_!dnD4XSZ0>L&Ms|2wN7F}zRwz~k2%Xy%_an=e}35*^r+q0 zK#j*{Tbs<HU5w2KzWk`0ul-VL?PBLM7HcZg^sjrqzEd#AUOjTEp?2NVJz_s^+>mY9 zx$VZytzYgXaH}<@Ts^z+%;84IeYFz}FTYj(q5b0q!=1wsu^H>KpWc7`?Iz!n=R#L= zi<YD@PWmKa($*NZ<+@OVZo$1DxjVVG=<VZqBz0Wmkm1|9rj3oF`dZN`*KVx4w1qR! zBP+*9D0$fwt>qy_;<l_`1U9uL-S27KpZM*SSD{9=sf)yxl^Sz9zMPmWCn{t1A@9Gz z>gNBE+xXs3-RIME=9*RU_TA3429}>66jbLP`OUN3_I%gQ<2iCX-3m*}7^^Gg7AC7P zxK6TH(O_*^P~DLCj4kcv&0AG+2j^G(h?NsaZ2Y})-SN*$Gt8LuH_cY<_K?nYKE0#O z_L}XfYH4MTxA|w+*!iglakxfSEta<GSJD>RRk8Qe<I0_vH_zU<U}mtf(a!=kF8_@w z40WP9Q>0_e@?X!%^uC(&c4zbPCp%2vCSCsX<`Msur3&f%n-18^PL5u=@%VqHq}Hkr zk5{m#XxsmMQ{yt})AaoFyeG7d-@dfBl1t$-WANO@_PQk2?cc9?wG_R&9-eL}x%!OZ zj8j*9rbYEKbw1t7`uvah<9W6EU!BEw7B4-=^j>s}m#0pjg&h;epXksV;TF3eJmOrQ zdgW8k>s}cb-KO7HUTH~p+Xw9YFtdx}wD<vg1NS^d;|Fp^r&(v8>ervD>Q!B-+t%>= zBzJ=C7XAINY&fm&=k;{gM?G?}zw}60?99cTB?d}wFFrpx^MhCS+V-{SAA>JNzx>-4 z{{4nL#{?6lw4fO_0mt%c1r&J#yrdr;?&mrC`2FmC(QCOb_&L-*ol%^`yhZZ+pKVjS z7tEQWb3L@?wxULyiuCTafkMt{nr+F2FDy0ph`*lZ@_rS^u|$u(qD6;T>?~GRB>B2e z?$7?ZSuvVBhQGID$xONPntLSN=B}I;JL!Uj)QiNeJ9#E~oUS<%me0W?vuaM60EfWJ zz;DZnc_Xq`KZ~t33a}_ivtm6x*(W#pTHMaJCSmtiY_CpTnBX!0mVf#>iJq4N^Im#h zSbNQ!p*bo-@H$6{hguw~#IFC*GbM5ZmcEkB%vnGESd+-l7v3H5j+c&fKQA??tear@ zsAB1?*R#7`YWXdReKo1{yJ6II$%8CGGK-}zZHfPyTl1psSx4@t&8C+O-!ok}`8n1& zOHt>tWA&o1f8Q<sy<m1*Q{I>4J8UW%;?-T5w~EEw=0EHGu3M4v^;W&_>HiL^PdTT$ z-BxV!cl!Kn!IO<yR{Q_679SLrzswdcE@APeI=wyA-JONGs(Zn`pH5pXTs?%}2bp=8 za~d$Lnego4%Y+8gH_;lOx4-jT_`*Z#L1o{Ew|!nG-&Y)Eo8N!UzK~;!H$$`4iya=Z zPYOCzcK4i}>Z7vd@VqT89G!=vKOX8l{BB!-gcsvXqt6l{TU^4~B)cCaUd>&2>Ey)L znz@>5*RG4)^f~Ox{@LNLj&ZmAiEy&nVYAlLr}wpPb~O7TdA_4U`bMhrcH||v1u)+_ zq^jL{NbFe6vwxFYrh2okowPkAc^+f2pponv{#^&J$yAk;D{q^9-S}R~?oTJ(cC#5w zp15Vk)OX8_|F#O&au(GezIikGl%?FZd6OT@i3y%_HFd}_zbRt)G3DS%C4seFkN;Ue zlYL(!8JBeM;=^fN-lzGCnT)2C#v~n|?bhJK;j}Vs+KeZje-<;hxrKh~PHkw*ar)Nt z^Tyv7CocWJ7Cqr}f71I(clOy5>M|jw)4ILfPsqkF6nNf0mbI<xEZ>A8z1$REo*nO= z{yE9h=&?4Iy=aXkyY!9VY0Wc2nd}{AzN{`_a$a-e=$h~Hp2wd`)jCD>o;{`bG@-=5 zim%OCZIXhKT?(h^dZXY8zskC%y?a>4v$Lh~ng;U&`SYHyxZm9Ces{T!kK^~^<b#|x zR=NKhrYByjdGd*`#cz_$8@Z1Kjg>yW{u`YhESi5fGhwNgw8*am4!<&jr#5dZRC{ZZ z<~+O0Rj!G7l5pXHCrd)uyUtu+b%Jk3^J32n8!vcExc>Wc_y_kxL#JO8cxJtbiCXc9 zDX+z&>dAH{wL?F$ySW5C{CDU7;PNO5`0*j^qw@{Te;wDIS5?d1c4K8=_VK@=!?4)8 zr)SEfui-Nqdy<=Z&3$&I&Rm$nf5Pa^j)?Vo5yyH?tUEHTNOa0A>%6y)MUJbwK08k{ zy~fDlUC^07+cjr_R*R5E^p~l}RJ{J35ufJ$E$Yxh7N(08ezK}RG;E*xbo;on1Z|ur zu_(XXazahA{zvKerhHQ2A1gNo|26E@%MH4B{$IplV}Xyn3VIxt>MU)SZ5T^02dMvh zr1<&3T-g(Ttlw;oT~|*E_P#h<oIk(D>^%GP`xEC#iKX0M7WSaygXjMfY`$?mz9;pc z*R&q7Pcgdl;)&k|nW=UU8>2s3H8h=D!WyErjMeC_pt{zKO^Z)HeBOTb(XLB;A2;-+ z{Spu2=I9jNe!H}=!Xw9CZ);-k#@{w;C3dY6a(?)_G<9b&n__iW=T2_Tq;3|Mf)(aT zJ?-C0Q<Wbz2l4MxIsJ97L85n}_1i@Zj>StnlJXz#IsVCPn&C9hwQI}wFZkFRF*7Mn zQ%i38#;IpqUwWSI+L85j##Cm@Xp<eMCa%0HoOMmr()7yK!(m4Xe_H+9?tbb&-?Rf_ z>GR&-*VEZ4#J6GZ%2&+%zwULNDdo6$o$<*`Uw&ng<<sr=FAe`_rPE;8kh)#t#ol%) zli=W~wHd-6<~N$1`68lpu)>x9>eCNgcUny5-dJ$pNJ?tjjd#Ml5{?pAMa<kUovr-o zWd8DCp&92~f!CqGm&RTcEBar1IB4MpgZR*<a}p&p4I2b+c(Y7%SFe}Qcs~6P!=A)5 z-A{jSY;T=3PfE6WbJiz0{?qgNG8g^1#h|rhdYAd4HD;xqZAMblCLfG2O6gravF4}3 zubY|&Urdk7+jfBGzY&MNeSqz=mKLU+6VoDkgxQ`Ki&-vyaO2dbgVq1$Xm@;DZ6mhy z(tL)xa@TXp=Ow2+o}F*xK5@$IK*MJp@)!1G&GPf9ylMESj`u1{$WFgmOLLzy>HIkI zx_f%$KjrNwLblA`##7_R`-*@0-(Tl_AKtKKQ4^Wt`E}0<(U$06882dUi))JK`qXTz zb(nSfO;^$<G2f*tqHkz_-JsL<k!PCK(G0!Lr$YKFI&S8NdxiO|?U%1-Th7!w^Wq0T z@jX_X7WI5dF1O*cYZaR`FN>?^=v?_bHtqGlG(-dEW@NFP{(9m<nM|s`$cd1BOSfk2 z`hM)jw4heaGy7Ec`t?jdE@JJSt>)*q_R9iI&$&I@{F+zZyU9AI=IDxVMLHfUC#}4` z^1_CvkFuv;aSL6%k5ABye?=Q7-*NUFo;@<=qN{6Ge(EmT%E++&3|HQUnmsD7o*q6X zDy8ymwN_E6!OPh-*CY*mKQP|wbC6m1^%Ga)Y<ZDfsrCKKH|iAMnDavR{H1R<-?*1- zRDQZaJ<dSr%^R(h#w$10uogE@DwzM<=Jku4^Y$?PJh9}w{IyOSEyE|9W#`Vye!pm& z%btG%|J|C_NxT%<7M<S8yR7XBPl}h@R~xB=0#d0ZlaIyDzTcK5*pT!#r@`YG&(*&Z z8k%#C8=hM6Y3r@(uX2mMZ?#N+@Z#E%n73K7QLoZxPjlVY&Y$qo_$05W>*aY7@{UW_ zG<GKW=vN;({`kYAAFZ-#t><=~<vtm3e`40wJ=uZBo}?wZfARWw%sjPZM$8Yl$G)mO zTq2zZ=H$*4R9l`>a%1`OpEo}ky0zC_nr)zc_Qr}6rzhO`RypJ8{I;Z-6B&Qbv@@OP z?d`bobhSd^ZvUwl^UgCFW^X&1|M1<x>)tFUbhfi*oDm3`IY(&m!}-hJ?k{CX`MzXd z7?VNzYTrLvIr49Q2{lS~i;1Oiah$H*v%!~P;nMErFIRXsU5c!f5^$66<3CkYUUq=% z<_1TX`%LVcE<Xs~^K<$F&r5DkSA3TB-g4rVs`&PU{@i@Rm5ci<UfmPwU3K<|NBfQ? zS^Y7Joqey}*1ZtxyZ^m$`LRf~YmHovoZ<Fe@03$}HwmUS^x00<jf(mc`Le4m^>AVz zcTL)(&S~zks?$#9PAze}%enT$S+@U5ceQ+k%&$&gr7QYGP2+*nMenG!69b<u{&0oM zb!%U>XJF|3D<${t?*1$iV7uNWQlHu4tI|U+&y|+9S8_d0xBYeY>8=iQ_Up$(cX+*j zch9|~{=L_d3$AC_`Z{huK4<vFqvZT|8(YiF*~;G8<+fbW4{APIAI<i*Yya3jM}OYI z*M4VLCWy`#PYf)P`m6YC%g1CH*<eXKPkS}Kdw$lY2@{riPw8%ITk-5kxl>r(R<4E# z+*zKJ%MATPtY^EmFkXCfIF!XCsq{qt_v9qA(5#B|+{yVzEJC#Iz6vaF+WaNCXVvb; zFDLhj7Uo!(eVo0cb?>z8=S<TBxlMK~`Sgri=HSu4Z>}7Cp|<7~<E*@(R{<GmPO_r! zwlIrvUT*VceDG<ljOn)6|C&9Fx5|#}h|QTcNB#asho}$pc<;_!uBUvvy~nxxpIEBD z!LksU=?M$BhX#tT;t>3`)W?p`{eT7gVjFSGJ+5cCl+%`PlgepkG~qw0lKgjWx%=u{ zh863!@XtAXKFh5<<I~|IYx1&r>*gJp>c7r5_Z$bG?EH{v5k-qEJZ4TzRyd%p-NW0b zvf@a9QR3!F6WbjQ_=iYcHuzgOXPH8pM_Sah$#)$l^`4J-b!vP1Yo0kaFJ=m_eYmf9 zo>`yt|C_V*_k1s%yCg({-{gM1NqC*igEwy`_}u)W|M=8{>aA{(#y>XSs9U)_bJBK; z>MhC!8(3d^{qob^xm~9Ew-m>PL$j9V?w)!@dXC)QaCZ#_Np;s&Z-0S=RP~OZ_T1Sl zOI28#d{0;2s>u73o*!TNocHtG$C{5TU(bJkv2t^wxL}+wi^S(c4_<C_xj*-|^>o!* z>lxDntm2-ZXO`mVm~~BodC~pM=TU}-qNG!rXXj1e7vYZVjykzhn58dgs#oh1&nJzG ze{;{5)8XNCGyS|oNzn4>UWW2}b`L+?Sfx7Qz_b2^b!J*qw#{A;dh%h{snw=$oTnad zdA`ex+u}}m+11y%_4Qp><$UgnJY;S0m265;Qx?CqEh75$uC%-<FC&#g1e#Y0%~n6; zB73V%#;M>?my>hhf(OinO_QFoJ-@h&Z<?07iT!%dvd2j~7(}~1Mt*vHY)LfRfxS;Y zZ#%o--TKQ0K@Xf>{l1zS@+M<Op7}AYU%w9qRw)Q?nLOd?J1J{UtDtTCX$4D8=IpvT zE#rfgVAdPWQ_*~aDjDB(L*!LsC+|PS?k9VP?Q?jX#-?6QiHY)0pR`WwY}Z!S5b~?p zGPQfLcAyI1Te-O4*UM{;RPx^Q?Mn3WU|<aT-x?XG8ZFWC_cL2N!yKN)YER-N+_rMW z>Wa-Usckmjz~~SfbmpR3uG*)q{LXXU7RXj^6ukZ5oYBWS6Xr(o-#_)V&Z04!rPg?E z-?ZB0TPG<z+0&;juX*A9v?n${4htVBDARZ=G0|Sy<acDsj?XUzxqP0v2v?Un$T+{& zbh{s%^1YigIbQVCYGdXXjQKlOJBEDx8p?Lyz2v1^F5j=5k-y8(_$RmIQ*Dj-wKo?R zPoC7e`^26`(emZdJe^C7)E`B;DftGv6jV>0FS146R{uuR^FH61pG|riYo?^vSKG=6 zdGT3K>ErPKJnhTt&-Xs9P!sY=EStECVO6-f+^WqNF5O*Y=jU9Lf6p>u^|K;|lSh^X zo@x%i-`4naiV#1e+tj(XmVey%7TGl^uP;tgUZThFWBsLHY@YMy1^)hWhF9XkPOa3M zfP`iJ=J!gK_A||}+vNV%;ep$Y_M15xJ~b1%o*Yf|SbX{aE3H}IjvfxU_VfPiOKwv> zF*y28i{roT!8+Tj`k%7jkE@+ab){yu|9;gPyFJkV&I94r`&#nnzKPB|xH0S18~L#4 z&uf<CJv?&u>x;0W6O+Fkz7YDe+IOYfvY*R*M2{ZYX`}yY=j!9a$0U817CkPx6FTGc zob~w&KBji;T=e|-#-#^eNUbowV);mUcH<n$GuI8=CM}*+D0lPNvk6got{={-sf(Rx zv0~U3)UBuS-zVqMzbB0O67O0U`YlM&xVl2{LJ8kqrWO9r*&=pi-}3G|ks~ITcVXSz zg!=xACI|hd?!4uAdRcZ?5sUrSI`h6;35i<GO#%}|9G({RBrHFbqi25Qy|~}`1}Wwl zpR`0C-jdzBo9j4B?yeVVr7ZuCUEIRGOR#}={-nTtdj<CgC)OA&3eEneJmbEof8Ymc z=BXDl&(Cdn!+ps$<(m56BX@3pI>W=xsb3IZm|FP4_`&4Zj&+wB<@h@dgwOOiKYRNp z>1vrw`YA@X+k6+@oR;5t7`pDsOMNkBDSy8Z9=%0VmA>ChDLKw2dwF@7p+-_Ndwx?@ z<IB09eSWjvShS}yW398-g0F!E?h9uZ`-;rx+v9%3FO%i}vPvyW<L4{xOwY<V(K}UH zn!TI*Z?(Kmq1q(=JL_74f3$v>aQDTUooV)7C1yn~YClx3wLg^T{8@d0{gt1Ak(1MP z)8&hPI)t@EOnap%_A$e64qr&<>&)X(AD^h-&apmlbs<l_XR~)z;v>ZpueGdJTYk&= zAJi^n&iLjK5q!z*?dEV+5B=i1d8`t*4Bsxg`h{`bo8CDlJx=#MUA0cROkD8(|M&m< z=HLJRw|4*g=fD5|`~Us>?}^(FOZ@o%@Bj1v|Ly1h|Ni;^|M~xa|E~Lg{&{wd<n_y| zUi|xZAkH}Rp@LoanPLl@dFLO0s^L5S^h0Hg-1;YmdSwgO-q!hZtmW9NSzn3*GqykT z6OPhZy7u!W_Eo2k&t*7q+<bOkd8+oc9eKNa#9nlFYSmSys>(<RtKQ)K^5y6QV@_vw zsfcwvyvw*Yr>vI_5e^iN5)Qw7T;!2q=(R5r|IJmRMf^)&M^sfN8Tc$bosuzIY1tPw z^U3|5dtQdmSar2f$5q-dLnYj^>4H?JPQtWQk?%X+>}t%@N^(jrGF;m4D)eam>MlM1 z1ws5Ot(VKywsOx-TJecHs)ga7>-4hCM-8@JZ0BAU<@fWXY{U)5Pazo_J?<ZRDQ6|o zcW~0TOvcwMmDl@iy`H7E&vd55qSZ^*_e*aPFS@lq&|Uu)r+T^7&Sqs@JqeFs4#@`7 zgtxz^?0F*mYyZQK`RmK_<P-v=tNgy@UWxp}`hj<m?f;!|Pn`s>F4$1!+-NKn5_MhW zQA_Uz^?Jwn-q4B4#qFo$JJdziWHFb#*jT-%_ptqeu7lN&_Z{N+-TJQYqP>t)MV+7R z(OnNVTza1HFWRz!&1#ozbp7>7YCoO-zFM}p>dO;;*v=mghUS#5QGv%z7Y8#iF!V7n zFre=I$;?YGg75s{K6&Qs8SnmbPaV&m^3(cV-_LmKd7bq=tL=HF@bpQ2eQ#Z(E5_zV zK^lI#r+m(wT;rv4^33_oo4j<q&YjTl4mB_dHwZ9bPEt6;)*DzMaVcX0Hv`Na3}AP- zd@U>R;$~m~VP2FSNJS}$aA$xvASLi<utqVg>S~epVd&px85SD4|I7dQ=b@pYe^<QT z<nd?2F`GIi)$LoNFL>M*yS448_xTI;n}7a`s^7Tq>&++1N=}nJChpyN>fhnp?Z3Wm z-2RDQ@~Dx|YQ{B;3mIoJh7^4$+)=vp&5OJ}H+=%LLO(5MddI~UYSf!_ZHj1(<ADh) zK7_08Okov_n?0#`{wl|B8qEv)r#)HBe(=SKik2IDR6m|e){*gjn^KZfQK0wj<&gv( zDfVTH^K|A41bOlO^4s!PRh9p*8^^r!>A^*TYK|vT+K(kW=SrWty=~^D#j8_Qf9{RZ znJwJ!dD8y=U8S<{S!$Y*nr~Yr4?8XVVU+QI(WLs09R()hy+@gYEhT$-Ug&CC{__(# zbh!Cogxe3FM=|V579LkFUcJs;zU&KI{xg%#`9kI&6(pv8KJ-3$_o;8&ZnxeJ%enWX zN$bHf3n>YH@2wSD?(D2h_u1!aXuLgm+3Qm;`@sh#deeN*zKD3$(Q~0af7_k-;7`Bj za<DWfAKp1(Mf|gE7r#1not|}QE=S9)Z`T4<G&&vA=frPsj1llUvinDqLuyKn#?PC# zHcyeQRnmGrLFu7>=k4odTXG|6j2FFKdV$4)LtJCysvU~bao70Ia6b5dtE*-{f8Jrm zRpPsDCE4v+?RY?cK|%bpA9><=(ltl8MRFW<<{ik-|Im?`$}RNiVGrx&l|N5k$<3cp zan!_iZgJN2BaVMe7Ntgnd<@*ZuF^NAsfpw4(#4(+*5)LMniX=?N$m`+OVD$<d$0A@ z!|h2)51#hSO!JLtn(wCNsjIx{TJ+u?5$SERp=-Okx9?7pdZ@lsG|e~Wi?sLhq6z~s zzskl(k{3_LFWX#w`hNOhNe&BDw!jz9goU{^Z>cz$2yWWh=M-x#=M@(D`E1UFqv}t- zUgtX~TV!D~BbW2$xox+~Ec9FNiG1+gSbaMx%7}qi!YkBs{>H^;4_kaO`Q!FT=AP1l zVwHF6C+;|!FO#Rx{nN~V&-nN44ONe=ezY$7zRmWXWWs`ykl;@fSa(*R7W-qdK6Fxb z!;Cp|S1g)l!ey@a$N8S@-MOU^#$h2|db={Fyf~2e_rYqfBEBlm$lbo_FE(=a*{yr5 z-Px|7TE}$d6YEh6)$R8?UnL8@|D?A5+o4xWXG~kx%-gG>x?isIHeav1n(f{5UPU4m z#i8e|H96Xj-6#^xkK1;8x9U8vKQ7a^pS!(nS;(i0`{uF#`}EB&?{lQ;&gE5_C0jH1 zgnFJkDL&=nBrm;1w|5np-wu4SutIx&rit>y<%0az4y4~&qxK_H<?<s{-JVODTXhy6 zzO+)s#31U*hK!RvQ44tg?aD~Y6n_7J`-jA4?<C>)gkv*ZbEDoLZrQVX>(hNaVSGQP zb<KBs)V_a-si|dQk6yj1#Sfp;n=I7-9rRb8GQT8E!t5je{I$lf7m0}~&N@-{T6&Z0 zgugp(-Hbn}HS598mZ-@kr=-_<tlXof*ElEa%$k*3&(0}#l;1qFamMAT>mR8uH!I|6 zKCa~Ue2Q3K?3%NZvUN|w#oHop95EFrtUf&V`Me69%?44P-29O%!V-;d$Nh-?_BY_> zqbg^!ua{;?h%IeRH^`h5u}1Bk;u3ehKYP|>T<i?~aM@#x(+};#O5bC*U+sRe*5~x9 z>_<PB3;sOde&&*fSt0koYZ<AZ7X@a|-hJ*;uG#gz?^8oFr<)c|Jic(-+}^{!dlyvX z{5MHzjdwlh?{j%o)}u|k*1X!Zig%Zi|MuD)MH-Rz^HUAON*?`CzANXR`Tn-`pAzk5 zeDhsTca=Pnt7AQJQIRd&N3^Ex;;LD~^R;Y#oLF(yf@S;h+5|oJs>cDh{l50R;CUdU zA^oR_qrG`fj<ekahWrPXwdRt4oO#xFl<Gft-0En$=h>Qc2LA_)7d9L`|95dm&g=>N z@jsfcbv^iypm&F1j;%z#^4^2oCrZMe<ZZkA;(^HC&&MxDo=+CZEBU}`G1GTjc*;X@ zX>ausd$DtkyBGQ|F1+@h>(3OOgz_IdEAzWE?h8qKt3NqhA^ei%PUCdZms>N+x4Zna zn1A7N>9liwUt}vk@UP!Fd%NsaKD~_&or)DRQ(DtZzFf3Ewli_g36r;b8mDHi@~Ns8 z%U3YhIT&`~7XO{jtW=?eo5f2kxnJ$FKkvdOSu**n-iflQne~fK{(kpt+aA{(+01}c zrpA4mPwwvNEZG{vXP;Y~+BMPdcc0!m=@mwi!6(utuJe&uH$Qx$Uxn<cXtwD=KO_v3 z*k-eTV3vDwmto%NX^$J&A6U-L-+s{2W@=4(sYPbs@mA>%fuAaF&y&4*rc&xx(T94? zO8qwxKaQ4vJ;a*vkhxMyDZb-;tGmNXyWMMEWE%NpKT=5QnZ@2)7CW`|tZvfYTOW^j zuU?~RYP@=jr8=Ke+I7{JDuRuNR|GC~JYk@sq^#uWIH_bKON+#Fg_cidLJdlRJVy*V z6i;Y43plq3IC&^6?CI#>h_s!tq~L+CfoD)-w$7(zH+&y4ZG8E$J9Or^yzp;=T|YzG z4leNYStDq+KXonNjhXWMu2}XsJ`3M)u7Ba7yn_$SN=~|3it^72N-q1JuEnD2U{?F& zRr>yykvf&P9g01Vp8j$w-HF$WS6tr4FeGYPn=<bY2j3sb(WWadt}vOX5c8CC+PB8b zUQ=K3uPRAkJ#_9-!*jQr9fk3W9_Ac-l@}zZsJbI)4d)NB3s0uVO%mYx)1h`Nk5Ss< z(6+CY+c%tkUNC``cY<hr<L{=lKI5!iA9NZ$S8-pCnwRgUxKnmky~HQgzM`c0R?=cC zV$6Pp=!ST(HU!IQa(yei`Q^{0D+S5h6zv%K4<$rAXy3O`h_%pDW(U_Q%?0Z^^FB!5 zSY+VWWTPqM`K{y9#H7z>`ph)Xd$Ub&m_6}Vocxaj18IRZKFVMGzOHJ!lk@Y|{^ili zZLJ1t88|wby<bf;6z%3byeWWd*X&;<LZ*Am43mxDt$3tiSLKv`ZQISg_mBUN-4ioi zdaCH90M3jg-*;?>E4-P_?X#Ul??!sB*fxElf_~6_53WwTN$S&sUTp93oO3C5kD)0S zkF<U8JEuDzcbg?G%QCn5<LT|nnmpBX0r$ox-KMba$;>&AA|}3i=eDm=R&DM11y)r& z%pY_fO(;Lby4w3)Gn?<_r?F*m{y&c#(qmp?w6H_;qqN5B??=qOwyk(>c(=#4r-Rd~ z=%R6yz&!hHiR+af9eaQ8kI&yk>GFSj&N|I+d=hzfQfrsw+xu_4z66@&`FKri+UXMh z?8>=?5=t^{C5v+9E}UFm^!{?&@pa!_85TSgF_!<$BAuAgHu(tOr4=3lI-3%n)~K>> zn|<^0f%UE;ho|npxUfZ`cU9@mzY{y&6rQPL61(1EH+4$5oQK*HWs^U5b2qVjg_+JT z{j-cwwOfhB=$@67mHn68Te9)R>^16Z&h(dhigumn{&u<QSApVu1D&a}TQXPg6Sb&z zt`K;1Mt{?P-jmVKCak=)dVzz~$NSTcUg{CrviXmyzOs<P6yCVhf>edKKHk<JDi*07 zmfn9pu184vlv|G5>bKU>ou8NIXzZCcO`CIZ0&hcH;_=rGCM&!i$y5|iZ;E1mSI%kp zL2+X1Cn0gGzV_7~HCG<=F--sH<$r>$_)3J@10TNYY&?>?yVrOJ{GPte@cJCnX;SI& zd>8k<nqM?+8MBb!%&<lOlb%)!1Zmo_R9G>+ICDw$#|55^oG~xg+TD|xDDYHdeI-}J zr%$)+Jmc>_-TZ{3IOg1hYbKWqx16tEA|B5eBz-T6#dqS7rJlkuKU_{edmXAh^}_BR zi&aS<w~KRSUyl-4GdJ{=Pi|b)+sWq|_J_^%vRq^SJD}Zj_Vrl_J8F`eH_zYk=1z%> z+BA(Dk{o48&btrKXx}7mVjAPb5qLCSYvE?+cP?|nS_02hJI+#ZSTjrH<SJO}8`2A~ zsa;can~8zJf`frU2)XqgUzC!lmsOmf2XBJEof7MR+d!o4{ZCQ%scMZav$uG2zm0WY z-gx9k2KU^S2~XA3uROSMu#8Lg(f;#(jcs`WWm{)IUAF4}^F5aF9}lzbSZ;P8CX<`p zDAX!>ns(&tL(VIw{+f5{`K)-~wbpU}8r{;j`_4+-%xS;l!Kb?0mPbloa56IUOGqqm zv^~6p@3e;egT+cORE^b=eI8i%Z*8(WSJCrKcKWSvM$0D#F`WyX?YeDiv0ZX!Q{>&~ z>(U!u8fCdhe^~lJsWEKF<##2w48G+j7Z+HXm+hW^`|bwj`@favhlG^AH}1aowKd;i zapb4m^V?Rv*=LlR9ORher#5TLB90P8)#>3E`cAY&Tg(=@B=*3FdvTR8pZnoehv%I# zQWMT!bUN??3-A6(Q#{q4wMi>*n&&7@P&>0JWQBECls4~^u*@tj@paEdSG-Z#o4o5x zV~n(_;*3>1z6E!C@<QgZFBZ0XbMao}{|6QYnag7$dRcNO7O3e~9xGbAu6dqyh<en~ z((q}V71OwHalFcVb#T$!B^Ptv?&6!A#owfI?r?+c@u0aIcAb9`I{&m)?L+-L**C=> zJ^fwLZhH8_KRF)f-zIAw#@t$I{kzk1?Gich55^U%*bHL6oO&pJnpJ<Hps{(ukxiFQ zRjgjF84?%SbbRN3r=H^-At6T`&V)P`J-cJczqc2dCK;{d@@74M=gVRPmPHp=IPKmz zL-TT-j+U=%x>48nu+2ASH%;<beri`>(ka!Z--)do?!IdcnKnx%d0vr^UgMc%oeQ#8 zN*|f?DEHwqm4K*Mm!2HkwLWd@3cKqsf@UN}USDNZaQn3Jof6Smy8I?#ogXh2eD;i< z>Xz^Q_^<iWi?=U5*z$e(<9sLI83pFOuiq-33pgP7)2l6I51(9N<NSNg{I*{W@2l>* zR%-Ee`n%&f+Ha!nFIJkppzJm4wY93=r#jub^$*$i-=4aAZ%@V6Ut0D1>cV=pyZ3AT zefq0q^^yNyBVDiW`nUP(&N~%<SW)vt`NkbeN-PWvW_An=s6Ep1lr(sDxEu90@3sNY zpZ&`>s&;SrYqmC-@%LsX=?%~C%g*gzB>!TO(L~Xdmr1jg-s?=XI{9z+zki=UKfQkE zzraNmZ9C6tH|zYQQYSf|vdWkc7ber4^2g3&J@dNM$jgglTGEuS69)FW8Xm-)`> z&+hy$9nB5rMcOUfJQ&K48)RJ3<13sZQoUeBqhrc}y&lC=Bvvb4=;K)B$}cASXL%II z8g{K-r&i6P1?!9dFJH@NP$&BB&-;!AT>qa=sh|2oe^yDt*N5}7J~IFOUYgd#>o{lP zf9JhFP8`^n#-G5lY}WVrKgDnIiVDbl==`xvBB<@=f;H};6CT9%C<R)|hfDUVvnYRF z=;dm2{jrs3pkv&k%QYRvf$ggw?SA!W(vN?qcCfVWH`8-`xVDemaL3EXmwp@!RGgml z;qnPp4x7*-&p+W-JB66ymqxW52wgo*`9tvPrxJU<WLYxlAC2le;Jq0nv{qFjZ)tS* z1`F-$?-M>;TBj;ebM>{kfX3BmQI_|jCOngNa+S1Js?1bAv(hXtyhhygxzzLQp36-E zH-#F*Gxi@STl%cM{ap)Z-eJZ*<IU6f(tojjliMSv@+r8+>wL!aUhO67>x@=CnEl}U z25q&n-R{PoJ8r+ZdhMsrvnPQ+T#6hXUpqcCmFMgkm&8NH%FHI`dNvr`l1e|gto>Q! z7YoOZm_*aFM`ZYCBs0YpK02a*dd{}W++_u4f7vYhxghv)&x($Kg=aMmKWsT>+b@{6 zs3&WtEQ8vPK&w3*hnGoyEy;4Ye2qnMnWgP}IZK&d_nkRTQ59*cxdWx=yROYMu3xls zZqAmClHWULrKK%<?VKvW?~`tBFP*9*_$k{iZ0k-2+352c8?zMo7qn&^F@3f83(u^a zTkEoCxAz=6y|#bd<}BmQkJmM}&WPAJ^>g-yyYtvr+>PH@J4Z)&b<HvL%O~y}_f0-g z{P~UDo8#9aUslFnt@4}l=2D<}q1XoP++vl0IdY6^&9^ViwR`qsQ}D+bQCTWFJB~*Q zo~<Z}c^oYu)t_q|a!Bp?vCZA30_P&#XT@7;xkrV)n|ipl*UYSL^E4L0bIb*9xA~2G z-G7{w-lSd^J@-e?)zq-x4Hth#{xyBDE@jc4Tf$;eN?)qh<YxB8MkLEy-3z>3wNrW8 z+p^q(Xa3(do%4TppDpogmH15c^{>tQyw&;^cYSh9`@6;9g5Af+?N)ZFg-%~z9sK=n z!dHuwr>E<*_2qM(Jy_<SQBzQ~NAbnRnm2mN+crM82><=y(44M`>7UQvD>`(IU%aMZ z%XYiDIqSBZnc%BhTo?am-j};<&(B@B`yg@~ztOvxF0J{_yt5T9{=K^A?OL_0jHh}` zi~*V_BX{3n+&FisNproLW_)2V=bh^u?E7kC7F@pf>xuN=$z`+cKYpC<|Ie=a^|}-B zJAOpRf3o=!+^|hH_z!!4HzSh>Gw7@xNL^bK&~9zd#K3Tcoq>U!ffsZH4+A3u14Bb2 zh?$aHpjVNb6B@$Fz&w48ZUzXWoA8`Xr)?P{1H)cs1_l8X6LOgt7~p63#21&8Ch3)d zjRKwC1H$M=^-h*y&u3&{2xnnn5JoY|iv`oDj1-8&Kqi4Ox@pGumeuZMU|`t6fG`c@ zsfNbStjMMnBo-y+!o3MH353y2`~D;P;RkjGhX1Mv(;xx$iVfMc)Wo8kO1%ouIYpe1 zbBeH;w()sNd6EPJLl-+E122kCMfj0SBWO;xz~$^JLk0%c{R|BJP;(%DT&0d|PH{$J zK`P#`FA0v`qsGX<aF_|*e^HT0CdDV^Cl;j;bQh1;<KK0Gj0_2Zj0`*|?%EZFWSU+@ z9$rV~HV0}b-eh3#yA7)KAgL4Lz3erNh_t1b3aSIK1~+6p*M61xuWhUh3?K{(ZiqPt zTA7f{DM(4e;U0)N$DZy?)ly|(0AW;fR&^trQ(TgW*PK`0558M6Fff2HYP4}|L^dZS ztpcZuAo*7!bfK~!11R!A7&(N>QA|qC$;N3C#BbMwxZHS8GcbTLN|fzGF{y}vIR%B6 z|5>mwFn}<s-~QJyBZYNdUQS*yj!=U5EphKPh9);ghP-?>w8Wj;h-^|yY8g(GAbvwV zQ4BehR8O!Vxv3(FK)ywtpnw<zDFx*JAR7dkuE3KbQD+z+20>EfaukEW^9@+dfrJri zUkPFk#6hYYtO%bW^_=h+hT6zPHq4S6$uK=|lM}@pRyL61#TdjGLfIG?`uSNI^cY-C wyi3c%3Qe8Ni!4(;%d(Q)QhZFaT@C%C%nZVcBDKp*bBnXwBMMy{vlGj00ldvG(EtDd literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_placed.dcp b/proj/AudioProc.runs/impl_1/audioProc_placed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..e00f9ea8ccf8147fb725711b36b0d3243e944247 GIT binary patch literal 541642 zcmWIWW@Zs#U|`^2XiwQ1rFdTPOCS>igCYk513LpJLrQXiUPW$BXb39<^ZYfs8GEOm z&YSHZ(suo8a8Jb6ZULVd>&`hY2Oi9rHk%=SlN7JPy5$FXqz-JozfVQcD>%dCUdh2{ zbN>G?c@}fz%exJy56t^8Y11D!sZ(7g<<>K#q9@si^*j@e*!L_Xl!@=G<o<~wFUvk; zuF}_$Rw{q^B8?$tkFxot@KmFHyQI9I`~SRoXxqijE6%oQFSu^v?WoPH!>&DviCw;N zRnx<#UyuHX+R4P%)39pAV(}jNih@KJ-DJ=27O4sUr%Z2u@VTNy?D8vb?W23LO50PK zLS0v$`f>b4QHfcOx6+PPmYgS7ztL^z;hC=L8`rXKXWu(taow{DSKfrJ+4DpxYzt$| z@+m*(Y*q8{mPvP6t({g`wfCmU+UoqTuTI^T&{==t;npUz#}8VJXTR~hcI<Z7^9$z- zwkFnmkF#Ga$#`*NMOLR|#J2MOLm3Ob>%-elt?GMPYiu>m?Te(1q_5u9?vqR2zMsFu za*O?uoXb8vPqG`It&!c$tz~fBdgsku|9QB4?q8GJCGjf1e`$D#k-BSJf6@k1lL;$X z%lP{f=E**Fp2acQs%P>YkMCO;$|N+>W-NcSq+s38w05QG(J=yshi<*S#lLgL^_FXE zUaP%yJG1Xv^==7nd%H`!zHK^`lvn>xz)9AzI8#!s$n<zl-U;riT{9=u#?52NExY!D zQ8cqgNbQ4IAb;EZj>gTVO;PciFP(kpGA*(C*!TJiliqxEnU%e6mj0%-2EPiJD(7|j z9VqHuz`daI(9u7PZz?7IS*O2>ez9`}f1^~(pUo*v)3-L+wts!ye0bKp{eS=Dvp3&I zO@7bWblR3NGBE6AW?&Ft;ABWFP07p;D9TTcFD@xf(kla}!+C3TGioRK=HE8pX?y=q z)F!WC`jT_*=3lO;wu^eVD`d1MPH&nx!)=9<<fJ(!|LYb_I(8|Qeg8G*$?G*P9#%Y) zTV3?3RQ$oS+t+VSPrG9B!96qeUUr2+62teX!?T2K)|{MdJwYbq@SX|TI|`V-e`;`> z(BiH-r<GN9io$<;<+7?<n*3Yx4|9GCE1UIT#UZ|^*GwKlQnGBRyg8wNR5pBma&W%S zjfwrO2cyn%oys}Mc*t#WMRRX$``XeE8@%qWGg+vfagn_#B2q$I__Sc%<ltNTnzqjs zF1e>?wP4ChCBrI*!-_|zuFyZ({OXcrS<ua?n;%{NzC8Z4)S`8h^(LL0Y<Jxt{@)(S zUb*@|YY+ZR$ok-3H%m&Z<GtbGmef;5$vf9NPR^OsH`#BJ-{f<Xj>+hkh;*7RsJO}f zcbb^SQE#5M;)q96AC(E3$yeSwdiD8kiO^+lnaX$TWW-)rw4l=Qylt%i?e-s6Y=0*2 z%PW$2Zg4Vj)?uCYXtvv|YWp?|O-}AVx%v2$Tgji^8d_>6S4uB5o-ji-E^lAH=6r{l z<+84l&l;ypG?}@(tH<Vl)>V7d$nBjh!=BH`z!1*Dz#xnzax+pO<qSA}C&&9AG7vd> z|GVb9#cFwtoh#mOvIjm2Xqq7rKW*LG3jxuW%1-Rt`?lwgXVkr0pRK=tvAJ{1@JZ2S zjcqZKFYev{`gqIaWgiY&2=CUO!7=B+gyI?2&25%fLvl4e7yYQXaCXN*Ii8bZoIadB zl3_w_C8rIpK5w#EEfU1*bL?@U*wtCvmOsfg)at%|+%v9h_un`poi3fO6$WQa8nO;O z@$uyGac3}I$rICH%V?t$$0flayn+A3zk@a`Zi*Ay59}6ya<q8+<(YQ1RVy#KRW$zN zJdx?JpYO%qhC1O3zZw5tTV3oGqZld8UpV#8k*S}A!%zFi7}^(JwQ)(lSN2V@$%*6P zC+W{y?JqgG6l5Mh8{cr;;ljZO{VUErEq=OCEN<IsKfda`_Z|;LjYVch1zuRT&Y^B@ z9ZO12QfRJDo=aq5%k@;%a<l!DCIzc(Qa&v=UE1XoZ}IGvx+15OuV+rE-B4S>pq@H| zZE@BtSJ7Cbhh6Cx^-&|r_};SGy$lQtI~dR-svxl_F&ADTfFg=<2ZQ_nh@6TrLkm|Y z1u#f42U7}(1`~g^UE214r_yV8g*_LxMCZr*=RUeAmi_8|@5Oq9?6$6QnLT^w>Gq_Q z$y{|`eemwi_syE$*2I-<x%FAz{KfiHYdz0zTlH_z#w*f-+dMgK`H#e=ChO00ta~+g zqp-W<w_B?Uf6Ut89I)SK@xz^2!Bf7;MxD8)aaK3t^`ukFqOE(^S8Yt4XZ*}8?O>L? zNU9v`YA40-mvUY|R9PRkyvMUI?Wr89x4F7{u7!hYLPiD#NtE=N8IVy~>|ao#SCm?i zlUNBag&uP~-o$ZQ$J^&*xQ4Njfzd_d5RX+MD?GH$p79JkaXy&C-#74s@0t(;qacGV z8;s008*K>rP|6v|uu^nV!)Ylk38}^uUS0>IWn4TlA!gS*+@8&HILpd_>a6cSq91-> zXJGiRiXI!OiA6b;dKD?ja0l&;%FTalCiHLL{-h0i`8frw%i?a$dp|izySJb(*TZXe zOrXRTm)mzd7WFNe^F!?KdvoWXsyB7FwQCl1C+MD3dH#{-`ONf;=$Fs7UfgPu$L(n| z=f2zH0y*Ej<sU4gS2^*WyS{76w?CJUUfsOz_2&{Z|E9_h23^aZuY31EAU(x>ms<Fu zqPc$!vNsin&QCx3`H$=0^n2EErSYG6dg6E8w$1<lFJ;Rq_Sy?-)6)dlKK|g$Y3umB zbJZH@3m5)b#x_;1^;Pw<{Bb)+tbfsu^V9#y)}56~PI>xl=a#I{zuyw;{k6NaCKs4| zIJobt*t(wPX;OB(b9LD_bNp%l^~YLd)ud)W`6t<Hd!>DrO%_;l<AkHhOxq<1OHQyC zO7#3Y@XMO}Wr@|i{zDeOEM?BNKdwKgez10iL;VHm2eBqEFQ0yU_KV`u?z;8m_22bB z9WPoaZEjz4;oyV6JTmX|`-Pub?E8O~^WWFME#3KkJAPChdTOp7Q)m0|l?7v6?80=T zND;A9M%vGn?*9;|eYi=#X%f$J<1cp~XWU?0e*f4rfmvn>|C4j}Wncdw{b)n8#WVei zXO_$KDwjP_v2I<sZoAvsYkYcDd*v54>;Ltx2-)%BwWLevwblh12lzMcm^U>|`LiDT zGf{rE<5x`2i!8}b{p&R0<tffP)g>E4Ect>LF$6w!2<VyQH$zuID41(`$<fB2w!+Ke z_Vo)Kbb63+_vD$AGPAfUMdWsM*7q~NWmhu^we0-kBj;G3+J4tnU~9CpmQ<if$L52K z)gdw+_C|_gkB)9K`*6RK&2rf<+j+VYt|tp*T)rosF*>d4JKtjGrbxvQPseQmnLSo| zvrnG#lK&rb`rF4-3wjQ?ui5Z@=9yj34VE*0S4_C()ol4T(R#5MuPR?&z|Tc@c5y$< zR?B9|jFi|p<xTJt*M)~KJYuV47vAk;p69agQ?v2Zt-Sl1=clZej`(or#FEF%p95U@ z_tk`^20v=zJI-`SW2$nw-Zq6-Qi<KJijf*Sefm7@8YchKTvDDWbf|X1q9a_#I9Uwu z_BwIY@hvJWFy?!hJi%c~O-q7NQsR?KKlB5u*bi3lY(IF|!uK2pgN@tcj87cpi8A+W zWG`8Md|b!*$YRElz!@6~iY(_Q@GhSmIK}NU=OG^X^uierTubbw-5<W-S!Sq`Il*qp z<sY2#{%y%`WYz391{7ufWpnpgrrERjw+64f#+v&-xR-5jm}9Z$@a+d|v2&FCuDp>I zb4gQlIDY5Yi)kP1<}A6FxjoRz(f^Qc9Q$PT8LWj;yz<8!c{aCKnE4*6FW~;cy1i*m z%$#>cGH;?5d{aIa=Mgh?l7wH}wW9Fjdp(Slif?RJ6qP7H#&l|}<*HL$Z#-6uh7^Ua zn0mzRUC)9ouh>tY_A7i)FLiWQ+@=SGr+I&J-+i%dd4tl$!<t*RvKWXjjqsdQ_~lFF zL^Gp?RVxj3rtNt!O<I}T(dMnmr=5Y*=G?6Ef6pAVFv!`EJw){6<Z0~_qI3CDf4%YD z^ZnnMsg5Of&q})Ma^yD%6lwRaO>JYawY#rz!BolVe&o;hZrkP_{(WIHL(%CoCodN2 zcBw8Zc+{hEEXeZ98?V=YTt#*BKB+u>@Qi!=shWv0+amP>d!DX15b!_Y@lBDu`G$+` zPU2oHJ*7lv${j7|F1E`Kk~u7`3!hArj%<uldXQ6lBIk159PxQIdme~M)Lc(`yj5e{ z6y+JKFBO{|x@q_;YJtXNj)*7HAu}y2MJCT;{xm5%A?r&|*2=<kx#!a+U7Zwb)Xjcc zY`YLoa){sI1fGLDUoRPaFzRY;IQ@C|i^V(loZP@J<o0XRy}kvx7rs3eHI>nLwegOA zt5(FT7n8idhUwh?<@@Dc!0h0yH}91R*gfSnT4l74O~7u}p+hHBt4$vH*X+6SL9+i# z$cm+JZY*2I%4xsQNn_`og#B)Fjy0WFTD^O#-?S624m&BZx(2c=J#(?~sN6-#5Z^G5 z#(83rPZu6a*XfB_S1%l76>_Ir;5_TjHQal33!9c#r-~(|exKUeI_dP+wN*9kSJ$>4 zK6OvzM$hAzLvy?zUQ6F%+)>3X=A?Ij^{e3IZTBq2UQhUVoNaAtjmqj?qg_hjK_70O zdfavTi~5oiW?K0hdT;z<Zua@T*naCo)<gd+Om_S<ns<5Kj4S*HXE)T@ygjsGqu=F) zqRYI4y||3RRC-H=ML!yE|G(=|Shw^24dK=<t?k11mD`oA|EYd2%sv_YU65VR&hr(6 zc8@{Y;g36x&)>Xh?{Qrvw{^3UrmxZN)eNk>yV~n%ng7PFH#HR{rry;thTR;e#kNZ= zDGy}Y@hn*8Z^?6hk41?u{7d@Oj~4u&u%tlPNoJX&38%Zjh9@UQx654E$UgT%#fC3W zO;}difAA8FJH*X5`Ag)siZ5pkOV2KSv|^R?nwZve^Hzpv&b_U-<jOhcds{elZ9nF^ zTvTB9`X$HSZIo%4)?9E_HKX)Mm%{uK=9w#Ocz=Cn*B1%VeEH$_n<swai&VEf3fRQ) ze3tk1nR+V^c&*BvTI#l-Z=0M!*Ne6&9hI!2HJ44Z*mP<R{Bip^>*S5O`+xMePCb49 z@V6tKNq6#?qgdju-Pp8kwz=@u^?IheLL;ZxE>SO&zIy)0uj8_^cf=-@`V^d9{DbFy zNzpx~cUxYv{f*mx{r2BujnlRi{Lp22{hjyvo=FbV_RN1a|An>G=HC}v{movycpCG& zDeu3;i~I|(AE|QdueaLZ^YO&|)K~wH|Goct$N$6ijHoSzjn7lclOz}zy4V>Rc##`0 zsBMI~x%rPRCLO<jf4RVe>O%HAK0YVQCdKLSbxnQV{>{pNwlojR_P6tPSZ_3)c6si> zgnhp!PD+|Aa`nmnV`q{!Zk~}g`)hFESN))+L3XiAZx&7Toxa+>>2;#Lt<_!&AOH1z zc5L;1_UGp<lP{AKKYzLAx%Z8qyH0GHJKM2JcKOHLqF;sSQ~w@mUo-9U{AH`BpUg3d z|NF&EC%&)zSa;>VsJ^t%q5FzWYyT?mpY6M>`%BT3^~+Ark5_-35P9aq=kwN2>+k-% zl=G>P_4M@|OL<#=`#;YU41E0B&(;53|6uyZ(?ynZ*IznmGXJmH|F8B%Kczm%d!~Or z-~HhHRr#A=4)32_7a(!6Y5C2VKgqvl=}ueCzdXG8_G|_D+Sy_M%PnPn?RfYfA2D!V zeU&RuF1_+&FysH{8b5Na=IgopJ2rl)+{hEwe|f<M3k@H>w#)hU?1m{Z9_D$1d!F3c z6lyTL`0<aH_+^i;vS)nju=(wO@N~_din?e2_wC?$^!!u8o(IaGa}4L7dA6T*^`S$a z6V5)fObL6QKjY|s(fH(6-=|Ohlz)1#|A*<UzGMAI-~abF_;qHw*mrev&p#jQ*%up{ z|2>=Wu{^w6r(#y!Y&+IR$9G;ny8hXtpl<uMdS)zFRUI9Jzx?sv=sj!E)mQeq$Ksn` zKZ<e^em7AqB<)A0k@Wf|d-lTrHg<MC?90OsZV;YRw`X7H`biZtSj5Z!p6<UMc%(jK zuUgZ;qn6p*_5J_xcbolIsa~iV@mu!U0=Ki>hgo*tlr&_y6;pGV$Bu7zSO5Pf*Zy7l z_f`MQ(H;r2w_@dYb<#E*-O`a|z9ZfAN2V30V{+!iOKES`&fX}Pp`-ikR?ptz2(CLf z_%d{Kt8Vw~E#JK<_CkdH#Q*o+p8DpHoSAq(?F}=(Dc`YoNypmWFOXOCwclXbaq1!$ zUtHK~))`aUwyel6{>Zp|#m)$CSH8S;zZP`=W%+aD><t!^YyJn`@0P4)lzOfHKz&)x z(!4hUATfKRqZf8<+`eFA9n-&!4-Xlcw&;DH`p?-cI`gC4Eaj?p1KEp*N>-Qtt=%SN z@3&Dv>LSy&vxnRH%&Y#%78kg5e>)~)AMj3gT~A}ut@(E>=3Qd1|NE<dLFq3;-rbu1 zE7l$F|F84UHf`Jd9rb5=x5XzKobUhB@;7<;Rfc6hC(d6{f5^CK_u7PM@;^C$r7zRj zpQb(IiF;krznz-->uz#A`yr_N>~_xHk|^ecuKShjZ(m&4!aTcqnZ(*_$GZ=!c%)T+ zGx^0l`(pTm{m%<E4JxC;n_2H)Tsrw<6u(~C`b*N&)Yi=Xq!ReT$&~5wBF*NxufsTI z8DB4&m4CoWX3bo+x9ga;v25<*^L2ld@?9X(uI`uC&zyiCYxb^R&}k?k?OXmPhyOy> z8THLS+j95E^#9-WEZZpP=MRgX{$-(M`y6ku{n%G4wf0)gt6a5z9L|e8XUV?gE4sP; zWXj`>EB0L6ahy;8_uuZ~sRc#{88WRn&R(o#|NH9Fm(^FTJoWsU3l^4lI;F*m7Tzh1 z7VV$>JaSi!@9|*IsEFMi!GSwvmMBWhF+cl;#VV#q_g|>;oy*rlx<YhxoUT6IaDTmF z*F=e>`mB2w2c#LLK0Km!if8ecrOQ4ta`SSVzA+25OH;OGf0Vh`=eT=9&b=#J-W`;` z-(n*;;m>id|FP14jvr5K+4sf7j^VJ6-NZe|Z?*m|*}?h3F8-8NkHh!1am$0Ibh72Y zTE*CvD52;Z^|qx@N1#!_`2W(qmJ=r#+4TnSG;>s&ByJbByHfij`H+vQ&gZXI3nkhF zg)VbhFZB2?aA;@Es)*ceS^t>%C93y*no&JPFZg9w^@eBGQ_h7e6}MkHThFO#HSyS$ z7e@qo&V@4-cV9}?ntQWcLsqx&;Ijp)0ykt_IQ6%yc8lk1zx8?P%0itYrEuTam%oL= zH2ic`wLs1{`5HEd&vJcL;?8ZBEAL*(Pgd9$8{bvs{BZJi)vdRG<?<YR?(P4xO~q)< zg*M)kUODDH?pmLgEs<&c_%rCr?JBn~kF5(<zVWJ3``)Q2G1uJn=*uUvp6d(l-~I4m zMIT3=cUkSpSIkw+t30c(i^M-@shzI2x@=eV6hGe^yO%xXRDZqO#BuxOj}MwIt~^;) zc_;9*?2L2ogn~J(V|gyEJX!U#U<t^x(XWaEtTX-_&YiX9{mfeSWt(^JyEN^GgNt8- zpYOHrXZA6_{FdR#ZN{!!c;J~rSNZBH6Iq@g_m8H1QC(q^v~}*aqbkCB@_YB3I+?Tc z#p)0bsm6^zgM#GNOU%tJ{`Ix|XH90N%=_JUFH4_Z>ausw((IgwS^J**dL~!IdgaV6 z-n;+wb8qkUGajF;%G|k!Q*dfiszTg<$zv|T0XclL`W%bjT)wj8YFE74X4ebxJ_n3j zYiDhlajj|7W3wq**;5l17h28gIl6fARgTGbYG;K+=5CwbR6N`MW37FLp6~OVXZLP* zOYGb^S@5mU<u9kxKCiv3S{L=``iy1nVbycjeKgL~^G(`dnUN?ryIHI(dhNl_NkTTC z6fdV1w0)JlvAi{LaZP5mYW2>qkvw^CBW6on^nLcK+S*pwWcAxf887#lsWW{JS>-qV zPHoAnjF_z^<>yn?cIAkOr_ZAr4V}|J?C#xL^7nQB%f=S=uoIijeB)z2+?b~v=YB}o zT_aIyvxe`XQc<<%Osii;&Q^0NTgQI=)1}QjvVAhHdn6QEWM3{h)hBZNTzR<veQPzH zDHFa0sLYyTskrlQ%$*l;Z|A5a`ZV2gUzpMKoat$Ww`jNbzLjiGeXn{>&WKe%&2ISN z&eW~<9n&Lct8ICp;*uJA^$)}BDL0so>@a%LTDUzuO7zsC9Tq+}@~Rkq&A#P-B<sVz z#RreCI2b*}IF&i6{<gcs9q)tTwKvUnPtrK}C@)-Qn?%n#)^2~B%n41c6IQ)=yW+=b z^*>W)2>zaSW2W4Z%9+Z+?s}nup&>?>WzJ3Rc<cMd=Q~4ic;c#`oN1p_L--Hentat< zr2l!S{lZH5jgei<m*-he*4ejkg>mR#7UR9`3PRNj6DA8(&&XJHn_d0xqK?d;5$6j% zd#1KO@L6*1VU=gkNB1K=w_J{%`l2vFX6BRj!#*kJjD-Ir%n*#;dSm7z=EC>83^hgj z7Bv(Xzvi-E807Kh#iIivyNyqNlIz;!JCk#IdD3HX>&v_wzNJ*I=i^NQE8$O0mtykS zv?sjU?54lLWPQyZ{yUH7DZPJGEA+L_Y3YO;xyM>PpXlWIbnWRjcKce_H1nQw<^9FF zyvpB~{wzOJ|7yvE@&dPbtBON1+zaE~qZscmJ7VH@BlMIxUs9^b;Q-g38qG(N4$H+p z<ldY0sD!zb@A~f()6L|%xf0#2wl=KSdDl02+bi=B#ZKFzH!122Go$u|Pb)1px|(h< zQ-7{aTHmZ7OP8(#Q_p`3nZGd0^UeOpPR}AVgDhQ?R@ZD>{)<QL^U|5>3^NN(w5`!8 z>YH49HGM^qQ1$N{C(kh$Jrw9%^XcZkSH@G`*jh1e{GP#j<iy^FyvGlDEvD-4>`C7C zev3?;+v%wlym=otW%?8yJTgl|{wCk#-w&S^t~HTj`MPvY`6I^3#xGu(PL)1mP^ct1 zEx-O`%TWV?32F--sF>(7t1F)JdR_3<BKF+!7pFdmzLq~Dnf!O_>X)x3M(^;l&lPL? za?LOF+)g=`OFz55|6zM?dD-@K?7mYyfrqNttZNLDF3VV7X|`ON)ig`@OHSazS-K87 zvv%Cr_-^9V8MgjYr>-a#t><eJWIfAO_WIWq7m0mmo<{4u**Di=&3~SjPpWgvpUHOL zj6QvR<CUJ?&b?_7W+x`bsIfH`?0fPl?#d440_~l(J~v}rS)&uzXBy4Vt-r(k=u_#U zIf7Gui?#ajFkH-d^`=3r`-z;xYV$Q0E?j8l?|)n8U{bj~vP|mYg$th<^Uu~<%v$NT zR?_$0l+Mj1Z^ML{9ZdR9x*wO!UD|S@NM5(G)Bf0oJzKx+bZ&a=#oxE<co(C>%=J7^ z9Jja>s|PnPzN75?@UZie{p;*B78G65D1CpK;iALM&r_$~=}u^3IMo=GcV{`{qKBKF zYa3TOFisURSh;yFTL;_O?-}n8-&?$&U)lVJrPSHxgMU>mX6wuDHJzVxP5jvNi#BKX z*?X^cvb(1l?rO^BviPNj8Ry2md={L62~j5>JS$P(DL1F-^UtpD{OgX_{RyuVKHKnQ zF;nIAuy>Bv7dzO*U;KA%>*|uk9TyUdHKx2!dplR=?3O*Hb0lZ@yGZ>M*{XNCHu;+0 z<PFP>HqCwRYR9aY$#|djlEe-v#j8&1gf`uMI^lJ=^{K{(=RWJWhimy3yqU25%to%I zO+8DdMu|N*s`I3$S}S?W<m9tIXKt4>ah`A?;F#?8pHZo|qFU!~sC?~gY#_heOh~G0 z*W+y!?3v-pEcTZU?fJS$(y7SsV%7nzL$>>TuD?%R$DY)3q|T)5kha01Rjl`~YCSbq zx}4|oW3GLYxs3Hg*%EoZC&y0u%vsc)`TtkRXN}LfA|B7R7fN<K-+Hd(bf-(W-2wkw zSv3!x9Zcd+o)LYrW9g+&Rw6fjRQ9*+0wqZARDoSJ-~PHD)PA>Tkwx!sea`Fam*u%N z&)K(WrlLr~r<`SX8WwGx*kSN{&c<llh^dZ83k0r&yM1Q3+nLo~rlzzqF`LmmBmLej z242NI@4YQAOetNI8~W_0fI#oG;|-H91aamF71=MCd|5@Ho`24{>09nuAKzdjayun5 zvYS=jc%Of#+3zVu5+2XJqt>6{FF9MXNV0#9Wav)${);(x?>x+vIpKaeyg+Eyna0Ti zrpvzS|6u66(RF;EMqbR}2k&EMUn<-eDV*whEbxETWbd$K2a|Zgx(C1ht~>PR<oj2L z=V{H%S^j7J9Ib|<9up>ty?Wc@JY{2?@%#?m*Dt5`b=ZBpnRZzDZ0|94rL!Gho!%_j zdrsZFSz*tG=;kGgUCZ|fM^qO44LxvHL7-o4<pULyRO47D*R5~g-um=WxXLj@D|PeN za|f?+-eA>N*(T1UzOd_VsZfu*_nN@87i)#j?_GWG>Ex0%%PKw{e*7tK^|6MGeP7-k z`&!^XL$@|^VbxCKXTN8v#JEpN67YED{q$X|JTvFw`Kl&M7bPD4+SBy&(l+Y^)2yQ! zk6dDPZ>~R<ka0UFXURIZ@L26L>%w2DzWpj$Y|6y4SaTNljNeHi@@Z4Ae(|jKxBRiP zt+B*JbL-{1OpY$AZ8fWtkMt~`{P0)C5-GMz7mr-`D`)?aXZh4#{o%>0@!8vw1USCS zW%=e*vG_R&XBG&}ifNiGFm-k5_KK+9y4h?WpPcsY(_@KWC3O7JlI7b@y`JjyX!^ch zwRov}!t1kImjp^EY)zja`@uoxiekg2eN$$u$?{zl&)?hmZpKGOXIZ})bIs=MnqF~L zLZDYo?}5q|34TAP?yYOXCh;g2Xhj_{UB_N&8Pm4vw)*7MDSbcwiyie_(7UA}cl*ZM zDawa)mmIC$-TO)}dXwRiT$NL;SKY3qEY=Wb%DuB_*9o(@FSByq9554#z8#S(V-j~< zFYmF~<2^Z#LmyYYd1!WtY1`dn$8K!yzU|TdJxp3(`ubzJJmo;W95X)Y>yPd77OT(Q znbG%f$Az5DH+wcI%<erhHEninvWT*EuiK-b&1c07ygG9BY?WMRdi%|@MG`kF@{MD1 zI(j45xW&z2`ng<C(N?*vbYoOS-macIPJgB?+}5%&vLbO;s$Hjl-2t(xNi&PDo^EY? z960Y>;BOnxGc#X3zO-+B@D(fnM@9jEqa)knZTvSG1*ASbyNAd0{Nx2Pvz5*~nJJWL zv-0Fb&4Ulcgp_*JH7(6v#s;2jjM{N_o&Kg)^<@$fB1s3tsxD0w4*nK;B?!zkerzT3 zRA58vzLF&eoowdcOv@KJdiq$#XY0h*d(O(KceV0<H`-TtFKx9@s;t=^%^%w;XUn>$ zaPv#=>B*n9{icP>whs#qp8heda`s<)k*nD|+FE!&n^jkyP*dX-pSU6RURp5U%_DV_ zJ9n(f*}S$bC+UvB7S8CW^VDN4b9Qy@*zm=M^R(mT&?8e@Z_GKA^=Rf#t!J)_3vPJ$ zKPtMHvgE~gUg?*f@j{z7ADybwBIhqud?RJaivu9R)N{Pm&yS|CM!Dy#PTczLOv2qs z1$s_zPb6;gubfk-bLv8fd3adYrMX+a{mYosu}>oKCS#Scz><$DF5<76bkF>@JF0Ky z+j77&!-&bxNu=r8Mb&S*t1d)8?-0H&b>aN^<d0^N*0p@cKkW+biaA}nnvd0S`w9-O z7m}PN*((m1HKZxL5DRr>eA~QW&9lIr6PBwthdDc5(AApE_9{YWRfK+ZNS<Q~1FP+; zwX2U<KDxL(aQEE4*|NJLcfOveuuOlgjr*UUX*H9rU(Z-!zFlKcmO_!P@A{tfUFJ`N z5?D9Oa3)<ka)b5q#v74lao?8Raa`TkSv7eY-wi|k4X)bTioE<!ygHj3xJl1>+G7W| zB(tQ{JuH&Ob2skLPrexIm8f&9v1i|>1=>2txc+Obm!C3UsONCr-ToK9+3(Hri+NZV zBB^cv?NwciWNoVb^oSdR{{rneBlo%qxs}>C%{}Cl^qJfGvFM2zFMFoSTbJ4|>bY`H zI_wy?k7{fEl=BJGVn6*XtNN^ITdCslQv2yn7ER;1n=W`b?);ZC#YSk!m#H6Pc5UOl zQQ?vI_n6D^*`KUE3X9Z#*}nM4@_T>l5jBOfOWG4mE_-%cZ?5?5`d#hJ3;oB1IZrly z?Em%f^6E{6FY500CrsZx;TF@;Qx%*>X;zOHB=FoWwLjFe&uE_a^}5N@|KFVKNew>x zaO=5<9%jkfRGaB%HvW8l%w8+`;qiy2tA7ioeVO`Eruinr_w)OXXb8=7ax%NGH<i6< z!oHw+!U9H@74DZ5eU=QaRA7;;%sy7cx9WI|&FlLPUGM7FOgg#Zoe$eS2T8%*n|2f| zitIlXX|egyn%~0DzfAoe({M3ek4t&U3uV7+twFDTuT7FU@k0CYPJ{jWw?KilG^lIY z(OvS1Zuye@5;e~jRk@sc^(0Mlm-0d82_3gMj`MNKHKbUjOxz`<(A}u8Wc{4yXSN=F zbj;D~u=dKpH5nJzSPR>*cJ48KY{_nEJa_XBZQtJlb1sJ8D{fl7w1!KG?~RL>fYcNr zkebpLmdujIy&Ju%otnKC_1ug4I_L0jfn{6v>EB)^e^*}0k4>V2O{ntq?PA8DG^^A? zce&a%!D7meUh$IW4J!_vu~nI0A2MI)%3Kb)T9<oLKV%*!h8~o@^07-%VcvS1=M10a z&fl#2kW%#7rq)*I$d{=vMczgSoLQzmxzy*)$rP8I<doxYnrBq+GJkqV4xCzld6f!r zr3FX&%L;#8wmwIl?Q*f4*;D2TuYN9Jis)nfS(9pIC|2!qC*)_>+xAo8JqLg6G3~W# z`aWUdrGkw$dMkhHyPUV$^R?HC>3aII&ys)JKmR<HBQ&A^w_9eJ?tI1<elGl09cNd+ znb59~vdq~lone=HqDOqBAJaU$B6dyNy{G@Wo$Y)fdECspY^5K|Pouf(Z)9Hi!~Xf_ zsqCZ){lAwz<XQgA`Abgn?7N4qh=`_~DLHobXYV`r85hIdiW%N@?5uzF+}u=r(Q&EU zIU&m)Cbs{d*%qF%)b#zrira4rmwB>IDdWDb@m%t$U;KBustFs<e#?lmYSumHb6C6l z*RJmgHt{#lD9*?&zHSoo<VsA(yfl}6lV&PUFuW|;ow5v)OrIJV?pr<Kb;zn$k7w~8 zl$x3`JNBW8?2#WvbI<Qt-SD&KsS$g^T*2?XG4q42<z2bMK5d!H?Ht$T5579?@i}aq zt<dvayZL3a(D_*9`H>yHE>~YgeOIW;*?3m0LQ3gZMZBv{$%eiNp2Gs$Z#ww*E>w8* zXS#>wP7UqeQgOEzCy$!lexF(M!gs|-$@flk&d2L9|MvfRuia3%_rl|@+_Ha{KO}s= zYM&nTS+3`~ZZo5B?mMZUTato5hkaJ4O4xYzU9*&un()lFh&%PAN0KBuUTF7Q9(wQi z^sLfn+YY(VoXyOO+Su<mbItoH*YYu=lE<QRy^oS$d1KkmeY(r%yFUtjeRiwX{-BV$ zg)8<Xympj0VJ5ArrSLpy?QO?<cH3k(buFuT<G=LdRM|Nlv76?)m7TuN{BbJVm!n}9 z`GR()N^|!t`|&_!UrG6kRl9E*J-1&Ib9noqCuQosN3`|w=PRyfYicpM$*R7PQ{I9z zurBKV?w|Y?%D#e8uRaAVF7Yq?U$bjg@6LYpHQUSTe==5GW6o9OI?bQ+w0zISYa4X$ zzPox^=S)PF^O3Y<erdfoA^SFj&SSXcHKi>ry5Gtz*uMOpPVVwRag#|B-)8sj>FjY^ zr*!fJUxteAqW=d@uy4!W@`-=jZo|om_p7?9One#C7q+IdKNJ)_>vzaldU@r%IwRQ` zu2Y|cI6IgulK6wR?8j~6jUu&Z28NDwMh1SAS&8C|#DY}t+6B<k!nL*R4Pi&O+JDck z{uz@Hp|f8_?Pf`Esx-G@)(Wqt2h2Vum3#g!7JAy6A(!y!la_1M`@O3gJOY<ocdeSr zr=-=?dat|bM15WH`!{pn?|Sq7?(Tk<8TV%vr=2@9*Sh?a<@4X$vqD$RiJkfRz~i^p zTk7B4FP^>qcXi(C^xOZo#^x>FHutvO&27QE=RY;8`M3W6iyQxDrdvOMcKw|8nYH&9 zI<IS*TV%cM^_6vx@87ch+nw*WI%MT1=AZFX=O2F>U)gPC6uZ=G@5jq?T>joWAEEVe z!_*Dy=S3EN-M0Jf>|gy;gBJ(>z4p~xYHQA~-N(*)OPSQIm=&wKJnQtY{wX$Jb8de- z&w2aQ$vU5d+vd*8`dzX+t4w8i*5a^!tDB~8GS21tMw@74-dYs+IPL5F6?w;tCUI#; z9PZnFbFI|&oGUv*d95cFM{}#|x=LNyw7Dp2O5*eTQQPJQANH-@vPy3L?|fZr&7X6! zOym1%qy0*kXZ26f^_;afvb%kj*_zDo(<_(l6j?eg_OAA6UHjwfCI;J14LL2=w#zTB zFE)5n=GB;>K9!YCrs2N3!~9IAKMB~EcYj`S`r%(|rLN^)*)j2UY-(h=L}XOySAX5# zek)%r{<_qq`S>i8tgivD+vU%1dw*)9=-Y+YgcOcX`#){V1eU~lUG>{%D}T(FQ-1i` z@@8IC;gv1>6?Y%H8aM667FW~X@8+zkN#VM>WxwX<SWywz8}}!rE)&={cXDn~$oAsm zNvUV^0}lV=JKk`+ON@8b?fX-2vi+H7oixRz?{BT$IyTk%iXE<--MYBH@fYQl7tJ=y zOiH=3ss2T;NaR;#U1_s*iT_VX7V4eb_+C?5P;ce5zscMA<+J{_AN#2*wQb$~HPil1 zo+Bj}QX72spJsJaPyaTpeRuyw_I>!0Gv)rGgTnpyQvcg54?E>i^rHOM{u3uX6Z0;) zOxmAze|B;E{Me^=Qm>y|`E=_Vm&@-rd@jmbb}97Z&rLt3FnOh1e{zF$)7rDC##dit z+}M@6+4}9FIdj~M^ryxg)(hJE#iMg__~CVn3$(?#cSqQz%)S3;<F!NUR&($*tAuQQ z{q%_H!;Na?H}{`edDP|gB==X_v+nL#8hvc;w^~a}zP+KFf9=nHGb#Jl{z=NGWcO^f zG|0a7y?TmG`zHrK)1UdAM@8d(e;*9-iqrjly(Wo&x=GEBy3fygXHPxxJmmDc_j~8B zTVz?*ylMKzHHN&Q$zD<>xp!AZUT*7cy{n-f&CGY|_S<8Yi+Ub(g>IjHqVe{YpYNv# zt<Ap0ztqfS-xL1jW-dXqg5Ij1Y5Su#RZ03&wAmBR&5H4xXFt7@c<I!R*1sNp-wyZg zxxRYWT^seAuR6o}w`BO8d{x#x=gHx<ugoXS<g)X+v0`7_|0RmYOLcdvuC80MB=xZx z@9e)}8?S7NUV33p<r357yp~dOtJi-yy-j{{FyHa*SF~^co6Ec+h4=UOB9)!DPU)>k zw4YZz+vg?QoizK2ldo=ZJ^8rbPRCxX{)FeYoJTKzxjXq^ufI0IO69GYC1>P>SzG?8 zZhb!c$`<dS>bRhP^1pX>-u#>!thwp=e4oF+O!MxoSoJr=Z_mx-wX;oDX4$U|Ix8<& zwtG&_RISXbyHYQ=Z3?VEwR3Ia-)Xv6nX_)~S@qY)@6SguU1__(t954T|4t<Lo3D(% zn)iN5*XmpUUoCl+db9pq=eDeyZ_IW(`-L4&>;IT5_$@x<;h!UB8P^uoz1I1?bIyuC z2hwhze|}@qtnlX__k`X27Js_o&ENXV3h~cMt}F;>@{_tUb-`vP)}N~y#7{NoJjj>Y z(6B%I0MozN=3Q$ieX}nT;<A~P`@h|LdTRRZ|0^BlI)#3ypUN2dp+0+p{?Y&Lo!2#M zo|yfvFWxjK<?gF8ac^CNPoG|U{d`px&U|Y5y|ax!U31DyckgCQeb>#Wb1Hf9s++bt zk@4K_+h*Op?AR54WAB<dx95oenD~n~`V@b3e)r=mj@xh7KDl&UlIz@tX(w;L*%#(_ zsB&h}o4RS{%jBMI`BJ)jzv}b+?{~8`m$w{KT`NEBzugyuH;mKfuzuaXBC@+}|I_fj zuFckO8^ip3mrFJO(XE~^^|R^8dm-2UgsxomEkRrTT98oIE$1gpYZ`NkI;|5XeGAZ5 zzvr-yZ`Soq?U9yOUvIBkRC#z>uY6HhO6c2%L0>Mm`AUaxcej|UI^XSX*#7^sY%iVK zx%cSewcfMLrkie?W_mJldibR!og3Uwt}B{X6*t#S_w~KR{%u7rf0y-o%jJfB^6>n7 zeD=0Uwl(dx#};q96q49K_0XmzLghz`+HKE0nr^hUdDGjEVSd+=&(`1GbM*ae_XO9s zwi8=yQ(k>qz1{Uq%D%fxOUkb6d^G*INHs0$&+lETZPAbHkJfnmY(HXtw8+~=VO3}1 zw1|w(_=R4F-r1(8wkmInbq#TxdPmFe(5baT-fpX={0={|Zc_gAZ&if>J~i%hCO++W zJgvfOPgbYfBfGG#TOyJ>Prmy9K59+z-qaA$tD%urirW1aQ;$zBlFeJWf95tF^|wJO zNB2+2wb`hnCMUFQhx?kX5qA~6r*yPE5-hwT^wm}N<1W>*s7HAhZ>-qT796f}eXZ>} zX{qa3M^?5?4zQV)w#~#?xN9co(K9WNIy0Viq@_6-8ZVqNv*Vi2wSsTLsr4Qc-)`Bn z|I~9&_la|h*T?Rf;{TL0P$w<oQQ5}hLcK@rpZxz7y=YfNTBm)N)5o2v-=dOwetK;B zGP%H7_3KRw&h3wtW~nc#RN6Ul)k&Rj?wLVv12p@;O$|A+ZPU|#Cxt%Qi-q3Si*>we z9{DKn;%%YaBQoA=HXMnb_T$o|!*47<DjRk$_UU@kRn2pEHp@lZ1DDIWQx4U>$ZPaz zkyOlU^x46DSa(8a!s3q36?zjmj~;G$lz8ZAA$QL!rkMpZ?=sB{urZ8P5bkORNu7Qy zCUKe7=%LYWR-=U#k9IkziSUD@rXSZeSjU}mD7B0`#p$C;Nu!U397sy9KRUrl{6x#? zH)1DR9-X`d(lsN%CUVytomf6$y?&kN4^xE8HNrpj>#TTlmVfSp{r{&+{q%hJ@6UYE zCq93IEgW+;x(FLy654uXe@FY%95s8!Zr#A>oXsxY6Cb$0@L%Lw89#f|OGmr7(+ijU zy>%zM{N+h6{-{UF-aT87WO&<Xo2_1-zVXkTf75?#4RH?pt9vo-&z%*f|E5Nr^*p(D z-<#Fbr#_iEIo0xim|~d!RsZQyCo4bwIhGgss6%zv3eF(Eu1)683i{?GbyhF+dV9pi z`^ia3lkTPWKXJ>i|H1vAdv}Sc{g(MriyZ#6Wp_TCQ_Ua2^hmPKzfPmbWnaY{<8S?1 zZ}PW4{ZwjHb?fEtDf{RCi#zmhU(QLZzR08w)%~%XUj6==c|W7`_!D*o?<*qwT_30Y z*cSL&c3%|p*)NT0A03QK+BUzBJ!yUA^Vk0qOP=$7{~OA<fBuqxce?bdWAv1SzulXu zeWUZc`WwC2b@O}G-WcYeiu1h{o98!8*+Gvj^^>=n?$kX8Q(RQ{Cm5}o6h5P8<&!|Q z$6A%0Ct22<u$?w#0&`^UYo$#p3$JMfoY}eke)83Aua4EpRz}!e){70E5@Ed5r*r#^ zk|_~qmn?SCoZKfAte<nit0rWb%S(|U?U1H3JIj}7T-0^#<kX+4x$$6d(%$7qZW*b1 z#ebXf<HqaG%*?3@#qZ{7dX@MGCY{)q8`XKx$I6Q*=~7^l($<oyq{lCwMs@nzsG3cP zS}2rqZm+g$r+DxbiI<v&cY>2<EI$&UCaY>z?N#$ibED>3p_Fs3T|%}&Q*PActqe;N zp4=xid(ZKW7prB~SM@)hvHZxiEnX8Wzh`TDeenrQT5WEyy&^ej^YSBNtDb2X9u9uA z!`xu`a#`V&bAPM4g!Tqakucxy)A@Fu&}|#lZ<`kO2^rg}`c>H)YJ)8L>((W-H+ag8 z<5n`N_r6WJVRi8}r`lXY!|TD1rYt{_4ffVuO|LJWwK6)snt@icms)zANxT%8H0gU; z&S$n~nf{$?Gmo>(EZ#qJk;{D%)ooKWFQ&3j?i0#4eyOps*VDaIcAikWjq0}Lp-pFY z-uDVz6yGJZ+g7!#((BEaDL39}Zaf|QXwC8?=fGaNt?Bi}KQL*Fxq-XkOO1`^gOmD~ zACdF#ES@K{`?8kfvpaW0RN0nj6drip`8UqLXQ^GEQ1XnDDH6vYKGZ0@7yL+TvM5N^ z`z0EN2CkjoZB*}lonrCg>k;cX|C(b<79U~t@BA3D)=BlmoZojvRN0nld|bHvNTPoy zzm4j>?^AZX(=5Cg{OI!*TgPX2-Y?ZKv~cZ={4MSptLoOTADm>ivQJ3aqjZYI$t8=A zXsF4msu|1-IBDpUw(?1YTJBVt<0+xbug~yV_2l)MM`ly!cw}w!@=Mw@%j=w?bLmtW zzbxLVbKF-2oZPwobmfv|!4i`#`KI!04f({YmVf<jux_SY?rFbWu`8CYjal?Bsw`m5 zn&!48B^PdPezjZ7^HzI)-@MF|e3NEQdoyQ7@S2#DQ>%+!g&g3WwB`Z(cb6x^D;|Xz zm@IADx_R0M&J$d_V)R!l2yJMRUlwAZv$Ux+Rdh<6_UeXy^LdV}uL1(YJ}j<Y<i>h9 zSnEbW*ulj0Oni?NMDI)vI@mFV%WPVxLFFHbSVx-#R(rpd2`OHzv-fiCNuR(GanL_V zOJZu!!3W&0Op{sfX`JHvF`<6erwQ)MLpGevKG?g7>rI31k4P`>V@pCd+?8Gy#~R8U z_Tlpsu4BtWK5R4Z+NZHvL2^S={E`p@y`@ca<GA;5<#9(G+^Z?t<G=F3g-1t!xqj9< z!5889b_$o;l8_CDt53wSUS$fKFg@ttkt^*sPdf4rPEQpL;kWSl9NhMD$rP?>{JWRP zZ~DIIDo2E)qZjMsV6BLtFvpc%QCcfsb!}KT>r=Ft_YKQW*3&$fuRN?4nL2lh-|V8* zT6@i|^4wUqXo}h1DM1I<ZCYM*qgrb#Q&_;9po6D1Mc?SHUeIlBeOGQn(=JWXJ(GeC z&fCPLR;{~3If3<Skk*W8K?md3v&ONOv#ohBT~pM@e`Uh{?>u{6zF*fMdS`mj!Ja8x zd==~Gg)=gReONt(D{Wtq@w3nmY};ecdF994Ok4A%G~d{)%QJoH_We0u<E<uzq%SSc znEIz<<<z*B5<z#T%1u7!m9I4UoY#8>?}D(Z^>6Nr6^B>7-^h}_^t&BMj^*eTuk|Go zAf^e3kS>3F&MV&<q$5{t?$mn)pVBs6T0MW$rE1-!&2H7+4+DLyydO?H7Bs_idGjvg zmpZM>3*Npg2`~@Rj_IFlX+CR{-2AzVTFf1%>1oa0KWAsq*?7}AKfPJz?B@!8w%$@R zl<&c-Tbbqc`P>H0XNzvx_IfVA8{emWn@Q)ky7cQU)moBTIp#BqA8WpyH+#_$ZJk4b zi{>vs60!dC@rt?k55NAibe@p@vbQxx&yNL7sZe(9?7n=e>d}Xozg;Ce9ab$qQmH1Z z`YXn2)zlqkc^ktXy_uald!gQBm8+Gm=O(|}qoi#Yvnqb#Qy;7KCydnQhA)vnT^cuW zABf93?ZF<URWZ*+cY3V)+!d2_snXRea>nPbFX^1;v^UMVayzt0baMHMCthmrLr$L7 zd8jva#gRr};m*;+p>C&!1MT(>y&leE^(q^)mO><%pwD1Uc9<fN;2%BN^8%Lc2O zXw~%_TVkfh__V!W@uX$vCdd1sCmZLm>|Z`9zHbW8{qU30KK~q4_a}U6*Q%Vm_n)$w zw|)92IoDWYN25Oxs`gL!d={R%$ER)nswbgp^~)w5UwQ8Q+(XY!Jkbv+I-@poPq^xD z<H%^${7sK+rtUc;^eOb{stru8drzb+TojkE$s^EzW0#dy<)o8IQ*92W#4b-V+c(dP zFZI*(RV~Ik5A9s%I>^>7ouqy9>UZrnX<^mF%w1J|t+p#BrO*7c)oWhjrhQ)X(l#|Z zKQ+#K(P4PgL$s`=)pT9Lw~#i5BC*PVeb!|+cN^<Jy({_TtkUDXH;d<dXX5|DC$y`I zo%8fw@gHi>r*Xw67(G`DihE!lJf-67Y}dHdOMyuotBa>dywSXPG&resxr;fZW{lMI zI^!Rh)bw3e@|ka{v#n}c<>yR(|IVrNgzkb$?HFs<PF77XpKnuUy#0Da<$a;gmuHvb z<_WE~QLS1Asun_nr$o$Hbma6rA^pnaq*cq0$gEfYRpAx$(4k<;i@9N(M$grPr$kIy zbcDyh^W{9D%QmWUAFCdzzIv=tcr|!Yew6BoIn~i!LfyerW<23NbKOQYt;%c9E6t0O zJ>5I?e5}0o%=sqm{p`*g5!Go+Gzxosi>Ayt{rruFVY{b$=gDJ_KTP>?=5=S>lCTvl zGmBR*)!1m_+8I1gNPT9>6pMpP79S}DrO-;Rnmn66P%X8+s!Ql}&=iaJnuX`Ubyuc; zC*wS!>o%&tz?Gb7(3FUIyA;xoTYK$!XWQ33Pe|NGm95e%=KB<h86eMeiKvDx(b#w( z_>r%Fr}sRe&!9@>k><s#(leSt;dN)0rq>#uz@*OQN0R(IUGE);c>!uCJmOxj-UbdY zOHHpeUV)Ehl_!6*ob1(ksqWps7uW8)-AXx;|M;(_>-zX2)rX>Y&sP7|fAevk$z;FZ zdWJ8zyf>Qc_xs!V=rz_qxmKRr^+{{;xm}-()#jEyeePrR`qaBMXCuSol&?mH&r7`& z89px=M5J%3Vm%wYZSJN@{u9q!=RWhSIy7%r+0U!D7k#_7rsZ<6;O(O7kkr+BUoM8k z|N42ul&?4T*@YYKbyC)+{gc=K%zR^K-~0E)@{P`aO}?#}e@yS&<r~-Qq^$4yC%^xl zS;JE&HNSoSG4{vH3#%Vp*pXY9acB9D%sU%?WZv2NBlFIoADMSf|H!;^<wxe7)*qR7 zp8d$Y^ZrNXogY6k?=b$%yu<Y~^N#S(%sVnaGqR>XdH%TUr2pf6C;cC@o$`O|cgp|q zv{U|%@1630tajS}ao%bF$J<W(KmK>x|FPQ{|Hpl2{2yOC<NsLhtpDS*v;L3Qo%MhG z?X3S}yL0}J+s^qvK6lRlvDkV4$8qQVA1^!a|M=Z`_u$(O_VVAG>!i%v>ZHm$>ZHoc z>!iN-)Jd85*GZL6tdrV4xlZc))H*5i8UMCTUCzCIPMzI5+rKq;T7G5T>HU>?XWFmK zJM({K-dXYM_0ztq=Z~*lJ^z^Pn)qq6BkkqOx7JB*-(Dy6eP^AN`JOtd@_ltu+Yi*m z?hya>`D4O`g&z-GSopE!g8$>dh4YUYJKOiRx7SJWv;8%ZQ~#A|qh6e0V_%$MWAOQM zMbWe6A34tYKaQL=|5&k+eed$@Iw^iWSt))ISt);kzb103zcOutzg(`^@nZSM2N(Pw z7cQQE>@j=$v1HEnW5pcyz3f7DQvPaxOymN8WZKkyxLlF(aQR1(LkmBa99sC%;*kI2 zoh|c^F>~4Xs*Bc1`78c0nHT#b)262&!)8fAhRxIumn&=@F8?^^kpJVx*7?T{bJ+K~ zOVml7SCNrAuO%bJul~nmUeJ$Bn{^*9SL8ih{?X@<|6|X#`NtM>wjWdGZa-$sW#8Kl zGFkVJiCp}TOq*FBE>|pgxcp<wp@kox99sBs#UcO4GeIVE+xIS)sFU*7_+v6J`$wkD zih>NA0|gm28$VpGD0#U2BhO*~$BAw8k2Q1I_a2w7lRB?0BX!<TMv7nWkIB4*ADK3% zK3uL?_Hg;fJ%{`sYqrlnmdxFL>@iRKvCrK0z3h^8QvN1?OyqKZWZG=|aJk~f!{r~p z99sA><nY3e5{LaCSAtCDweMAzsgv^8|6?+*@<*o4k%A1HI|UgwXFpu7So3iC$C|_b zj~(0RA9Loh?{$~2lR9rCBX!<FMvC9`kIB5eADK4KK3uN2_i*_~ox}c*IXmVbQ|4_y zwwSN|*k)e)-gc15)_+Xos()nKT>5aiLg3Nzi^t9{y!h?>!izV~`!Bvaf4<vid;8w9 zhB_%;roSdpY`-#11ixI)(0;M}V#Wpk#a|c9cQbaf@BP+NC&kPC*Ca~fSEh;Sm&+N& zFP2~YalwDF=%V><#V+=}Wu0|Wf30Ms{@Th&$=duesjB*sS@QG4<rlvnF2A_`u>WH7 zj`?oi`P$vK^S8U%^V|3G$=6BQ+W#@x)$k*;<o$<>Q-V9@_s7Zc_1=@`>wPCzC-v9v zkBL>okBn2oN6x$J^V|3CQ>>GkYbzr)*Fi>VuiYP$UG+aQzc7Ei`~u{wn}_`ucX!Np zo6q0w7BA55_MG3oH%_5W>aOD-lV7brGQWV_qWNg~#jl4KUQ|8uRJ%Xyn~jasUZ)z9 zr*e;;FMi!V->qHHzE@6xuXmoJUc9Brua+N~C43(*PMO{@zh6$F&hA(5kDo6%9$k2$ z@aVz|!AHw4njZ0A+~3i^Y>w-nni7_emtWXDT7FUf$ij>MM;2Z*JmSB&y<@&xxS)Nn zopPPjU&lWtRTF<?mT(tll!zDJa{DhJ?`zrhBlC;I$ICCQ9xcD9d&GaSap!!u_X6#1 z>jm50%mwXx|0&f;$-4hBshaR3^9$d{%P)c-Ex+h_WZ}iiBMUD^AMsy&yJNoFe?j}+ zK9xGDxh{W9c1`<{`9-ua<BMWpMv2tN%P(vnEx*|NM0?97D;ud=*FPpzGk#>2NET+4 zs1{~?k^Ol2h0CMm7iS;wUmV>z-)%a`B(*vzTXz{LTQ3=@SdTv@zb5_2EYbRS`9<ub z<rj;O_%GhyIp2+4sNL<jP`jJIkbSS7YMoTA_a76hnLjd16h2;lQTAy0#a$N`UVL?7 z;l(o-+=IWh)!9kO{mR_p@a6O+k&EZuXS>+T_X_{5*%I{Sa>lwB%P;0#^k2-lc)pvr zn|-fZZ=F=G^xt0>Ph33jp6zbmo7P_^^;Z6`iImf?%q<;XF26YS=)#Lfi!x3vS8Y{m z?02tRVPR6W&cY;0W!VL#vLol6j|;cEwTmo&TO#1O&^5=t|C4%Q#uwwlFJGR-L>(!+ z9dvB*9Typ?yY3>sKf9M)P%67~eDNN88L7QaGM4LW^>mZeYiv%fJiPGY&BGHv|GK=? z^^J~v|EH@38DAb2JjwVx+o}7;wtn}W2^J>1(kx8;&n<O*v#L(6D$K&9Dn?^g(MFGj zu5Xs~yIcBLm{<it72N(~^QE~U<4b>l$y0%ePTe<d+Q~h=_u#^dZx6n7t3SV_RAwsQ z|0${<<4an>tHq)fT)Ii&-#=<ZM_c9y-|}>v`N-<-W1D~L(_G(3J)J1rw{=~xqvUFj zgPg~M4+xgqa7=mMr2H;)#w^i|+0)$pEZ*_@v8JxE)U<e5!DnGoC1T<Gx$4+b*Edmi za!&;wTzH}J;KH7XZ!al*ds`=W>!-EJt^eAyjDGkpbbVu8V{@wJ{=$oE?k}t?($h`4 z|ML05(0$H}x9+=a_rA9vQ}DN*e817Q@(hzh;U=l2^PReHeE3-Ma&=nhai&Gx$>$z4 zF|ujMW;~m*;LD#%<@60Fw?+Ft(vwJfw#Rbn{AlqQBkmdb&%Q_|HHbd%z4U9ArS{o5 zscI4ln{>^K*=AY(^))zlrE0-1zg-Vhg?$WC<IIZHW?8;Ib17|h!d}j2vl5y)*=D-t zn-r_f{QAbQSWVh;Y4%mqWwVXXr|e!M#-=BcbglU48OgL~F{Z`WX1IP2iY^e>F?b!B zV0XDS&95tO@!6_vZ%hwF7^knEX?*X@&9rB03|`;Nd$z`?_?}s@*V#F;Y3H(x)0fXQ zzIi2K`pnJOrrgYZ=3|)pZH8s=**SaD&eaL;Np5<4YTYr`bf1E|Eb%iQ{K#Xzv&Z8w zhxi<W;$0e(ImPFg6z`g4x%}*$ytH#kn_s+3JI8DM+|~H`l*lN4<LBPNX)BE!3myF@ zuieh6w{mu|c#Pq}BSFQRg2jLHY200(cjoS#LN~wZ+OO*FvSwdZY~o%A3V=DDX||8g zUJBDZ%_zRcJT=bfCflrpStreIoRLgws%z&pxN_#D(J6+*XXdO+J6F1Rwb%8xhDG}f ztP7V#9^f@zK0ET(^fbS6<Mb_&2MUeTH{5!@>p{y*<0EG*GoP&q*p{qkk@`%=^kAlN zO-hro+7A=gz^yDLj`f?X^EDm|ipK<9v()=>s3yYPXTw1j_p{sgwMV`@IWxw7S3-u@ zW_xpol{eqSo-(_@YJ2ul)yxO8Rvrx7Y#Z%Ua3Uo-WnaVkbEZYhW+!ZpJWwKBlT&sz zZgb)BGvD4A7RMQyTI4nbtKCR5`@LkQag5}ooezXJznJd3t@&AS#p#eQEt<hur|y_6 zpXKi}^U`Uzb2i55TW5Y=IrH*SnWW=q=4dZt%b#U=+_%8UHCuR&(LqV!J;`FV=gwGW zJUe4vEH?8&&Z!UP2P=hj%nq^&$Cw>t5-u@6=p-EDsd=_W<+5+VMHXY9f}1R>eLqa& z+_gG&E1Po99J#b}&zJf58$a*3*{Q$m#0*R8vlnk4P5FI6W*WQCOy#t5>}nRNo8B5c zzsLH_=i=i~WB1sHI}<kTGA=nNBD^Paldj3Z8etuygO0^JH{Cd)c{f9kVfqZq+h^x2 zO*?01oIW$s-|JkFar*k5V%5&ES+CS)bYGsDW@~-sQrJw(-Dl_MZSJ`wHhXEQY4Nw& zQYFU4e6uX?o}E*EcFxLU!5X#+Ssy0N+<gB`<;|L+<+~)jzstt*7Th{>GxOOT!{V}; zmg#5b+)X=YX`J3av-sYbo7vA|42r+av=l!(=Wp6MRpaLkGmCGYsXTsWXVNnnlj3!= zEZ@(voIlgD{mh*6XXkuBGbcOk-0!q=rN+;hjh}NHKi@F3`0$yZ7tZ{=bjC92*&UPO zzFC$_)qb1+h1f>UdqxM<)gqFc{CziEV>#}#;T+3x-wpRz)Imu^-FL%9mgPPhPO>cb z-Efm7-Dks5mUQ0@S6QC>Y&gsE+;_uW7IB{s+c@=RC9JnHzVg;^Klkr5bIN@;+-I46 z=KOI-<MholHy>q*_BDvS+qUCD$1bLVgY0S+SxtvQ*2~X&kjy+K&*=Fof8T9W<|L<` z3p0McW9H;fM=cVcy)k}%aq==YYu}ldm-%>|lMGJlpILluN@U8pf6vd%Dfi*kn_=ng zGqXF*&&ziDnK{8}na_PToU;+GI?uBCO73j#XR{Obp8U3t(`?2AX5lkt+z|;)y=u1; zg>#G!wyWL9X;N0R$TfSnhjZJE9Cu%X<00t_Ik(Nqk)N3`o72whV9e$QSG5~?n`)Dq zw)=iq$yqkz!3@rGOL`_ud8{`1?GDa3^Q)0LO|fdXIfdVt9rP65lPI>~2urokwqUgz z*-e+#ZcM*qAw0)ay8I%`@8BCg2IoW4S90>r$a(Jbp^x+2><7M^8&cIQGB(8;9New8 zBcsV#ZAVJeMKy~Ay{35cS+TQ<dUhSLx%MhGPk;MznL{(IKW=;`dCIVO^8Sl=rq3}y z=u>=n-~BuKH7R*(zs<Cqd}i&Rs?Rg>7EKJUIv4U~!Or#n&*<b%i#%_#`Gw@NywyHy z&(C<U>!!8s*}XpH!guauYupNzT(m1;#ZEzq)VeRrX6Nh;F0)KKCu013)27(cgFc&I zL@qm1V*I>E)A)9Zbeh=Tq*G;QLQ0xAkIhWj!)Z3_LBOf!cUh`^3vRMRpZ)%&WoGeN z7U#3k^8}yu9<WNC`Lt>K+31#wYPU~Jxw|g%<)0}t57nd|n`!j=%$&fqa}vTnCI?xB z*Z8bGe&JV3Ws}{Bn&*16!Xy5k*<Lq=^Vlp`e)HmMvnC%-6JBGOsy4Iho<Upv%axn1 z_x#@;dZl!?*P(wYtGjmft=OyUeX&AyHIGQrsT_rcdY^MkkIoAbzkl<U)vjH;#Kc~$ zGjoq!es7(g<@=EE{uM80*gi?wTD$7W$>mmmEU)jnY?yK4<g_WvpVrTvmv?)o?#WZ@ zoF?A3i*;M~a)z?T&;1%dv(B{^`h^q;to)tTIq%hk?9g((m&Hl4w`^lwR=m3)s-qSX z{*mjNV#qWGE-|ghxMr=01u3T!_N@v%n6Z4n`06OrRnANFayq*@u58txs1+J}{P_{9 zvR8lR2wj=EDxh?;k4pBMrx5{9LUM1s*<QId+y2uB`6+!}V%ZmVRUVGfK5qEx#KzS8 zk{2s>Dc|16?4+~(lr4+Nxx|M5)k-(}LivRB9!!#n6mr=-H`F4BGwJ5JL(-wD9qHDm zD^y}7*WD?c&AlVSeH-@<J9ks@0?)ozdJnW@Ok)nTnzwN8xaQ_7UQpR9BVORz8>RO^ zNoxD<hSzC#9x~}oEnLpM!|GV9-h(EI0><kHe$3+j@$=yI;<?j4S#jT=px{`_@ve+f zf9(ff@egU7_lrQxT=5TlygP+bHZnB5e_~~Oircg%oN0EHaLswvFNMdy$2vSO`*VQx z%eUkFu@2_-e@?J|d4JqiZ$Yws-5aK=_m9=}7TmV0d&TtY_2YEC1&i(K-Z51@ek`u{ zAXWa|+0uDt`o9BipMA(KP?=Z#gVALlOX%jg=ViEJB<q$2ym<B@x@A$!^6HnpEmk}I z!Y{^h9@SeA&+}?mL!a@A=S)@eA57O<aGL8~G2{LPKc+^T$i@`xpY5zKX!C$+*Te^o z;up@d>@Q=K4Gg%?`sIxaGv}n6ec7r~yBhZOW;|iC5?{2D`^DXMHN6GZvT{X?e|LP? z!2Lp^d70jV=UR2j2Xk-s?bfb)&Gc*HqM6(;YP#HG9Q+MU${AxfTsXuU6ZGI>%yRx0 zM_TKPieJdKPSac9EgH46VV_sVTP7=uhlb)8iaGWdGv4j}P%VC;pS^!~!#VqkL#$s` z9h8qbaFp%ejMqH^zn(sre^<up_afhQm#=?eJGOsE!@ENog^YhYKmLz#SRS*blyPs_ z$0gh^R&_0paflDIdCO!~x@a!<3&~FVoek?IR~%#gvi-<ry$7$j-xkmHTN1AP@9Now zx0V0BVfy8|sG0jkOvnBm4fonB&asyGANj1e;J?zpS4>unkM74f=sT8_Fy7_3c#`#t zr_=M8?|&656tB-*{bFkK{+$i)jDPIre(}1w{(R}P3ag6`<n#D!OFqcw@$ujNIDe;~ zcjdXh|EEghqUPOtygyb_?)c;Sm;=HUfAk$qpI?xT)e--=KsMI&(L<|UnMasQORjgc zzo-aQmg`^K?q(z8?%$hX*%9Y*^Qz2gfiG%aA;o4EFPuZR&Px8$xX-1`^tcV{-<Ty~ z`7iuMRzJJLm%tFXXI8OVOQXxhtaCR7*<RYr<4WA%wuk+IKx~(jbE%wvr-9FNO_P}E zCxz>KF3hoEJmew1a<Wp-rJ_BI2QtF_=3YtYc@~nf=G<d1(Z^fnI6XFfq-m#?VR=mY zfQ!;M?!|Hmle$A<SbVN^Oq4ZnRX!GWAf#6!lu`d>kVsXevfJbX+AcAR4fME{Wm>w; zKTxtr=apsPB1xA|ohvz*Dnh3py1_PM<x`2NEbCv|w5AD$u6`DCKXKc$lpfYI7q=QO zVte+=<{j(DUD5M*=^d5cB@$PjG|z2M`;pl$o7k`BDn83TBJHGep=0`#h!xonzAB4l z9(f(Bb1k*#c(>}VvU`EaniFMqzX}pRZKA$Ddt;1p+{L6;w>_Oly>yS?4qtPrLwvKw z)vce6#8#U=%GJ4dDeAMCXtv3tTAgcIM}CK_x!h5{B4WLue%waK=_j>AbFOquUwUJS z;N$oN<B2twPE{~Qm&oZ~+!(4H=anQac-wt9Q_gkWBo?JTzDJgyOwM|9p=i(XV}55n z4|Be`RJmoz(Y!^kuFmPMdvmeUgyTS5Z^f5}+Fi9aiw@K&*(u*^e$?Q$=g=|hsJ`ph zELI4<kN>z%uXX!>3zsA2bH4wo@SpA!&An&+)@Pzxb{Cd@_$S+Ls@`w-VWHmS?>j6O zonDe^*(X#ew`8w{ol;VECTm~6>7rb7yNexSw||5v-wJ*_!)?o?V}-pLzk7tGE*_Fl zH(gX~?3a0DHp?8fN0XnuWjy!7?87mJ^iB)!kJA{QmvmQbZTRf+DwEGr=qRhwH*WnS zg%dlHo5XMJSR%OoNMWRMT=U~Dx1MRut9SXt1wJtDt<Y^eZ~AC2%eu_i3d6?NR{doc ze>8RM^^Q)sbD=|Q-;W^WTW=pNbK7#vb$Um4MsoK$sf$HocTE;`a_<t}Xm@M<LszA3 zbKCP?I37{=<u?0rrE-g}vwm+zQ2V`IBC{?o>~}Mn>m1*kq1Rp~b<u_Iuj!&l8MX|U zU<;lr9b)+sOI|;ARAdwWc=HkipR<X2a#Es(;QbJ{*@p~zCHlDzcUm|}2y!W#B<)e@ zxiZHl=dgy-)v`qwU1V&xG#!-a&G^~0(WRztOW8q-aKD*Tl5GNev-&<-s0o~$7k=&j zj1`|VPWE}`&9f3cni6a6``^HIdw=0JgV5}=9x)Z3%Ew+EIxS_GB^q~8Hz`Kj=W=Dw zgw~f*hJ0da87}(5dsdY$Y&1xFb*pk&^YxA!QBgmV#02Mat*tVQR-X4WsqfhmRlR4g zY~=DDmd<s59l2-W=M2N{N~w$MMDw$b9M(Fc{-}6)s<zk*o2bo63*ELP998PASk-dW zr6y@h$WfuW?vqn>uD)G7(`Z_z%jFcE>mAQdL@X5io4he-x)XoYnoAvZD>SZlw0B1M z9=Uc&og-hcP}VbFVa>&kI){kWg1o0T`dxRQoWACIhnU<Aha-ZAr0sLAbZis9vE=pR zl}cvWM`l~*T%5Dz=z*}_jQYl0mp8HZb|vn1+cWdfZK;pn*sM(+@v@g?9pScmb1C<M zs#sa}k#4y+7dxga-dHWDdvjxd$N7$HuWzj1y3EjqPhjI)lZNBgQWvx2*gGxCGBx|l zx9Zu<KBCy0@xAYR$Bihd(~oOoxp<#^Y;?0(b0jg=n&0%}LcP}QG8T)x7slH334Qdu zEG8$P>C#<Vvqo_3m5-fndv+dKzRTyH=c5^*LZPs?;&6ws)W<MEb<;<_N`9F~texgu z>)2jzu~;zwPeGXSy{8Z7xb4}0xU#n*y`5X?qZyyL=_6Zdz04!KO=GTgY){*<NHG6Y zL8$V*zK6!W74KWmn?C9lTbFqxS|{du$M@hLPRjQ@AGW#eX+Jbw>f<MI^G=It6>Gco z0>wXSiN~5gQq|p+d89kC<a)>SjTM2)ahn&lyX|ps_3y37?2426XeF}O^pU8>uZ$zv z{$H+kh|hYlTyVYC#dzg7|D*=DJrzeBdMj>rI7)qN5^OeobXBn}<A}E-&(#j`Mu}yD z>wg%;D961{Y;oIj>aa&|#_#q(mp8G;-XG3T+S;ZjwOBBjA!&_UPg{GT%b#Z#en>s; z{1JBXft0YxqJt)CSuV<%K9@S&4$fF3*jr`jsvPw-NlWm)?=8#6s!IDpx8xo>Ep>68 z<oXw89~KE*?y%T@;fvJe(wQdPkMZ<oB=v@yE{Zfw%W?_M+OtgX>~h1^Yibv(&hkAn zZ-J!Vvllk^)+DT3H@9%&hh5huUu^x*=GJ51QYmHVBzW&ihhdLFys{h9A*SAn?QN|t zZ^YgB4k^t&e#0qZjo@Pb#7?)Khs{f+3{UY-%RFLX9kEU@|IY@e>j!Q`M0ieF^UP)W zA+27C$(&2247G&9vXAU=sqj{IV>=|(E5Xm6ZJK0axkh={+F8QOd0(3*xtQi$=-7B~ zL!h!-;9<31iQ_!8O&?uV+V;ZCKul`eD;qb-!)Cn_vw3ctCSB3rvsN&<HL=~T=TPfh zDZ^><Wr7>eOZf8dHci@N`{rUt;Oh<H%E$H}w(FH}<@;@#G{;irLdV2=ANt&SSlgSW z41e+7Q+*VD=7zn+62Zm)9@zFuyk~!(eI&!_M?=SZ-Q+(HHk?Z?k*`@H`1sd@4!52c z&5NZB;{@z3Ej8%kx4Tx^bHCZ&WYJMwJJm<O9VSQ1T&^_vd!(>8<6Wn))Wsvh>ZVCK z0dtfdS-Zuv%u!47zi>k~y+m&9tHQA77gw`9H(A7KdM?{<mha;xw>^EwUDvAjJ}mTn zez8Yty6GZW({%zL-!9+Gv+k9R)rkkjy)S+>oi|;S&9W}jg_|u#{n4DGJ7j-2y*_zD zZpT7FThR|o-M%m!@Kx%|uHst2t$%ri>0FKrQ$5Z`y4>wJ;i=47a=l~P(~5~5sh4KS z*p|rYp12r1-IKfE%cYKUqA%8FFOcT=mEmG9@a5{#3vU?yZjs6VaB+Ue+NYv+Y%gr$ zz9uUOUXR>z@vy>K&)Ez-*E+WUl~`mc$<N|kDlM^+<*-T89)6zd9fIZtPRhsF4|?=U zII=7@N!r8LbFD+r*1%2q*q;Lty%Ltpk4+XeG9DA&__k+DBd1mWM}31pWw#RtRC*=S znI@Yisc`vR?AWMf5UMO?{y;UBIXU`4>fGZTf)%dH$0i&w>6J)jx@?*x!*%9DhnY}C z==75_f@gRhv78w=|HCY|p4}~xQih)d)3T4;aGtSPaPj|yb#6WTo3EQLs%5>W@aS@i zjm(+Ll|3HK=B7zL5@)V=1V(OfP(J2*(5Y8qCQG+TQjUPmwT_FH8(fr+9X}A%E8)w1 zy2E1nWi^)5oma&BGg{9j=LoL3(h=ye!Atqrb%&L1CXxrUV!4t_9_Y?Jej+}?`N+KV z9D_AiJ1!pH;HP{{{BTvT#8Pf)lO!4aoGTp@_igZ0KE`x7tydzDJKAK?RIY78AA6Ho z^l~m&_FQazD`j{}_};aS!UY?gmEDpK`SnVy=G<+PWTO7&T1Vle4erWrL5JdcB~Ekv zHd%Dq<eP9I-@@4@d>Jn6(R&sP+Da8n=-BH$J7kadk(~|yI4zb4=K6n>6Fe@NeBwc^ zk{!ES`ayOn!!$|0>{yAP-11pRez;mJ5`61Z7&6_{I<RJmpsw-9jc!}k98s6LI8AVV zmP@pY%;k=2^%m;{*M2W>Ql7Qxk&58{dK1^9n!Oo+IxkCItPoB&S+rB-T$W3*`<%-i zY;6|n1$kKt9hIvtKTr~^p1yH&VQ0sE3%A+FQhO!(rNT{;j%3AL?f5w7qom+<)}9|d z=S$_1ogU9}>yhexEoJ!3cHf1Lz>kH_%EvAppDuOry{NhAqQ~01F4VOsw*=n2IJHB4 zbI<X<JSjsV>s{GLe!Qp%Jbh7J#J1zdl9%jV^Q10*7v5{KXuo<@wo9?i7sW>_7GD?q zCG_#<#XSDMCP{Y+zo;*|R-$!;A=Y{=li`Gp_iB6j4Lz<qWV`WPGc_<WYs;`a_Um{+ zuY{uXVUwhqdY<bYf!__Cl#g9I?xFOJW$~-zDQ-Qb{TF9Vjyou^*mSYF!7-u276U8W zV=u)nE*E{g_1c%@qb$8I?sazVVsl$|NM-Kv8=*7S2r4@ycDeNkwyu;ibdrv{)KU21 z<EC|UC%*mIwXSjFZA0JZ7a654mv+A>>XDSXc#U_x&_~IOFC~mi<@WY{loGt{=bwD$ z($>qnB{p~d2zl|c+cGs*Vb<#G<*eFq*G(@xmCAo1^}?vPQp%9Y`reg}x=S<GpI%~Y zB(|gSRHh`isruUVjVG=K&s>qT@mkNhOA(7KU*7Isy2~eOThh#HJz^Uo{Eno1FAiN( zaQcmtd-$3wOE0jCtuE0lS?Rj++~V!JVXtkXPCU|$Rr{N;(e3%g)8g58ZBn1Kmqum( zDo)&ct;a6r#sa~=+cpF$|H?|7?DpmSp|su?lUsGAF7k6nn=X1Ux-HYCUOVS{hh11j zwDPae#CEqYOAq<=zOZkNmAaVDwcB*je35S%F84LwT<!Q5aAUb(?Sc*Q%D-$MHn@E$ zJ!CC)aX%-!=^}sOdsjQ^d@Pm-)=vL0&+SY2q2E#$-8tnmT=uKTT<h59@gqR_m;A#H zw=b550()PixAdDV$``b|*748fhl}zrfrr7pFU(t#r7nhZ95-F`TFy`4W3@}U?VKwe zdQ}!n1@C?;h*IA5=wXjr$^OHUy)TN|i={4}<$G?rC|g!9!=>CR=1PZN$&Mw0cb^qR zD(^b=u*<Ea|8QvU3!(P&CX1wH?5=mL%ipm~@b2A$Smj+i9&-1-xYi~ub#W?he3r|1 z(>qr>^s-+p@=;rDnV0oqm0;}U3%<&`7BAfGR^oWLTk7Il9$S+|t0k+lT)rE9xzwST z`eLo%-4hqwm3Q?mT<=yQ?rh)tLaFVa)J0t$W|O2dAv`LNx_Tyt8G0xmGdP;iEAdmr z*(Av)kmqWLpt7Nl^0Apm3VJ0D3M!i{nrP-GR2Y{e;?Z-dvS)qAMJYoq<zpE~D%vGh z3ocekn&Z~P*!9t6&RvOv!ons=H8wsfN!gNH`6inrt&s9j3%Vb@NK?vCg?Cxjk@(C* z-YzxaKdk*KW_B2x#Fl>S(wqEl=Zs~7u|<Zl%DWyWwYindI<|Tj+p!r3eAb#e>pw76 zstf*k+jWNPk@ZsboLrkbcbt0sUNf=J?ThV!n6;A0S00zfswwY%%-j3JT55*-k-rl4 znoRjZ8|@{<<v*Jy9jVm0(6N7YW9u%Fe^#twnJ(%qI%<zLKV2W0(0T6hd#i+%ZY3!P zjLx3C-*}*C?(z8G16;j7cC$yU6s!+qSZ$J&lQ{)sR;bi!(?!;1_b!3T`nC?+6E`#? zypQP1)&FIGT_RUs&icCZM~H+stMr{;Apu%BS1L`;A6HZA<2*L|P+F|o<M>2w!THS0 z35lz<m)G6pzTNTThy*|P?b5eBjjh}78iiKqyt(kzkV~xWm67C6uH79sn!ijqkSD%! zN9PUaBioD*DSpd3V$pr$xss@+(C<>Y$KH<`+<LZjl*ibHuJMq$QrRQaS#Fy2$4lmt zZqgQyJ<GEb)@1GRKXUOf$MqivT(ypw@V&Nin{=$*s=u(Q&_&rz{8(VG#CHk#%p)25 ze?&|_WU=dqi?Z8~qswPa-dJ4N<I$_S=&_WcpT1v)pWFQ-o_Fnzy*Z+(^wstLZr{59 z9XCFgtUe&wE0MsJ-g%{~=fxa19+lS5Qic^GdKpI=0(YzsY<77#$&H7n^|X|sgvh## zBMbpMRtYxSJv8o>c)>Y8`-q4C4!0xMF6HuE-+GPbPm8{Z`eUsJY=Z7a%moj<=N|94 zyJMwyqECLw!s!fxi|ZDzcI%njn<r(s%M4Ut->wK$w)(PwU9dE9Pu`a+m0un?>MOlE zv?KGy+RqY)d1_B(slSR2lMl4-eetjP-!8UY?-Lr_didKKXHC9mBeCSOgd$IKshn(+ zfy;A4H;J}aHotx)NC>)f+-)$3QQmbup=DZfihhsEqv$g;VkMS(C(hC9xz^G6(4eiu z_IyHt#A-q1wMjC9_braiI9Q={PO;}^Bj+v=wqFNabdNufo3TVtnI+LkuzLFbZ>^eI z`a!q7Ze&-l-%%x9f1u#s)2#36pXKuJFZ^5lf8Om4Z-dWo`yH8g`1$`|{e5reS8glL zt9$$B*3PfiB_~2R1m_(un|rJDPxS8P^@Z+Byryd1pYlJ{^G5V>on0}$JNa~X@A^=* zb%m|rYR^xOsu!2k9<Tm?W#8lE^IPA?Oe%l>xjy&$#@y%M>a(9e3e)xecV(aR^D^1n zws%*(jsJIRVXWuzvh#m7?(p^eJ;_Ef{>B@&lfRwBgSYo~JT6+=wc@&)N`dBQC97@O zzf1lupFeHxm6!dMt3*{*x4MdjsX2>Ij1Oz+y;R^TvZ13!EKqdyB!0EmY<f<mE^p10 zI=4Q2`uSGJ?G0*sYU-pX_HI9PT6~M()eTlREq*$reVRKj_y6*9y0>jF@0%<ml*j+a z(EpoLY0kPF%}x4Q3Bjq}6K$0?Y}~Z;MBU0x-l@7vH}sx3_$y`clfP3nm-en`y*pLM z<=d&ZH$qQ3r7XYGI2Xh{Irm23NvBs^1j`evRk=0ulpAuV>bUqVi$8oVW$u%`Z&j0j zZ<D?C-+lL_ZTdEM|NX4C{=c{OWbk?W>pLHRmbKdQx*~tM_`IB}J9D3xonx7yzpqdF zqP)ufucC%lrPH=dPhEZTpY)7C!Rf{`yLMk(tfZH|PvriQ)uM%03wP{mojs9j$CV>9 z)%W$zyqK?&U;pc*xK(-Gzny;H?Y_o5uUT8Hm*)D;@7}~&)1Pa7l-23`!Fu<c$o-?c z)^n_~IcISH@TTaLQ|3>8M^ttDSbnN+P<<M%$y(pB_33lZo$`skKPx}E?qJWKI$!<x z_x_E${_I&~_DEer*WRD+<@}`3pOr;R{_$v^K0lfH!}Ey1x_N(m{xh9cj`zQ&b-Mar z>$xh6JKe4Sbnbq-bweO?R?dZmcjwovZ?&Emdu7R0W!3Zc*WcZIZaw|P_s2WS{@;u2 zE%;uz%Inhw<G7QP?ikMNkNbFEO}cig%Kz0-fsyZC=pNAiSatHxm(;CU5tqKbTpqpc z^%tl1b6%SSgoXF}h_OsxquXU*S{J{`pe1A9isoF!RBji;ZS9lKY73QaR9TWE8_4hN zd`hf4{?XS7o4y{YogvQuwd2##^#(?Qt8V?d^{8%-q~Fs&HXj+{cr|D1%N;p0VH4}4 z>lM07au%-Wk9@dmXMl;r`vU#Ii5VU19=g2laN8l~_b}<Ag!tjkYofjdT5~dNKML6K zscNwwJ$ysZZ%c&9(KiK)z8=Xpu()zqbA@hQx8g&W&o0JaIMrwA%Q@Z;SkzkTTKtCV zs&DI87w#OsuU|EV`jc`rYP++#>kmx}_$BtVb6SM3pGo|dL$?YRu`V*5)-J3o(x2#R zaZ%}`wGM}Rl=~k!?!%p8-Ny~p=VZiwWO>#myjJ~R!^?%o5@kQS_i&o>y}ly$zejT6 zm$j4CZzW{xi28hVe?o-CMW(=}hYwx2kN!X0QLxB$k?yZn;kC;1T>b|vI@<b3vqtjO zLlN=L<%PymGVVHE<P$&CDb{KKFv8-Z)gwt6e)Xtuo9@XA<K|Q?vW?>k&D?wIQGB7w zoQ!!tjQiSz&x*@_2(-A!^if=fM?Feo-r?O3UAm8awh-~#()UCA9=Cdw&OhGWpfHo& zs6Hpd_9AEX<FAwVpK-WS-}Qc8efPN?3GLbk?>^}kzpyr|`AKb}_k)w??thpWJ5!14 zcE|q5lkTegOn9Mm$GENj_)f3Ppet^Fn8mf1dQMz?vi`xIzYd!$7ANhiGFAE~{8}jS z$lb(`@e$H)G5wwYk1f0K=x6Sc{|U|+ADhe`)o--BsPS`k&ymx%m#6B!NP0Ne<?)lh z54j&6c$f9?t=F_k*WF4sZ`eC&=?TBLd5O`g-V<woFKS&o^>xh61#)71A?pNg&YLIp zO?}_oJ+D{t)lchrJOA~SpK&vD{>Q|5{#K9waoKp$^wx=2>!zFuZNIMic0MRWd^dX} zSk^86<jv2R=l0Kbzpu&XUi)rkhyUCZu}(X&W6`1pR*S>G1;%qXE5DTZ+;zL7F6d~N z#NAcguJzo)YyUj)_i?Mgz9YHM_tDyC4BVI3R!_F~(th;qkOOz^+W3?M@8`Yd+w@`o z%#0_oSNXPGuvhNAV|+_dj4kEJd%sF&-7lwCOrG;;<s}1dW@Dj`@7A<O&rtmRvi+q? z%u=_A7g<kL>*q*XYfEG<j8+qx=e1a;=cLP_?C%-e>r*dv?DA7S79lZ<bNBBD$D$-= zciMeD^+hs$p}(4_g;3I-)_F5S9;`Uer4}(G^RLCvx$EPyw!XgbP2T_I^zgcU>QO%w zX3Y!Mvs8<;n8Ubyj(}S94+GouxV>gcU9J6>i%-q;_Ssrs!tD1`u<GO?cgccNnqO17 z-51&W*1exLXRG(TtlJwO+k1I&9nt=D`Pk0a)AsnyzSWT_w$ANoc8#~&`hc$m%TMd) zKVd(aU)MUn<<~ExjJDRom(rmMuj@mnO`m7HKTq25@}Y$px71EP|2eDm^h?RtTLd@j z#B_))-!Jv-YF2aoj_{D6ylt(`8++tjCeBDsOjpVMy~Aj3_QQ%VjVW{27yR1t@b67y z+1vjXFPXe+UD>@?N*{OCv?qO?RQdAA{F;Tqll$J@GX3)FHLoR;v&X5v8S3}vL^SYS zx%_VSr)Xi1b9;Z!)3?9d_+*M_*uIE_L=OSW^fOxpxpnu*NBEty?S2x#dvS4*Swzmm z%Qya>TvRe?pW}jGTOD*Ze#nY=X|pk;PQoce_jl&ZIpWS9r{+cJJwGWh=~HQ>V)|j9 zdA(Cg-dNq4deQ6WEY?ZI%eRDz^|4O8?7!v8p_2!Sijrb-AG)X&r6%9ay&qk)RKnw2 ztk{}~uXj2W<?UZH_4O`?qSn4URy%iat2}?V=X$h+h>PF(B59pH;t_s*n|sCX@#?6T z^Ur?Lt~>2w`&N&w*_&I7pVn>k{PFj*?EEm+)9)XMalbbDnPq!(U-9AR@gJ5=TPt?% zc-JI*fA#AxSMnX>-gWj+Uqs@k^vtDu`UCgf$a{Ep#+^S)XY84>b^Er8=y!EW<!ae0 z7(V=x)(E|m`0#h58ndlNSyY6O_IvHwmnvB|b;XK_xxDuXOK12yNsL!6JLu5fl{a!1 z#y#i^=3i?oX2w3h?CX=A*AGooU_SG6g=lB3*fo~vtChc<K6k!G#$x_GrosbG-xapL zbpO>C)5bn+^5y%EJ1_7rSZdw5SNnVM%qz?8^ZtElo$@eb!}$sG{@vTWLG$zX!=hF9 z5AE@}a>cB3m$ChZ0)+*$UVVJpeOPSTu`KoXp6WL@UcH>_U9s%r!aL1uFY3#K?2{5p z-^@N~eK!7pMrPEvX|h`bI#PVUx101_f3M8_-8w0C|MJ8qbvX~i<Gr7~i0?5dvfKEf zAy}b4^6-ftR}R&li=LMs&>yK$w1SoG>yB0{zO5G)%-ay~CZL=5l_&T9k`=COU#GP0 zI##mG{^)YuKL=EH`~UB0m7kST&NFZ8hv3O;+DaNN(jU72TN$)Z{<&_A{^#S5{U(d+ zl$`#v@Ks>>#Y6KNf{KFnsF>R^E=}327kxuEz{_u8xXv4`@?ZXUQ%=gB4&B++{cCsd zv=1{|-~T$fNpODjkG`5a^|cF~mzTAc{{Qo#ensA%w1@MogI7$7joE%-@vM|*=a)z% z|KsMi-)|ea_VWGDypMRf_XiwdYW}gbwOVxJ1%-QC0-^=Gd6K4cd=lB%=lDj-ai5Zy z^pQM4kteG4f2Q_*EKPqrP1ieWMOaDygU>hk^K;|h?0cc_W}qF#INSO`mCFWo-RONU zBoAhbZrnM~bg$BjNXFT6>-Q?(nA_*ex7AKi#^bn}yW4$^vZcwBm6yh|SYO_F@@dF_ zj&IA8CwHG?6nq1c|9pVso9q7hdw#FZk@?;`KX|X1M$^m88J~_m;Lvl|N_uodLdbD? zMWuJh{Yhawy$Rkbi<u|gN{V2KR+d~lIeYapp8f}i&;0o8Wl`VP@xUc**OTsvf;s&u ze|BxS@8)V?H*NkOPpeKh-C5>ku5RuIN)O!c{B`Q-Oh|O_tbHbZa8bjgcinb+O-DEG zp1l1u=k_I;H^tw_EsN^@D9E$!TW^Z)&x2;hmVY}q1$kDw9lT=WUXaA%JT)O}rB^`^ zOY^F`Rc~I4o_)d*=l8wqMDC3#9N*H)_MLn<RezaVfz9TJuK$=m2C=vsJ=Yh}cP}v6 z{BVYPTxUXbT59o%=bf2?d*)l#FPtHF@Zp)CpO5x%*ma!Hm#IH_`p2<7QU}jJxw6p3 zd(PuJ%LcDmE4R+Fet)*-f$!_zC-Z0d^m@(uIQ7Agvi6tRf+nk8_yydzJ=?WliIZLV zhjV=oR(9!qkl9yb=w5er4HtLG`lx4aA0k>=S7}dh`nXHxkEqy;_xmp8yIk1hWVik3 zXQdy@xqja9e==LpCTL<4U;M%u@&`|JiIzm~QD{mN{j_ts9k=q2T-O@bce5%2S^UFK zEEhY;npDX0wr<9sZ@NG4eADZEaFXlR>zdb3!)r2G9&7D7T`KXkye5(*`Lgk+Cq_+% zFC{AjBoDqg(R}ie&z>7K%W_!%IIQb=5OTHPQ|pv?(St81d~%(lKh-$wD*L2TrxU?7 zTj#u*+&gLiDVIGplcj(DxYzZdrfezK#Qrj0mgidG9W`&Vl`Urf-TTD+%<*$K*EP<2 zvt-+Ar3%}%noQ-&uazZ^a^6VMdA}^=e7dyKi+LAYcN{+d?!Lsq?wNP)?&ALDE9i6L z$-8Tb>8!JrXQceKsbzg9dTtuWKkMdCvU$_?n>J<6%y{C%G3AEX!JOCoQXJFH@4U=i zU-<p?l)HNI|DUX%)H?I@uK8@GYc%bZ54L>XTlTIaq0ZnT(|gVLJqeYY@-~$nJCw!o z$-<;5`DRg~gipY>$T!of`yWi&bTFwuCY62ql(nMO$`ShU`#w#Vs+zh-zo|X%<l{QO z@9rN8TD`??nzBEcb#v7O&pf636TORGPusfl{+?#RKd*Hw?>H|kSI(&SmizwIUc_;7 zSLLUp)q*B#12Qe`_sSn^>WWrNKh6K7VoTkF_>C)T`#0)Y${Y+?z2|+&<>w;vKU<y` zmv{T%6Sa-0P^HN}bc@x6X94cY8NrJ4t;OuR9>i-sDNbM7ul%F7wdP&X<H;O#;xA-P zefHT+m7bQMzaw#Osn5A4##Y<vMUMr2_%+^~eXp)mVY*<0`O3LH326?A`EvH62eTPl zZD%jN{7G5B=f=io!H3e7W(Wt|*!<l}`rw_0L-!V2i64|^Y~8#5z)y~6tRmm$eP-S1 z%&qapc2#$g8z+eNE?VTv_A9RX{n4i!$Jkfjn3*-@!&HuGEF#~s_DLPQ)Ntrt@;{xX zRL0i5>R&5aw41(8H+Na*YG62HP5ArLotL=PUh_`SSDGQ@b1gdT?u7d@5;ont@yn=b z`lQC|QYL)+B@g~S7_NC|O-bjY01nTeXWvR4-L0tdRBdy#$orNFQ&w+$7bwG_7<tES zkHpb`0+YVp>W~Y3!>i|+eR5vl8%{;d@T|RyZpb<X*-gC{_=ZPO^ZM%AMK=VUg6z)k z3)~~?G%4(LU=FvU=6tXJ8rxFX@41{{v{&fzZwmK(eZDa;hf7iOwT#HoV*-;t74r2Z zIdgda3}|!Ns8_*$ve3Y!tG|i+lvKNGp~;Q~GOULe|1j9G;Lf$)jz<Lrj+Rw!ZXX@X zj+t+-x^3)bckIlXB^h;d^xfPGtu`xXe-iI743s%DXMaG?RO5oQ<DXlT=G5#yZTePq z;h7g5_a}V}T%&pYgyq!WeFDOZDzusXESIUdZPa4+v+PiF-Dngw@8q`hHkHyjI_Yyv zVm>{cDfHAoO*N43`s7!Qe!YvlTeDu4ZmZDj+L&o^*YQ)4$YHH#C4SRCAJq=@(YgL* zchBX!AAGx$vRYlO_Nwpizq~kNBIEL!=V>k*ZJ7OjPTDJVbQ6!o(`ZxUuB9(6f2P*x zcBx7hoH8`i?m9X%w)5%g@}x2mb!Ev2?WGbjr>dU06zYBZn&LN8G~x37m&UVp2I_SE z(eg=|eXI3Rsb$dPhb`Slq>K3YHDlb*bSKq%JWTqy?9aOvk#*Y7PFET&DX`t>;K%Us zx6==U=TAFZ1AREd3*&M(dYlVXZ2f%Xk%pHu*Yj@Gz%_iDF$&X8U6c&k5uh_UKiuQt zuB1wl{3G>}N+pi_A_R}NxXfsu6Cb^%=h5E)W)*w?HIsHX6l`K$6r%V4&`GhbtDn3j z{kD1RQGI^DU{mRlSsKsUC$H7M=4PlnCC71Lgt+VXfb-iIc&zDvRJEh(br)}744-<m zdrr@z?t)E6lY&L2A3AwWc=l5*!z<Y#8rxh9^+L?WuZcx3wh;DuddOy@@4JPEPCn~? z8(1Udx6NUX?)0}0Q@D@v?F{Eok5(yjD^!@0GdF3Yb{FHqd4YxVZpgZxFWB@m$zNpe zp_5`Adjs!qT)p?mDrtU9aQo`*5pVh*d4U|k92mo^8f_lGM_Ii7VG8$=gBs_KONS|) zYmcefr(L>Tx`ltPQn!VO>-AMrHI(-ER`}cPJSC!79`oM4@N(Xy8ig7`)tJJ1h3Cso zeQCc_DA)Js^eGX=?Th43ZC_yIdgAX5!Job>eFTdZmxa{vX<uIYF7<(X;GDHRYDLOF z3PrAK1-IN$tP?u={9E(E!y8`+&+uOyF_}Yg{rySFMd?91GZa5{%Je6NKjoS@w^FHV z?o@4;vgao?%2ZC>c6)tx<K?`SpEZA8UHfCrLDODqUHkAx*>6vt6uR}T_o-2Q^5*8o zox9eh<)$yLFcj`idA3{Z(eo#M+F=v^WL8Z)r>bkM`S(cW&)Ab^7QR@?=k=f|#+}W? zvFv=7o|~cdj3sijr$1SwWvn_sZ}pTtI{T$&mh7zVcsVa6wlm3b&7Q@^La$yQuQctd zd@8r0GNx$Pf1h^@mkUl-e)+8NY+5zX_vwD;SG2`Uj_)lBHTrYm)6uUQRqoC+*{%gI z>x_5VXg()1(KdBfg>qMIDD#cm&pY)OOU&zh9y7CfzV+$5Q>>dDiry`LduaZ}vk49r z?-i=|YuA4;n;<gZ&HSHB`2=(G_>$-O>FgE7H<yV2{QX&SugIsfOC9+CT`6^AI#q7q z|K-X0#Pka_-*t=5vsAB)U#p+)^EqSY-dnTne@0(yS$&Vsvi*B!;#=MOd|_A3+u|-h zJE``xCpz3#JXB=$J!A2g;?DC|P1QYT?EOOC`M#I<^VpyK9SiUO_bq(&y@Ku7h5cE7 zQ}%8-`g59eTsd!7qQeX!o6nsG4Zp1WmGS9ek=KXw)}p4Tv<p0VCa?ZywK@K!=iC#Y zvR2Q`$>5oNSS(;RD^GV~`VFQK;kM%&6mu9)ovU`?S(?Zlpq!k-BYjwGft~u|q#GjJ z8mx2@N_bWu77IATbnM87up3NMw*I~$ajivnfgHDl_~A(f^RL*L_8mKJptyv|k5M=& zLTVd>X`k9L1N$7tSDJoZiH<jzt_07KIh>uy9pGQ+#k2X4*n)YFu1KV{*L1x~t<!A# zs3>|O-2dZKiDxak4w)akc=X$K9nP{{PO4DNVazg{p*P(*kvpLHQ;)>5_8N{=tm}^% zs7U(7nI<gz)7L&F{MUXl=kF6fy>gu+dRScX_WFB=ZyLt%X|9huY+3YHCMa%iYjN@q zlO=nqIOF>t7FZlt{mQmS@bHX+yYKfjeNL)SpY!XS^p7;2-A<=gKeV=Q(^Y(W^+T*e z_(d=+aky*3r>q}(U5SYvm8Lb~#|_Mv?2+2%oisx*D3163QbQk3&Gl{Xjdteww@K}L z_3^X&>BGGDnM`ZKPn6bK{8ak-Bkb6RsvXb7SH6oDJ$z=ur&Yhbl4nT0dmH|0eP5!> zj%U{c?|u*C(Qggj-&ghf`U&5l6ThxLj+Z`sV8W+YZ{x)eF96f>haXJ%wCcb4kqgF4 z_Eh~gKc!peQTg>-{-o$LAm`=vE%XODZ~Kt~<2xTh&)%0h{Gs5g`09tdb=&kn{<tgW ze7)dP>C`{B4d-xt|8*twaJ@T^y7Q^k|87ejb_c1rDSG%gn3g@P{_x4`mCv`Uw|#dy zwff(E>BGMjpI&{vTfgmZsCLn0y$t1Vr}?*p3i>BX7dpI4zO*aI*y59Q(MGwG2hQKL z<+sz=-#2rI>+X5e-=DgvBdnhC{>s|`p7m)#jn&hC#PKX%6Yw{7$+6eJ4fhDXs}x=N z@mFJr`J9@KRrmRhU(mhtJ8q4gx%{yYkvo39*8JOlWW(Mpg?26Fj6Z=pLwTR|rdr&n zSDD^<aL?pDUG{y6F&nz>?obr@=c2cPiL2T!bpENMr3Q)S4eYJ`M>kkROy-ThBB91I z`Rg7}|04#LEym$-(uF~O?FVb^GScd`+L{mkRZN+>{OLQ>im7u>ojcJR&v9~B?va}k z+t{Y3L|iF0%;CG|W7#=>y8NyOO{KRwxD91ao_pY?_qBZI=OafYzNI}g42p1S-sf#7 zbK(qhOJT+5qfaHirJRdbSm#j->Q#g%Tg;x>?kW3mrVQuIsj==~&ptPdIWfmRKBoI& z&9gtOkE(cfE8Bb5+3VU*{i)QJENS$-Te@iPRK?<jKZ5o}J#F*4cIMZ&Z_8p_Lr<(= zTP*W0_R)_uG0!_gR`Z{{Y1(HjS#XMTLA1<r;|EjpYrb3fr5@0{zfJtHMWmiO=c#8d z?shK(k1sKqvo1B_yv46&4@<%w_da?tx$QEq@@4D3e;4nEyemI?w?V8nW$DM?lU-kS zWrf}=UHn2@THNW@+gWvmUpmsi)D^7L6!`n}K}QQWi*Eb128(U1{K{`%MEUddB<FHu zZlBL~)X=nLOSZd_Mc?$x$K#jwznoxkPxpF;*3`|t5>m&f79Ee`Qnrsh{K#U)K98T8 z@^Z(Qe(7<|J<?&Jw=7*JPucBQp`GpGKL-6>k7KIbZrU$?Y*C&4dHyDc357jt8ob$S zdy+$*)y4fxijis8`jW20-E3sn$NAJwrvEapyt!gu<I}8Hy)~Ahem%+6CU-*ni{s5S z`%)F(cg@*7?_{A4e{{pG13sO}aW|N*G~T>oagS#wbC7O@W*`5mv_D%uA36PLaqzp# zet%z_`2K9Fg<pzUp9S}`&dkC$yTAIgyu6uLCG+{TtfbuZ7}awf$$np|Pv%cKy|iZm z*OAqQdx8Y}b-d2>EY9A!F#ELI8f(FQV#lYLINyFcN7Q}4$((nSO3P#>UoP0Stgrad zjJcKJ&%1XPzS&<IZXLZm>0_15;>#slYdG&uU^d{LF2nQrQN`Xn+m`q}_;hiv<niCz z_5A;@JMhP%ZA$dKchc^*JHJH=xM#A>`E+}uLEqJvS$aa<PbPG*{eQCZ<kr3jnd4`R z(!Yr0KCy@wIzEj{^6U8>y1mIhFYec$5<mS!p}SS|yzuGA5#mXV3yyRj?XtMXrMK4o z-YJW1Y}2nv9}4}{d-h1qCEkN)ZZ0tC%Vu<mIQ`0^t@YhKr;pSAB(-HM5;`xQ{pg3$ ztdIRZJGxu87M|gaPHl`(pMKY5#@|<merm@nKc8`IuITmCweE{RU96%itGfcnS07}# z`+UD)-^_z7clmCK9M3+;a<}#BGmA7<;S%$J=qF#~d@kJe&z(B|U_#S7_SD%jEC*Tc zs@^NJJkKs%vV7zA#}&#m7Q9y5=)7)$kfYn2-+#(ab?OJSS}`2e`+1OC?Zven(R#5> zoV%3s{AIYobiNFCGl(BA(+%d=%XEYI?;K>jLHu`fEvB&vm$=_?-1&oF?M2^?@~4aJ zFLV^kKUVyClrv-@U)|q08Evr2zZPL^!X=@975YLCvfLFck65=2EbhGX2Ny`EauKiK zi_9If<a(2{6fDCp_CKo7oG@qg^W%kkWIWE*8rn^~J;kBuUG>LInafNkcdkFW(PA0< zq~iNsj|<OmC@mM?rT)kwm%ZY{xmW&N^Lid<6gck8vk^T$@j+8jbAeSKe>3+f+Y>V_ z_}Im#++Mc1s9Y{)!-`%D_42@jtWUqau911qDz1~KtaqePV@JYWvqwoX@7cuHTz8A< zdb~ik<jBeA^_G3XjoK+|mS`955snGCR$<!5e312N$8Vp!E6v=W#8*7JAs!RZw<Ejo z4X>Vt`<<^A-&n+_D8K8rC}RiFZZf-@xK9awPnEH5<~}7@Zrmr^=q}@2tyW-E&}y^f z-K+z*53*KvPdV`ILDQZPuY~9V$9smV8%%dBkSVkkx*-HIdmd*F-yf4D%k~Oc2uAp6 z-Lh_c-1v0Kyjmd(u?W9?61BoVOg6|kSE_yROtAbJ^~L|e;{!#X)=Eq`;Lf8e@P}iU zgJdnQ&jYU=%5RubkC<pQu2y_HRq(<CmUC@W!rjW9g)GE_;%?^fO?|99r)J@$`WZE! zola^0Z9g4uw`9+ydKaeGhi=YUCEYWTvxg_0Ic!Oen7ir*yE(sHUkPQDFljrVS}i@r zkaG=}=K6IF$J91xEZOsFe<7z1pL%?_?Y@tkGF<BM{(I|$g)9QLCVtxI^W=1|o6>$O zVc{L~VrLpX*<$YLr+o8ej@`}&bLJfA_+o!hMSYtlCy)Q>6Ri)gu(Y}Eo7-~o#=av4 zS(TcN>Bado=j+G$JrG?TWa#mv{OwehZ=re<I{%#T^-jot$~7^5&r8lXD`OP*9QIBy zH=pv7Z&7gF+X%hdINyZ&onfr0=IXy*6fBeBcpCSk;yO=J&OWu}$1~E*+*p&Q{b_T1 z=XWpep8t&Qo18w~cRqbT`}xQmmNb`t`e))l9l66&)y}ORxqDkhEZ>p|hbkZO{xszh zJ*d6j;f!}ez>1DkR@O&nS$4Hf(p{tcO#OqO#$4|1qfIPjZIjjphwJzxBxuZieMPui zsKQWCEh2Ga#GC^!0<MZ(7xNZ+A?UYlUc{^e=?foeUlTnoBq6%$)~>BbKe5>He{Fg7 ztW&foSHf>w#F{C;dkQvvJ+g|$ZcT`ewPoSdQ~ZlSA!wdj$~67$v6H`zwHDu*Ip=sp z{z=`qMSD7@wfy?USK!MeuD!vlAbs0bPM&jTt~Ii0vnItdy<ch`@v!nx)yc?r&kwA; zZ+raigaZMydS~4K)OKF-o%$!?EryNeYu-;gzxeh>%|<rSO;5Vda{jrwJuBnFhMW0U zFEzX7cqVj}YO}5Ex}hbhRJqdr&vNaRx*zA?DxK0Fqxa}km~v7y)7Si^(|*s{rvBm2 z+K4;d?c0Sb)-AG|l)X%r^UUs4`JLAVtc8D^UK?>I`A;lo3CGs&0onKOa{8=^T6w>0 zihs2~lepG*=7~iox0p8?Pt|t$_R{VrTWFS~@Ap+K>q1xY+*D>4`l0mu;gj`;r$5}6 z^IoN3RnVyuC!a5v^G`dpt;Xv8DgE$o&L`QOr$6kw=FBOxeu`dE?4LNM@XgL|uXA0g z`(C@VfMff8y@20$`JZqpEZK8wy`0~J(*?iJG97=e-6;L=33ur4{uBP$9+g-BE#{Qr z)Lef~+ip^_0w{s^3s;yg*<+RW+vVMAQ0wl$Nn<mpxo7!3g2~$H)au8p9&_%I_1hOP zH+;^7*_XZMhJSb$*mrQl`;FVqSxr6g^34|eyqW5L>K8UNIdfd!vm#)Q=YyqR?AGrI znX-G$m*Y}<T&CQf{H14)iBEz<m0O&U+68-CWqnrR7t`lWoZi6s#rO1y)l*J$e(^l7 zVILR%<eKHD#YOYFPiovQGHpDW`7<Th&O0F}%I@Z*^G~BxKAn9cs}{d`T9&1{`$5yl z*`?(_cm8}-#k5~DJY<^gK97VfHM?`~KD~2eI()fb`DS4Jsi_4!;x+Wcgm3!(Oyv8n zB)+<Hjknmk)+yn_j@NZ!_%+v`ySqE}VU0(n>BQ3Whgv6m%2KK|<Q6|XcenP}>XgKY z9q%h*zskOz+3MYMXx7aQi(J<0^lZ@jvp1%k<J-AI_TeX<>+%0iS(w{e^Ug8;zRs3) z9saYweLa0hSoG6M&7A>X&L8?8@}X_VxANAchBuG6q}RNC%a-==A{Tc^O>0tsSXF4u zg4@Q;n=dRn#3UNOP-0T6o~ZbXS&F=8oj!AMhs4Hew52X|cir56Izpu_Ju#rw^!5Au z9Xcj{=cnttr9J%k$!__q^IP+!rncIL9C^k!^J3(WWY)6*lNGkdByTcMe4F07`^d&f z3Hg;*=AC%kUl?iOng4dZOz@9$M|(SNy!#+GzxC-%7h}E8bIYEpr6k5bYpk5QspzYL zA$LCelu6#5o{=}?PTxqo8(L5#_H0V^lliZvsMsG1-t@uK#5!|(*8QEauj~J8o!fDI zQYee2@9eD=D>4rs`>7OWDw%(4k)vZ{her{&Z=d?)&aks7p9B-HdfdCV@b6^a+odlS zoLZr{S?XH;%}<?9i8oJ1Om^dy?(Xo~lB1I|HREbT$mGstymHw}n^G6uOkVrhW!mHu z;-Pb08au2yodk=8T$SFbyy|EPc|GyCTz-&FUU6`#Yf##@go#&GJ3Fr}I`*F(^~TSV z;OISSj0_Binb7YBPs&d$N<q2zb8f&zufql+wcoXwe%(6iH~&-EEk3Sf?YnGU9w#P6 zi(fZ=sCM=0!~M7Ox|FIVc*To$%&9(adA;$uSTE}r-AEC``6-vDq;EbsM<!*Zc0x&V z*@G9af7E5KS$cYozLMP9NjZLdd9AwKIS!b6b>CvQH))@g<(<0q>HA;J_x~yFGh@1% zv0mli;sY5j{-qat!gN&FL>0UjC;jq}V()tW%Oz3Q;!(=<<?k)e{}NSvtN1dxX#c^s z^|Lp<c~-6WPWM_8`x~|M-74C8Uq5=zOKIlV`kZS{-OBmv@7xbAv$kShs>psnDxte# z-g3@*kEEhAGLyWn|7EYvzOXrG+WKinI?aEyaX4*M>YZflbp7DW>G@I1m#*;0*|kBV z<n7&hKZ7HWD<&LQ^eR6*Wgq<Rbq0p^l&w*9Gp=d<W?^IiVbmK{3sREcCzoq%)&IL7 zu7RH+<T1mqTUU&|47iG}Fgl1bu`-CPWnyr+xGl6a_Ihco_=(wb+M_s?Yks|Q_FcJc ziLa^Vs^Tq|TExRc;$k*wPQNm1;@ZxuuG#Bc-+#zmUGuG4U6PTRgIS;1*a!?lw5ED3 zJ$3{HQZ}aMU)<7`=9cEgrT41Wrmm?dXpt3f|E$x0-h`Cv&-7b<`DF<gGZ-jO^;#OV z^2<{&xbM9sGUul^%fU4<Y;Iy^YHr^@e>wg7x!yY6wQJ@lZ&>&2$)mb?QK5mpo(Em` zPCV`(;OF&dsoFA?CCZBz8QggL`fbtnTiRyYE+!%@Umjn+EY_Ion|t^~z~#DW$9xi( z=<5kYU5HzdZvTGocFwmY?`o8u3-ey}bSe!BnA^e<qWt%Yhuzme|JozL@<07@Q`Yv@ z)Kpa5DG#&|@P7V0_UtU7%K`r_(|eT5v|?A^G3iX#id`L1Z}#!+=QYh&lTP24RurEu z%Au>X&(=_L;d85B8g|zM*M+Pz{NR7))QMw94jniZ9~T=F9Tgc79u^uB92A(cLVfwN zjWaSmH923NSfRo5uc|)oWzqIq^TRjY(7re!K;S~ZKmYkYKfZIlTeE^c8~c7XwpDiS zoq5P3K1N~7hAZ0ks~7x{sr@(SlfCoyo98d8OwjoCkA;V=`Cx*<kKcdm_TSIn`mbtl z-1_UUzn1NeoxSV!+p_FedecuoE!r9Lu59<xmD_K>En9XkYircnu+>uw(^i(6Ej#D; zTE}PZ(WLd4etCTf60Ws<62$MgqBSaOp~5sP4vrVkj~`#Ye0ki;%8+m8_P>7e;Eqf1 zf~}&dzt*fRjlKT$6bN*IfR~9>uiIix5D3r^;cA^V<-%wGy>6DEu=(|K|LSuqub;2~ zUTrn&Ngy-dDl=0PW1}lGG<&`rWp(2A{`+}d)X&THWm*24)NV|gU;fo=-pV*<`J?sA z>dW4}npeg9H$Jd)nM2^b_ckE&=6|2a{ZD1q6GOp9`<wQ^&tILt*i-Je-v1-@_w3*A zja}Y-blSm~H{p?!XV@%V>U8&lftX59RIW(+?(?5_uC9JRGtDEnx9RS!yR%}yu9Fhq z9&$Hn{Y|a7Xclwpy;fF*rWtSF@x0}EpA)k++S|H&_o`=ScOKnYE4o!7@s73EJ$v?O z5QqYUdmJ4X&Yj!#e((2t)-p4ug22<yb4(vB&v6S=Gh-7=6ARP(%_OWWtu4(h{9B1x zTUlC|n_0U)5e#C%AQB8h!5}d<GBgkje8IpI4CcDHg23P3&4%|k?b@+t%eG}p9xS@I zWz)6=b9Nkwh>c6wuDe!yTeo)Z>-6itZf@RCS9bs1yLWPYHkDN%Py_}_lTW4?NpgWe z*V4SoBE?&og+-ZJAn@yBg^gVQ|Gz1xl~0EDg^Gqwy?SLzsOZ!P9kK4CK49Pt23}xr z`^X~Al^QGO$7*H$zPIoDz3TUtpm^8h1Ov}q>5{S1Mpq_Z78aSw^Yuvy7^Hwf2pEX) zwI6<HaH@EE$^S_Trk%&VeLTH<?RN`Zx_tie{J$ERo@Y$bf}(!i?B8@_{^YuE8;x}} zRTX7_mem_b@US)SzyJRG@2{YA4oc3uW2Z^&S@fxQS;az)yXxSyzW;9C_S<WNS6|KA zdTVly+3d4v|Nric%#r+)*qk>}(BjSCNek=V{d*ImzoR45^W=;4^5<f$D<91N`#SdB z`FFSP-o1Nw?)BGStM&>Bg1|u@UJ&ry2nvk9<<oxcTI3|+D=7p9zZQUig1P_*T-a~D zyRzEE_kYgu#DZ^LCMsLLm%Y#Z`guMG$V<E|)Bo-pF#Bj+=eip?V%4g*_HDm<{x}FM z2ZMGnNC$)Gw{$nl2yrp}V&oD6Q8)LMclLpR9~kg~!MU?wpm#EBYtxG_AKv_^ILGJj zFY)H{=I<Zgym<2G&Az*9>(oraAPf{5U?M9S1U|n%H>>{FvV|b<vwU){SoAwP&q=+V zt&LL)^VWo})G)d-IrwtKpU-E{uM=TQU+?1VWbU_i+l?K&cmLk@>+G2`XU?$ri!T%8 z<GNOHt9EyOIX`=sfTB|ihhQBTsCZ0JaBMo#A*k%uBXKaOJ0dXiV=<?ol1qnx;=c7D z@P2;Xr&2qyl;otugj5OREt@u+2rx`(IcPHPo2374o>zIFB>b&=mj69^`Q7c>-Mi;k ze0XrMnVtVKpR85MiwlfwEF1y~4h=t^xAV)})%^J2Kke4x#TIjA<yx89`D82#emrmE zl{U+{@!@=J)7PC+qIG2(pEv4N#Mr#Oa$x!QJFnccFD!6uX5;;T#Ovey;$s>C><{J} zNE)S_n4s7UDU|ju67p?aWMjVe?YzH#HWrA0O7ez(-&wXgdG5K=@<jJQUOfArq{uZM z#iIVzT<kTs{s-8+y?ys2C`ON$KRndREw1<GEeIqYXkcV!<Iyp_-*u`r<J3G>ZZVyR z4GX}rJ{=6czxT_VmVJm*X!j8g^N%H+%WZp)TvndP#~!vKV4+hhmxxBd0*A&!Eu6w? zJ~JA?3FZ54me;-$gzBbsDLLC(ng+UV`C(gI4g%m5l+OMyu8M<^DH~EHvnj?oecrcR zwwvwI;mhyj=6&Py&%b{=B@YDrnpil6R6JI!27$$3Fncl>{4SroS}gkBE&;aZAGYK_ zxHW51Q+Jhe|NI4WrWnnbxpHUQa@mIE#z&5Vz(FwB3kEyEpz&@R8{0nani}zM+kTzR z1p`P5Xev2>Lrk{1O6=389<3KIC7*_JuunU(VIv6mUcUwc30J^iNhTP8s`7|eZ|~Sm zKNDGgE%3nZ#p)M=g9-xkpM0FlVzc44=zG1h@6x9~c=yo31O&Eh1_5I**t`i0*0a~; zl@^y27UdU|7UY%W<`)(hl@t{e<`(Cd6c-g1<mcsri>MS(_DD`n0t0X{od`~&2_OKf zN%iV$L7);0{{Bwie8b3``4C4=c2;Iqc8>k;wCKgF{=Uh4m-qGcD-d`D2DiB68j8W- z^NESd?tL<bN#NYkC88O$#A9Opukz<22Aeh*8d`D4ZD{ar;+&8Ws@SN|#Hmuz(8Q(I z!ElmU)PhxbiRXtc?hPzW>^0y#2P(X?veLi+?BFCJa3)mJ+4`)@E6s#exa*SBk<=3t z6rJ06cJ2XzEnu()4E`+tZ{Dq&Z@<9l!0PU7Ms}fq2MnwN77C55QV9nbS;Z_A8rdWw z92!{!EF3z(*?Zv<5SRl7JVM}H-V)%)#>fQDj&*;2e0+Qyk|7^1cJG(7t@`p~xxE<k z4hA!Bg9XftOgtMb9T?bhxGsb<FtQ04INVQTWReJAV60{45IC?5WcCsjemR?p4-W(- zK>%E%aB7}Z7W(uN1TKOBD4lHFSa`fo*1GJ?kLC8_Oow^gEo3Y=eP83;4k{p?K*IQv zxHyNd&ewa!JER#{rcIqW%dIOWDU5I9l{I@*CUvfiWSQ)d`fO>Mn%YFg>C^nfA021} z6&~h!cXkv$W>W@%$Kc3Q^6pJ`ed=b?D%iE@2e=9g`2%YB9&u4u0)a;-AA*7X)@e#r z9)3Ygf{z}zKjHWCly^{T4&eI9=u&iv{b`DTg8%RS<+WcbqAo!SCj(GgHPHbB6)@P6 z7~x?71{z=xvDmFw$}|g7R!PMi_d4!z;+VUfU0-kigo(X2Qu4}*wQ{o3$>6laBVmwm zpn);@SdXM}+8J=1OBy8|sQCEkXt%h2%#H$3p_HG<4o=UhS=akyOf5@V4o1rUe)U|& z|9Z~-+uy(Kt$n|D`&E540}v><waB$wOgHMlhJ=GntlVOkM76`#L~MLCKaMGHV`Gkw z4$qpN$C>tT9tFj?`0QCUYf_ij6*(oXu9?%OOqw7jEXu#R!+c)ZvE{WBSN+(cZuRK$ zy03?q-`)Nj+&szqS}m#-vLaxik}Eh9FtC8YpWh&myfSpniX|P(7@PwCfl59m)-GXn zzd06#&?3-6GjNehr;zHum;JJiY8eq3$rB0!HU#XslG0r8q05w~Cv-(gvUQe6K!aQc z1G6lHLq`w?1INNrkp@N=4Y33p0UjX^u8_DWIhKP8%`;36FfcGE@G!C#@~~~}P*>b8 z&H15yN2K1PHwQ#KHu6n~)P8-MF>=vF)xRe5maLzc`>)k{g2EN^5?3+LGnV-uL^<lC zl9O-Cq@_=|dG^iu;!RCF&u=`nDz(~e68P(hQM`Nj&lmA;_M3m3G`s$v))AH9MA2$K z4#SA)?@F~pgPs0$R@{FTvFp#*vpZ%iaK0sGZdj_o8+wNC!IEi8s!whNIq&|{To&YU zGce)pBb_Vxz5Hk2u>5G>qMN^{#DQzYCfNfX%WWt2Moehj%dWZk-YgDAJ{c1=x!!+T zdk_4Z|3>lrJ>3VpibM4^&6r}|q|JGo5VL?~#~e=CgzT+*Io9o7e7K6o-?VwXusZuP z$F7$RKDU%I0}^+&{qK9fM>x-0BGA8dPq6)?+)Cl)MRJ=DvZfx?_`L71af+L%ZQP`P zF7ri2Q-3||5p7z&@nOqN!yg|q9j2Bx`Q$2^gcw>k%#7L+`18eL`R?)~w?8TQu`hAZ ze$6nm>(--%6Ii3xtonH)YVYSoM+?O)bk@my+g!HEd#$W#+pLH^huDI3Iaot-%8X|J zRk8Z&I#1Vjp`KlS+wSDqM@kmvcWhAi;hj;u<osWk`J$akzaIAJE?T~@aM8+L4L7G{ z{3vmH=^(NqL3To*E=Oz7nlep2aV3juaXMVQ+xaEy59V*q@h>f2aq+0am&3m%{m8Yx z$e<g1a@!qg?w`}H9w}L~+Oc@ffo;#zJth|F^gh1oG|SE+dFqSInBD~A6yX<)#}+PS zN{}&m_`2nCW8j3NRt(EF2}rG&k$1TMx%GRl`&vF%Qf04S<S&fg<8oP1K*RZCKp@A5 zQ0JY^g>gMI<#%n&$l7_6^S<94nGUw8YnUxoi7pK2DwY0IK5v)T#ti?eJw<WR-{p3_ z6P~3s>ua`6T-l2`dpTO7gVx^G+N>I4cKyW5gX)z^J*-C_r|s`vV9ULDr~Hy#e42Ls z_ijdN8>&pYblF*J7SFSvRa-aSU166jefq21%h%5oy~UYt^ndmKv@zF-Im&11+QrN# znr!PfJXKW9VtrTA&My}<;U?=F%dA@uuXbJiHoNrX&CW+@rW0rBed}goy4x%tzSGcR zsl3Z$vGm)md4YvHenv29a`heGx_?nFpE<{Jk26;`WD2LfKQph#qI27}i2*yCUq7<- z<(a6k`gTFJSIO2@E)%@G*8BEHJp5}F8+xjvUEtS=w~vH;*_SzVy=~~Z#dIX~qqo#{ zeyM*AyIU=<Zt@N}KJ9b=&PcseB}Nq{zFdEU_IvExxO7R(wmhfhoo~2zefsue+JbAj zNl89VhiccWWvsi9{*g&)?=%hb#i{FN9+_2Hr{{5<JN@^&`Pq*ih5vl^d9O8}nPbH2 zEx}itUom~jZob#FG%%xT1#8=LO~!}Zo^E|RukZW0bivfp2A@<#k+6-cgf|)}Z4ir= zSu4r5+f>fayF_K*%g}Vo)vi+M0@_#HyaYx69=|Pn^XB66W0JuxJLOW1zMR-~$f_&* zTlkg-R=sambts+>G+7nWzH3{^l2b48QuRZ3hW_MKW~gmgyTD03S@Mx<j__Q2E-s<h zg-<8yoz+|$w!&hQ){<{Yiz336H(qAQom9l5n<O56L1E>qNxW&Sdp^y#creH7WM5IV z$G*3!Q4Og}I%8|xxK_Tn|82pN7w*0r7IasO=Xmu!S<B&SGwD#s2ODdp-hSEBD^|5u zN>|oM|C$}?xnd{JTWRfNjXpWu-nQ*JRk4d4#ota`bpNU1zg!K+lJzc4_79pqmQ461 z^j?WexOC&BPm><ED^3VidQm!eW#7|-4`n7B<V}!&zEZaCw%^I;xw$i<YOcL({J!p& zXT3hVbJn-{zb^jGnxye{@#?&k1gQz0-#+dQli`g0t9qAZ<wc|K;`{&TPfl3t)>V}s ze`Z~zy{E*sfK4w1cg=Ud|2MAtYx2Srn{_{Y{~MUgZ+NgKAT_n^?d%_m1AMagSj?DS zI`4=;uwVF}iq_s^h12gnyYTcuZ{g>IA{S=HOb<P`)8?DSiC<T8R`aM#C`{jZur)x? zM{W1*hq)a+FHie~?Xc)LxaxFa?0?m?vlkW>X<A=4tN+fQ>aV$Q*7rYW4quSie}93@ zskl9lkN3$uJvi6%@SJytjgFLUDsp|yd4scR{_?|9TfaNmL?|*V9((H*ETH50yDf1| z>DNPBeys3Z)z~>HP3Ck@hLq(bU&Cg(cyqVHN^4au<LU38x^dpR^ZEQe#_JoqlMnas z#fCYwr7Av}c=4eV({%4Sf!!+%_j&tP|JW26`@63*)wpE-{r%mR|1E^>a3*)k&zg8* zU77MO&%Q0Y&pr?CyDak{a)yDu%Z%bl{{;&=r>j0{xgBdS5ciS!!`$;f6mA;c)fMiJ zX4YI9Ii0I%f98~q=bubl6WW3%N{TOdH{t8SO&4~q>ozOcdoQKGao;iR2o|A(1zY#Z zzh`;kSwAuA$a0rm4QKcy)tBjBNt0#H63{zvB<!h1{<<COUhfu276?!FowD@I#u)oa z9B(@Vrc|@+n>nf9MLw<K)tM(k55uP_r@daV=A_6D*+89Q(U9kJ&Nbd~I<sV-$C2Fv z*~|O5tZql#`+FgFy?pNWr(vP;lVV>eJ@4%4VqH8b^7jp0pPpkAeBM9fGTPW{(RnR< zn{D-y<|%WFu07svQgD^+Wr)mU<`_@g>P2oMt}9+LnQ%F?2e8=Bo7Zi3?u?k;<Zr5b z@2pdl-g~pR?##bUtKXe`-T&z2wfL|%>t-;%k!5&z@!<Z*wOcIq+b|ztIOMl^&bG)^ zjn#rCx@8}BGHiTQ;OKHp@U`BP#phhzQZ>&k+<0Q8=I62k-cmKWdq$ggTZa6aGX38Q zkq@D!Hgbxs>eDu^ytl_;+1*>~*F6`z|2^c~TbUcWE@!@UJM7^$eEeiqc5Hk0Yn$4J zE`v>PZXM6FJ|QRUys$6x?{6)uDLTBDN-Zu&WT+V#%`}~9sW{uYYVX{QVWB@{Gm8Vm z7hUc+Zkps~?-l#<PlmYU>7@q8j~Oh#baLs#Sr;q}uiWjwA=uVt(Ir-7!2Tm%ms^0_ z?8<^g*XBO^xFfXILSdP2>J#s~#k`Lhg!Vgso$`NQhNff9*V*X~ACDyEtTJDJAZPo} zt8aH+{=WBA;VkDpk`p*<JsaLLrOnv&Y}WP1zhwOT13q#j%PYhu^b2G#oV-|J;-e^Q z7HQeA)9lsCxUgyJ-#Dh9WOCW}&T^hR*QwX@LQXSmd679am&s-B8rR@|OkUo-&)-yb zq~7WfkG8(IT=+m}z%PdGd3oog_5RI`3V0*ylXbA{npevep4`GaT0a65u3XA;vuiqV zdBuaz#q}>M&Fq^N@y!0?(xvvWY+FOrPiLDmt}CTHlvM1P^_EtM_&qw?kkdL}qO8K^ z^3jhUH%0^`u^bYumgIQjGS9E^!j+Jc+P!wy-^V@wtQ^A9ocivX9h=X+&LdNAoJ-M9 zKXxzZ+=STU0Znyr(VTa~rb@WX&WYvq`gio;{WVU})isxy-#ryPWW2Qh#=b|Qf07;+ zR{t?=UnRU{#TAvP`*G8Mm#=^RlUb!HG1YoI(~CcsPvxYf@_Y4ex+3$qFfgGftb4|# zrTr%kKc4XNo6@_FYQdY<ZC?3i<AH$CqshzHm<OM`wfk*P+q7ct8Ta)bxu5pG_Cuzu z@4vPTTXDh>UNg3%2|Lc*YfooPTNK|>lW3e|@Kb-zFPY`DW6r<QZoH*@p-?A5=KRUp zS-DL?3!_qxv&G#!!10B-cF$_{2f91cVl~|Z7u<DjDlO<eRgf&bd4t({JMnp5hBHEE zTs(R4>-i$fmF~N4giiYvICs&xqE4YjEK&?*3b9K&6aBR`_s-gIE9G@wz$bmKf6s(m zOfM%L%qm}8J1MI)uEDEo*29L~$xpl19Q_(Ihv}(uf>YZUcE>B?jy|>Lp8rz!41db5 z(c8I&clUjsbH&}S?rW#LO*PrKL~o|YLWUa0jz;76W&D9H(l1x`KTep_&m5<m_9gI@ zllyvcNpWsA)$FU=xPMOe%9-OM{w-pnRKd(AZ13`p%~5AuxH9vUKu2W6tTvHOlf67= zx-Ra|adVw8vqrJ;Ig`<n{U=U!@Y(9Hvo7yBb8CD5{j@bjO>Djkr*|!!e%#7!+62dw zU3Xk|H1{efozaQ@{xPy+#*^!6><bh=d{wyk#wK=iR7dKoYMI6H7Y;8;$+&0rr)7cO zloGb^?30P%y6MF%700?}O!;!eciHLSMVFO&+S*S>8rq4w<QMF@am8tEW!=-nx}R^Q zE^q!(RdmG8_2KNk8E*nI9wy!9X#KQmGuMQ>y!$l{9d2J5z06Gc!k22_@+o?M8aqmM zEjX^O>u7PbrG>F)^^+EzqMN<7%Qa_D&~DvPJiD5|Q+P^-mt@y(m+DF4E)j)GHqCL5 zpRwk{X3x*kJdB6?t#mftUzhStV!wyMztg^JI4m!%XL+IPa^^{QNQ=7SmpAPHUM~{) z`%|;nTb)sU@0S~V7QAA6E+x+KJX*b#caGGw)&gbz`O7jknaLh;5NVTf3^v=cBb!UY zHQGwv@v(u4(^0NG>v_Ue6YtLx%lYivR{r;8xU}1n@5~=g9u<#L-aN@+>%vN-OBtn3 ziDx{ep428QNqU+jTQIyZi2u6%lGnZSDN1L}_O5@x|FGy5>zYWZpD`zbEOqD1|Hcrr zPU7Aae}(+aht(S<d~2Dgb%(9OmHR>l|DR(ECO=D@;HEtBT99nwOSRr?p}h+;0t?nu zEntmi&2D^SFzv>EV;3n!Qwi@DKc}{4$(+n7?6VENZGHLb`v$9Pp&XGmJmO)phdCZ` zO0MZ=Xz<zjUx6o~?&}kutuIXr{%(4h_r!R&O~1j)vJI-;0@M6Mm7;FE>z{PyEBi@F ztujuDPa$R&(jm4zg`Efe7>{T4<aMyRx~-^G<&yY)aN|-@6FDF5f~CS|=IrjT6MQAj zz#w!sXZ}uRf!Re})pk$9WIA@(EVAluVt8nvET>elRwL|T49A}afrW8bcD$N;dG0%7 zH>1F%HGhH+oUIqKsBe4n$H+>l;M>LccP+`yKehLLn(Q;}e|yxwJ-@E~y>jeOaE;Q3 zee0V_Ra_tbQu;Lg;5uQKZ>FoHCP^J|XzKB1)Y<v!z4SaK-4|9LO<%2bT0EsILck#S z(!)81OKxc`o0fR1In#But<KMeNYQDxU6R-B;!$5ZOKC0F|MzjbUqA5uFZ(~)WMYxR zwV&apUJCm&7IVD_&-G&1pEdc^(&g<;-pjNu@1ORXt6`aj9RIqSi8XCy{9Q-i|7Bdm z8(YZi98hd1%6d9!=d&gE7HSDTysCXt{en`tRn_I|=Nte1JYFX(arPJUnd1yny9BiS z1N=6=Qu2Ida$}l-O#Ze?hd}X8o=HoMBCnT%=NxA(JM+Whr!^~sU%%yw3(d<`CeQb| zzb&_2)A`LDi39S*0gd&G8TN@^PV~8Kzbx3xXbRILrpZh@KbP&ko3~xXW9=V<kLwpW zvKhqf2w3RKrDG7VQHiySTPs6IT}?$*S*GCubiB&XU?Gc;fP`bq^dHvG=lo{zD^76e ziCFSGY2rKPkBZ+rxo=CFXWUqEuxa;^_qreJJMKmrHGDkO%B35WurSG$EBxcq^$i_& zS-4d8O^Q{uzC3-d_49K(i{H&DKDX2VL4|}sa<hDw$^C#OKevFU($1!BzL_J|eKcv~ zjTtxORCRP7xQ9PGx3f4NG!rK!Y|o?Mz`#%;a8kvlMKJu>druJ&pMpaz>W|A){=7Z@ z<96@I?aPnckL$S~=wP?Yy8PT+>(v>edQ2`$zB{;7^|PEf+1`1)L;vH1e*&4dLVQh~ zlCnn{J|1%A7Sj${;vlHx!XY5fCZND@JW!(k`JCHpL8JV=oo^K{RUQ`LpLnjjbMD+D zv3nRC-?Su(>qe{zn0QEY&S9lFMi&46Tm?-92CWRyn%V^(Q=b0#k6K?-#bGyIDdUum z(!I@h&WMHxb{xE}{p=}Q-Cm_#AB)*pnTwy>9FhUg>4K(!gEGN0f1rWq@^U$sWwI6? z<{2HgZi2wkQZR4^O$a(ACsp&`KH?w8@Q;z{A9xt=B6$AdZ0!jtCnJH!iXPu4F-x3C zO6<71K7aMo!=HX8Zmkv1`Ma&A_J&}Ty>Qa~Zyz%szt8z|<N4>GHFi&*fB<-S?$HA< zm<Jlg%?AOn<3JNXn_oTyfv`iaCqh?NhcAnpH#KW*T=0{(FJr&1xw3c9>v{7!#FDlj zn;*XP*!{V?i_71gyL;F6P);@oKn8-N!5|n6q_^?5=Nx``t3X4@-QB(JiMtyZ7;bz# zS-&Aiw=Vp%$@Pbs>y|ysT(@||iWMii_jGi0be!m%laY~;aiYEE#FHoN48Ld0-#K%! z<;>}pGy5xN&fYm=zNHjbYKn?#dRpAG6uW0hpoC`30h&<~xNsf>`X_)uKtMpi1op}a z%s>0Vz#js9as-6tH!?l{WN`jryx-$kzGrdgo<`q$8ZY-OVV?58GAZ`Y`uDk*KkI-0 zr1$*O+PQP*&Xv6n4*tTqpkZ8@^Pr*2Lb>N3Wv_pf0fFO%b7s$;ExrGvG#JdDal=_C zo~LcgrVSf5t=qC?(}s1BVP}x1GBUtp&uNgMbB|5RVTK`rzJZ}f1)N2%Ug0oS0|7QL zcm|&G2Tc_mJ?hHzlEFOZ$^t{@w%{rDxeSk6yTx?EOl%!pcZU3tWqs=Z?ujob7_6Vq zu?LU0#w=aBbm`IPJ*};+tw$s0q^72(9u2Q?RXum1@h}^&qGX4U+T@cd$Bz6nxOgWy zD#*(#$SEo+$jd28Z@PE<FHjPXeR(RyXy%tC>JvRwE=}yyab$bc)+=R_cEaM5lKLk_ z^-oIXUHkWXumt|Gol^Y#j%E2d%YK9Y<Bva9fYZ03s;cVIV4I~8I%0cYxULacsIXwZ zyu$ni3Jc`rMMOkI+zUmHKeXWD1_8*RwWr&Z6B-9q-afo}@#4*s4<FvVc#^aI@WTQL z(2V_q_uqg2tpm^1_jan=`^}j>OG<i{^z0wI)&4DedFO4}?z@nQ`(`j`0t3U3M^aCv zIUT5Y=+r8vx#57r(Jm1Uy%h&iAHAP3$6D|HC!PJDw7_!=#pgkJ#A#uGhKQFt2$+AL z0-n+7hJa39C!^2vKOGJK_i5t%n#acbe;oJ!`BeP=j}zf_pQg{Rd8WMo$0_rFpQg^Q zc_M%Rhh}^W$Qi{uwr}6Q-MqfoYOddM>)B`1Hox?3|7>1fbs3bA@7`IvcJ11;xA*k+ z_V%8=IR`Y~bM|`8+b8{s#(5Vu1U9$2e^l`K!CJrffvD!8yH4D4?tgqa`072qxE|*_ z2_E`r{^RvqXfAwb*Eo6Fv}x0xWr8OA79K8L0Gg<@oCN}_cg|v;xP?!qB<Dhd*rdja zG7u1(Jre|YPoDyTq$Gaj3`W-S0E2*n0)u~IUk=Qf-va_VM{a<C1cxCAY@Bf;e^HA> zLw()twej~$UTuGS8ay>)c?b*+fWba6NJ^@nF=NUJjlxgHNk6tk<a}FoHErX^?^}0U zm)kWyeh5z5SHS?1z_)^dsPOG(kG$_6CQoi}bh)(pS!{q))7~Fn*L*CGGyS*h(c2x} z`+qk#fTv>C2t<H^!a6XpivX_*IC_Nb=Le3D5=lw*9u0?DcV>n}rMt7wb$Y(Uf7h-R zbFc74eeb#Cxwxz}VAsxc@CpJ^Ft`eyJevXrA);5Ofb&oYD4mLkT)7Ma;M6cV*yl~f zk=aKoW}mKo&}e;kmY#Th_2t_)?#wC3FU<E<zs*tp*`mFB|NT2Jeak<arDuaO{wGkD zR#Qul0)bDeU=aREE&Y>fIB0diCl!AuCnu*O6?ZGH%9fYl#V6o;;-_Lde?Ql@16vO5 z+p%lMwq5)7Y&o!H->yA7wj9{EXV;ExTPAp@2>m?q_+y35zl#|rQoZ@0JndiiX<~g% zvX__FspU0Z8zXe;K6Zm=Ml=jJwyT^!T6Zn^@73t9d#=XYLRe406}9#AIiEQ$KQ6TC z14kvuMNUqK3M^#!+LM#2BRJFVEJ$3;#{J(VRMk|pxtT}q)qJ~G%i~_noww@Ma=W(a zRV&JWty<o-zy8%yyH^YUy_|a>!9d~-IM@CHFAjJI%A)JaW#7bqXSyKT0P^M?@C>LU z7#swr9S5O|&o5^7#J!v@_j0z}%YM6;)8k(D&O7;H`o5P_<zDvA1Mw%zz3jjDg5}(Y z_aFc&@6Vsl2eo&i9l(HJsb1FNNA86UZ(oBz_s<_7&=v)%=#L$do44TO-yb}JtelLZ zKP_(YPvj4{FhO8qK;VS)0s<E&1WZ`Wc=Z1N$HMiXs%@urdHHt^<8z4i3TT}}-1l!F zVAGLeU2q`pLILl|4epRc(hagBOBPacH->=L5J(AI>s7A(H}Cn+x$hoV%)MU;VqLG4 zQT72x^2ZM#Q1<4=#^mFDyFtL3bB5B7Ir}STuRr*6*7l#Xk5|swUnwnU`tkeaKWF&% zO#eCK2dK>hDsrFC`JD_ZT7p3>60gOeMDRBOJew^pJiFyc=gAJC^`CdmxFPSLxc`&> z`%ebvKk1(Tq<#OB-uh4a{GWBtf71H@iLvYQiYqBwt6~|IWn~uhFfu3|o4_v8z^E=G zV_>7kB_bgtwj{)_g;DWhLP8rO0|SFb%OZo0qymnNxyx-1$je^q3O#nb^=I}Jb<@sG z4EAmdXYn?gzq$Uf?aKA;bzkp2+3nwXPDXog*c-J)i|bUEZ!mu`P4M4}dnvP{l>VF+ zUiYTEM&<oO^+Q_@e~3=XG|Dml)nb{gy6N7gnzN1KBK31iZT(*zbGGGL%#dp#wc>VQ zckJ&Z_SD{p=QERLHcvmGn;7`=>4Kn`#&3G}ZCwN7TsmVHb<DlM)9)->W)gVX``GdK zlMQ(;imkje|AE$;NpDkPrk<KD)%fH?$l?PVH4T1EP%`U!CqL)N_Pk7?Z70|d1wRxn zn6|1bUSpo+7ybDbviDCr*4#Sw{#s>1laH0oB^zmeZsV)HZsD;V$#uWxx@|sMYoC5M zcZ$nqHH95NmwXqsdZg{X_rkNd1JV_KYtOCjJ@b6##%pVhYSs!JH>&xk#UZ#wWsTPw z2B+sAZVPWo+j{5F%3RJ=20_`HGUF-lJ}rGvD*cJ+uLt9`bKCuyC$CRQUR;#rrFi?} ze)Ik2!S<%AH`d&leWu7(A$ljj8y`pD@y%!MuB>vDOj(d(o;;yt4}(z76U&6l7Z;rr z-L1;qxG3sue(W6?v*=wxdlvQZmfb#b|MhR}?>GOy{VA>{x$b33++JS(&hvLfY+X0J zYl&u?D1Th%XU%Lmr6=!1^<ykWHRg$F^ojMPeKELn?|RoX-5!1MPwk=o8BAQ7ub)3$ zw~qgL%Owpl@tSQ1H{WG2yy|oz;%W9Y?G?Xn@4agA!}4<rxB4~BJkGcCy&b;99|?E( zVLN9-=-0wcYmK^2EXexT#AT(HdCb%8^poh-djq&c6t2xbSQ%TLbR_3(R!y7B(elgH z=^g&_{46H_cqN)5;=t*2`{=XDAqm-1(QhuQcfAjPVH&e$$(6KgDhJihE}3PtXl>D= z+MNfBZ*nqj_g?r!!coacMzQ1%(~N}B^Qxa!RD_w8?c{6zHun5vmiWjn_Eg^HT0QfU zq*lp?-5&qniA-r+$KI5)B2T!CU2V#_X(s~La;!Tk`0YWKXa&=Sf_AkhcPc+tHQK6G z?%RIb{qCX_dC{xii$7(F`odwak@b-|bXSw<T#Z?!g<6M|u3gppsC!;(yTKK;<fRTK z+k{w|c{!z@>@xZE=I`E?t+9!#tII5yuK%&Un{;jG*~_ZRHuGw(#+`8gG;g1vignL@ zv8h2<4^F&zYTCjZfhFPRCHtHbYP8=8A6;{9H_x+crTG=<g)_5DoT`p4lHjy5?EG$< zb<A<0cbS5Ts8?J1#w$}hrYpPiu+^s?@auPSxRS%Mq2+A-p}(oqnk1)B|37O@<1SHC zzfD&nV>f(Y<96GazxBf>I~Ljazb+?spFNfL_WG-;sFz|wDg`f96oZ-Sv=?-2m{#c7 z;W?@NPe9<5Evr7ijj&VyE-~Nw{jI~74I;ZA2RR8I(SP?XFd|ZyX~RX!gxeXSYl~X_ z+~4HCFFX9>Ja2do+s!FL2hN8tHc?uXGwJt{&-*qhG?i{QnA)$uh{H!)P`Qnxq*lIA z>|@={|K>B_R<%wxoT0MQ@JZ{=PR(npj@bA_$-bYN^WNX<bJm=Y(;5%Tc@7+0@NPks zOHp0^^*%e-z?EUGe{8oHB|R7Vc>V|HvXa-oO_yxf^u9bbe{RB|)7H)A8WB;`!#DF} zZnKr1HBm(DWzNm+H5Ye(<vGjoZ|yf8?=9-Ctv$=Q!$XTiFFaze_A9EpFKqtkG2`xT zjoNE2XH!-rtT>Uy;wZ+H$Z_ST=BxJ`1NPe<-|v5GmjJ6={jV726*GI4b;H|~{t0Rw z-_+Bp`7*=x;L*-*`)8B3-O9arA^W+B=i&97DH{ZAmd8I>a3tao%aOZtC0zP?P3D;0 zefwp8O4Y7?6Rt&<M<@PydQ4NyZ<hKU#yM*zFr3}LSZ6-Ntr@$6vyN`~r@vlL?S+!} ze&b{3eWpBX3E0YdrsG73iAtGWvg1B=yB||U8m|Vw<8=AAWAAG72e047%)96#5_C!a zaBXb8)XU}f{_Z?5?Zlt8`SV{1C9f>`W?^CRdpFPSwyNASWjTqpj~CWB?ae-WiqYsu zwavy-!A~p+DM5EHFno9YX|qF3dDYKNJ@dQ-rtG_-5x>Q6<CM~Zf*qVPy=Bf9A9I+6 zp5L?1!JtBY?fli@J?B-ouj@~*iJiI5m9L4XC4;AF-Ia+yCuJBke~epP`FdZ}z3ma# zB7aKwZPIdk&DpJ(93r$-<>-l?WBV419lWwHt9eTJomD$#uP9!(ev$Rh*{9CcUC;E6 zPwi?;j@@t5sCi_b-jB)unb*H!ZT}c}$G_#H%*u6&hQ=(PLuc9MZ?i0r&J!*w_ut{+ zw^B`O@#2GLUv5eMVd=ftC8xn{0`Fd(b$@oh`Sx<}q7}E!T0AOj&RV$j!SWAohkkcN zEexvPecaCZ=ITvV!HVH`BAl-XZJcpxVn#vHf7?}+Q7h~GAMJ9R*lBl*W4`NE5u<F8 z3)@+)N{ao}&FYfz^KzUKs=Ya1<nQw07bgEI9g}L4*NOhVef_gU8y|mNyFk{mWtG*9 zc^~FP+4{>_&M&`qYyPPpQK~ZDrEwWy6C^vT8<n%lrYY3qGAhc&xLWZP=qlZxp>%cm zw$#?sPvw_sTxI^S`^Hs`C%)Fw-w!tA1ynf|?R&r4f9_F_m078wdjz|)3(HeXW+oYK zscGwyF`uE4xMk*#+g;PAHnN@iQe3e9a(c?N_9r37XPvuX_Na2}Bz>-;*v(8^_?S9w z>sY^j>%X<@(7HJxR}QS>7K-4FRiCC|Q}TQ=@0^h7d^i2yZFF)IDPh<Z7(Y2o{dR=> z=ATDTPxgtKeQ&M6K`({Beo?PDcOUqlIwxlf>*uJQQ&>;`^t+?(^s@Im&)MkP>17#u zU9QY5OO9?>+_Gee><rffjshHf^+KBGDuWh_O{sr3?MS+E?9a8yw=4zN1-3j}slDq- zp{LlpiCOasG?IEg9dq`woYI!Ob@if}+uhS=9XXo#@X%JzeF{9ER%`3dp6D9=b)D7) z=BnHB5$A4f__TTZ3YlQz+mmAce*U=g-;3vmj!G;s30^Gm+9<kH;p9@`hf6zE7O-4v zo2T!><aRnGZnaIIr-=$*+T))OlrAj^ytI=w=8o>!a~oK!o~*4fe*NWn&JjIUJ(<Gt zdD|y5|9ND-ZC%oXGZUZKv1~u2c`)ypZseXdoEmpB|2J3Pi8|}Lu5x>!xYP^2Ro+_9 zzn8telHIZLmGkkJ30Ey%YDoV}=;pPU+P`p#QQ?&>kD^bd1Ox?&FWSKvwOC`?!^tcP zN0y)5ct_=+;OAxO-)_&~*l0Lert}Wm^Syts-0e`^sxH~nzE)2-eLdgD<=!2hxr<dK zw;D{EJ6&D&Oq_VqP5C3?{xO`)p3e>mB|L43tz2Wh?wGObBzGz4=Vq%kyDLrh`)xJ+ zbjR%vd(b>dr{pg7+0}ASy^mcA7HiYG#=Lg=Zn<f<Hml|&J?-mJZMg9(K<szJsgrBP z9UodGHT_>xw&I(s3)@EP`DvP`A~IfimmY|oJyFb8CF`leC(E{t&sbtkd1UX9J#e7$ zq=;+K+AiI~=~q5*S1|l~7<_o2M%$uxj)RY#66HM_F6O@8bx~H|X2l1NHla%&x8>^f zSFWDClusr|Xm{D2f73%e7Ij$svUNYUgnRR&M-rFzEr0x0_-j+~b!+ck;i8|q9egE= zuKmcpv?%|A5ZC1I>E>;1mvi(Mc=uiN&lg^he|`Cb-Xwj)GqZ#u|4T-4K5@1ZyQCI$ z>B%qui!5Bzh25rzTu^G1J<HYUpqRS%WOIqk)R6VF6IQ)GcFcR%IyL^8B5&0AMREdv ze&1^8vQ;qDWtxy_n^Fpg%$|M@Pv1A$fo+lf!m=?trrz5%Q)ivw$2@E88sA2N{=80u z=XZlIzciUUY2V6g`u6`!Hck8aWb>6){hdd-j(Gow_c`&wYRkzL7w4KYg>0z^tiJLh zXRr4ziT<SG;`)l|B{}{N^j<pn&r^IQ?ah0)dWuwuf%5kT$;?KN$EK?LPRG7TS#nIi zYVFwt(*JiyhotQlv6f;>mUx?6IpL(4-p!S~|2*o0mo%(Ny8dNBQ9xyYWdNt-wUC%x zi*k!U3pek2DxsIn!tvMTk0Z}N3*WOVx!P3RRPUTyAu|0clR~o7R`#H^tuf1)dG-}d ziI3WH-s|C&`g8L)|8M>lc|ZK=8>NLh8OxZXn}59KP<q^JWnM3Ru4(qP*g5B|x15U3 zEBvQ;NkO<~rrY$l`-5vHs^&Cj7F^<BJfHJu`8UPipYtlbw(aYxZhgzjFMs#xQt`y2 z#_Bta-Sw4Jwf_k`={vMH_+PdSXTV$M&n${{)|}^BKV6Kq&vm<|9mVxUEO}u#pOnX~ z*#~3u_0#43r`=YXAv&dQt6T5=`D|16u{~#7=i+eUgF^fLezSay>%D7Fo}P9{b&|uK z8Rk3lg1pU*8VjaReQ(Ecah<@vZJGDOjtDs|Wp~sliv4V&)Z+a2UmHipMC}6EXD8jw zUkX`FyRb-we|O~>_Lg7%-&7?;br!Vn9g9#&e0KZRy{j*Sa}z_nm;4A){4#w~z;}D* z-3jl+!Wo<^3Ubf6FTU`Ic^2E_`Nr!u%6ZkOFy?$rdRc8^!5JK}HutmLy{^w|e}q5R z><jJs_Hs(s&z<q*=Nw|EOQ*a`v3lDY(PO_uuC?JuRL}8`88+9FujrKaemVbJ{{)9C zBhO8-6Zf-^+1}i~<8j)}j`Bkm7oT$+j}`3Ov29t~r{XP5tvCA04Nsm^l$<e*`GTU> z<MrGZ*zcdd&iifVsh_#|)}_+PS1;6j-}$`Ax=AWhD8M7eob7wv+c)n%JwLEmuKeIV z^>sf*@64|_@HoHxYW~ZhvNiX4zA~Ks&7r#R;XjV|%f4Sov9Yz7^R6zp=>HFix?`q6 z&t7@OFc=1uURky4OUB<Xxr|@LGgrFnRGqk$sV4fkwX<s4({uBVo<8N^{AgF<;V;)# z^X%A^_&-WE&U>Z`L;iYWrs)gR4^8Z04Ee$E>+lX8F9(6WFIluB7*$0A92i+e0-D4o zIDRPikC+#GR`c4iPQm0kJGVGLd~%5Ari)Ykf>mdnCzc(tpSkE*VAx7|vuzj7?owNy zX1dpSq6+gFruj@;nIa-0z+=zRvJP7;YEmjo5(;y6XziR7=emAD`1#eVuY-oVPHBaM z$9*hUt^k2gw}1XLDb<wI*3{iT;kLVn*Kx1YZ+Ih>tMljgDS5bs1o@?vpA;1AKXY%w zZTI74Uf}&Dpv@(&uD)Q93ECW_E&6ny`|-BAq85vgy5BEB;3Nb@ep1@V$}PzwF3K;s z*YNj3MWr%V{V%TmDQE6=Tsq|H>hEG>qI0D8<FQL%zz!ZAS~_tV2tdY-mhmZg%h*ks z1O_rECw{tp_fCeLZylSPs9xBX1jXjd1&3xG+;7Jd!N@AkG0DPEbK);nrnL8r4r@NN z|NgV7{Bhp(bQxI?04)%flmrcURZbKyW%wxR0tP>Gzpr$<><XSAd6E5V-shzYrYIC9 z1u6A9%~w%&arn@{%xkcr;dAPD+dFTa?OLR>KB<~~-Sy$qn|Ci>?K<${)u(rFX4O|z zmY0?#RGi&!v&VY3mBFrO^Ff2~6F{Tz0RiB_dC2H||Nk8m*exgg-*XeZ)daL-CTXr6 z7)aZK!OypUq-#$6l38+^bLX7o+H=okUKcspfPpm_fCrRby?F6rN8jrOHJw7xX_}id zeJuIK+j&hsnC5>r@7HFWzV|P0%kHfk*QUNdc6Z0_-OfJwp!NHCIbZ<Z<Wp2oP*5Oq zyl_t4<C0qz;PJYwHJ}-x+_K3H4^l(2^R8Z*6)gn<vp@r;GbQi1R5B->xNz>$sWYce zoH=*w!lg5(j$Jqh+QXIr2CJ@SZH<~b5d=CrJ3Bv0^cPC32aTvtwVLa<{BmYp_2MJU z4Mh@ZN=+hDgjD=|yp{yMn4HqW!68?$fRRm1;IqLTX4Nh7U)KmZ8Z~8URc9|<wCTHZ z<b1id%jRI8NSBu{kJ${`NweU=eK6<%ZJC)r-vjJ`1a<-NK$ydUKXrd9OMbL|`3eH5 z;4rp(eh&oV>a6YWPH)M~^wg}bzr@dIcm9l9GC1^Y-&D?<em~ExKInGM68BU0RFqvR z4%A<nnLlN(^y6c)Nw<z11_5cogBN;NoW6KY{K)%Hx6cY6Ik`yE#ku}-@Uiu2`+r@2 zbKl_6u^onaJ%)Ze_kre6=7RTb#opxrB}Cb|C+>hiOr5m-m%m8@T&Mmy$m?x*P%O86 z_ipLm3<@k0z~imI1;jzXoQWL-rr$rN_jiZbzvuCGv(Mh!VR%d;xu>urb3b?sMQ_Ig zH_hy#i%mzlL^U_utljr~{rS&UQU>=PJejj%){T?*>TBm7Py93c{LfeCAEdmW|L^Q= z1G9I0hNauUBhQc(@}L3vwQIqfF?N<RWIq0I@Y%(UpscuT*|f{x3_1rgiSsj8J*3;G zwOdp-;=~8j{MuQ30ecQKa!ZHgDau~bu2-uL2KoM2eHjQqW_-fH06hQYHtqhg`b#Wd z^;_kW4~6T?KU=9#^Wk#+!hL7L?>|jW(VO43+P+p?P(?XTO|9DAXX>xZ^_A0)-K_v8 zTk!5OAMg@*9Ud@P!+b)bo!_v(&!CStAs!5Fo(6-P;1Tw%2f<_PQ}0h>GfbTIL2Lh{ zpZZ3I|BtTU<HcH+`O|2V`M;_4AGK!6D_6%y+3~OzeU28Y5M!x(8oKHG{u4*`<lMO% z=?wzfV8G4ItzGZ&qy6SaMYEKL+?%;SB)X_H2r$^JaA-EDPVO;$H$|IMMxp*N<0o_F zA3GVJWXrVYF#MdAXSP^9@AOtq3yT-(5-*PQ-UdykUK14L(Vgfv|JYBHpKq5<X*(=( z|9ivD|9}4d+4Md2{W1H`#`>R)e&%ZOsD1dR_4&)2cOUNcz1Pe4Umx^dum63Xzdrx_ zcW>w1iJHE*@Ue`ru&}W6(x8=BUY1zRJp>w2uK8;DYxR|v;J|>)<aF~$f;KHpynimx zoOQcMK*2$$qnt(d?BdteoH+WfFmmzTGg?sGJh}V#P78C3T`O+iIi336Vu$(mH-B@_ zw@H`p2kk@oXlUmK3Xs#=H-LZ*XcmR*`0c<KhbtuHb>(Dr{GPA32n`7diF+FQ@96#l zND9bH0B<>Yw*9_Pc}oVDNXU+igHCKK!n2nxt&N)Oo2#44ySg@N@>@<`^JBe+$27yg ze*yt;y1TRqyszQPiTrSII%*4fwjI2OQ1kQcypx?$@@3yrlaiG?E+i-PiiMe}g*Dz} zRacvkz~jSnMn|hD#YHmmo18E=bGC`P#Q#?5^8MiaH5pV$O`HfWs3+V8jnJ1dOq?=h z3eUtd`PECDe|`J-@#97LzZXG3_AV%oT$J7m(sNOA?#JF)Q?8^Inr_|ZCZKqF<34Wh z?$<}Ab<PzL(O<hx;~J<yTetSj+NrHm_sYIb+%q})?y^GjcgODDwb0ko)BCkZAG8rf z$?6ekHg%!Ame#IC+Et6xtpw+ub6yUbyjlp^OQgsvWs-4W!NmJ|g@P*{9-ew)s&J3` z_NdhN$9kQ8X19O=Xs)ff`P{)jWo3`luJ9fVewcLBd*+8*?Y~yEPrAgw{5Cw$SHaOs zK4t>D^2UdaOwuKc0W3Ea7R-P1Hc9yI%IKy0_Jk~#%e!{e>{zcMpW)pfzd!&K_MbkT z%5Qery&zWR?$L+M+^13xg&tCU+Erw`bH}!A+m=LM)O~TSI6J#}+O%ugxl>LYu`?Ij zVI?wS#?D=~di<S|b5`8`+^yH|T^cW7k-#AE&adqIt5-WLO)UC<biU(dFZ~s?_2j)B zy9*z`1C>NcU?0cs442tkIQ9NE@Gh)PtD3@GSFc*N!feHg6*gAOe3p4FTNHd@<?|^e zSzXD^-&UGloR+aQP&Z`t*?jAT7ep>icH_IVGP^YW&MXm;?Z>uiT}sI>e<m*fQJA?P zmEpq$Mf1Cw9npUal4B&czY4m$^7o~?dlgkxzXs`t3Yj+A*}qzB;o!P(NkBk=bb!3S z|GUffmhRT`Z5HHxS^DnElNY?p`<C@CTc(yCT=$z(;s7_>E<OGij~?wxdC$)yazx^B z8Mw`|Oi*yok^`NdohHA&Y}m48ie;7O$<V5$XD)i_P4#|wsvvoX!KU518oE;y9&Xy9 zebf4P%kIMR$H#iBmBC5+B{O)h=%o{&WOosi+$K&83=Dg4WQmJZZIy=BFO5_A=Vv@D z`qCplId+$pFTa(;M<#Z?oCL>boZnr)ojdZ!`?S=}-O}dormU6@U|?i!5NPNCfea5T z5!og_-d3L4ijsnyidJyynRCN;O_;*}z#^kfn=-fmbw6?3^HlDVl*?(GiljX?cg~%X zbIZjkPhPeeJf~a-2Ae>Qm!nCbJ+2%a92_syyT5{VTYUtz{9e8UCF#m}&p|6XZr(hZ zzdt}#<lN;OCk|cyzoR#J-C6J)l6T1xt3cTmeSRH&SH5yO9_dk&*sQ-{#f**_;GC)4 zRJgz*XpROSpI_bXN~2<-p0_Jn)OU2-T$Z+Aa4}?v5DKc46=`5Ha?sK7&=FA(;**-< zBzS1S0uKcZW<~~P295)cDu)d<ST<jZ=8ybv=lb%p=bH1&rfhrFeYE4X$V&Os2iBf+ zTM!}UbbC?T-Tis>E{~=@RVaKoJ^gd3!@(F)<!znT#)rh^G+y%`h<&S)9V1;Ru<CL7 zi9g#)7XDNIb7)53mSU-1wexph+~`<t=#^X;lzr5Jxv;`~p=7HH&#kkUdnOu%F8k*H zI=9=5Yrl}aLvPrEMOqU#hlec;6x+Uhl|b<Jd(}_>yQHoYDQTUjk@0cE_2R_~t!L`} zs#En)*ipfiBiS_jY@<+4@PeeQC%z0~W*4GfY>~I0)4?nA-k<GE#ypmWw?^kTMLw{* zwL>O-lGm>F@{ecDDlE$0Rv~ZRe&?>ucSeI&KBIpBE33pN{Qf;vD0!*#j3dkRq9<L* z+s$#t=wh7cUL%(M26rM3+eRj9YG2X`XgP2>O=9xe$82RxkBlCz5!@)95!3j1(myd3 zgQ~EIHy%z;vU*#K<~;f09Q5S%Hur;*m);eY`g?VA`I3KOS1y#POzd8^Bj(ea8>zcC z%G(-zlX9Q#BlI%9J?669mEEfqQZ9Ye_vEM$-}rJ_kZtb!DSnOrrqmu}$YY7MVqE0l zFC>(5qB4-XT*)eb;kErrhF&{b<ar()U8-JO;`sc8!aboL#rMCnTiu1?)x#K8UQSL> z3rOB~MCG|d+naCci4UIiEfT$|xKGGBb+OCi9bM9|*pD7yQ`r6Np<SoY>v`3`=W#eB zdR<Tb7S|QecI5BET|OTrb(pLks~>OqUYowUkULOyqHLg@MvK(l*H6BzZu#RK{_DJ5 z(2DAAo*AV~1>6oV@3wzEQemRPwMXelxXk|k10QF3ahYFRwLQqkzNV9B?i+J9HKF7O zKN+1A&!p~iT7N8Nk<!9~!@5nUoLz;tw)0w+wx2&S=Y)KP#HS0f+3W8&gy}q7ar)aL z57#!cXWySC`Z+n3GL?M_@7ojEv-*6}`M=-Rm@hZfS-<MgrP*(f>{wr5aiLIdzh0no z;|HnDaXVuTIX+69oc^tTA)}&U!W*vXoJ)&OEYSHB+&0ZELDBm}MeoDiN4z69U8=k6 zSJ(B-@oOz_0=LYjy{x`hwr!QZUVrZ3%!kkU`c>NA&a}OzwoKb9WiPk$*@TXDAI>nY z@7uDt)wNXX?)}AA7!q1`&r>@axqKmC1Cz3mz1kx|g9+O63!L%}nC2Yv5-#YP_a<(a zxL`{1m&H5J+Mg(Cdb{aZZ{@wid>dSD%3Vo*R(d$%{d1MC=bIH~*cWmbn<-W$d#J9y z@ML9np<~?dmGR1{XA=&4&Ukgogu%yGul?Bf?WW8%cec))q`M`F>5sMWPNB;>a%)*S zjz2fH;+%Ksdq%YH{;MbVUHwwCT<UVoZJ*5FVOi^*hyU+YeNn8Ya$Y&vZ`Zcq3)5?) zRy@(VF(dlPmXG2|Q!aU!9ZcW0nKMJMGreU-@VA+-=W`bDv;91ymQphJSwLaq6^T#@ zLrrD9En@#2)4Mp!*9!|d7aiOB)=JWtMOyisO6~q_#cLe;CV#YfK1)~L!|KA;Ii;N` zx*GboZ*!~tXiGhJjbVY>XYmu8<zg7NobsPwQPp63;Z&SPxaq^?yoP_i_3!D6{u#C_ z#DwV!qd=VF(TGn6rrc!b{cEj1>1^gfuB<32rp`Hv22=AoJ|0<EE1<Qc;h4yxMQ-Wu zUEY*ced%<V^t!&I<hh+-=((2Ni#RpkN(*>SRIJbFHCP;a_4Q%ROMPr6`&T!VY>8%H zeQ#0Ui3^GUjks1Gjn(|IX`@jw^R|hi)=g!K?MDmpH!obU<JM>P+y;RyoTrYOF)|1n z2VTf{_eF2T*2AZtUdRc3nB6vAUoOw@o}?RtlxB$0bc52+i*`YOjIBO%E3BB5l2PEd zEjsADfJmDEcMHElqqKzU_H$Qo{c&)S&YON&Z2Nnk*K?ekbrr%gMB8R@#XA4=Y}2l+ z&)XrtbS2wFml)=opCequ4HU(foTw_|{U);0cx}at%uS7}6ge1jBX)XscnfTG^<LGv z(t85ylY;Y(ms%^h4R4kxrL<~<+SnJENCp>H8%ZseF_AxZV{x)F*Bus-q#4gXMTM*> zOkTg>?Dtewfude369v|$Wr3Z+36Z=N&V|*BPG-0sy5S@IJVxjE>D^{)eLQ=w|BVyK zsAl#0^Y+xFO{a_f%Ui`(Psu586|KE=&vp4T^)o!><`+EO6pE*19XVAZb?@H#<;y<k zuKp6KYsR^Kr*)xryd<aBwcZN}m#67%T6}*}q2u44b76B#ny*GY`ct6M7u)$%d}+z~ zJ4Z7vxUQb!t(~{!dS~LSQ_dRfbMJfXiTDz-Et*X-A@O?JWGAVFvj%;v^G-;gvJBo= zwqP~8@a}1rpOrtY4>R9l^vdX)-}i0lJToo{uHW!&&4JF!FA)>fKXq&laz7Dx{?yzl z@+}py->%knbj}JZzm#eHI>;zbyY#x#++%(Z<fjH|x3>Sd9#?va=?dqBhrPMCBbx=y z-&l(6=YIK;=Rn!IZGl$|ZtQ*KY{%GH;{Czuq_}&3bK|W|UoxciRsJsHsEAvxonnz+ zc=SpC)zF!fL#D)Ra#=qu^3|=l9QD^c%QD-1Pt|<y-4uTAYN7t~nFTIadpS%eu05Z_ z_}X!oX|eX1Di<rit!FsR+3z?PUu+89*Vr!K^G-QlB(1D;Pgb_-NqwIh`P$1N>tZi0 zesE^}=~QFq5TBF}1)D=uv>*4SIxcze`vs3$+RA+Xf{0%EoxwW2s(XGt_~7xwpGUH< zK+DzpduIHk?&b4+yKCwWtPyNl5Z%!w<ShG7yXQRXoF9DmCja8zVZF2X_n8Y*nwh)b zc^+kP;&JS1zFliCRd&s=?o#o!jjQ8kOluaeN}P9nl0`*Skeej8joO*wkZFlb9gkk5 zUyqX1;q`Zp`>N)3tdSvQ>nS0db7$92o%iDT%)>9D62CG`a6foTcS=B6le43R7gKx4 zoI^*G!hTe}ymf8w`H9TZ`crGC%(!yC;dnN?TsEV-5-;~bE0)(v273?0>(0tu|C}Ym zb?(w>N%88L8Y?tC43$Ln^RC&pKDqYm$hi)OEsRl<S$|j7#k+c5FReSI_I7>mHRdy3 zGn{rAPndCLu@IBv1L4je9tvmuRurGS73s2cdH?Q64UvSWCv;<E8J=o-Zc-II*v@x< z@2Sb(gmwizxv^BHS|cW_Zb{}`yIdBT#{qlSEL*d;;Vs)?^CmkJ@muWsLyDbFEfbG7 zc=MTmF}J1jRVmf02R?cg%~X6fQRYCt&FND+{-3tu-H{oy-EEWjcgb(XvsI^cEZeoS z&@xt%MQWMarvptHV)NRLN}m3BR>zb*Bkky=Cz&jNt~NaN*}!)6-{O=tLQXkeny*79 z>nhB;@;Ohx@Kcy-EAQ&->MBK9I)A?L-YUMsTzBSRb=@P4@OLd|YwjCQvUXkHC=xIJ zQ}3Ei_au$8x_WWBF|YT;Smflh{>ax1PMjvv7+-r}Ndd=_!_O9{K2zG%7oT16Ub13_ zd={6YSkLkEtY+Mozb6-_?qgB2FZ{#9y!i1cEs@|IjJ1+2qOYyWHGeIr=vnh6`?kEo z{q}u^ZoVDYSx@ZJR5+vZ<fz@<bg}1qtQjp%-Q4MtJ}dXf)#S5DmxI1?h(ztunj80} zqf20a%(1@h^0Gg^8hsRM*|Ts-wRULoAMq#YwxVZlc9*%He^m00>tk$-YKF<@dBMA; zI($<QQr~&jS?9RY^Zpi&MeC=sM4g<-t5$HRrN+yvGykr0QIC$!{0N&JqD%IkU6sdv zS8>6|`#k~ePdf|da~r3&OwJ6J^<O5vR(@-X$;Rz>GV_lL%vp1TV-Dl8;F@EBuL{$I z<Fj5J+p+g;qiOf7Lpj3BoxCf3q7!$xT@-C`Z~QUOI&D|}27j?>;Z@RKBh9Bhcbpxx z{rtBdGU+wx9tNiq9+Y0N`s%xaMX=EIm~iKh>%GdGvl6#-%Dzs2;Ap9Mvf%1m``UBw zU$q!1*34cm!)y|-vEgAym>{qA@;k1=oNATaJ7=YoUwx);@+A8>)3@`kmD%3&UhvvY zYd;$DpYO>MzB#w_nIgsG`H!!#2;TDODtqKnztn>PdKUaSAFut6`QEM_%R9Mr=LY`$ zdv8b>pPg2-@pgXagcnJQ)+eMxpRif+xV|l{Ji6}Ds(b^^Ks~iL73;19t1E=dZP9(B z#N*;>Q02Yjf(M_9>c54m)^8q|XSYtfvW2l_T?3Pc!#ypjI{D9^xO`;#ndiCAWteWY zEg|-+_r;YbejG3f4)v+{&LG+U_l@-OYOaPbZJvWI3?<6ihWR=U(Tp!8D%E5zzS_UF z*}Ho0#fx_&yaP+^B$zU-1QrS`I`g#Ni1WYy{{QBYu4}?A;sb9B_?)>ueHtT2sftRG z7K5vGTw24~(zN!;8``4RUH$FH)vjyu>2&}nduE_i-ir6BA0$lMrMq3Pnt2@YQknd1 zc6i2y2U!`(>^V!$JYUS`_d8>YUj6>5zeCv-c1kSYT$bTFOVZcSA;mrCPTr{#TjeZf zK6szit2clDt-XTE1{VwbnkVdHkxZ~oXVpvI-r6k`<ScUhpTZ3LM<2H5wg&M!9SVqU zOJ;p#^;f;Go%!dAlseH#e+3rUTv&RcUh2TalY$b3-|T8;^ZnWu*^@I*AZ#=1+CX33 zL_v>2<`<9M_C3mypZcNY)HJ5LZr+KVcdqsY?%x=}BDqsy{h~`d1T__d8p?eWluu0h zXzNralKduL{L>1-YR~4(Uy8yUVo%S0V(jfR);@JJV8@S8XSqvVbxAY!#+KxiHL0%J zXUnI!ThHb1QIYBUE^gh-o5jz)qtIX4L{?z#SJu_$t_l}*H!YXEoY%kkOJkG1YKYp_ zg{N&yZyncnTW#68AuFg_xN*<xO~N5EKeIlH&AWM*ZT*Bx3wbu^%!_=i_bxyxa(iA$ zv!l1oBQ9yJ6CUys8)i9N5#0Q;F0XIVeHC`?d)sfeXZ*kLq{nUZx(^N#&-QGZbA-F^ znORM#8`HkVZCT!DbPC^|@M2&!jVa*!f4|IyYuC+KhTRK$?&!GAx0?KyY5OHR7xO>N zhYCg5SKJpYaJUiw@M9-4^V<`*E1gx&{X4{Wu=NbfCgp2CZGRO;{#jUlLT!Oe<ct>! z-xaqWj%Iw-!1sHmbH{Q8mwmU&@7nJ4wl%)5DD?JX_BM%y_nu6gS#bUCLdA@EFW(Bw z&+awsTvO-n7jT_nna{Fy4&^f%Lb;qR6RvSTWfS+BB$=jdx#3p9{PvZ+O)FcO8>;1d zWd5Ak;GFdMdN<D>%LB8^@~!0?uQ?`nbz8Hioq8qGUDW8xS-(xC@S)hBM<y++AL^#u zJ;tgc9cgLdx&F|X4P2Suu1so<xo{wT|HSvIvl`Zai+$Q+B#^c5^}hqUUuRZ^>pY)o z6Q}AC&Gy4~%ap{&s#;1b_utLBU_N2Pw!QWHveRzdOucf->dob}HH&}SoQU6^&e6KW z{-!B&*0cp@nPT1^+5aeQPmSo8O>fnozTfQs;l}d)3V}&hQ>1c#g)Q07^^u`<!mb^9 zsnVu(>BV#8|5+<coY4C^;P&JDVe$^l*#^Q>+^lM)^{+%c^58bw9du*mRPIU#=i7$S zyZGH|&#pMRwxO_)C;XvqXR7&>vm18)u09tb@Mqm_5y!57tg%gcZp%(t{7pR(?lJ$w zAqDmli<F;lE<a$q<G3f7`&X8-iO>y;k87Si`u*+O-GZBUnN$yYYMgCUT_y6UTvAr& zSlD{EtJ{Tz8)i<Ot^Aaa<MH+m9*sL)*Hn5g?P@;r_H^^J6z@on_RR;Jb5asYucWf; zEc$lN;mf;&jkndOH8HF9t3G)a@q5jK7Pl4uc(#5P^fS)8pnGQPc}4##jAxvjxDWrG zd)6^XCHl{o#ubkwckVCY-h0U8%B#m)&KtFA%y8f?{_yRkXkYl^t0GZXW`B}8=ju_> zF@NcaFEiFMr|%I=pIUbE$h!M6J_qx448F}Wc_+ntT~cn{!=mO>w_{c>*webNggfpV zyU~=73XzHD^c5|o_HK!C{Jp^>Y5nUmmaEgle5GDaF!S{mjGDUU!OMbU`SW$|hi@_n z6FNMHukP>bf+;++CNE0<`FmckxGIbOw5w})A0C&PlD#p#gRev}e%-5mzgB(Nb|iP} zG+jN{GVfDI>iHfBZeviEx~W*%{AYS^`se+r#W-hZeRQ6m>T@7O#M1)~F3B&U9= zNR?O=I3@Oey3x^iQCC6c%vGmD3>MF4c7G(na!76po7r3O3`WMhCYci^Y{u&X0^iMf zY@5>Gv7*mV{ii~R=)sjUK5cs0YOEEzMCoQ`;`FIixmlV^K5R8yFWOVucXSdf?}P}h zPeF^`=sxxEP-HFEx+0?XqBS`+o>f@Lk=I-8dUDF4d6uuXCLIYl`)Ov-*QqmhT{z># zoylTvdL}hAzx$SeMo`<=BmX)!XZ+!FVp-iU_VJK>s(DWww?xou3ANj6B%4Zwla{QA zmR!u&_vgi|3k}DfeDgWobg{i4`iQ^9e!1KP#qO({kFE6F=B97JI_K{u3r%ma$onOG z6DwE7mFN~k#@)@>dQ@PBnTB)#b8NhvUGg3S<Ef2Yi<KQJxP<aNm4q%oa}T<AS>DN& zclO(hqNi4dy=D5<$m`7B@MTBa)vum9w!5aU>g!&R62Cn*j$2l@S6E9!RF_X`IoHnI zjb~2eYBJB2`Z|I0&=cms11CZaSi;^aB;WjYsFPK6l3-27hr6q5bhqj!M%mqvUTeag z?tO0m8QwP;tn*?V1@tuBuWIXG`TNQ2)`paOSDGRZ@do}prd7CmW{t!tdx6irv!6#D z3D!AU7|7G9smZhb2b=9RxwTG}7H?07Y@J{DG(SO7LWWz}{lEAG9~Retj;q&sv+-2i z(Por-dd0-gA;_>a{8_4jNT}9BPHCxK8dE>a2<UtHBOuY)xix*?L=nz;H}A+6Dz1tO zPP?{dRo1yq%Uz|{JVJWk$aWpOXYzhc$fOlPZkZGBS|_!rNSZO*Fk3Q*8m_GeFN_B- zOWzC{vuvFl7ZGs-baYaR9y<s;Tw?#FGGJj&|J;Rv8_r%hH=!mi#X2Ya=&@5}H)lkc z_VC!Xg&sY2WL4t2t=ZS3c7F>8Pw0XUJ2EhU%<2ZXcyI_XSp5G70uHkxG|G;hx*_rV zNYc#H;E~St*ZH%raDk@DSDRWjpX3+1z<rE+!}{gnU9neK<?pgHJnzrGzHTdc1`|Aa z6)(>TnX0sSd2VmT`<>gDFJHcW>bm1G&ul+G+GDeaEn-c8qEPF(qCYH+-@W(`Hc$Sy z>W6T^5e3Fpp^O_b25~Yg71p|YtbP`B(P)Y0<p}}`VHr%BOqti$#qQp|;3j0}U71qg z3!UR-Wu=-fi!Ma2TOC{#JGn3Kvf@;~<8!LPCk583B<>AQxp4U0tx(y54XvpMr;7E6 z8|lv4a^~8#N8MS6Ryw_#J0bDW`Q6f5r?rmkJJq%7Q0A?O4;R;O3l%+=cPsGSE!k<4 zK>#xGRD8(s;e#{_Nxin}LkIUd-d~jedG;T%{kHe--I<q{7r6bVZ&~-<J9f9`<z6() zxP3=$`_bIof=f3++iz}M1A_-k4(mMPh%>v|XZ!U~qeRY!8-}W^^0D3%!&S_lHfU(9 zD%mx)>_tQWzWEax{CwZ<E-Noz8xKCsaH~)z7!+KZn~`C7OPIIIZ1KsA4DeQgG;jcz z1y@~O<D<eg_vwx`Akd@Z#ily@WXh%)Z#>=D)c;PcXIwRp;TX4y=<H1WT-6^hJgqr3 z*+1=C8llTva6e$VruH45**==4uDh>AWtV@iJ=7xS4T_^r!bgg8<fJDbpOx$f+CsFn zyU}Fz)pXIQxvPsz*M-eI4VpjOuwlc54gLK7APq%4tkq4f2M-)b+8|(H@zVMq;~c}X z3wBNYw=;xAbLC{*TwS71JZH~ff4fk)I)k0@?+=c*pgm=ZvMgKbxApHXTYDdLTH<<r z2oQoyI~QEqnWi_hySqC(`}Ov3Vnwf4e9_g_T^s3rt!wMNsHn(`IvLmIsHPu{Jg*}w zxTB(R^5-DPtXL7~WVGX;i3mZ^DTxmZz-Rdy8EHWQcoIuVNl6L{n&!9NF#oxd-@f+c z^$Mx}Psaa_u;0*VZWW8EXl!BLrBGAykM+}qX8wF7P##nP?=S)#<Hhpj^XJc>nbq&A zzheG6v$m$Drlx9<?S*+e-QRUq&$G3)36z^R6SU{_d2%}YF5~wntUsPBPG|S~)%AUK z!fM@*s{W52x$k@8S;t~mwMe+7YxmVOP=3u`0y+e<@7%eYmIap<8uRh-o%@{r^Vu^u z);oS7;n_!zzFYLDQ$S%`r*%Pa;qogYE6cZL_ih1A^le<Zvhms((<0xP&udmL?40_~ z<m}8!w|SlEX=&4>Jf|&@^LyYVaN0@W<Aw_pv<g<AJ>;%`=en<i=?o{)keiov?}og+ zwRJaW3t10Or=XIPQ%1Va8Dlmn<Krg`3w?Nccs3_XsGKQ0e88*yrvC5LX^)?m-PGHi zy1l07>LI?ChlgHv9u<CY|MQWsiB^ddBc4qC`Q&*?Zc%yp^{B-&Hi6U38|mL{bG}TS zI(6#vu02BQ*PPKm9=L*6`b@=YjlbJZ<v%H0E-`gq@S|l?K@JaDggqo2TLc__9BAgV zxN+drp;zC7E^nXC@L-x#+{7DGW~)q43(ng0+wA^4Q0grg31;R}NMK-OvPfLS!YM1{ z6r7$lg==Zh%8)GMY4ZbL>{(uYD(2ip-Mc|gkFVR9t?*c3uBGi>8=D^$>EZ6;p$88! zP88LZJCVqK!{f23w<_O;K=vCJ+cR^dG&D4%Qy0IHtUhLUQ{4|VT@At$(!i^VJUuy! zJ;58VHhJFj{j|~j8^@oliOU5)Enw!3>A7M1U~#_Xj~CW_cE8$g=ikoVUU`y3Q^;W6 z3<l}IhTc3KOTon~oR3d(=9vUCnn`)yIa2ZHs(iv?X>Ye46~82#k3wcYR&3p!4GO?R zjB^YYf+~jFq8oSY*dcMKL`ig}-;yK_Ub`rrsov^Ff_}RugLY`e=!q}s?0|q1F>(;F zue}Wd)+^2_nei(z)Nt$EZQHJ1D!Z|cE&N64zEjtKRPEK<>b6Q_<?mO`Q+p@+PMz3m zJHyUrRTsm?A4&7STzzX_JEMGO_3wmRTYpD`>ZVuELE!pz^Y5;|pX}SQetp0Hv+kdZ zY6AAn?C;OdfB$%|?R&+idlz5&ZujNOmw#=-|361XgvG_g$AXWHUO%<9G&S^T)N}B* zLeT8n!-t?_Y46^JOu-%WEWZX`3DIcs@&yRYIK8tXZ{FnR&z?<@w|yj6%)isUU(SF> zr{;=t;Kv`DT5`c3F2=uFXy@gexdgQ5cTNl#%qc#cYcb*3?c29+|7KIS{Wa;s!L!qD zd~9G=3VgvQ`+v&SqV0`s)~lc9t(;S~D5)%aYxecqpq-v)*Sdfgrb%<YO=;fbdNXO; zOy9KRWai9uuGbf2f1b5RZ2j7`x>u97u8<1J4V!%R%9RI8-t%mlF=f`C+efyr$j82% z{9tkQ^woE(rmw!+_aJX;q)P6$;)3n(Zpr$AmLYgMgMo4(Xthb1nUgj6yxB8n&YXH? zv{Oe<TU!}ilK1rF_{d31{}yO|C#fl)ytMnEXZ!s1-F!tg7vv=cA1-*n)*dJ*DEzH` z*1tB+-%j!@@^dqj<zLi4Se(DCw^qJ<?L*Ltjj+Su9fF|M6$cKu)qsxntUc&iUIjkh zv(aStE)baEtp@_@)>dTSo3wq~wg=Cp<Cl6=C%pJ`?)#o|pWhtR&^WYm3YX}jPu7=3 zUcL5NsTuV4Ry^1*;58=N-qss8r08f|>uGImWxcd#S5=(j`e)idwf5~w(pw9vpw_He zGetf$v?w$tH1unYU`1p8`@(zAishc?-)qx6I%(<=4#Dco=(!5jnbF_pm971|bP)(V zI@rwKe>VB^j9DPiH2EEPT^;B=?yjyVC&!}!##4A$S-%Rtzwyy7UeILE>FYXmXD|Ob zbGqit;XkL7n9oP(aY-r}SvggS%Yt{>J_gPBf|vTO1Od>3FAxUTwwalk(2VT~p4ZmY z)O`7KpRxbnX>;={r|ti!o&Px0E|r~$Q*D7lumT7ym_L91|DW@}SI&R`Q_kY0A0)%c z$xV264g$cXd0$^2_*7%RbI)t~!US9Yd$o%A87yp(@HiU&thX{f;>?LX2Ki?;GqQ;p zY<eT8B0jStq$aUPNBM;75+x(Sle~;h6S+T4ys|FVy6fd*@KFGu#aMUlybw@eD7baw z#*IB@;AVsA8CB3x&b+*5y+0cY&uu(+26RB|`!mwBDi)kCsw}LEPS{gXm}yuOxh;&V zkvV0Ld0CmOO9$_dA3<Bsto^ZS&t>zYTfc>a*F1q22B?8IwzIMM?WzMOm!H$?3&Egr zdO0M>eoo8(nb5fDj832!2w1#)-}U14@q^y2{qMi5eR!bT^S+eMiGuf&Bof&ercAjR zDRQgq`mMkDpaV#c^-cu=(Y5!F-3}1!bV|4Ei+`!8bw)&B<E7b}S44EeRtSJZ>zXQF zg;|OE{j$HiYS;S?9*G4TIvUwdY420MTkf)bfg|`Z1kmP8{o0jfb-m%gu4mZwF5h_N z#1GcZifo)3QydOkGu!P`&+k0`Vcs6K{LY^EKku~nDeIrK{?v8<@6qs*>+7~|Ur;F` zA~MCjati2Z(I1lbkNy9-xOi~9t(k1jm|1sny1{gRp-(CWm+XJ}eXM!l$S!rPTX6mf z*OvbFw&=G^yao#zxOFR7#bO#7dzg3}3K^Je-U(lMY_G>6ADdmiHu}P!6a6K-@8*?* zf)9KEx}e`KV^9mr(9lqD$w~0RyVFm{z}hEuGT^k-CUeNMeeruiFP?pGMEUfp@BTid z{^y`L56hp!acgVpYCl~3xh4BPq<Am5H23z~Fwh>>s9f;b5TLUJT3f5@@>l&k$r^ia z^1b&jpB{9t2QByf@uH;I_Q|6Mi|z01-+Ozz?QBL?0fPnxP(9<Gp{@u49>oO(a#PqJ zA3W&zET}+i3Tv{XBXr;Ii&Fu7DvxJH-I^68Ra3I1->Nyu&GweJ<KLUJcV3g?1g){) z`0*VC+Iy20-jD>H9dbQmg8PaP4a-{5&C)Ca%#Iv_374WWr9~K+HPQ+uteImHpdlip zy!(iQg2GWoL1qRX1_Op83N4Nvj@?rHLFFypzy9Y(pZD3jN@1q*h4Vb~)uyJHc3TLr zZe)CG>VMO}^V*AJ>}|hpi&coZ`s~bGdVRy%pA#j|oAX!g)GRu<YDL-QD^0RGx2~o8 zuUNUkM}#$a@0F#COy8x+FG-kv{r2ka$EWQ@Iov;)UOzTZ+IynDXvC{KbMrsni#4A2 z_5H2T|8h&69l7S5Ft=lS#%q1zL1gsPlG%K^Q>&Z<IFjeLL>3o%_j2r>)_*SAac_ZE zbM)KCKPTRH_`LkI-MgBd$(2t6>clr(VSlP|%R*ks*nQWmiRTh?b#+Sk?5vh#_LK?< z&EXYTy88ct?+5M9?)!gxZvUQ%yz{p2=Q*-i?`Y`-mTA|Or*!W$X5%$*f49c;Vr9RA z?70S8ra0~e%0DbVXTEM`yj=3@MQPlrAc5O0Sz<4%Bg36m7T-SLf8}AB?#rbu{m*ai zIIC$D!*;=B&sq7S!PSrAw=HFwAGGIUU*4lzc{}D;E@f}AH9b%_x$0EUBj%IA7w?Ls zPCN08aigE5NOnffVe9A8?P1K@zi2Q0W%wdN?)>=&95<KU@%!oM?LGaF;;Orf4XUr* zFB)2W-D9#;{N)Py)r=m$zMOQq+g$$fuvE^q$aj_xp1n?BJAHlge-4}CA78g;eonEw zu(?cc-jjpohH6*RrDh*DlBzhIWjOosT(<{4HZyj;n$x#P&_Zp=F7{8S*ve<Pu+_I; zHT`$*Qk({JwP5$ZYgTeCCX(h>I^SN;EfG@X|N8%Z-oE+Ejh7p_v-2?OR`f5}{<c7R zzL7`SloO{`%D>ZAzg_b^<kI%`#Pyr~zDOSmo38#WaZSkKRI{@o{JUg@jgHoDsQ$w# zIKhrDdcViaRXhC3Z}=-mJ=}PxY?Z3mn_Nr(J4<&z<~5o8Yj^M7&T!*1RjqGYJ=8Yb z`F&(c*k9q~FFZ+RXLdW3%>U0d{o7ZGiF3V6J>wth+cJ4fP!ukk_vNIbG|RK#44t1d z_ZU26djHR2V&tNt^WuS_EAnmx7ij$uXSk;7{alvGYr<E9Yd%-@o7=pV%W!0z!Vuk> zu-a>u(w@2`xxcwyk3wvGtX{4A)uf_acEIG)Pu1V2i(ZNpFm92}Uj8e~G&$zc13ez+ z*!fFU7C#T~h-`kt^8S3}OXJg#PtVGHxp9*tJIQX7>uM2E!;SljUdrDrQa^c((eHR4 z@4>FSYwER5=Ltw~mfugEs+d<{SoKujvOoO$x~EUp{$u*b6~4VEY<+^gH*5aHA6!SB z8LZ!}WbR-;ZrjYDsdsw^d$7Wl!{&a=R*Fm)U;l2sQ%X;B>;IbOi4!Z<Hq2N4>7OED zCHy$6X{M#Lj5?!-+;o-m&-Z-edBO9Wfxl|K@gnn;eT$o)RsHdPx2#g(>&de(^hMXr zJ7Q{NmbU7{%g@KR<{E!HovzZqqw)2rlJoxYT??45?^jS0HH}@6;>hGDeotZLoCilz z1AZ>i-1|~Hew${h%yQ?M#l3HgpFYrgaQG(2hE{3zNRuhDd+LHNs~Df1ogUe}aW%X3 zoQFwvA5A^IRP)?*I<n*(DxVo#UHJJ=-y%oLmHsTbr&F%XZ5O-l@`#(qq(SuTtd3|C zww)14U1AAIF)jW1=FDCDDxBEV7Hz-!>0D77*M_RsDNlsnJeoh_#3iGyn};eNpFg|c z$%%!`5o>l=P3kP?WRJact%mUe5BFrPOC1YD=PaGFpnF-Ajpv8Eu1hw2O+1ok*TwN{ zJD=9Sny5Eg>Pmh&O-D9VTASE>-(vUI=qfK`tXOvGt+rp?-z8(Ey|x~_w(_X&f#>Ti zLYA$nO5X6!xasOY!yVHCN>)tCZRBcpiDzHOe6*mUwEg;mQwkTR9W$)>@gn4Jp=H_K zgQtVHN}TB3dMYJnrUbXfmspYdO!qg__Ujyon<iE)Xzq8c+4w}&)a&A3Dt4Y<JWEbq zWY+sjbI+>3th;3QBjB~~!^WxY&z=;P$`u+a`+5D}YMiLb&h>DL+u}v0&P^ZgXtS?3 z$@UCZWLRp)dQZxKyVEQcnG;H@RDL_FSXuw__xi#9xNq&+#JS3asY%?olZ}=LZfuSG zoRR+Ll<3O(?UGW{O_Lot7PfSL{pT)q`o>{SmX>z^2X?Jb3?%EUOG<<;1xB*mTi3Zv zkbPHI<n<|6cjzo>xOU)jfLm0)VDgiq(<-t%Gh=HmKMFV`JuSKNh{xA8+!MN%+J9}k zpT0Nx*Mh5ipFZv9TkrhRQQm#&hvrzj&7o>ncJ1F4mMrQh>-|){zO>T!uEsW|OY`Fn ztx`Gsfu%M&g^%gh)7Kl)8f&NfiR;Tth&m~>KH@KbHGc)`_4!+Q^H|hbPRUB}#B@~( zt)9hNJ=^fz?YyI>TTk-4$Y$g*TQG4fy^-L~8tvKlO36}q!P?--kL%Cu=O~Ka{NRIk z<VR`2UAgxp_neH0+4v=vIc4+eg@NneS-w|Gy%VdydC{u2BnhU=g$upUY`R`CZPlNq z-ECa+vbX6t-dVVLpU&5d>;_saWqL&?<fp66*u^`0H#f`W#unY3X%|1d`B=Ep^!TRA z_A|G)SehF1vE14xvtj4-32ZqAtv9l4!h=_?ICGh2htFGq;3*-}+ZgpPwkEeKh91AG z@IduzcfeJ<2e(DH{5CXNl|HRd&M=Jsx6g_*;$IHfTzXk`ufhG-L)XWjm~1Zf^T!k= zme`!j%b)h*{)I*d>0^zW7cI9fd35G@=k3pptP6I{GYmCU*s`{4oBXFgrw%(w&;Br9 z^`!EhriXKvOD>xJ+-_zQv-Cri-L;d}PO)V$o8tCt-P9Etle(p5E_77AvRyJIa^o4> zS-TxS?Kw92@Rv51{|gqXZPE+p_|;UP`Sy&#{iyh~HHrcGoBsV>Jvl<+M7^+M>e0ZP z`ISb;-fU6){xPEQm%!awJhpQec{Yg1u28t=-{=3iHYTa0ctvh-Xi>zG)YL<_SDq=K zeAGKg<>&F2jQ2yVZc59G_Do%t`|D^}z1Nnoo*S9$Nfw*;K6$J9e7$}0cHiAI71UMd z%LMZz)K#RdJJV7er?Iagf5Y_55Z-O~0$LViU6`}$p_1q6qTi1nWqtVSEiqO3t*Y)0 z&*z)c^fe-R3pF*2tg^%|-I=5rR{K8pG+T9F=9R0ZOCpkGcNy>6ytN@tqC6w(#O}Es z49A_eec}IdtoO<}iIB%$?}c4&`IXE3Xx)4E-;Hh390%V2{wS?CN8rSbuXiV}-<SC2 z<b%`QD`(6zzh_)K+hhNRuNRjJKYq7WSlDEiyZ_^AS2vqIOO!cnj6Hi7GF^XeXDypn zG;7Ax8&do4T`ddr7Tft=d81{S)6^x8rX6M5r2BG1(DKDcC!Sv~zOCi(Yo%zuX*E|5 zUKY?ZN=v_;e_XK7Y_ChHw|fpls1eIHKIIL<*Ios%t{45EaOeEa-<CU$iNxyrXBOn1 zQl7WAJowP<iQ&_@;;ds*J&#>2Yc#OldutPG{<qUsz7GsU<nO0$&6sf{)bfXSfuBdz zOHKy=>j4fI&s|mh-Fkio<HwDr7g{dPE=Vn#;O2JBcmKDN^LGoRMHE-9Wxv1s*?zB| zTjFB3u6pbu^&@rZcbT)!5=)<K(|r4J-X1Y4kK|1`!PDaSw^&EAufDV;`1r>QhdulA zE`)WiF?aF$`@xCZ+n{PXAK#>Kv&m|4b9v5q*%*aYRTf&kl{;O|E+E9ay&z^6!wFHJ z|Bi`j+ToD`T^#Z+PIHvnoY;{%<&WKuNg3x(8mD>8y7c3xWl3rL+#2ioyEN`R*O<&{ z%yCc4<WBqRBfNDD7e3`!{S8ZVS=hTsde!uz#)ormti16o!^+w~J><$0tGKI~?H`nv zs4TTiKJj<s6d%t;6>pAA&U<~LukZf%WowlsmPZAvK4w31C+Dsn%g$I0!OC4h@9kM0 zpZA@!@l}Z8hXWhSD{FV^h@~8uC2`X2LxOLHp{J{f`urvNf$NW4zoHTIjwS2x4F(;( ziR~euTmqAQmMpyL=d_BocaMpGX%d@vT-2#m&A$5j8Fy!YOfU;&e^`<9?0(z|dCz5H zbHff;{=e0=>O4ovk%M94_r7d3T0EzawW_q@`SwXYPi#Dolx<oa`saNPyIxjJ>)ivT zKODRE&y3h3c;>{4?JX6NMWU<M)t;FCD#29psWZ>PfS!H16KW!s2Yd;wDo&CWs6Bdp z2|xGc*cH~ne!99j9m)T;a&7J7Jki4$%lGHau2Q9)$(-BDKAhJN?F?L1Ecd(PXsK1{ zna@Smk1WmpINwYDT4k__FR&vsIU==FtoDm_lKExVMduIQ7pZrXTe!aIxyj|7>McKA z7tO3a;}x2?ko&dz>644jN)`0Udk0=`ep%|IEb?i8^1aVLF4yj^t1y%;+H`Po18clg zu~EkXIV+2s7q?4RZ$7VfdY2AEQQA|*3Nh<hO497Pste8Tzbd!9uPWO6H8Q+d#r>J@ zSrxa2_@gg%=6>;=8Nze<{eyXaC;rs2g;vF_{dfMJ;3VmdrAimX6T_}8Gg)vk@9hD< zh}E7`kJO&gvYYzk;X>BGtNrgxj<!$!?cx}Jz{MkKs=?#QPWiu<lrqj|;ZkjDo2*wR zY4=W~|D?dGWogIcS2?jCtv@S0pVMU4vEV~fL;XIl;F|nw!<PV2zSld~Pvx{)pv4g^ zyTdYisRy6o+%U!YUYdID&o71t>pyVx>O8aG+AQYntrs7kF)wx!*c4>9?7ybkba!^$ z7s?sEJ$?n=HG1wnbMn4^oONO0rL{NH91a!KUM$SG{q6d^SAmhIu0Aqu`1`KC<MD?M ztJQW3p2WUC(6`|G<onZOawh4NdiPeax43=1ay{EvA%lBT$;z7cZOJRAy7*db?|Cxq zZr}O9=1&_x*Dl<3dx1by_x{kO=cd0?YV7k?U+t9VebRsWWx-?phc`MZXLSS>-#eGr zaKMD+x^7@z{WWEC2j7Wx-2eAx$3=A+<*qt^_rjuUQ*9=k*_d6Be>bqp)bvT7S#dRI z=-ZEx52rpgd#fkaAeeZ@=Vy9(-%YJI*S9Pdb&2;8a@Um8Ej+}qVa4+F_3O-3r(d3P zJx1zPmdZ)@-xDk*-rgnoYCm^!qS&02Bi3#!qLVBQCtBRNy6W!Fs0vBZd%100o;S}p z`SOLe<|=&32{GND?_A_}^T6wf_EkDl<9SWb3wW2mK3(&=+D5!;N1c?l^sbeG&g<Uu z?Dyu_aVg<1%aZVDhx0p>zPNE!t&lsFc!u|_BSX!U1I|BNHnOafDVffwAHj35Dvs|p zr=gk0^1L}GHa1B!ZqvTt6>&as$@fe08dIMCwwNVWWubQbV37Nc>o4A@TAjNgedxy9 z(*IS@y^d?#)J?r|c;7|k&0!TL2cGo@zbe!do3!Yid7(~h{G>$r_cw0Tr180)@6Te2 z^1P?J`D50E4;803T5MU_Ajm6f&$adA$MjH@&Ko9LqFiZGu4%2Bb`?eovJy_%7C!2_ zT_+LpSoq`FGhDxt7kGqzS?@d{_k8A&6}mT$9Q`-npmj;yg5)n@#ogW4V^@e9I^8?= z*O$BW%C-aFnt82wRjuxA*UF#4QetPlZlb7h;s4ZGN7>k0G+B=Q>np!`{lk3MnTP*Q zaH$B1v0vOjMYLK*U(4Ge?&LK=r>K96=CUvJV7wn$I5BGJnxG@<uA#5IC#dbeCSfq| zL%_~SXY1$f-!T1rc*vP6!OJS&N>pd<ztAIK)xBSBuAl53#S<D%Tg5y2uJV~LTqEWG zqWIRtCiA6cs@vW(1&K=>EjqL0$hKvx)ixw+g{4IH2<-3JF-LsauZAOKo&q~2TD`2$ z-lTiU+>OKCA^msMEMMELeJ9VYnw&bbOn<f3kFQ;GmV8j2aqw5!GOx+k9=*)_eeL?I zY?<jn-tXSe_<rZcR#D@lCni3a$NGK8u0QRHclq*!KeSAE^dVoZeCM)HYo7mN&7Sg& z&;EA$#qNmgSEZeDd+la>drLTd4~t_DI(wQk+<j^3^quRYC$AS<tQcN2A*oK{@~Pm1 zvllnA)h2A+`2SMg>fL@zw02+kJL}EWL(df#^L<SF<1^Wq=j*cfGn$H)#k8KX$?MsF zGUWIqohtDaA~*jqT{6zwVJy6)e03z>MuE)Wty`{6<29Ug<;VFC?pG~m_MS}VXq<FP z!D0K#0A>;AKbE1a8Jiy|D>>O~l@zg=obHQv@nI=_Gw&4p)^2@=IfDMPEY@WAd{Dc= zzP7M$R>CXcm*Hjo-EUm<gx0K_SHEXsr>nx*JNmWXyq%aHoD(@c{X+VZ`cTuV2iEg* zzdyWeymWU%gWg_+r(3N*$v3<<YhO{gU*MSG+^Y74hk5vC2+wN@cvI>$m(M>=znAO# zA)bW>PyThB`dlw`GS^&F)c%G=Ly#T+Or9d+?h@fJ36>QX0v=8fO7Hlx;-2%7*I9hw zpUSqBpAKcy{yt09`0BNbJ|}BZ9jXPJE!dx!&l0-S`t<#l)!((g1<nd>WsLgo?wx$F z^w)D?qoUbDDNPMk|NDOxs<13GTw(OzL*;*eg~PYkr`2z4Iu-ZkzvVU!?U$}GU$k?d zTzBoW(`Ra(mvU#pm$*xw48fhhZs?j{e_J6Dsljr1dzIs#Lth@}B>r-2*Ss&u`YmMI z(Zk(rxBN{m)SvE-&h_y!tLROAZ=AleQ(xm&d))IkE~~u!*JsYGJ2ziylEcqA>>{(T zul=IjI{AF&{-79<$o-2NH>W7dmWlbi{j9a6CiCyx|E>=ed*q$v6$LrBOyBqBlZ@2; z%BIvKfBZkn+uqpyTH4^+0iWyI-W{846Zc>CpKqXkZFSbV-Wh+bI5uBXUHj8E>6&%< z&&av}qkYdFUepldTKGF7aHZr6>0+_dM?R#!wSM|+_QafrH@4h*vie)&Og-E5FK2&! zI=bxb?dZ5r{#@>;$kh{%OTN}%GTnQw^>($uU(Ux5Smx`M&e6NJJMOQT^qU!O-_?&; zZaAg2>^Yxbbp?Odw*$#D=HIO5cG~^E`jJRvi%RCXF5536HnW8rIPy&Yeq_9J(`C~8 z2MjCUTs-+nw&+(^pVW$voW~lplQ%xzbF1seV=aaW@5N0N&#+#2>b%xhCq%*i%8^IL zm)9QL8W%0hA`mRjdcNYFg6+xL>)Kt0L2lp9eQx<GxmRyXS3TRme|OLDZg{XO=Eh&v z=c+-0XKlW1`N=K4BJokyg5~D~7Vi)|?t3Y`d}eFmnNO$0R=HHBANWvxeD|!`o%R72 z%=7J-jT0{kCN;c$sWOFimVD6sX{K5sfipB`>|3L9l1ZVaypHcUd)>>EOj{DdOC_Cl zY+)`gzoX{qb}qCl#8okDg3@`B8Sk%hwaDqW3SCYW;Jv>7toEh8^gzcI83CdiUzB!o z1s$^evPSq{^Ov1AHu7vw19W(zn%1<0<%sf&FcsCGbbaU2YHs)U<5S1ki8tg!s_*x_ zIHb7cuEK$(ufqkG%C>CL&HXuh?K0o2BoB*iFXnta)#7hma9HeX)x9;5c9+u>a(GwH zsDFI5qxT%M)4e^%-#!$X;Lf~Ey6LX}Cn3et>h~QTzcT-}a}lp~N_e4d;{EP&0?$vL zFP2lTZfB1Duy)e#U#US)Ry70~Ul+YpH#_Cz-ri7A-3JWC4#%V_wumZx&pLSHZ{?2$ zc9*iL#*$ZLGR}Y1na<4`@$7)W6730Esh{^tIVqg|7hxIi_PRb&^1g@F^_as-TIJ>O zcgvN}-QVh=+0&umr<Yt9ae$rAg}X|+CF!l=n_s1TK?(m9n1X-YpZoTbNlEz4Gdwo} z*S9t=&Ai4CwD!t&Pwwze&a2@I+1G5zGrTFb=Yd_nYT|v>yu%lALV~Wy?O(Y0^sN`0 z<tpR%cYlvhn8kmFpYMXu!L1b^-7e3Qobj#7^x89<mHuf#{N_Fj<}3bx+p*@D{5~_L zu2t<D_psmO_`PPCmSJsw#{Eq`(MN2;ye0^~>R?$T6S~+^OaEPM?DEIy{1HVL8Zs)b z_VUkp$;=zVaN$U8fA6)#@SNrHVx5*Uo~6%2=gmIrvS+8Y>g2P{?EhPBKkoS0UtuOa z<J-#eO>Uh&6X$W1-^jD^*|olFtzdoea#l|Tr%&g3_;;jLOrOE;DRuWjiu)_BHwVu| zr3>cUMq5t)`mOpJTg$b|62*T4)$SgXZ|+*~NP;0HaY9^%Ux>A(W7vngm;EzYPAP9J z3D-DuyC6+IV20C<O7`!*yz-ZtHZgfDa(p!RSHik`JNXuVm0Qyu;iVeu6p%7yUyq8v zz=n?1H9U$D3q%))SGOuX-Vq&lFZu7fRi13~)-Jj*OF!)JwYM{tS#4T<<FeD@i4)o% zbSBq#xy2dn+|PKW^GwC8gmvbRj(y(sQ^NXPZr|OnLbGK0vzgnoSoTJLmDsayr@|Hv z)t4$;cBS0e?tRhl<@8w}Z@hbSa>L9<D|0pVwJECKHoOSxzf*86`9_nws{GwsPkz?b zZ0lAu|Mi9Uu!RGU4;Q1=ohwFO4wtsPa+$c%*_V5-!{0WMdfm$otXq{nwuNXv2@!cZ z!IS-laQXX1tB$<er~RgLclme5|Eoen9og?3>d81*oMw8UAer_4yC+A!`x_}U3o#2Y zgU^<*|1I>C-Ds0uxwV?uvtYG7A6Z1iG!hpvvdI)Qbxo?el>nN%DJ%q?Kv9qn0^q|b zK*s=sa1IoJPSyrt&{48kS(|39F*9oMlqjsv)#nab^5c_{pq)}ytq*wW)ENver>Cc< zrEQuSDYUX**<wR!X<?@Qy_>yvqoYr|oM0AkQ8*~Au3+pOe0v{wCwUlndhXiWvfZ(u z6O{kG0q+CP+YUL+J2@G=XFVwivN<_1F;Uq-AabSMvRMI4-J%g;k#ZB>uIb&ne%sZM zcc-r8hBD67&VRbfX6nkK@Z#0cueNBFKV5sKbS-!-%368waRqNcr?S2VZ^=Imx<PaG z>h5O95~=QN=arztGuDQ!o;dL==+ITO*)HHsw%tb;{SYxZ!&M#i_dxUN#4GFa#6=|9 z;!dw(@r}&fb>M20>(=QeTX(OPXpy+@dpEH9)w^4Fx9;ApexT{jEf9e0p$DJ;atb_s zedyOsQx3MzuOx~@<0U8S#TQ0IMn>jptXH}|LHqNrJ#p*9!4qF=!Dq#U&H~R6ze;y6 z3P>{Fe!0$YA&Z#y6t@{niT0ME4UHCGRkkiRyTbH4(>izA-7l=sb~yqf7Y_0_t& zf5GN}){ba}`h)KEwwkN8d>I6o7%iC=q!gqW<fEynxl#qPs3@xqywCU-__ovK^JR}V zJD6XNyX7hE*~~K~NJCZe?c)BsE)4Q2Hrt~0w%#gzdkZoByfU*T4H{ojQIn<{Oxm|( z$r2T9)svnQp2wG{D{ifMB&u=S;dTal?&8=jORaY;{JpcY>;jjV>XHY74Ghcz0SAIE z%l(RY@mThYp#WqTHfZe=cn>ysmT@BZ8uOq`@EPA1!ROR340r)LUw;01_0NnO5+A>r z&ujDT{I{F7@}J+lc*640O}>u7sbe>vU}>3-u4B%ee$f1-u!Dkz=Dtr_QM-4CH7a9U z3U&D5V+h#1@C|5={pHJ-7f(EZtnGODz%gjSR<VtsJ4-iT-uQWQ`<YLd*3SQ=+5buJ z-;?C}$@)KU%s+C3OLxZtChjo7%UM^adOZ!dva+&@PJjL6$&)v8=58)DJGbwqxxIBe z==5#S0o-kyAd#}nO}QB|0oum4GyU6{^Z$GfNgjIO>dI^6@JCGkcif$>&JGn-_3CEx z8?n<SPP}Opowomme%YD6K+usB8G8)k<Ki6R;*7vcMq*s{Ts@o{@#CoWAE9>#`|obO z_UlE7)m*=H@M=tZYj<yN@6%~Fbv$ZCJcA8qvE&`JKiFT(o_FBy_P=&z`iAo+FeI4V z449O0{oOwBfdHQzor-g&uH7_|Y0{)kK8eegXavsC&~Tq(@lr#5Tero_+pfnrkDWAp zsU9W9_;^A8`HD{qr*B_we(&D#b<^9wZ#=$F`5~jjaSp-Td1cq5%)k@IKQ+M16K<-^ zb}4=%w)<#XQDI@Bp>tqhpy0~XiLWQ;o?W{(@0|DZwTox3F54OHyKQZm&+3?W+q|c4 zRR>S&D}h1V;fDnlptIT&K0u=BA@~@Fg$to4IV2_~3jGA#y8ga=$;l_ImRj*AukSFo z<bU$$f!(+5XSdwt*uR@gxp2E+-S?nJdAD*}?)OgIvGAJ|c=Grmc$otD$eRU_Lv9q< z6(Hb2+b7UL(C^>B_g6j)IS%?fcnRS8_3PiK|DPy6>s0hi?eeFu+;7d4lA0PNbxSgQ z*{QpkTeX8z^-80zuG?DnHfr~^sMpWI2@bTn=k{&Tk>8guLkg+iH!VMW`0&AO3UuWt zc$G~V_}ciYz4pIPoZs@+XtRW<=9v_uRYpQ`zdLrHUUB!W-K(P0c4gP2ve$0SUMrli z5?s{%zG=!1J^)8n_HJ8|Uy5EzpXGMY?E#lhOyGvBfRKlrFrxxl@UiWt+3%aDPdS|G zOD&vf_%c-{CB;+yby@%2yy>TpdRUe3j?MGW*?!xwk|+6%g;>Aj<bB5{NS&Nh-?4An z#v>k|KK>7v$!Yi=+5a^>KQ-vldKL8(KezARz5Cj&?K42&hCBEWbqP-Jg5C}D=RSV@ z`gOMROYlOfr4uh+y?XWN(W6^;)_vPMCp#n2iBl(Rf<Y69;)~_WDqi{b7v}%{v3lOC z$Lq^_DqbD8|GRSfuNCdTmY4rprhLaZbM03315F1Q*+d);Ffg$!ur+B1uO_&)_HIC$ z<0kb@ijiq$Gw(+B@_^2O%3ISnQ$gP#_QS3RmnH=XU78p;k=NqIfy2D{XCC%ifBE2c z@Zhq`v44(k-v$EUJ9)wR0lXFvd<6Wub?eqZ!8-5>QE_X*_C?iy<DVIRfrGX7%ToTo z>Kw9n6ndTJ`+wQ^n*Y{AUa_DDt!5SN_AlD)GTP05fY{A;W!G<Qy>@HswW!@(bJni{ zfnN(i%ZC=N0+|?^{c4ieQorS=HBWpwQetJQBy=dI$;WW{wBX>vOUoyzy16*bIKA`= zr{>BEZ^_Aetvy1ViF%HH9TR2-1}X#w1}YYJZJ0l?cwuY*PjQVo2b9`HEhc<0vj1!< zSHR%JE|s$2QFXwJ^_2^j|D6B*=R6LDiUW)@=P!I1f2JTw$8b`Lgl~_ko6zIV-Mh=m z-@bdNRRBF>3v#^$=ty}lFFDA<qg$LuPIkV0^5n?HmWf~bmhmnVQdUwt^ORE%bcm4G zviY(vLG1=vZ~NUHYp+aP0$K9<1bn0Q;`y?cj~Im7o+nq7l@t}^<=8#T?osjo{PFyr zo|xOwcVuQu%iP`nLqb9s1QvrrM`>k<)>OB}7c)e-T0vJSeEC^pH~;+e$sG^?N!J+} zFVg={n0e%5XvC8ZOzpfj3=iY)J)L|1&$RTPqLOI^;#?OF@JhdC5Vqz7pP2<R&jf59 zq?Q4lSO#k5fEG$RIyyRfFY!_OYr$3fN>R{lM&~mHfsVGcg$tw_?7qp*m%ARt$_HMg zegRxA@N>$8LH|53m_N6@y&bd$THV>*-Ce!&%*m4{f10Jwt4zOkb5`NAIX80m9h_a9 z2BL3vy*BW8Wc|4NqGvNNCqILgTgQyVbu%+Vz{S#o2axqsH^AV}8)XxBUtiy|ZIjyC z+SHQMmL;oc1}C|wwCtP`dFq6Yp+n#QN0aY;)SnhN`=({_oSCW5#EZ}H81Fx$cRcyq ziqa!;TO)GArg3|pem$e~j#b&&bD*3$LjnY5OrJiz{^#`aN^x*g;{H$Y(e!5ff1aHN z2Khh5o@}<^zTDHg({lS6ozJJ{810@Bnl>de)o*5Cn)v6FIY!gZsDPH(?f;|=TEe{k zlNPA(pZ`fyLqntglg9f;v42j^-*a+y&B^I4XKpI4pBY_pR=4h>sQpJl`#%rXIkDN~ z{Mgvc_+nM(#DyCx4U0dk_<z#fcNBE53@8mOdUXEWM6i2j%-FFI6j>mA<pc-lCJzf4 zx2A&$1`<4M-9B5}+gk4*bZ~M!<ap5Gfc^e`phLwDgJRa%8M4Cg5ctXu(A^;H?Ck8U ztgNie%*@R0J$667efjimPeoZpdD%UyU6z*M!_E%u2LXF9*blyf0<?!<-`>4@ZEbCB z_wL!V$410P{K&z*J2$S}x_0ZvwJVn{oI4XzQQZXt$Xd}#&>bVu;o;%Smn>eq`0|Mv zvuDrtRsvm~Q}-?XoW5br&B?XjcgiOxMsMuD%OtA#@4v6jjqBBwHP?@?+j?!?*4<@m z?e#!_4-DRc?z4XjUIco!ck$Y_Yjd4X9y@ky*~E?8wry(*2@VcUKBuC7Ol+Fy<7v~l zyBm|W9S(lo_;|0_?5Phn%J1&{c;rP=3kQ$T^X^aEC!M(YBdH{-yu5sE?C$K8zJ?V` z)GYSODa)`3B#AIGSb01>%OJwQq;93*=AtL1B*4QHwMmJMF>#`X4jTgllLC(eBgf&1 zBF(olk1=kR5qY8*^Sbf!HPPb7Nj9Y!5x<hyijE}pZ<0#lN%^|tM|i~c4L^S+UPzc{ zl*~J0|EjgS{)g26*D{;1C9gs6?2K94CZD}m{yhD9vdlMr$>@V~dS_UsWShCkem!^V zjMlD&+z*S*`z?}=GVd{W>su+Ldt`;CMdzBg=Y+paU%G?;th(6#r%Q9)e~5dTS@_1S zU#q`L?s+bol<xnaiiFOIk2=oAT-M)xaB^`-TFtbJ7R9MIMJiQ&SxeLFcq7xo-c>Hy zE3(KV{Ob|D<(%2S4OyLa|IYc&YvP}nCzm09)RXnWoU7Rq`D*W38a6+CDHr@Xf8v^l zmpPoj%S@{~bZN?rb<N>CU5<&<GOtapYS%8x{CY++V#o9D#WMYKMfcWbB`4qfpe|Fy zbUH^qe8RgBO@-@L|G0U046g;K?EkGkebLd>dRhGysY!NGkEcGJyYE1hT7f3(H39z> zcYdV?II@42JukoiO@q(%T&efXi^aP4oa0-1Vq=l8T}#{3$oLfxP5cZye7R4^h|J?! zoWmk`MEdLFX*r#HCVmX_Dad*FbpL{0&B918g(WSv<&Sn~Rkz4XZVB#<-R^aDMI)03 zS6FxDvI4F1T9R*Ai;vhe?EPSD7V{@B*r8TvhI@rg#jQ^%PcLtLrg=h1E<ebLQNveo z-y$EYxmrnlR}Q~i^FEtl;ipNhYrZt^zfzG}Ir%bg<T-=ceRj*gc!iyw-FsKiFITqi z`Z@Q1n~hVp6%}Wxo6Vc(%H1<DrM$5vUi%l%F(!=zG0*wd`G58@c<p>(?-gOr^#_-B z?cVX?xc9=z!d0=q_pD`AR8e}M)SC8<UGWRI?W2&TDwVgcxF@Z=$)<eFJfF>8F0VX! z_1c-PE#I_OiZKVC+fn=9;^~<+tJXIiQQz#v$k2FJc%gZ^MavblMW^n2iN1R2&$jzU z*z>L@o_D5u9a#9$vE<{&##3)kNB8%Ke%IN^;IQL!N5)-gcEzooD;}+0)l~I+@j?CQ z*L#(WCYN<js>mn_h_9+yQFi<Dx5vy^ZKKyXD7>*TjQV`c(=%6a?XOiqfqUyT7oNWL zX!{JGhqVhitfcr|re8k%zH92@m;Xd=ud#T1(1AC6^(nU}@&`8;sV(@weB-NQQm>ge ze|xg7=+}y)TwH$TZYTb1c;`On`(NgF^IufAMr^5Az*oo@m0=RSh2b~<6B(YD?RxhY z39tI+*PA(!_t-Ik*}==}-t;~Dn>@SE*(iDb`aLhV*m)U#x?b@*>uBfkt@e##D^#an zOgqAue0mz=akq7MCZq@`9kLgy*;uwGvj1<+-KEv4Goy5;L>_TrHU9bO{TG{UPs{i- zj(2M}W?N=%2`gy1GHYqyW%CPHxz^3e_gFVGDDpTbgLp;<zn!DT*3COVO__G@$Ag0b zAI};deE4Hu{q^(flZ}7G@BKd~nE%90#?wmuXK$M>zRLW{N9@2y-B_N&i}|1J7fviW zu-$Civl+8k*3T8YnUcd3_<MfOR|i@D99Dx}kMGTG{;W7<$C;aQQ>B$JH0~)ex>`In zqxjLw70$D5g7>hv1#VPcC{nao{Rj7j<<Bebyvt^qS>Thx^{vD%^Rj``=GO{gOldpK z>JG_=8}c|tuywM&TXAq^&=;|tr+t23xe^nXnOhcdSEb|ix0L?J&u@hvu;aW`cOZt> z_`Pt-y!!{6POSKIq&nO<C;pqjH@}v??bb(5?7L7G<W)4uea?JGuB#4$n#{RVVp}zg zZYlJi=2}wVTX>Ugow&+s=k2e0_wDA~U)cLr{ZXc;gfa8_nOmxooA<k)OA@l=V`~cD zBDhO;*WnF4=OdI#>m!&rBoEx}e7*YA;iYOb3T!xxCgsTd(zzJ;>C2u)^QRiNO!Meb zVJ>=pFeLc*?2}@RGh?0#zO|Nk;kd_A%5hFz>g$<y)_XWRcD@S`ymx6XuWG~>|EtL+ zJd<MCHiVRzzX^Nbw_ypdJQuIsf>PUDHMM{QrpFsDX{?$Xpk2J7@294DY-d2>Q_u8D zn`ED-bItynAI?|(<?Hz)|6bZ}c3H)zXPRdOx&$q3S4)0*%=g2Yi~}lHgM)e$h2}af z3AnMe<H_@r%Qpl!pFT8uhZxi4$rs(TX7E%W$c)r&TF{dhf1-1x3bP>F;hq1l&EKSE zylwF;eMX+e1uhobnKz~wZ$JJ&bt&7UeP=m-b}#NSExu-ZgE{?(TcvG=bdt^2T?^v{ zchAjS@F?$`;yKCnmQl}?x4QDp;Yw;x6_EH6S0OZEewN*_K;?w&O)nMom;Qe8yk+sN z>l)Mg7u~S85aqkJ<6MSA)14Z>+iGH`g%-Qk2POWx_Ejj>YRQH(hHnnqrr(#HX|;f7 zJ{QAQVg9wE)4#LK{Mo{A@Z!s$voE!$<;^tZo?abW)@5;zt5CyTapT_;OSLcPEEO|g zGGdJuv)B8<pH}HSJ5~BfiOH<Me*#Lziw%~1Rh9U1cj8=k5pm}=KMj377Ix)|uAF&# z+3fujxBad&DQFH#vw761JVC3Y<eK_g!JE7Ejvr8*nb^D2^~i)HjERmmfl)4rOYI*n z_x^Nq`Gi2HtIy9wT#Jv>+3lK?VL36htlrP@v7KwpN2LPo-#Y{O_TGCPuuNlOpVIyN zI#aZg&**Iy{}WKSdrwzHf^$N{^jhZ&)6<t8JXU{#r!(ST!t0YdOTPbm9r#H3z%J*v z>{^m5tc3rus;^o){cF~?8Eji7RQw58H+gbCyLRmo-u55s?@e+0eR9)g-A#oCT=rQn z+OIA9{p5DYMX~n+X6I~HCwx1(Y2I>H;WtiI$K?X%xpuPe_~1Oh_2M)3Ot!bicY1C< zWh+wfy1ePh=TpW9x2LDIEuR@s`!=TKD|>S7ThoY=d~X+Jkw<qF_%@{pTyi}2xcct# zS&eNOpAP+quvu_?W`tV)#w)kxh+lDLQ9rqCrQ6;3+*`A2Y%~Nk#k1pX1Pjjoy)xeC z@PWo7|F~C8n3mAGE`Q3m7wJKIduC4zaPmm~vD>I1u*<I5E+&b^$jh?l{i4@b#ER_v zHy2tqu5VJRP~qRb`J%M@%)pf*HXIt~EKDwKeLpki*}>yi{#!YI`}oGr<IjOff(Gjr z#?NfJt-rAL*RBtSEd2d<cl~C~vAxJ%C9jhA=;e~lRXP4PQlI)Ay!U7DF-NzU8+;Cu z6q)*Ni}vr2ufnJB7`$)%ne4*9*F###q0F3l!83P7muGvbXCG2pC-q1=I`@Rpf(iNW zZtPtoCi3Fw<*!?+rCwyI#d=Szidko)B)&gPeG+?t@Ot?@2VYcvI+v*@U9>7q>PGzX zX|q<Z5Mn&9eQ$UC#(>(*)_k`otdbW$WOn(^nhT2Jf2Xg=+7xeC8I$T1T#}M?D}ZTT zME8v~4R7u>C}if_mL!<5KG~S}ckj!CxpOSdKm3f7c|FZF{g3%>Wy7D}<|lo$&vU=B zQQuN>pL_5c<sg?N36A}%+LpT9Ehuf8Xn0Ha-*c8E=dGI;N#qN9U*4Y-bYbzQbAdO0 zzI6Kd-)4sXpP0WFY-ZTm9b2_$NwUO=i4n3bUqABZeY&b~JX0*y|Lp3FpxgJ)ep~<k zo9jin%^qjgDQ?>L)okg--j}R-5pqxVom1WS_}W1g_r6tD_l}o~dG5IO+Lu)(V50RW z>j$q{uRq%Bs+}TugDJK@U`1QaD?976XVc9WK0amJq{M6H<Md<03;%zc=NoQKeOsQQ za{Qg8P-u@%mqO2yc`xJFe>s{UbbqJl!(CcW)z~Z?^RF?-K3#ikNs6TU9Q}wHVybDp zn=a+959t*;(W=#U`rD@ljgF`djVy*cPAz*OJIAv0yqWCrok89OMI1l=z1iQh>QTU& zlm^XKhAhb=O|R$4eAah6^rkg&&42IfCH`?oEmgw)_I-POGIrg`j7*Eq!oPaATrzh{ z;)=aA`~ANO4c-;nckcL|Qj^f!Z8K%{8~)Yu*Cy6C#9fI#Ej;u5tr+)@N%LM>@!afd zO}-nm#n!=BptZ-W(f8^Tzg_%4I#=WxN%5WDXRtuoGvt%#BVVS7<L>ibt4D6w@L;M- zmza>f%u=z1k0zd<8uoE2_xc|t&sFDnz4!c6_>&{kapj+k;4Kr|Jm+{tI=xcSeWN1G zqnsXY!)TPI7%#Ciu2l2i(M+bBVyFLmN|<--t5>i6;J#AmF>Bb`#hb5cT|2+_EJxhT zW!10u9KFq-^5eqMt=pB0IvccEuAkXd8+IvMiCfNhrhn0;A9jp~zfIL-cJ;8@bggn( z<ql4hccP+i>JCnw^})u6!RV%Oft~puuV0eoI!74<(;N2ZnyIfzJ@JY)&-P6?-{})V zUpI=DMSA_zn47)gv}LNpAE}D$cJ-Q%catIlUfjO3NOhh>w1vfYvz1z`K7WP(3x0~& z$CMgY{^hV_nZ};k915PIyMDVmeDi2{;Jju%%Y}6(Cv1q>VdCSca#!$Oj=(9VW~(FB z)hoL!^8azJN@M-x_}Pr*+LdHOwWGF*KaV`vwMq5nj^$6za@=STZ{IYfQ~&H7k5|_N zlN<H@6ZaG<vi=m+;9>o8;>P67Edlc`S3MM2t5LeRZ?ow(UTr4hsm}|tZ|rgN^7h`T zEA&)HL!k8Von2gI;?EOj%;eH=Q{=3^dq3dG4V&kM^V;9uHJ^DjChQeUivII+D^Kvq ze;4i8c$sZ^{wlR+=6}`n)PH=i?>Z(RaJpGRnz`_|!`eGG=fc-l+~V1~Kai_&@ssoA zx<@zV+`Ab1+iJ6Pm7-?e-&yX{mOPZ$HEWiNQRLc#w>70^Fgtd)2^CMsoE7rPim~f@ z=$q0s#f2VyWtxv~OY*SlT@?sEdMko;n#89|b2>Nu>XH}EdJvqmeb*^dFBkRmnwql; z`u+w8&hrRVGd+DnRqaM+%yEmUyPH}hlA`!}`-K~F?tD5FW!!ZC*^e{3j;0hHdnZ-& z*5Z=+->fIs*V}&i)!4!MMs1Jm@2Qtp&D0Dk^-y#=x!?~^^iwgpJCjf9^cQAWP1Lx# z?pT^1cctZl;EAR?Z+%JOn6u#WTV)x8@D)p?9A)+`UNob*<<yn=PLl+KxPR7Y_SABj z?CTQM+t{%2WZtx%i2uy<8Eu!yG`;iMmuWut=;CLa_RrlSVwH5j|B22yDRJ|&5=C#f zSj@S1?yqxuP@+Jg&bsq5<!f{HRIw~Sswrc6JSN)u;t5mk?gzSSw^esJByZ1&<bPoF zp{m24=Z;x_Rg{!qdZI{CAFuD!D|_ZVTh4TIeudvl?+fV%UrREEo;c-f`Bz>=Nao_% zt0&i8@isT-=iB!?;-t{ABbw~o2Xw^J{!W@4ks?s^@bId?|CqThl<nX$-L~L|uIP?B zF1{(5Vf}&Ug#Opxa(8)?*|1l2TGx%-4_<0-qDxmbb!<!6AMoAeMCuw}U-4fNmX$7S ziN|LAE3`Y_U1)Ee{`&;8$uvQR+In+ggEis_0oNk<1j@W0XL6K8r%FCv9`pA|hN{Q3 z;Kn6~pSD`u{Jgtk7l-B5mA%tbyF;B9m?pMy>r9?_?P<r(hOe7`#)xw|70jw_SSi3? z^6d7ha<2(JlGnN3Dtl_yK6R^k&;9JgAMJ8$!S(tZwwyl9$2EaNJ1K=LCL+P|_2rE# z&Rm_^d9q{H&P6wE`k7{33rKPa=C7;U!~Hj@NA|c#-j{U&O=`<>3g`E3dHk<^>qObr zf#rpZj4!^mODb8nYjy97<dQtqS!r`@IMOaCefqU^d+Ofnycx3JRx7F8f3xvxH{Z&K z=AxbAuk=)R&GKG-+09yv`$@|$(X$PEWEY>_qpQEUc7ySz+NMbgM{J%xDvvDOshzv) zZr_IZhtK&|w_mt)@6;Y!%N;U@T@Pt%X}x>>sBD#W!L5rE*5BtT@@deHFj3aH*7)i4 z*NLv8GmC$-3+o!q^!I+|zi0o`gX`<lEHoCGn1)W?neciG!>k3}O(9J859O>2u$&sQ zSz-0xQWN)#uZLLf9DX7)OC#CY_=(J<;D{p~M~*Vy3t^vi<<wno*|vX<PjB30(7eUW zzT#N;t=yA)luohOEZ-ZvK6>)p=j)_?G+%rFbz;igR(->D_HS!bTkG=ghd%u9N9c@j z^R*qisaH<6Eqdo0v6!*J)3o#Br>G4<mfQbcj(T(GV04mnW^~SR;mk<~x3E{aE`1xu zll@`xp-XSom$g_QsIO_iVY1-Vk&ot+s;hg%CQM6_>{oxoWSq6Rh}U~&(RwRU4UUzM zd9y_H_olLaRX?m!anvg#fO%K@y%UT&oS)Cn5Vy|f+`8=hsw|BS=Pjy!?>&&*w}S6Z z_MVS%%3Qn72x|QbEm-!J*?427X_ZWqzN*h>LGjZqo+~ovF?|2{Yu>u`=Ysd_I5XS9 zu_5fO-u5#!zwSR!{~|KenuR5gxv%m|mX^=1uIl!$D;O6$*&R-d{>BlkxhBG34pY5o z!oth%UC(W~b?{q<FYltcJZT&9miS#yyy4sEDkZnH=tYDl-_533m$q^((qCVk{+X|3 zf{VXIdhc_iWzj2zUALSzTyS3L>zgwT`HK6zXUMpAy*#~iDJSQh5SO=e&xr*Vv$W*M zN6bBa^!01;X{T59ys8)88FY7gnB;%ui~XGz+=<Fk{L|-5T#)=>_y04m^Ch1ASTUje zSr+e~GoBJ#xt1Ai6y0;aK7mOtEI3CzZ5#8t(7<CeWcjDcXnURKNZTx(=&8WteTlbe z;pv};M7}<paavo*aIMhcDaA)OtWa2@{fD`CapbO^yxoN>YMg~0N7pOgpWI}(O-LsB zK}8xv-}HcZzq9}E>Cc}k!jRkhwa#bbMWcI?S~+gkd9%7Mt$oIPyS`iJ;E~*^>@2FY zo@LFHpQvlNKi~|@cF!{}96R654p|hnKPOEsZEJYxjrUKi%j=Fmf5Ufr%{||HvNFe& zuj>cvFztPHh4b=Pp6T5y-adXX#rJ1##k8!gwM_SlH(%AwHD4XQQ@qSyDE9W&>mJV1 zE490iOj40~Irp9O8>453lXLiMduq~+i?904{S^GN%CU5d=%TBugThqOug?y8l$z@{ z#aQs?g-roTe`S5HSjqoW-_5yadqUR7-R?X8tX(0v|J=0y>vshF(VJe*Klzx=pO|e8 zbDi%#5ZaacdyR4O`7<0(lN?jMC6>#EY*D$icw*+YpC;yWeXJQ*F+Q~n4>%Vvo9}u^ z@s(Y6#+Se3+}@UZ+UnK?-;142w<c|MG|v$^l3M<GfxCoH|Dnm>zcpJuS>VLKu)Sha zRMt1ecEuT+zrULo)vAAdk1fwlH?zYpugpDiIDhA4o^Iv>=IPA39S1nn1QdHuHn2{p zO)zM5o2$d?n|}WcAK!*MDQ9ZM8bZ{igHx>Tq*+-T$xeIuX0}iIb;rJ!Z{EGT5eqr( z9x~rsm|zG78^QBO8^F_F1_nL)-Rr+-*DqXEU&*;QOkaQfweGJ>Ri$9?^6f6uohNrX z^E5}?_~$fJTIaEMdikEDqg|rfVF{oC-*s!tPv6bjena=+wOw0V7uvBs`eVm^Y1{R8 zhb|mlcj2aaxM6DKqBDD!Zq8r5wpGmh*gJ`IT@YBy$G2zV)Tvj~c1A`<MoKwCu6EGT z;pzF@-IT)lK2-GD)KF2;D4*zQ8pZyZ7Y-&WHs|=12Blb)@azF?w%-Mw2;1~%1!$sb z)h)<O)uKxre&7pgd=ot&lR<0O-`?aTyL54bd=r;O0?W#NT~SkpIeg|*&h-^?fri(= ze);m{%agb*VmYhkZhCdgQ~Ab~D=ayeZeLbsd=Ym$(^xUVK6m-uEi=DOI(MwM#c2Db zq8Uox1&h^Fle>J{J*<8g$TQx(E1P`3q2k~X5V*1tJWY3`aq5&QQyL&w=Xgp=czEaq zbstgUoEWB}a7lir`}@G^BXXSm*OVVjyjS;;VcG&k(Ts_Lfo&`b515-d-K>7=-EuMe zovv+uLC(u{iR!oWVR;L5r?h!^KKQ$Ns&oeXQrRHkKEtve!?K=_tz4p7Au9qBQ$QdD z3|h=PpunUFGQHsJT($dx_7$$RPU{4;RYZh><m1<fFEBXJ*z!e{Rl~yZ*P(~aS5$5D z7F;>n!Y!Ax;7h8u@!fwHZ(e@f)2DfAi3~%)m;I`2E4%wV(>Lr+KK}0AKgP|F!{M!} zz`&vb45oO2?xMI|e`EgF=ga^8y!oN>?ljSLB6^_1U9^6@cx(I1up(yO^yN<zD=R80 z>~5;vOfj12qxKa%^V@fC-+l(!1xL3WQ`fDkI>gQ<d`Y;WCbPGn(aIw=t;ENdp~OdP zawA8ISz1Y#eXY_|$8IZY&^^Mtvt`#FZnTZtp4zIj$#tKHc&AI4L)Mdm2OoFo?hZO} zlJnUE4&9LBCwDwN@VGR(Y-vGpaq;5N{Tn}4+?^&G2b#gkxSDJ6q2lhe(7jhpceS;( zxs^&yV_w#-zO(tt!IKvqpFOy9<I05+miR}We>&_Ry4G-*{8adNxcb0N2j-CU!wao5 z&h<G@uGTZo-SKA1!fi2qw~BXW9)7x9XRB=vzkz{)blTxJe7g@n+hF<Ptu*A69({c& zN6<wt;^N}dd3r!MTFdnxpSJyIZf0V1i*7de23F_!|2MwLev>GWaA?29{pEj79=5o@ zf5HJLmECVPz8Bb3VmIZd%!Q}&hCimq9;=zYPw`WSZ1Qo5-)2(1Zi^?jwzj5j&Y709 zG2+hA*cebQiwq15yr~tPntww&=-Lz!bI`R*tgaoK7VXi{(9q%H`l!0=$g~qetw*MM z+{ue!-RJszjk(>&?(?6t6m3q6*FO!tB(>$O=b!h^T|e9RKMa<8+FAceE&h>&^|2d< zAoS}A2!Jv{%IuuUpsSl=H+g%2!Iy6jtz`JV7x0_z(A{mC!>bGi)hYcId-6_m-7GJS zekitbgR9*cb!Mp#2iQV3Of(bW+SKn+G4agwt`7}wz8`+Wa<ZXmiNowu&2PS|*q7{P zzF`>O%+Pg{zj?tK`N*_A9A(Gfy?fVp*Iq?MC8hn%1n_JaXeMm$-o3n=9KU?~e$8pd zx>fA|&HhB$U-N&l{nyXT{_D9nr#89Hx@h+olss!{BqgeU#nyu6&TRK`RaI5TfxtcR zLZ7PN?z*)n&Q%NSx8J*ur@pSLR(XSfXX6jA8<#)tx7iZ0dH(K`|34UIeY;!t$$mXI z_i+j9V-mZQdm1ai0J4fg2C|BxVli~?-cK34E@t`VnT;1yX6F~@?mD|oGbb#=Ztn5d z+tz$oS`0q9MeBkkmrJ-pQrfdryVGeaWbd;7&NZL?TX*u?UC*{HUS0g^4T!z$R@?2u z=)Cg_b!Ypf&!60VyCCvy6L0U|X;&{y>zQ`>)2!t3o{h=>8v>s{0|6^gTs?VW0h+^n z^vGmR+vVH$V;)BTnsz_n>U}fN)b6cYw}P%V=Q^61abMNmu(lACmu|1yn0;t#sqPNY zvY{&0ty|1>eZQKN)=Jtcn$Hc~w$*Iz++1H~8G&fsuM?Ktu=iT9R;kr9a7XfSamYlt ztdH8{lPRDjcSS3==g$G(ku-O1CJXHB7K2mwHf_t%1<lo7zWwp&FQJukpnBlyl#o@^ z-z_=0Mg6a5Wsv-1!`fx%w&?Bk{us17MBfWEo$n3OqRkBguV1}-^$N1;?bIo)2*_f# z(5a1%kQ>Zgm;M9adw=E1lzZ!9OIcOsmoN&s2|Q%v{c(VC#b?kp?)zTOta~}NK#%d2 z%iaKkke)Ry>o;sPGTxHMHFvS|>=mCU8-SAgyBmhbelWX%*B*dom9@A);1y`ymS_I` z0`O|cqM}P2&|^hRQxgopwXdP!-%Gon=z(g%(1=U7^`~sue748Ri9vC<f^6l6nkC9} zWp9G2J1&Wo=^~!4tD3|vK9#N&EbEa??zw9i0It_S)7P4splM=GPEL8*t?q9wR+TI{ z<Wwr^sC@mzi4IdCskLry5{F`0?zmQ2UkG)V_}##6b%2p~N$h%`)%!GhUwMT7a$;CG zQ_<D()f@Gii|V!&i`-Avvz<7r?zMZ`8F{b3kbhU!C3YQocVnY<*&fJwNZ<m#0bIgw zF)#svCEK~zt~A&l{UV8*HI@ZbpRzU@8BF<+{`cq4pMwAXWPq0*$R~i8Y4qRQ7c21Y zg7~kW2R<k-*<8$87;&QE5cA%m^*<_=LHAm1wDtp43KbOvMZRjcQzrSUO_n+cO(Q%! zvJmjK@YSmIpNj82ua<k+z_#byZ<|lmAJi-DI*sbpO_!Oj|Ln2lMg9E6c79%;%q5b0 z48L)!2z9zB6@%mBOWB^r!;c=Uv9QqP<MaEFwzo1r?~e30w~FG$+i$NiTi=^~`PK$A zC+XRslX7Own#IjOl_5ak!-3^LgfoS04{vVZxOwt9`=p2OlJ8sIJmdb=OicAAf9kTs zski>jI<tMD;F$v_{EoltO9j=$DON#CJSHl+a`8cqN#iyK1M!>_2@m`jk2ri>R1mmD zBVa*<IoAWXkA{aN%$M~hCMPBN&9Nwabc8eaPz$H9n$L^3w?a(=oC<9kHXOKI!|?E@ zvG_dy&EJhJ^%rm6-@f;Y=7pz=PaONdVDo#`Zow7(l>$~LgspZbmw)H&Q1zOkvC;dD zkc!6yg^iv$LdtGE5*yv$2v#J|-{60NqpQMohf<W_8jgh>p)DOeJp$Ps<}K2Tcn`J- zv~fIaVqj!Cvdb|?K;EwA$A^c__v#!&9~uR7DAZhNFc*Y09l@soH8QjF$yn^!v**w0 z{X4*5&*|MV{l_1F{BhiV8@Mr4b9(!piy7e6llRscCPXASto<bLG|<^9L}^usztNM0 zoZ=yisus0%BsepN@=cUHFT30Nn7n~Fs8M+Slg`nkjX#d+N1a%}#3rJ51GHu}GLm!K znh&9Itj>S;CLV6%-T%;h2^hS1D=t^665tpz*(b#JiqKRS*;P_k1VdGX1Ld}`e{~F8 zDmAHnr^@`4iE9)mr%bk))Tzb6#l>}Mu?^QmkD5oq|DX8Zd*Tbu(O&zWK<ln~Pdve^ z{Qf-Tk3XFK!GZha?ccwb%L~fIeY<e{`<>sXepm13{{Ls+|EhiWlb4-Hj9R*U^K;ve z8Zi@W722gh3ytfZxVyNx#65BS0|ItWoaLT4nl#ndi?90sbLIW(Ake<*-f=M44hHO3 zAZzh%$G?8|a?XoO=ND#*%xGXyVqg@!_wIGwySWzr-^;CjpFjEc&TZRs*Y{pdn!&f< z^Lw%W^3C;g&e>hrs8RT)@9tg0dksIpXAV`$o`3%NXHC%+@QGlN2f*u2F5fnP2LVlx zda10cTK2=-b(>2!`7ONs@(y@0au#R>snxEXAYi%o?{vNiY~Nd|i?frXgR7tMOS<q) zbWK?&>^4CoG3oR>zV|cL)Ik8;t^uuJVgLT^+qZAaw(s7Xw=Vkiv0a>Z!_2pD+kQ4T z`}Pg9#M`&7wH^GnX=jeE^l7z}=$kjL-7_s;8=HK+CHwWQSz_PTEGV3)J2N%?OzO>> zCpye;XQZZNar}GHy$zB-qrd=?yJp?nr<k(t%=DK=(Q2ntvRJ0SE&8c<_i540#)ltw z>1wBZzi9`~e#XYe*;j>~RNrrXbhPfxZo4<f<KFDH(|L99pZ1%*`(7v3xwbhzDtaUF zo1JIF8Zc<?0fS`l(sZAEabXDHPCk6-nt}NmUT$vY<b!b=M0t38IM}|a{)yUuPyhSs zze)S|HM}Zhu6PmFw&wh)^Y>pZVCkMH?ENe|b&tr*-j@ci(;e;@9((tXG5H~Q;o;Fn z!voT>IoEG*E!!EJJAK1y?_^eXx%Ia<i8);jF95Ha&*j{H4cgyYtD9peB-$!E;m3+4 z>f7$x2+MeIFgvnYurCcMVHRm%R*y;9P_e<lLq|kN)1Eg;Vj5Ft2O~oZqd)^wkK+-| zWplSOJbqEZ{FnFlt;;j5ejZ%7`~P1rJFn@|HVn+{+M3)_>%$v(qW*pqH<|aYA$#}! z_YbzUP7qBHQ4P8mI4yAIrJtrdqAV6Y^V}JceDUejO}g8T@61VC({9_hC_7riY|fN- zN;L`|5gPptFMqLZUo-RWN2|l;7o!eIpD2@Po*8YL=(#51wOiBG2T>C*-JG5-(i*q> z$Q0M<^L9*{n;5ogky=BmanwoGbf4us*M&MZ2xq(HrY`6bk(({){@_Sz&*1{5#JH!G z2Ruac-$^Zhv`<;SRQvjiOOg{Nt37E;e3s3SxAXDOLziClu4nkwdE}V&>EuxF{go2h zC-&9vlr>xV_<y6w5Bu$fQ_H9SyK-s&ls{H#^^4Njxg}(uIl3RX^yB4v>msM@1A@~G zMC`&Qoe*4>byXy{>`#r+dau249YMM4h5h`qXNuYGzasp)M8b7~l^px(<OQ>Igzo1m zPs-Zk&2^%Ib*p_dPgLA_N3oa1Y!M}K(;4)%-b;MQ*l+V*s<Kx!F>H<&-;@(8Go(Xm zuFG$$Xpm_NY>b!VJ)M{uYGbDRBR$qCynV*kg`Ddo{l#}BcI}vaLC3kcDLydd+0Fo+ z1ub(<Gwv_X-P^$QDEPyr>FbU9XMc@<FlW=-v=1g?mnKXOuNB+Vy|T`0ZKi9PXnK^f z{Duc6uBQ_{Qkgxtsy5h^uJZA7HJ;`1aaPU>eNMe6XDViyrUr_x33|!f;mfDWTv+>i z^Vh?D!V?Z}EaG$Ac65r7WB0<Z&1wr@B)*xzT(N1}gF{!vmxjy#c`?;>?{fLio0vJC zKDM}iPisb(h0vVeo_<;W(Yn8!s{ic?mo<nu^>9UEsN;6VoD=#oOL-5hPmr6lKl0O} z%@ImRW}cV&uXFV`f9Paq^IaDFH&vr1%Ktz4_~dRIehIr0#yj#{a^B}fmBZg|5!<+2 zb<(=fqD7J~m(AIHw&H5Hb?=30_F~bQ?$^)7wJSX6Xq>stOkw%&J?kC|`8Us#j(UE3 zZYz5<(>3K^by=_KEbfP<I$gE(OYz^O&r$!a#h0&0i0zYjuk)Epr}>;VEO%r2dHnIe z!Z*Uj4)5kZJhh+ekMSMdi$4yqX)JGL+cfWeU$gc^FZZdxHp`g>SDKw#Ri5r&TA}#2 z_4nJCl9s;@?#pxh@PdVL)3sf{uDqPC_rOM{dtoyF=U2fuUkRvP)x3ImkJXWv;aR)Y zWmfeaSj_hM=|!FAJ&R&g+bfn|eelfr58tePkLDOMsIo1L-2V9dRPKsw!Aq9=&oBCW z?I&AS7}xo%P=`f*?>4u`tlDY$V8_D^_2!2zJnPKg5dMmVvH7{yRikiw)1Oy4=ak*4 ze%Dif=#NUj?DG1W=Z{ni6~6jKEw(mi(px-vflZ+9VYPRM=e+e)6IgX;w*3*EdlznR za<$_;S{S#u(_otB+RTlrTf;ad@2D&&lD-=muzmHeyL)D+&AQplVSKP~x=a4j$#bH( z7n@5jo%!;#@DH!gtNE=mo=f!qE?imh<yxmKQwQtT=kK;$V4445W1on~^WR4K7wukb zo+7@Wx9%(lU#Rkfg)5z|zF*R{bknm(6_5EXZucEu5;I#vxT`SBi1|?9QvtJ}#ZPw@ z-Ow>D$}$o+dmA`0zwN-+P$lhC@{R>xQg+xoeJ%buSJZd%yegejuhyqXo3k7Un;~+) z{N2}8Yp0v0ZR1d1J7LePJ7!@5Tb@S<Wlwr$q5f<2?Q`$4QrfpxEag05mpqm8{o93S z_@}moY^jTxyX3>%P^FFUl3Bh8Uwa}hBK7RLvi^=~c``*?7x>-sH<WnVwa!xX^DNU_ zFJlg<Y=}45<D0NxxA?PpjxR1I2z0+)YIAq)-nigPSE6OK+7Bc%7e5Wo+Q~3weZez< z&1#Q!+6Wx3Ek4E`ZLh0q*730>ywF26=;ex_-CnbMwp(rqtD1Tx$iH;Y#D7fHZ(sUY z{(TsiJ&Aky`(<YAd)k&T@kFKAh?FPm-E%(mpD$?HXTQX`SNeTiW^k^|w48S#h`nl~ zZ~YGI&~7WSCnnl6n-?-KmNIY)?05X=qt^dxdehshTed_k*IW4AAf!8Y%b_j*1b%C1 zzEu+6F-39)*OTOO*5tJL-rFwTD4%&g#7(Tu%j#KKs@huB-p#M2Qmd+_eEk2kE^ybI z_18sncc`ddQ&_7n8f`JJ)cV*7Q9<wZW*jm3{<k}B%75YCtug&M3*&+HSBy_cs;l3T z-uXc)_<!_-8GYv5(;E4c!aH^~%urz2DL>Wp(KGJlsZ!^-UKeg((Z(b4<=EvFvnMGV z)rj~V+r+u_W=*n)!y2nk5*eyxV(#nLzIk<E;l{e=rAb8!+?ro?n|n_@b71}O&o}ey z$_B++M-%y#iK;WDWL|%q;<kL2=KiTC!kq(7{}-5h;)Y~=kz2YVd$CHF=5^TvngLA4 zM<#m*%f(ifsbBS4Q+dFz<5aG~@uQRP&E;+Wy_uCq-?hQ9S8#J^eawV21y^gAU9+s- zIzMi&gJ#;XAD7S8y;!>KdxfZNa`TVh87A7t7vJdm$@JZB`<w~<UCYzgb1_9<P0BnN zEjn#la@6b{59e~_9Pd!@UHA6man1jXbNBF0{3gxi6tU={{(+a8=b2C6TU7I6TSVmS zf0Yk|SZ`|dxP5<LJVm^?Qp!zpRTEdxg%_5aC*`i%wDjT3qK_YLf6brsKHB)!Y3HN& z*F+{889pv`T5)>CIR-7U6IE+_*aeME=lf*cjMsh=C^kof`Cfv_^vQD#rcG;{Bdzwe z^8Z4)Evc`)&R$IKvb#B1B-~&{{nVgTcJm3Zf46S26TF@9>-4nOoiRT)KYpbZEmEOb zr?X2-LNwO%<nEu|;o(7yZ-o!~Cajus(rK#hr612!ez$Zu$A=u6P@H5lt0*+|dCqeI z;Wt4)!wdHQ>`tGo_~x!6W9=WUH<h1%i4^Y5Hd*E0+ZVAgMzquR>W@65%+1G~ZfR(} zmO8#rLxg3k#G=Vtw*JnJWeYTA+_2tbY9t>A*TLoY82Y_ut(?)w&D_1rsQ!}FNk?AB z_m&$OW~e<`@Xb=gb<G6fY4gL5cYHl!8@YA0m%87!J((w%zAGBlUFnpT-s-P?eUq<I z#zPI()k@P@*;OqcUx}@oo}Qz+-12p#bZY0#MVw1j73!DHExi-c%#?rg&XSe)S6!Ta zXj7PLTjqm8*>i^z%-LMyU-CBpOPcUKP>r2||Fx;~7M*x@mDGdVzAr5HZ<$x6@avJT zl;lDEY?Ws`e1{iZKOw(h@%l;eiQ85m-L!Xm?~dQ)n%PEDw|@QlH^Jh!)cfX%GmE6H z#nq2)ka}&PJku)v?g_uYIU=rVyHXbK`22F}-)^y&a#<BS3b>Cg-L^FMZ;Y#&y1vaW zjuo2wtju5Awb^?vREaGA$T(Fa<}b4nr|G)|jtX^wvHmf8=WTU*y*gn3w!KY>)3Xjf zs%X5s^Y-ODr$sUK!f}jRf=YV?*Ok4Vds^|o*mdnM_2-j?`)!|g*0e5>%;aZcOux_| zb2TnSX7OH+JXY5gkJcW%|NpkZ>FmDOsba~Km)Qh|l{{U&Xd2gZp7kr13QBxGk{f<P zmUmBQQtgA3kNnGfl<l2$+qIHky;UgmoD?wIe3|P*wVCUyKB_y`eV6(t^zOfdx&0w! z=84O`oe!G)a7Iz@RpH7A5l@8)#hG#$R%NBZ{r^~Yo!*!&RJt{$=*b@Co}eW!cQpG< z=HbkE@L5Ttr$FaVy1*;_2@@}^efCb)da=-zxd+3PU%e~89WDHWr{Pf9qZw(kiCF;` zrZ6nDSlrdKDR*{Ty@_-F)78FNAvH=Gd++COFS+?LEi2^-yT%M#^-1frgKboq+<5p* zlK*UZ>95@8ZI~$evURTc#N($o96h}Do^gMWy6Ie&<vpCQWi<EiYuWu+=(u0Rj@Rt{ zOkQHkDqA9NdK{EqwJ+Ux`rJp+4?bM#2tLQ-<#wj6z0dZ{YVSP`1y8>li3_c+`n778 z^da84tck1gR18A5C*}V+nry&c*qVFC{{h?gr%~qmhw>k;sh63~Y?u35{EAphLjU9V zTU$eCtrsboJHht8LLrlm+S1(*pREgdDWGKSd?hbp_rtf<{Y~GxG>>1Iu~OQFLA&an zrQ`Y9E1f%5Uhm}5I(fFN@NexWq0&b!O<CJw(vG-p5LtG?`pMGhNv~uT+ow(`&Ujo= znwd6@^;3QAk+QWbgO?bq#H={JUr8-2M4CtD)Z@>OeX5f$9zG)#?x<k)-<9>(+(a3< z`1diFTKM-I+4|#QOpBq@&JV{f8Grwq@!05JR!#l$<jNSm9Y$f&a>5hWZ<~6e)Y~WS z@DaDbm&g0wHcnI69@3?_@@2zyR^2rR)>_2#c{&}r5t1ij6(zT#WKHA^k(IYDOtG^p zNu0=>v2#&F(B;pc|4lY$IUWBybEWX6X}2yL-SoX?vfbKAWIMBs&4R_HcVm|vHDvo2 za8cUd|84W8n^$@C`chpr4Qp2^{SEjs{gcwtL#7^H3}Jc`j6@b}y1(MlriE`)L_gac zyv{X$>)Gr6y7RpXc1R_*%f6lJuY1lo%hl~=qUc>Gskw<3=T7a-{~p*KP;qAO<IZUA zSH4LP88;c{t82wxtv5Mqkfyh!RIho_#~!y6%lGWu_DKE9#*-hG|Cqg9ViwD0*I&9m zyZ36y8ke~pXLJm+NlIWc{mW<Px?o=po9mu`$BiDEZIF4RxUEhn_d{kO+f|7@&58TJ z2(hrd&~}(+*Y)~+fX}S29`C>M7%gPF&M^Dk>7DORe0Zhv>DbhLywTq$EUdpQw%lA~ z_VJmAb>7zY=Zg6^K03^~P`z#A%hx7~w`Te=FWzf*D)ZV_bNPG&brrey%H<b+?&$4W z_FeSu;XeOhm#?c1FD>6uq~jWHdhcC9^R@bhlr7;QyX|dRe|QROmeuZzsL(OU3J+Oz zQ*^!Z=l24A<=z)uq%Hrw%r|Vc-LhoGlI8hZj;nF7MYbAG;Z!YO?6*9sCCBq)^jyae ztt$DSR;s)``>3tF@a3bB#49em554LWPFe5#7$hA3$3s8Qz>fQF!SO39Gg|(%%|5=Z z!^~ASe(tU1i|*a>TX;k9g-GlX3DKiLL8>bwpG8DoDa@Z@ayH?b=4PHBQ9QBdv>f`c zT}W<CY;Wk`)vS!=66P?faerdxZ1d^0VMhb+Jmq;E5pM-fRTZdz4l|XX&C|E+E(7<X zQ?up1IMi;}5Q(Vcy2E&)o^{^EUmIRbz9MB?`C{c|CX@C#{QDB47*1MLG724hSughK zZ}+N`KY|Y!P5rk?+rle1I>n3odjD7d%?f9KGiw}X=t>N^yURc$$myfK+W*y#;>{{0 zuM}eq<``Z%v1-B8`RR_L9XsQ@ZS>VYF)2*1XuQ8B;kVJmg$57B9^4Q(c(Pr@Y4ai# zk#t{fLEi_={Hw1Owv~3D+9>ri_FVJYd4Jyil$*}|QzB}2|KkO*9<`Mk^Jm*{vYxRp z{1@xN2SPG)3OqcL4;`QStBuL|(Vv(re975X?T(iNJ^c2T+)|zX(ZS-gSezI?_m+D* z#2%M2>-cB+Ft1u2!E`;nnk`S?E3n7?On!-x^va2b+oahUwp<ZjFjMAhfY;N~jY>89 zV+)#Frd_EQ5<kGWkMYC%wwQ+h+YcRLKag|#iHeDO-2=;@h0pSp;sPq&!@5iY|0#ds zIvsH}zUGJ4uNe08eiO?=Tt0QF{a<;of8~$A{w}Rs_FGmjJ2Bm}=*IPjD}K(a`~UT% zN4I87Si#$?&vW~W&hE?oGAZ)GXa6UERNr1d7tXZ9S^RI<7sW%W(^uvl|9C*W`{c{p zrvn{XuQ(gs-ORE0oli}4^WhDNr}nx%pRwWgi5qh7n34kaC4M;eX}ySD;=Uy;w>)nj zIwYk2rCi{H?oVfKCCzMoUMZV`XyHtoMvhs2{f<(uDGeP@&M}^Ke;4$5mGa(8+_OBU zs+KY`brdotEtIM_kQ;pAZIx-`@s7rQryJH9o}Jev_4+8|wwI3-3VHP8@2RDJ2${25 z<uB_l*6(4@JzUKu*PH6RI^@Y}zmVIhf8~^xx&_8P@4h*t&9XH6zrrgdM`mY^R7mTZ zxh!)3C;VUk_VkS2)oEOE+mvt3dloI%GGWo8>s~WI%3hC|xNm)7+MM-^-?jyq=wDuG zZ&$yVVb_h9FXYay^ZW9-q+!|p*&4g;_Dp7NJuJ`Z9mUR9QnFE)tL|9Z@o%d;3b|i( zNKf0`=)UY4yXK@Rd(V_iC<{L$wfXLcM$T2%?FL^qFf&Q1=q_B8AQkMP+LqAUkoBE; zw$iTk0xymhEljd8^$IW1cT#ZhIQ=Mo%Tk7wHz%BHSsD9RW>V1d(|?7ftNhgsBDBwc zxWL{0S9RBPzK(ZGZXNlsKzrJ&eSKU9wilS~%V8~<V=l1I%!2Kqc!s8zNs!o!AJR3e zb8Jg84$R!x^pO4NqURyptCn@M9M`R8jc6?rUokQC$jZ3y20MQ%`#oR&dgANm7Y_YN zo%@tyrf**2GI4>Mx9gpso5PB3)jT=7qO+;Tl;OgMd2QRKh-`d&=SvUg>?>;*y4%_E z88%OmH2*b$Q$1n|FZ-H##cdbopK;?`D#-Nm<CM+w{iU@wzx~jn!uv<!%1%ZH(T&1! z`%lcDyj^aQ*4HG9u*JtZ66`0+Z)C3iy5;hEiBFQr%C8Ug#&i|stA2BRIg_!O!|VrR ze>}&=7HOjxp@8eLS)m+iHC`+Qj66#l`mWr*G{yh=SI3iqi}XCoxOOP)>F&JN{fKM% z>AfE{lh&jsX8iIJP@5_jpIYWx9AEpukzc(u;_%iVlT9sj_gOOhdM0`8*w(}y6Fm(c z*J%5F{4sA~@cG!F`6o_D%zDgtBJ#Uvqww^q+edCcS2dUB`mLI3v}S4O&NBOp;Xj|u zz1hICb%pd^DT};0N!QQM-Y`v3U~=hGB_VOvz(^?rgWK7DKiSx=Ra_Eo3rKyr6<Jiw z@OGu;fwSsQO;3sJEj71PGr4+Xp4y_-ZzlA_86Mwp@q**8{bj43d|+62{>z16@AYS- zHyH@@PV>=!eIaHxM~e9VGppvGocp=2<C?K{LRe{B_1w>sSWdWG8k_bcwZ7|~xrVhT zabxZL<7e2no=HEE_<vt$uKdfc6oJp)3>GmB;g3I_3Elmyr>0%x;;c_6EKjNhnVr5B zvQm{}k4rpnQ@j7Uua3st+;`m)_8Qn<{qSG3w13IL$>Q4Fv!`=8_p^35h|1b9O-|eJ z{a;bftc^w=@?C8;dOz;}Ts5cZS2SPMX=NskAeoc?|8#u=+qN|x{r}fx5l2PvoWiiZ zne~yO&Ud{2ypNk!pRxF>Y_XR2(=}CHk1|hj3QailcGd&ojDLMoB|?{+ZaMbj-KO>O zj`B(8>P7$UpXM6rab%rkr}^OxH)cFqDLL&&#A?yZ{PwNo&Z#9^*u$TH*v(wrHD`JF zrbOixtdTMWv%2d2A6hI5E9{R{PI3Pzyg5VW*qiia8Xl#0)Qja@MU*1d3@5IMbWsUc zJalvi+bkCQc73LEK?k0GiHmmIxvAoA73YNYF|(Pqub8}FdgRlU%d1mfn|&8}^e``c zj-7<Bu+l0Q(^=D1(!?@3+$IX#R^a`#?7->=OM9<gUnL&ZoS*)!Vc~=#Wv#urffLQ# zc~m18E{h8ma^3#>mc9`857!b#_KqlT+qnBNXN+tdE>Bvj#c_74>N(T(MIRHr<FzG{ zmRxRO3$Yaa`oc=<)s<R~qp#8>PwzB*C^f;UbG`OeUe+Z?e(uP~wr4x|Wb>@Qzpk7J zkK-^pkoj%Xxkfh~fm-7%t^7+G8L1wbjvLKg>aG{vcWKpma;0*@Dba05m=g~eg#R&f zQ%&V9IC62ZTP(9`_`DLU6}pNuEO~D87u5K9B`$2sn6l*1m$0^ZJ}n`piKlkN$;FCw z@-T0$YU8}JMsCi$1rzsaY(A@!Wnz_>y*ocvA<<^5p4xSpS0)!vb4qPxa@eD>X0FI2 z#~o{y%;e5H>F79<?N*ky+i5v|gOdjnLJYahS#K4D*mKR@=<iaw++k&Oy+F_>zM~Ji z?KN@>yZ$z}*m$(nGf6KkSvqI!C)+&5pi-p^Z}J=r_<8oN-C=Qe{p#v<hu2&3_D|^B zKZW&QKmUVTvo!aRs#P0KJYc?jpgY{{OuHAKDBJB<Q&(|)eR_s9I_-M&zE?++3SBi7 z-tW5VtsB5=-02hd#4(sVkdtZQmR5($S%P<##m~ARsUqkY?YuH^<%(C^iuO0gysADv z*`+j+qdb>?=4;8Qi|Id4MCwh`y`%W9Ea3i0s}1L7@N)+`WTrcDW*pC{o^c}ifz{^; zjzI@nzL)*3n)3bhskFM?7tYvtFVZ`lr}64))4bHT2dAfeDPrn1`km7J)KtCJ%EmX* z>iz3WQZ1Fc-=3AsnYLKo*DS2!SOtgN{RihyPh6qgTY5#daA(Oz&zD^svu5TQ{C>5h zDk)fgo9dOiua_HHSRZ(_zB~KQ^HhMjLi(PTDRE*|x7yXONYDPYfY<fpRwk41TgtJj z$9KvtTH$15|6*oe?>`TdomFp2wq})TUywQ^B(r7fjjEkh#(P#B{aPX?k+4|5``G8B zPxl==!63QSqq!?I`hQ3Jm2Wd0TgpXdg|;+C9Z1P#c{?$E?F{253~5`ec(qNooV|XZ z*-R`?chlQcGewri46BZw(G5-zSo!k0Q_4H;<{3;A1txqj+r`0Hyu0CeTiT14Cc?8k zm}Yt?F)`en{X;bK=G1BIw^>UTh17r8yLcCyZtC6(Hf6`3$W;A&u=;h2<>I+pZtgyD zuZaC9({ZLFOaV6{v*s;YyrtybxunlG-pt((K2gC5wBtT<ZP@CsFJGRl+cbX_=(L`# ztIMvQ1cS0ob60@QhuON)Y%AzCl$9s*HqGAW%$!>8c+hcr+4Orsjx1^l1urkjy>&A^ zTiqT0{p!TCfyr#sEvN51Q+W(@F3NJy$pk(=-e9l^v~Xt9q)W#*`c$kl+m9?PUIICr zAnLfW%!-3-8G?cGMMm?_F5i4IMyoYz)_1v(%Q4eZ^-gcnk#-33UK+GA1hoF=q6P<e zjg2znf&dK>uC=9e!b3trmTXj3Qc~JgpOKNV;`aIHpCeya{M9+ZaJ9t$oBZ7E^1J=r z%aYx{C7YMcpL#F&a!k3|`Y%bopZ(^9mn2&m-3@;}HFf6cO**HyUVd3(H5WWTXf)Gj z+1V{KPd_c%83VdMBxvQ88=!RwcjZMyM6TpYpJ3x^yjj||<#>Pu%fE`U41O6=z3$D2 z5+ANA2+w(BzAHIy_U*Lol|I!abHNM2>g($2>Lz%o2vyq1^}8>IOdCU15y;P<2LW=s zBXq>Nk0x!rcf!F!`00(uGIONk&o4VBcRQ<W_TIGq&ZwBAJ!cH`4C9jCnEdYbP!Z}p z^02@{26UnVXd`~JgMt7D3sd9%`{3R7Hc;>lyaw&uIlr}!OF4yt=C&T=yL{%vkpp{< zY&d*wq0(Y?lUuP>-EX(<H=D`3?ev?1^ow8sP6Y=+VCT-A8}r{t&j-!5=FETl`fJtR zxbyFB+De1L?PJ@(>0{-_>^D;Ec8pf7?AjR)7ZYEc3rl!$F03RuzS7lP?er#{$B?x$ zX<$&Xtw$F!;h21S$M*{izO{zJ@hg@rm@{L_8JG3?8w?)E%xV2xpcb?`Ok=tFFD@km z*=J9e?*B4f!uD9ZT=RL!&&TdEGR>X!Z_e?````aPTej@y?|t9ROif~@rCL4*ul|9Y z6UEd7zUpM@wU1M$P8F3fNI39ATt9BlhNm)})yHN|ENtxj_(|8$&_LfXW?$-=-(T%c zuU`QMpo#Y{Q|2`_T?({1^$xVG$V@QN=upeyl*31!cvpEoSDow3!r9lNrYQMRxpoQ5 zt&@j;h_C;oS^r73|C3t(C-wYKs{Wsp^*<?sPSlBA(%sV1((+}391{~0(<Mi%6UUFc z|9>HW*R}j&^cS(YuIU$TceOLe%h{Z$uj&3?AtvndL2uvD%@zIcS7hEhZhE#fes1{l z+Shq=isQcb-7}N}twaDFZv(pC@U2+4Ye%7UQk2d=(19x3ww+7cTski~`t;huh{J0y z&Rt&^uvTFE#;;pVOtx-_ijsKClXLC#l`B`S<d{Z-*6W=0+kE-Z!-5-pw-Z6DU!>2v zSzB*D|Hjzh2HWifW8>Rr%(S&PpMJ9`UF+h>k`(4I`QN|gfA4#F;+bfqSp$o^8wh*@ zod&d?edhD8RePm&Z``=CXXEszGap;D7q{F~ZPONdU3B=szC8u57Ha1@r4N}Fo|l*@ zIcuj|N7yCrS)JOiVj)Le-Oby68<gepz(?7veQX0+kzruad-pmN`~@vu1L3l82)N$% zaeK_IwK{9Hb=K;v(O$hu=W5yRyLsCoXEsHF4vxtK9m19iI+N$^rcIkRy*qyB(4kk~ zu3o(w`o2+f<*avsvfNkK{=Kzu*H-(z0c$hgIhAugXm01z4alDL{@r^WldC4vQ_rQ| zWa$B2N;EYT0>Jm^fS09)>{+>TrDpHkDO09g`3pK)>jvKq8L`z)jIwjj1qUxvU8=HV zapkJ4y>7Owe`Yi@^8JXYpW3|Hfr&#V!GY}@JO9q@JpDa$ej8ieIVNc_^Yon4bBfPd zP6e$v1s6!*HB~QnaPfuYEO25Lm0V%b*w`hk9x`W#!%<Fd3#}Uq5{|GaKFEtZuV$fg zL&8w0g-a>Op^>p&mS2&HOCVrQfs%Uz+xq7mR&Ofqax%<{x;<z6#o0zx!g*1*YmQGz zesrOdEqHIp?VUp3dG{UPC%X4@Sp3(U%YUt${!61OecCyX&6oC=$g3J&wAjLz`*`=s zmv0lYXPq{Bu9h$b48SG(1P}mUX;lF}>&0uS)NaVyn2W!A!7B}(o$KAj3pz~Z1^WxG znai>-h@SctQo4xk?B$)A%g*^-R{QmX`AV{jT5S{Lh_){9QeW`#UGvUA{|qXc{gz+8 znF9{@li*Ty^3E9WqPvrgOqaZumlU4bvSdn2iHmq)=pA7`uJ)smh2b$GvP;@+927r> z*Q^9P40PBGxbAaw00F2$;Il^T?d?H@s*BRZ<Bva9*vR#(1hF_wcX=Lvg(J$v<jb7; z%|&tN&g`^&e#YwaxiiN9z5Iegd;(sGgU_}3_2b8nAD~s&UqnD<__ad0iD1JP9m}(j z;cGwq@I&1~P?go{WGw+cS!=_!kI_uxaxdn7xoW-t=b89@pIYrY_AOfaLet7STF}8c zQ{6Vi#eDu2LD5*(cv}Gh4wkY6_J4Kz|GnOS?sDZXzxyw(VwXSvGN<oy;V<9)J0%V3 zKGn<pJnsJQBdd6i-KHF-W1zJ$`{LGLf4%qK<C`~b+{k#7m6c_8WslBdvv*9#?teb2 zzOR6p&*VqqhsIC*@jni-zuNP^dfxqsJ2_|YfR~@lnh64u5MZgc?D!W6i5YU6W6sOl z*gW3_I!R9c=S1;J@L5CrKLrE?1SYUoPWb<$zx<=#zN6bK#Lhpmz1MssZ(CdK1f@4( zJKf(+n0@4}+fM(y$-AGZ?R3wZXwA|#$1Ggz5t}AA_va6?_Yno#?b#3jtrKC?oom;Q z9Xqyd+qPxPmW3@k^W<6ScJuYSW$(-8Z$EzXZ{hA8(RXz6`gbS)DZ9VN*gS6g?z_vD zf<P<iM6(`nYJ#i^Vq<HDoL>W_X3v~Cb?VfK6DQX0k7wUvGQsQN!7jcGj^zti?)`Cl z-rMU}vaED_u9c^op0$0l#BO@%Wuxgk*L<$p8@IkZ2LvFEoi(@LmhHY9bsU;7;S@tf zVq&6{wSmEg-~0FN+x7P8)1}o1IK!Ruw7#FUy!xe!FWyLBk0<9_YD)b3{;MYEeJfAY z=ElyQ_;}M9-vcG3rKMlj-P?LMZ+q=+aJe>jb@54X$p*f~4|FWy+-$}Nxw*NSZ?<gN zl5=l!)iGv24S~-$o|GLvqLCoiXeO4?z_?M*oF_xu__eZsa=HC<OHe6z=n#0<!7ir6 zR1i>gfRt@(A4BsQobq!qoBdRwk41H&)BCUS_rE51rc4mHF+Dsypd(jE^T<kYoe5e# zyKEV#=3KI55g2TM#T%q$1LCO`p6{5`aEMFuN`e!o%9UfkJP+sZHEZVHHs{#<=S;i? zA7V`Z-kSAq?W||(mmc6TI>K-`{i@0RRdcrnuA6W490cdgZg^O*7nHCWz&98ND69dU z<@MJ8@5*Z*;UNMJ9{~-&Gb|->PdhK%=-pAjIO=}Pvw%l>jT0LuC%seX30%=~?8}`U z3JjlRR1yT3n2yXy4&xVLV3gBPGO^N<Q4-=3N}HfJ(baLIM@SnZLtCOkLy`aovm@7H zuk&UODh8JR=eD_gZ%aA*`Sym)GA~A<izhlayKC?K)FHN9Y^&)1oLA2OS?mh#Z_75! zDEC?OVcyTVS8Jnr6RgS#-upf0`}CMEVyU*nD#K&7&&)K-M5g^aywP;xoO<J_k8Z6` z66pEvm%r%GBx`=b<RIB?8CKVi=)aNL{cb~-uX|4M5xqO6o8);mOmscy<*xj`E9pjC zVv(1KPTbt+g&K1ES$N)ZMKft`oVG!rRq_t+-MK%7qj`_I2v&X)Fv~hC{N~Z0+L=+y zJS+9pR<InO(>>u(C$r%x%Q@D*R`L^4{N`#-4m>yE;Y9tgMI{eAoxAiCMUS&Sd-BHQ z`MoK>wu;?erV+QQW2WJp-t?H1yE79_>Yg!eU9;EJSUAD2ZR%^$Gu&x13-25_lVzps z@cByI-!oH%G!$GeZjP9xv+>WLPeMzMYSt}XTakBL%*KfExux7<O^aWX4xb55pOYwM z`?$&KInU(@e^(scqT!&RD5*cw{7|}i$Qs8rycr^Y>$6U;*VwYXlS@=@wN$&uvbX$3 z!H&_>Uitm=pCvBc6guyP<W4tD1&POPnhwEh9Mv5ZJEq56WmJ8w*)7*}Ud=(KWI}w% z_Z@Q|s?{Cv^W1B&=U(1}=B=DwXH6$6Up-npxt{-|x5WWZHt`3MITx&!t7!8$-+jTu zvcUhur}WBo#hJes>2OV7RxH0-if>x7rIWCu-nnfOmSqQyGVL<*-pq1n@nydyqMUg( zH#6P5tZi*Grhb%lZasf2-}>5#mRj!S^^@+eF0}v2GVSu~G=7m7Uelt-$z9#I3ZBT8 zwuNLjp9t8myELf2IAxK_iaZVH<5wo?c$YZlWjURSom=Mr@%w$pPl0_g8Yd6TiC^W; zIMwLrSv}S*JzSZBN4q*&U6^0kPMF?f_4nWpkIDp*@Crw#1?Go*i{|C6>UMm;C71cS z*AtIB+g3OR&pPe@skri9VfNoeBCXr69n70&v@mz-YGIGHS9zv<34Ya69#Us@G~-P4 z<B;9eHRl-wH%wONy<Y$A<J~f|<!mq4-dk>c?gD>Wf>@J%RASx)ex5oz+jyfpOi~B# z-_G3mXlwk}!!E2rb}|+L9D7=|_AxCq-dPgScUtC!SgNRtiS>=t)?T^4Yb6%lvRyI# zqU+JaRniMW<@uw&?oP0F+}$TEGxI>P&AATFo`cZ^YXq-Fekn9O+Icul;$ySW>*5lp zuYR8vrsTeVlohZyHJxY9<4Z+Tk`~VVWIxxtQ~axgh?~lmCoPw!A5i|gsy;j7>Bb{7 zr&<X!8E!d!@Nd1Gvamr|SClDZa`8H*$QzuWH%x2pSk`W^(9u%r+_6aA-XBliO=xke zsddiZKgCR~zaYZLLMgc-CUY)-iQ^fzTPIu9g)T2xvrDXD`3H_maUU<_EdG(vU=i@P zPW$7dl$=7(hZgR&vy)=x8tzKId`M7kN25XBrP^I9BzB2QYaMg#;9W9zBkOC+!0Up4 zg>Qx}vJGg~d$e`|vqVCX;)|;*-|1)c94%b-;f&nctz75scF2Y;oOU)kd#?H|=l7yt zl@5L2pLJ$N3#aF?U&5DU6wdS{dmWGMwdzo>jM%z)#WxQ-#^_yV|4(68;(S}p-@Gk9 z$oE&}2Ay(7&3(@$j2jm&nxZ8vv7PtzdYNCFXEm37mAk(0nMwQl%`4{$>h|BUTm3=z zSZ<;B{=`trby{<J|A{Zyajn^l;iJxqfF-%I<zG|8bdG(AY@Fu5*Z7^LjrVlvTk3~W zZ7#<g3i2$uvuC>b)E!ED`LBxiJkg0=?w^0`|CHT|tS97|g2g^9`@Z0!)Fa^+Po1S! z{rx9YTk+`FvDHx%=e^|%3cC`&$n(Q|-Med7ANZfNEH`-e?yZL%JYvgk_lu|6F7y%o z7t$PX=5sxFvLf#*1IhmkpOoC>E#2&osDDY{lOD?Do#Y&OeX8*nw`)vGEYsNH6LJ~j z4_wnoOt$J%i`jR0+oXdsHQRk%+tx2l(sp;8?i2JcSA6qYpUfHE%d}tHDk%zF_%7HX zQXtf7@ngfW>}@*sycM^ytXC}Jy82CFr~PcVmlkZ|FYN1-8#hkA60!4I`TFz=jZrs@ zLbM%N)O0U!e`nv?{!ihzhpSWi@`u_x?H3>3xb2a8-qEbWORt`=y^OcA@cn(H@!H3^ zZ_VczB`;3n-ZlOA+ds*1+b7;KV`<V#`+m}G8_$%hPfy+0{@?mi#))lG3!^#iY%;LR ze6-+1%X#U4o<Z)XD*nx^@oL%_dHt^R$>lo#4z4gclxoqJ638xTJ}X7aVM>0;ZINE< zf16hNuPi<uXZBRH!dK!;&c~CL+j%$5E_B+x$0GUMBz}?J%Lb<ewzq#jbhxN<yL-9W zI^jLl9Okn#ThgB!IaO{xk=q-sQyLgnGq3$6cWG{%+|I>M)-j|@9?N+WWGxWtuA0Zb z;kaMA*TI#g?{&@yMSqt4UgxB3du+4u&88oD6K^N|Xx*}VOPv1s;w3RRWPb^qkW6bA zGhMw;+F7EDza>TFVMT*wP0D7GbGIs=JBoe$`o1DxKO{3*#`d%ni+|gh+@96`H%@;2 zHd9CY>!G&`+8xgsmW5or`N(wL_G>%bLW9?zTybv>?^%N-)!PqCm2?aBSm^zJIg2x% z)9#7nR8`L?zwqTXEO(g}u}8J<;GMy_`^tZfpQh`2yf|+bojs;e;eRrlX>Y2pLgeXf zU-BmFopAfGHD<<F&OcJI+iX_FFFLqy%JyA#pRR6yc<4&bJA;EN1)HA-hs{6GqqaDh z>t})VgK3p)UfY|$hAla1>ptClrhDdUIVOdQ`QZ|Rl2U%FlneHU8!*b;Ij{VuI$3zR zh;GC!bswHT&R1vqdsn-<>uxAlQYqkyj<Gz;pnbS5_M-iTV|5JnhL_BxAMmeX+3&LB zLPo<gwX^lz-)7nOEN#7zv_a?j)~IqRb}w_0X9f0>e)s05G0$FM@9|=-M)OhkRS7k@ zg7G`|i|yAIkbK={U-#AHH*=TR<o1?-eMeb8NwKtiUUq0gnDe#`$BVLJF8sUlJVcpC zFKU)&!l|A}t2&+Ek?j8u%r)*?c_EmwyL^p)<JpZ13^#F@wy-kKGTG~tl)Xzg{MyC? z*WM-StrwlR_HFXcZ!7vQizYCw2ykPO-yF*7W%8RZ%klNPYg^yf9y-6(Pg=i0>q*P* z`D^c}PnF%6<$EqAtb`?}eb3C`Ju7aMXodY*SM@(`@xoFGju{bb35E}6R$LV;U$vk* zzpJ$D=z7r=%MLBv(>zV~N`b&(zb19D!mjg?m%?gP<#@I@wY*&Aow4GogZh=^gYpwP zm$M}-h_d-mY#9Ev<mxUvVU=kOx4gUly*lm4&h&AisOp{mg?mE`7Bgqh5ZuQ#o2h1I zOPZ?JWNtgQdXtP}9k=U0Txo05TyUUisl2t+Bd7Wb^Q~<{-<dyH7O!f$pYZ-}@TvTa zn`~eAbA9Jnvx@t}nH3J7#cI6%CVerj`1O(DfvK}_MLOH5?p0sjSn@4c)GlHhvB*+A zUM6kR6^G|yS*JZ)dS@iH)I1F@YMu2{D~q{3TB}9n=^J+WRgylA;#{XIe<tU4UNGp^ zSY!5k^Nx!G#-EqS{cpXrL$;Y+Y;AGM5}C5;3pF_YpRMR!BxMw=udKTKTIZQB(R%L~ zZOv5@cjhH0ZBBT6d;NWb8w-Mt&0VhCC-z+Ck@@%fa0%OquX%+0e=rvuTz>!Ng-)xp z%l;Zh-sJp#hr26eGwWBc1>$`@8$4I)TnoK#B|BfKr{ylAFrR_aAHV2H(=EcIzQ0ZR z+pzKY={lAe*LhOzn;!lsHM!Ch{a}%mmGv(Xf5{IQUid0$8~iS1{%;iPGD%T&j$7xU z<l<nNRps^i2Tt5|+B>cE25-Vl{V>ti+U-eQ2X1#)bd>*odD~cy;l1begWh%){xi8& z+|2UmkS;ra<D-Ls(B)IB<~2zeh5fS<bD6c!NKUlwh>hNjHkZ~ld#+el9&+C$wtCw+ z(Ty!x`axn{AACC=2UdUI`_ZI6sQKNLJg+&Nb6zOEvv(FwKauSGQCG`QsU<-9N5sNi zFOQwSXW#jUb*bK_4#PP0XJU-^G8SJ9X#6b2_f1gR`&>^Vr~k}p@~@pfZgmxFs53ot zhp9t!&c$NQ8x23-N~E}SE}oddwBaJ#)PLpKzm!B5Z2xlpY3BVG3OXBi@J7E{Q}Wh! zvf{KwVcgd)KWnp>J>~u~X7h62zaqWiWvcmX9ah{jM^1#4y*4V~-rTwV>;cZ}b<6A2 zIvo2FWwV#0JI%S3wSWE!cC&jM=c!C9YgUt&aldz8{Qp$m#Ow@1_aiF|Pg%9GPMiJd z$NlbNvo#B?F8Z%*X#5km%`~*!MgKKxP1cD+o=yz2Tqmfu=kzoi+O?d$KI_`AZ3b`n z)7_UC+QdAOk$cuGYn!E*I)%UX)J(sySO<02@6CBdoc_;Ej`gO@IWc2{_Fh4O`&;6V zP1?EJzsYxdz~q?Co`#j;8P4Y<%=h@-?2S63kYpyZu|LuBdDMRW`Au!Lb5?C>Oto3Z zl77GT%<9saau0TwSDY6Oo+>6ep(0CY$&)fY<r{aGr5?V0EckBUj!4g$x0;uKd9`m| z;bKoU#%D@xg68`Td3G8$l%;Qwxnvx7gSpXgf!0e|{coHJ5(T1Pd&GA$2-r%-FMS>< zyn{u7ao>#hlNPVw+&pWMs-GR_o_jauEcBY8xzff}OxRt#u*rRs_WroGv?43R%WtNB z47xet&kj$YA8Hb2)o+*XYfTp0xVba?oZsrn%@5A4wYhrcOHAd@T~ijj%+go<ro1a$ zNqtVygQbBT^Sd<TpKN?9)ZaZP!l(3lrfQ>^@xi@aB{47DVl=ICtrI`#UNF;qxUS)7 z`<!1p-=ug6@btON5#AQwaA~n)%VOpOLVXuG{3U+vI=PxhAo9km{fVnX^=8{t+>hF` zUr~QI$C-C|i?U+$rf09eKkH>Hv-U1q2UnAJ)$N@bvYHbg>&oxl$h~@VET?<5PfCNt z=F6;W*FIkI^Ql2oPaNm@x=HRc_{%R_C4Ll2to7V8Tl4t!%j>$HKeBYMJ;69tp(sQ( zSL4cq>UHti-i7@Olf?}Sm5(fl;kHvwVfU6W-Xr3jvEo-M`_nhV4>lC64`GODew%nx zy852$@3LRPJ)8cl`}R&|S>o}Y>a*MYe_FdNxox|o<erDK<*|mVPpnV;&0u_-^1&vy zJ!}KlhKPz~F_Am&O-{;<N|yZ6cvoWGZ<QO3OVf^PUB2%s-FI%aWZQyC94Aib8*K8r zdbw5j6RTLkwT}Czo)j-nV*2LJ^Y*uu_=47+%Tt%c&IztP$Ex<mSd{r{)h*du0w*N% z+J#Kl@6vYTnBUH&ZSncge4ej~YAcO}4zFLRpY&|*c9|~%^+DgfrI!4g<PcP3HC;Kv zh_Uchphs16zQx2!&E4}}-L0{G<UZM#>5L1{_LIF|)uSuV-TATFxwgaerQ?PT7oN*2 zPrel~#s4{5lG01jc}Yx<mDS$<mEullJ@fG8tjyPy2g4XbI2Dy|ehr!a?(0lzuUA|N z(`rn9*PUlLaZcdY`h%rQzDGRu4oI4?@=ErePpn#o9$~L6<NgSF3FrqVzS><}#`31= z%bSaSWlZyKvhSN>_rdic_m3>a=!edqz6Uuxl6GDt6qldT;9x($??+tm0%rSJS%Dw( z=GRv3R^I>jUb44l`OdAopHw`Q$&P(5{$xYMgWcH|4$nR{gOl04w}4xh<Fra#UVQ(e zHNTIfclGi-6Sy~ltFBq6Q}c|Z0jH$n!+VluDN_nNUCyogaK7}vXj+0@(xpG^H!~=n zl4)Njx${o<3k9DEI`d1q<sR3u>ND;#<#mfR;Bxeq&HTG?>5N5+cg|>MIQlB&^hdrZ ziJI<lqf^a)1;h1s|Mx7*R61NyC_C?ZsMQux6YsPY>>SFWZF+5or>>P$v`(_H*khhL ztJ3CtV6&C-wwz5uXHV@dpTLsNGMD+l4pw1_(*})(+-e4Kfgz5|XN1o2=a-KNY7^XY zhnJC;=@;`B_7{8?WES`?Fn5^lkgdS%&7<M3;^`3gAcHZPwM%4y`wZU?+`8qi5z_+v z6f_xASo#DE+5%J@`)4?2L}o7Icsgyj(lq{nHjlc7FAr`sPHcX}R&r(y<3zTNXB>Vi zayHjk?vjuVSo8Av9i!q2LSHtd1eSRuHP~3P^&WV%?Cwrq#=H#*-$l8LwqMNSJCfWJ z)GXkY{P$7I#F)T)7V{Tgk3L@cA|tu@cX&ti&s(#jXY-lu`?g+Sdy$+C`}2k1(Jk=N z+|$70h;5L8#4_+m)K}0*Ab4VR*36kRKW^U}w_g2t7V81g99tWUqB{}Q>Gve`&vGa0 zviC{rpS`)?IKM~S4Sak7WONlYK6~Q^^t5fzR4i!T0%<Jkga+fD#7t+gkj$(Mvmf&U zIPH~ulALvUd*vN1A6Gl69#Y8#&zVSo!42?a$#gIX-wGZHgPWx#ygFxV6t7%6*M>)_ zpQ0w8T9=ZxCi?JC3)%ONZO^PrP1%!wX6?h8Iep&~c7q28!SldR!E<V$`&Vw=n&r0~ zJTZYpg?KhM2tO)$;nJ}Fz@dF>VhW_!Fqd^7P0E%LYd#vkA^HsK_Kmp-x94SmCSfu` zLyf7afeqlPIn#qzL0~Fqws|Rdh#oZa5n`2-p0xecg|$C!do8^*Ihnn~d6~1`+I3o2 zxw*HkZhoI&wLVK+bl>4eO>bW%>;j*b0^Y5zwF*2N3E4@$5;_BcOifh1xA5|1JEh*O zk22?o=9g;5ybZI}xYxCOW7wRlYXdgy+H$M;7I-vM0}NIy2LaITPjz*54d`+BFe)ms z?bzJ5Lx+{7@P>7C#>zV{eHf+FyY|tlA6btNCVU8=-njSC>Kj_qj)lgmExT1=An`{u zC3;)x&4geOn9QaI23(LtwJRw6QT}X}?Vd&#omws5nP`VN2vwShy|nB+o^zq%Aghw| zgE9e0CUNVd{)Q%PUEPmXMridN3k>l03s7=t6WQpjWYK7SF!{DPCnx7h<x=qcdfk<N z(B#XmKyy&&ymH;U;`#%22f1F?SrN_Q8ST1vE-5G}Nl8fw2?@=bEdAxrule)h|64m5 zd40-EiVE^_vNF1K`SWMZPp|*J*9Qj&2N=9~4g$x)02Dk(IQ>|Uq>YV@wY9aCm6Zv* zz`YmGY&M*k%E0wYuq!v~f`XI~7t=4!Mh51N+@$#&T_WIl=98d#=7R@8yK#5!+z1BX zXhb0<Dmt_A@}50&#-~TZuuGDmKq<Za((y}<EIeKhp4@qHM7JvK!<!dR9^Coz_2j}M zW<`u|S05=q{rtzXs+wY%n(g_bqW3)~$QTB-oDfi~cl`4UboTs*h7CHWH~r~;A12X~ zuPEr}{Ns3ci|@U*s9C3D<C^mq3hc9#bU#=wd2>cKFZ1tDtI7g*Zd(==84(_KNo$qn z$_$Y!lZCf*W)_=szU;`KmKq60@gg<s^&jred-CD#JcEb7Sv+2-#3XNYa_xE$!{P9O zk-tD(UB)1hS+!s`&xeJ=DtoMBPBFQpupgKpYv8gj`ova&pDUf}K5hRyIXdZt?jK&I zYL3P?jm7peQ(MnmQZFxvJR$odg(u2fve~vW)h+JgWc`BF6XH)!ifz$I;r{T1EAE6S z!^F^(l&SKYE{7^w*Pb|Q)_P~p<e8>MllSYK3OKxNa`2Dai+D_Tm=tl<^B#yzKi$4d zMRwEiiS32!b~I0${rJJOe6Q^((m}^3p4}04#_{ot{F=SFSEAS6%lzuL`g`Qwv#)B` zmPhVA`N}pde`8hjYuT{;4OR75Z?C?0wW|E;?5O;8Rryz|qw?2QrC*g^eJ}j$wpH7= z+zU0`@33|GEG+{qzqIadE*>AR*hZ$6DI$`kEk<^tb2Bo8FBf^PoZ{o8B-`KPc2sE> z8}Fj06M{4(TUf0AUAevdp6%Cpf%)^Q-d~Ace$Vpjv6bI__vXHe4bGSRx@_flpS{Uf zq}}$$U%BnNH~LESvU@tO_Aa`o^=j^-v_J=&NUegvlMhbnFKKYv$T_#svrScp>r8w4 zbO%mjPGQc^9G5vZb4=zaKGE%X;*-Cm%FFgQ)}JK5cU6_#t6}Uvsm~DkFW{HQJ;k?u zrEa^D_-7rz)3<bvksfDzjrA_c+g(<1JUQ9=Z8F|6r=(B1-?CUGdATd&%gr3N&kt|< ztW1oHX(*h@ZZt!Dy7=K4!93579=+94Sy*^@hJn7G-VJeXZ>}@l-LsiKKVs@xeNs>5 z>xtAmT7H>pb=EwcdPz&uHB5G8Pq3?|l@#mNnjkCHg(sFNPcB@!!bM$CR=0PG>(=PS zWtms>0^jmoT^I0{?W$hD+kaQq`M>>k<z3IMrz`Kyy>)fv-8r|8uDm-tO5?UdcArs? z$x%tGV?50f_VHUHHhf|_{ORCf119lfVy=?2j_x@W`miL}B39&_$Q%ue*%mV`nnkBs z_!npusud~~$`wi#iWRbH3luUvR`g5IIl|fVf6f7mj?3q7K7Ufo^w@U!yveRF4+L%2 zkPDf$n>jNwF!K|){Tv;xZf>98rIkq!`&=_unUt?zJhQ}jvTI=GK8Xs8=ReW{Ux-Xq z>o!`x!lZGdjI6mo!|_F*g#14oTeM}3Nqo>OLp?oBUkm5xAofXbcch&OJmPqN#{7&e z8$*JZsa~9r?r!{eYlPe6v&lym-MF-h$Gb^!e_!0Otc~5v&Yex$&=h98y7TIutSHl^ zXIH6(7O&F0+GDnOR>0Zi%Yub(<zyL|Hhv5W;+$FHVk9Tp`(oxv{R<I7n(dREPApKK z@NxMIXXBYpGePGiSw52}>@qi*EV)J@*>mw6&tndUEcO}fN?<!SGl@s)*q%o=8y_Uy zbkdxh(il^i6Il4}9m_Edlf+F=SXA}=(z}A6w#GX+$!A8sJaEZo<AF5`n7xB{IOa`F z*?GT!v1mbZ8n08z10PPu)fE|49}*fF-Pm6E7;xFTwO@=c5igLBVNqk^Z=8ML@;>J5 zgORnXybngivQKM%oM7=|?d1mfZH%iAW)_I_H7!g~tX-*Hy2$Rz;=QitUt0WS``7&c zf&CAD2(|w9f%*^ff7t&YtTzy@;H+_)-gt1Az#rD?($#vct8F><HNSmeHDjIn!8}k6 zp4PlRK|6v=`(>c)D&?<>`QB>9Dao?Rur0q(`HSbqt;mx3d@TE4gh_D9HO=1@<No@J z-&<sqJ@?j15VAVLxR|%UEzN-MjQN}2|A!gyk5bsQU{AqCr?#V9qIv?Y-A=-4-hKg- zSWXHmyLRvheiA>jzCYhzpP&B(zkGRFX^CycnYR<Vo2!enFCW}Cjrn-*_Jz~h%F@bo zm8FF@w>8#QhVEVw6CDg%%%YtsdUZ;O3D>KWoVSjE7dNDYgft&hlM;ILQJ3YAx%eUT z|Bq+0Kir+pcD~P#@7&qwBjvq3vu18@&!2bfdvx>r>1_A!u;2gF_g=g!|KBn5^2f7( ze?0s4M_#MjkGxjbA9?X&HT$)H)P;WdyX?X4+W9}8Wz=kc&$F*`YJS}@^ZduZpFaGp z`e^p+2eYq#JbU&>-P|8_3v0GlL;O;+y}Yc{_Ks!vxz_6J<m}=HJ3g9Sxpd*&8NP%D zqfLf}<~(msufFB_^wz9hhzfJc?<XvGeZ0Lq*9qQtvftNQTT`rB^I1iP^J0L&h5qxm zO`6l6%RbuuG^b{}zVQ9GyN{Hg{{17*QvUw>sVsZg@BiVrU(=tzP-tItqR_tE)7##E zoBMEgZSse^`wV{6EtQN@+_!V;`)SV~?0#zVBk#V#KIS(UQp<mQ6fS#VH~sUeKPH#7 zPgVBKoNqF*PjQ{V%k+u!lGCJChMY5=*r#~Rne&;7&`KAf{v%I|ERPFJK9Me;79sRf z;*sG=q1!B5G|q~0&(qQV7{J7Pr?)pD_w569>6izN9&@ZWnB0HZ8o}qObN%}DawC?5 zOe_w}%ljCX|M>A^g6s$FcQVS>>G}EZJ4_VMYJ|FY`TOVlP5V&7a!P>l)RU)ARgDdr zdp@@v0i6+~^T{?)i9yB5fKjIGyI)5&I}3-vzin?m?LFYdFzdi>`v-UX<?Vmm-gbmb z<-p0P!+AB8q4(RO#IJT`XRn&Nt&vH&C*e>F$DGYd8$@@UZu@bdna``>+(Qo&$Ky_O zyzlJL=oY$gpj}Ktt>DJ#6UUCMNlb{3lZ=}dEA>)BiN$IG1DoFj2AOYWtqQqZb~QgN z)Sl0n*}|zhr=Z<=i?QKq$?DWdUL9*jPM3z_X{pw~8`)(GHZY#%vQ%(fkojPWe8H=C z@A~Qvv^_fa^0sAJS()*~ZwY5Lj;e=-hc6E)3Mghd^@cI^#fulyPS4<;P&hAc&h^Fa z{QtI{ux#Tv<U6e(i9hi>-}$|d4>q&cM?Wg;Q#@2KQQ#m`JOkstgvf2F&!0W}aa%0b zgn?<oOZEww$NOY+yf2$F87MRz=@d|QnZRM_<RYIY@#}-(7e#e-_nbmc1Hq&g4!bFL zXIp<))6?tAP2bf#n?ud5M<A(%<KYFT(`_5q$Vz{IFdNiryUkP7ep;d2Vq56CfSD4G zE=PiofByFE+p}lSwrz1>^IE--Ig+JQxSC_Tqt3qQX}n3Dk%tfL`;nGxwePlq1SI%H zkDOv)Jm<{OxZmBVbHPNPru}a@1YB$yK2P7RX!oIkc}5~jQT}a)<~yYy5_@^|*tZ=F zV`kg=T3}v*$GPG&YaBVA6{o(4QDWF(VP>{XshCNmp#1o)Teo^dzt1>5QJLNFDa(xX zr*`4Dc*UReDZgmCQ<x#}#NoJrDaXM_OqaJTXyQM!gVBIx#iCi0y4)OXEggTRL0Y2_ zvbO3++Vip#M-T4Zx%Fj2^y$XO$MUzDY%$)v?Tjo^sS}-@oQt*4IjmaL+SE{&Bv<qO zNO8^QH!qG@7vv;a?t5&p@3utW`)isUD$NYfPAD|l7qL0WST--{|HD|JT+i|Cgn@&! zq?(0u-A#`t;{W(6o2(t@Cin@cR|s$6yvCBslG$W@P{L8-ptz&>K{3af3f&L77IY<a zDRhYhWE@I4$>}vo!7^zH$3#V^e@#EWeti4#=|je!4<Daf*SC(nf2V9wVL^UguGNi@ zsCnn6SFLsaeDctuBNnl94oDpTeC+dlvw2zPw=DmBgz45NpUi1{luq}h9NV}^B6sfG zgIozG76*JY3hRz_)jI2%fA(_ZwIrcLJDbfv(gHgS<mbso%yN=ko%(duy7>nBvKe2Q zmWGA|2VK-yp{|}Gd>L}DT_CTNi?frXgT0-taYb2!mA>BY=UW;Ib~UUhoO5>C)ag<c zi$4W1C(oX~i>;-&T+)43|NIp$Dxbd@$S^WCG_X9D5lZVZ^iW)pp&}>3z-~INBjbjO zgNup)U$^q71r9x}Gus##I2;ZLFik1U=vl=qb<DujBHZ5fP|rK}jpeg)UZ3nPn7FU! z-u6ElJGs`&`7s}S_IlZ+saqw|G@}-uwQ?`sFi9=-Q1Rn0YDeVjUPdf`8qxAkW<q7h z@7gtgX3w+jIsZyLxFb8cadFe}*MAQ16h`YDOpon5P(MLnyT3%m+9&sa>pz>Y?x53l zssGnMo|Ji%rK!icbc3|RwPcr~2{oFj{}S6u8pX=xTDW^f+x0IQ-8QIPE7U1sIYY;4 z%{3|3@aRKQU;cc1&~|K3nn05z|J_Toe|a!<+;(8NWc+g5t@YPloU&5fG5zh`xvMxQ zB+2<qt6iZOzkPY=^7Q9HEdMjTD|zeKZKL+crr6%yA1tf!>|S@zJcEw2vO71-na0&O zN!rr(i*kzJ>4qa4+=^YE986ZN&@D2EEV&x?y)<Zrd}V63#m{=B{EISPFUmWnTEA^) z+O_cTU$2iJSbi!-UEvQ=yX+KLy2&NLa8*g(PO*G(TVFd_)eC&rJp7j}?)Vn{tW;TU z%AC0xR;H_^tuDTK_wb6gRo3d_>uMddc+|S*%z8a1pL>$pv&l-~0muD<wrKuvU$p0X z?9|JJB1;?Xw~06|-B5Z?y1bz+QbK3_dIR6v(FcS=^sIK@k<ppG{l&zO8z0HUXlz~U z{;a}oj@ADe&N945XFF|9lR79Fq}V&@oBlI_?FXCM&&c?Gv@!ah#8=oWC*Qiscgl&K z&f>A+@1Iz;HD!G{I=SMh=^@3uBM+v;G#ACEP1tG_#VXTy?3G#Iwi~xr?^_s|d23Uy zL+L?*o$KSyaSL^7e>~N)C(81`jIT*^q-;OkOpPmGYxgq$ARKsN@nU^j*<EYf`@7S_ zauv6x&iX2JS9I0PyeR^<FFAIK-Ql|wyXEKNPUrdy!S7h@HfH8a&(Ud#v1XOvd%Z!m zZtL~^^C$2xwzBW^tGV}ZX<T#t)YDZDoc1res-g2n=+@)JnK^x&S-#uYoo@-;5$0w{ zUQ}YX|8x2kk#^3*dw1?!t@D|=<n+qLg^#@7uaAAMGHu;o#YJnA?fpD|l^iYB>uo;m z(_K(H^)uHJ(}LQmk5^f&3CjyUbIVS;Rz;w8_wBR#%NCqHXWCn4ZXp}>EHG(Pn>&|B z!PmYp`9k@Gs2lRq;tLMf&WtIa>^Xg&&lkffC)duGxf^hF;qt{6dR$GHw#Uj<F*@#y z6`4}!wcy1k*(uS7XT2!0b=}UiBIfFIP2*+xqATjHpRPJ2er09zpB+KDx*EJJKWlu$ zHeKPas(3A$U7@~q-nZU@U$=IxHHcsCx6&xkYmQ*qyCoe*=ScP*Ufg@T_wJ|A4_A!# z<Owb2$S|Js>GD0>SpAdE4Ia!_GmZoaYyDXBy)LTCH*3naruA<`c}1oPGCU|a<HY@W zPVTZrqWAyCYF6w}<9WfR_(f^DkDzGk^)HLw`bmAg{I9_BVcn^}BHKfB@0q0Q&27G1 z)b7ZUFy~C_B^QP8s#_~+&n<A>wqd8!MghqqUTdQHBW`a^-RksjE$jNEE1ye)U7qGd z-T3#ELCaUu_Pxtu<^UE8#))fe8`j+7b@07=+$QvD#G0d`Gn1pvn8yA{Tz&3}`c%Jy zo`ka^&c`%N8m8vlQCPLB^(({v4F^tMzdO0G=j>)4{-Cz&0@~p>W<F+-`0~&Hr=P_M z(V1&xEnWuCOnu#OI=R#)BF^weoM!Iib_G9|NjJQ`r~RDOe_Q3qWa-$0?O%($RJrQ^ zF^W%pc9Cnl_-qc55|`I9H!@T@W?$d5(&BZo%e2`W`qkIy&TQLR`uG@Ezf1QFuHJ|9 zIp&`*nW!nV@VU49w0nu?xAsRqWcnt1y6f<b0>;~`xVOFO$knU~TcY{6bb`uyKiRob z&)-dvd0r;ly#ME4&jrn156>5=W^{0Va^Gp{dM0R*@0qO;&b~|kyt@8taR7_mEj6oh zwGEp^->+>7o%TDb@qz#g!!5Pl)5@o9oYs`1RdDraYnuRzMAR8=&QjgLHlvd(BBz@7 zUS^Qs>f@BSepKA?RbT6>j>`0TI~lK*OEZZGv9%f8kC{-+cf^wY>72HZU2?0<%H}$3 zo%yQa)VWi_E6k(W1Kvj1GL)vj=F$27bl<ycxuw_t|Fde@b<H$3yJYR<UF%-%T370O z*);a`mSxv>t;;rDov(0HT|6zY=F>^``89P0b35`LXxTq|R5|DP$Bg5z7+2{=r!UBJ zvsKt?Jpb#yd#|f^>Q8D-xMX$y=K6KFzsBXi-n(P{?$Y@D*R^}E-!6)O_d4(HweMB& z@1N?;(7l;CaqhLsl+&Ccvv-<oc$#wE`9e0x;>){cy*3v4cD_~doPzm358F9nzn?$c zUtia;XU2j9Z>L=;64|vZyLVk_)OFL?>s!}l?pl^T_u7}p{R<8D>qsW8)O=kO+op9w zIU~w=vTtO2M0VBO*V-J{&a)<0SHHb|fvb|`OxU$8X=>SJ+cqs%t^Tfc{m}cklUpnF z&e(fDJJ0mH_|U(ZDfM<PGIb4B_cqkJtWsRY{%tqM-Ia&g|0VN3<MK_u;Gdnq!}jLk z$tT+#BWpu#wtU>ZuzQ`=yr_FoZ$j6v*Rv@<Hsxx!Orgr<R@ODOL7Vb)60T03`tnnT z)h5TA3Zky{k3@cKnS5TxF4T^B>&)U6K73EFF8X`bJJER0o~!`JtbTE}fC<}b=GLq} z=f2K%PD!ifou~Ziaix4UZy$cqKBH(*Z^6F0IN?T|<ty{p?DYG2$&LF<x9f0EUpce? z?7Yx5ZuPG}7DlZIy1!%ggmrI~Ch1-|XtsYx@#@kyx#Hmk3(p<-d9*cV?dPK1JLYkp zzF%^5m7m1-RCiZCAJ^2orV77}SF>;0dSJp{tEp$@ujqP+9r69axK?siv!2N;_Jo#g z=I1wEtoq2dg6pzYUz%K&W$ET6s@Ga>Yq$$VNIi0Vv7cMQ_a&45x67A3(>WOYa_abb zvlPT+rmkhb`@@B0>Zh%*S3g#B4)<Q^yJ&COqoC>w;mac~RQ2<0tveHV^)dhUt(^Ps znm+!<_hBcuJHPYQ8sC!niZgxEoK~DMzY<mE*!g>+m^>SYuA*Cv_Ic4+#eK5tO3XAe zd+m31E7dQ_HawQDWp=KJ@vGC<+l8|0CVZEfBC~E!?vtlhH7DQAI2xC6H_m6*<vlZ& z{IY)Be&g>f9UZkyhyRkxPivj({=$Ed+tu$&_u_-++#hd>erd*$b(1&vu~pBkE{;q# zzOaWEPu^#ZExOA8N3iz6k7**68m`AgHD|S_&i#GFas3yM`z1mADUshf55!r1J-1H( z`-UfsRX>II%d5ysv0QrO_$%Otebl;HwH~RwdxIYQo}lRw^YPt+^tg@>8Vi%fWi)&q zzvB#gV*lKF|Gk!9zwLf6eC}6g9c-}c_)NW3Rr!^{7F&L1FYw-HI<KNnj>qp`OpI$| zvc3259flfh=NCk5blk|xe$+!&#Qeo;*Xw_}n`Z3yC{HT&>I$fmT^KU0<<TP{ujLWn zqCR(io09r+)-r`l>5+f?wM7nX4A8jdl=wbl{Vbl%%B(z}rskA-9S=CLTz&n9#c30! zZr^)#Yt#3z_?^OO>mM?O=X-pv*^;V!z&t#>lE+=7!1vN6=CdCTO<meQ?d8MMep@DR zb4;v0AywFYzU_a;v+6rZ6HhamB%HR)Qng6_wk`JA<GJ@^vLlXdeqhF7zChy9o?W*$ z`SxT@oO_+qcHWNq-}y=(q!RB(^`H51`{|9neJ8#be%>)*hxIK<R^Hq#F^)4kUT=HX zl=>?qtR(N^`@FYvuilHb^46W27EsN+@%`#z7xViEOCRjLqjzuW>*s%e*sW`Sefal} z*geMgkAE$+owHZdoA;n1U$^c{GgmFv55XFrYLq66Z7Q4iG~<+sTA<H&zbiSBye{o4 zGgo(arFtn@EY<pU=Y&A|MKy=jtFqFBS#-qSG;H8`eTn_mb`3TYjr6jVSt<&XLzE;> zT=FQMG-<}tkSi*l3T#1IKeza~@1AnGc9r|ri*|dx7k|3Ato-|I-`Cl11D92(&kG1l zkQYr=<L6M^*OB$KEWPN;W)0rcDyJS<+Y5*|YOpL6><aMc)v;K`Av@tfewCV=%ZnQI zO^;qq5^22H6F2+9R`%NL3D<9ImAk?<`_w;^iCH%cTDgVp#rD_zJU?s7U!^bZ3jczh z?)uNM<H?zoEeW+ZYq%NXUza7U75V$Z<HEuPlaK%LNp<0z`88PSn(a-lpD*|Yzo|Pe zf3?$t^ObGlQN`k@%Pwt3XDan|CVe_--%!w_=F9d)XLa}^m71cRk}_8Aorg@jN+mDs ziYnS{#+$9Ad}VRqgRjfdvr>4@Wv;O@f4W6;rLsd(eT?qH8!?V|W<I$RAoFZvhT@IQ zs!>9^o%=%KSGixBcwzDvudOnj{}x@^y>x%{*WKnBvqE#ONT2dCQqnc$K5$wl?r^Bk zc0)eZe(g)<{9jxSWQAM#@6$^tIo5LM>N*qOsej)qA8Ovy8y&cJ$?l}&O7q?WPWggU zuWr{^qx+!t&WYyc=EZYtuH0e%I=#EVHB0b|{1n|wxu^E*JL=Ej_|<P(#J<DwP3E-@ zE(|A&H|ZQ?FOB$UmiT(r&XbKN4R+qMY`LrD8+)p6MuElw^_)u$sk$<9=4(5|mi0Aw zD$N(wYM0JUuB&+G>#dY(!OOiN<rQ;AXsSY0z)bzgpA?L?N-@Z8l{66A{rSX_8VA2n zHit6pWwojCUoN@uSzCrSUf0c2@!02QI`_J+(4=dY%m=sL4VmRtovHcqrV@YL(~|+m zWBD?V1lxwoDJvDsUF7#k;I>#?ujwZ_&*cl=znHEk@nEL!`*Rs@PHg9i`2R0=LD0@q zHa*?TR-3j2<z=o+>vP#S-H6%QS9<S<=~~>`3o4kuIoT{LWvdd9`oD6vNa5qEPv77B zEwev9x&C~~B>BW;uO9~6P2bjCmiBdjQEv&;{cS%oB+r?<&xnnkxx>%lE8}*#4R047 z3D0$0RB56RwyU}R0msru9p36@PjuR*xvXry^k@3IB`+pkcw;ZNY!kazvHk8zoBF#l z3WC^=XjQyv@7nN5Pqh21t(M63Z2MB)um=Z<JDYz!Wo|w@WA)5UEBA44Eq1#(E$y1t z)7(8DQ}@eG+gxbq;xeZ)aYJl);H!rf%Wjnz3#Ldd;d5)|R+$^=X*W4X<=KoBZNqj& zlbN+XlN=|Uu3wmNWWMM5NP{c?>z-Q5`Oa|UF`LKfeE7nT7kl66)=Mx6=`E^m*|s?M zR|{*8f#GALz~|XluWosNCiIcCi?VUMqDg0^&!&lQPEL?)vbZOmqV~)8*OQisyj)?4 zNfL!Kel&byJtcl+ud1F?>U;YWt}@-*Yi|@@|HLlSwMwtAaGGO6z~UoauKx08RG;u} z{B$FFYqdpS`xNmR&Wrvv^a{P=d^6!huV?2g?$iTIntruC(OlKC;>7o5`(?wD!R~Bc zsI6UCZ|PHW)I73rM!QMy%_L!^SrWF#&v6vIWi{=2*>+~z8lim)4TWc&T4mM0chxKQ z$zKD$DjKU?k)NTwa?gZbp*vD50_+|6q@q^DJJ`Kq(w<@A!=qgmFY?#)xWRpc{Q~P4 zZ@367c8bwY(6aGybH4VZO5lRv%J}9EhC?+`&6x(*)Z7(CXSsg7I!*6!`^l`i)@qXe z!f~_byz3L*)#md5@ezr<jSQ0oGQ55qR*`gByiCQ##rVGEqLp!0KM%%Vf4y$UBJSyF zoQ(!?hW)?p*!20z&RDfFbIHRi4Bf%2j9*Wi)}I~pMe@{1)$Yrt9WO$q4LP6v;>ZZA zKUFrdYLlB+_?&zH&NuT$F(~vMnQyRkgJ}Gpg%@g=?^GY^73mk^y__%aIfLV|@y(Cf zrc=N9%~Yyu&QK{catStS_mtAK<}q^SXt!@pahbnxUW~z}#sgeFJi_gw@&WOCemLE! z-u(YVkCbTT(yt#52Ne_s6g-z%{kir=>hz*;nUjBAJvH2gm!D9+v8Y8<Dnq&XmCd(x zyR2<4I-la6F)<|m08i&D=4T!cjxBNe$|{th;Z)1^GeEdQ)Jgu2{<XjUlX=<Zr{>>! ztD`(4XHiz9%?j^TPkzTQH{eKKWP04?dx)uki0YNM^I77TKUgfoVLxr%lN({G`7+&~ z-K`sEEKAyO;H!6bi;ds*Aja78{{MxyJU;F<-g+<SZT9DjkzZ;~zGahf(aBcG^<L`x zXM3{5&jh`jjQ^wqI*!-(#QaO2vSR%@Zl6~7#Y<P+jniGDS#!$wk*=bZagMX{i^tEp zlqMQYKetXNx6k*$6rrdP6MhSi3Z?Y&UHc4M5_USy|DW2+e_->RqxXW=rY^a2XI0?U zAL~^wD+Wl0-~SQ2d6E3rPg2LGK46>1RpjUA@aD9Z;M2no|9)QQ`t&H{;?!K88ONtj zeD0`L)RcCvyr-LWe#Gf})iDafmPtYzD<*z&sIfcdmM~*Y-NhfaTUg~pKh8KYwb)np z(=4X0Pr32U3*zRy$ZU&Aw`eu0kkGm=$+wVOuI*IGG~*Xd(;Yu=OR;DQGJUahN&VE# zUQc>vs8p;?&VIbitA_c+1wV5MOWuVMXBj4?%y3;kJ)ArH7)$yMBjzphp3HfXxvWYu z!tMD?!_e&s>-$ufsZ?wI`64V=sZzvS7TXt+9bcO|dCmRAfKBU8N<T^qjFCAPA92D^ z_%w&T)S6$D0(UDsF?vzl@K^9e;M^~BKKmc_|K=4fziqK)wF%E$8OQW%j>}9ZShOse z9Ty%icIIQanlsby&urNO^ArB+Id`V|=5ajN_7Ad+ZmD@@tz@CuQ6^fmafw9hCd+1} z&%gD%()%Qfo+n91bgig*%yBMciNe1#DmNF+IR3b0QGcva=>;b)lgaB`10(kSU%>4) zQ{&&S^q|l#-W&X{Hmu;TI`t>`v2&f#ZT|bK&sg}ose3PwELJGy_TY2m``6MUxWCOR z<>U#r>`!Wi@t-+gzmu31alK%2LFANt#z`U;R{M1owweC4U%pdIq)^1|qw(CZi4Xo3 z>jlWVREDhBq;z?=!ikW!B@cog9X<6z>;L=>7mEM?*)fSdz?+#xgn@y9gP}cTYm~Fz z#v^@!j0^>Vj0`*soD7MjDVg~JMfu5k6?vf{tPITa*XU+2FgyI&ACXfLW@zE+q@bW+ z1lnw^prD{&o|<TIJL(DBUvZ9I^Jjc%E_rv)_5a@|s~3tL_px3xC+yC<$b#vT-*`)Y zoVWerv+DB)!M*Ztlh?U6J23uTdu7i3{eoM|J{Rix%@^+ClsfWQ#pjT9E8p|Qf)}nu zPqbvbP+wTL;=k!qTY;y~jbBaqotSD`xZ>%jBWJnwk8Mj>>y*Csk?YB_qoGsWvY*M8 zhK4@+$-!tQ_IcZ_l8pzlt<yJU&9_;Wq5Ie2XhZsXuaubPVy>j0+qobAj?#QPZ~Mgt z#~hpAZIA9gKPRz@dF!6$MH;ugH@JW7JbHDO(7O_$1N8?rJr8HAY6Ul{$Mp7xJ-Pgj z^;f8s;hn&0mk;N;BZAxe4UcR;(bZST+WWC$OPqYv{F<9v0~Wk8Q=9nNTkT1-S<1a> zcATX#x85AMlr#Ce*52>yYkHpFR+l~(5Ijw0`=j_T0mnI3s^!OS;o9)>OvJO-Ys9(B z&*?g9u=~ds&i#E|d6i=xd)l#;t7c33CtSb5vA)}2*Z+Im7ju{VxYGAlYBgKQvkS|( z_B&g@G!{5$`Z0Cw?X^L-8V`%F<az(3YoYKXF2;rTCog52Zn*ZVMppT*zYFrGH>ny~ zH?<#(o+u&ZACT*1;eSqg;v<%A2UncWOG>s(-u;;)sZ`wOef;c)p<nhrIWk+#=!3Sv zuDOCb!Vz*Wv)gM}_jsP1-lCH-!QixB_kEM~l`)&=KK^)T-l^YNI|MhMw9;L1O?bZ4 zpD59}!k)7iR>-@re$@0!$kRk#^-z(Nq|1v-zx|R!^2*m;&%L@K@cR)xUZy7g#-Crx z48^TV?wxiMf3Ia2e^xa){aLkOV&up576y~lyA0CrnO1VO?i7*dJX6at^Sh$dVJSuV zUDw#TySAT*=rSrRx9&~s=gYo*@ALodlY2f}NNR@~+AA>MRXJ`Ta#(EZB)#=5{PP2! zH1BO`TvK=PLF@9r6L0!VOgUv}C#Y}j8R@5-Tz@xSH*-PXmHnFj<?p2qd90LJ_+rB5 z{+gmy2Rk|@I!wCGXYg^o%#o!xW2DS{BMo-Wc)k7EnSb9`_WU)?NqD35-F4b|z2mWF zQ^Z<hZ0)b`*{=DM6)*C0^Rb)38y1Qkn)6}jyPjtzTjO&y<F4;;|2SW|<JzK?Q>CiE z+;vHOXqqF&77|k@TN?iH<mrq!%RZIG0ge;Qn&y1Gy&#(Xf&Q%MTfu)Gt4-P5zaV=x z&%<vM#dH|-4F3I(kO(k)miRLC?8!R!Pkyh8oOfDo5KPaR7$_jM(p&WMMvkd6m3*m^ zPj^3=cjeR{b+^O+j@)|CKWXLe%P-|#xrZ(=FK_mla^;WFjtL2|a<la_lU;i61?jmb zO*1%lS^t*$A$kAxz8kwwJP>fGm6uL6?h3UksuBBD*7@?g!D_jb^|jkpubuu<ZrY@U z&W->1wJ!c}VO(eusmA_9GpO}%X~fDSZHpP6?0R`n@oK!{2Q~d$^TlTr7_R2-7oOen zn6>H&!-1d7%^qt+>aW`hzt`&j*DV(3xl(l3daduF>D!LxzG~5Hv^QFQCf&@V=Kq>m zO*7XW<2bRYtZGK<6Pc`edcE_l7H_*eX`#iRoo~a7BNu01(fzfnIdSFMO_g^Q(zh{( z?>~NmnZaeAk}11T*Pg6%+glfNX8+!Gusg%gB2K{C&-t+AW41Dz)57`-y7%sQ`jmHR zm#@#q|4ynkiyMvZ9Y1?1@lMWsGaKb-#k?1vzaN^Ik)ypV`o@<1f10_(CrPckno~Gg z_E4zUN+Z^wxpTg1$X36<_wjSEoCU*|*d{4{_BZOk+O|tgdCh&%eZs#LJL<|+>?5K# zJl?ibZC|wSq@v(o$#(Nrl?2;qUM=6F^>EFc4-S{}U8*j8IR39UTEojtr|A9tmn(yJ zKJ#Cs;kk49uhjx6EeuX;z9t)InD}xB%s+o9XZ58_UDGqAxjiB`oEq$tcBXDOdtCS6 zTY$~~3)AjY<iEORrg!*{1;=ywdwRlklSJ!}?%ivdv|o=y=7H6U2{rE`)EG~u%;T!8 z-fXywe?@^{8>{P%W9#Di*!)w9SF?U?d$q81q2l-2Ao~w@n2VW?Z<w-A)BVBkJ}0NG zHH&>*P1WWus+}TZDm=OWf+45qTAfn+%BlW0UdQ%qy}obDluaL>mi)9Z(E2T}{gB0N z*9G(9<d6q(-m<%`R#!jOKa-VMF0LC~(7&3!X?sN5&lkTc+1_)d%@_att@GrKnj97P zPi%#Cf|n*UY+f<x^Ph>z3!g51f8_BMwW~{(1X;{Kra625u6yFe>Yo=adMLWww(*&K zWms}S7u)_FSqoHVC;rH@wpjXV*``Yk3@g7)%I{jF*SxBDs<PmJ;o~cJ@C3Z~T|CWl zQSg<Rs|)@JK01`UC|Z5v?qjbsw!E3iV0mkQ?84RI{oM5*{;z(tPIyb*vg|j7^9`kC zpZxlj&7#4UG|$6BAw|6C&_VC~*T+l?^S+$@bid<X_7j$}|FfMQA8JgF<zE@-@x4o0 zp=X(!6WiUeI0291)%Ej&7fAl(S?VMG$giR$wBPA;=aJPLWxr0`wokHW%3LO2uR9jC zi_`9HoTQbz{qLi%2dqkUD;#Rlo4a+)^ppIK*(ChCmYY*><z<^7gZ+&S?#_?CYEN9C z_x8?Sg$quF=gjXeU`V#P&3P&CZEx(>q|GX!Kb{H3Cmr3)+G*Ik;E38K)9QEXU&2F| z723S{#@&9DC27g$+Y&2mdE7hY_uYN{JM|j>Ie#gsqj&7C8nS2JaP_ZAjoO?3<@~>7 zWwk>u_pESCTjUUJzkSQHddX9@TNelIN!E}F_wu*6&(0~^7#b*<IX_=K{90S~f}er6 zmurN(tzYnZMtYyhlgDdZmcQwm!7R>gcfE_H;}^r7jVD#q7K-ew>(6`<yLSQ0ysa1c zrk-Hea^322`Ph<*Cw19MD>6Se@9B4Bl(cp~b!7FsbGciLeyiMYF6nw>qjB%a^lwe} z&bN0NZe$KQ`dq5cR@GeDT4l<o_fq#W^-h;~M7P&HTa>-b)M&@)n!D2$O;P-@k*D|j zdif<QUS$;KmC3gRDIfTB{KC}V;r!-Np^@z2)nUAr8DE+DEqnhveBe_57{sqN!&<0g zuFB`r3odV-^{ROGkpd>w*gvM51nj@^FLp1vA=dxmN;~t?qqdD6Zt-sZXSv*>u6g|A zSo7}wjO@~zbJJwHqwc5rU(d|s_qZ>)vBs--M;qJTdU-YVN!p6j<<#ysZj?#9|DrQr zJ~nI8f7W@?DN!76?bb<`-%EdVtV|(sGi%#(W!+y}uY0YybIRWQ%KTuxeP7ow#%L~1 z<h$E3BS0oI?6`Gp*RpMPnKEyeeik~LwOOR=$Yi}I{$H7n<_F*0_2bN^NyVNq+){CO z{I)D>nCNojPV$`Q{k5AOh0Wb!(!EyQ@YN*~H_bgpzgZu6-DQn*P09`RTylDAFUu*8 zNGJ72XJs}2Y(8e)RQP7z`o=oh)9I`EHYjOtJ~T}vX^KH@arMDnOvOuMEn^+meG@i4 zcK)aDyqKif?pg;N$|OFg8f_0*ufx9YRKlSz>oysjv5YQfQ2C$J5?SB%@%>tN52>$L zvqHjZq&3#uPLi1TY3eG@(wfE#(hI&lxXzr+)h7NePPzTp+v|Vqw6iBY)SaGR)nn_w zu6%i=#pRtEBDdP=)u&E(H~-IHF;9zGQfcbJ+GY#3H&c6S?B{)1;CbAzIn)36o`Vd! z%k%h`F<eM%k2EM1iwHieS7l!$$0EP2VqM0MH(jQ?TRk=J+{tlxu%=WuhWF|6gPWCh zn3$dmUv&SXM@RFdmwQU~F|P08@ckZD`+vgSg^%}V8AO}^Jif-rJjeY?%}sHk#%d3q z{SORfV;<PM@p!+P6lnkD*Hafh^GgknD&1H#F6=rl`t4uc=6|c=>umyD<;+srRK(W5 zdvV=d_wMFh!8+abSC_n!+&nAxi`vh=>A^`8c8A8YJ^FuG?*DvE2Z`I=6WW7s?sKkX zmo?ce6w}cxukG|XWtL>@_Je!;@AhAhWlSozQjkyIo=|70*)(}uj-vE`-#0J!hVQ+d zq#VEJ^@5M~$|_DQikhOl4%4Hqx>-7^>FlsiU+O>W!_`@3XJvMsX}^1W2Y2CxzqXah zc^79DPkk1<^w9L~p60d7fAoF7&+s&O`{^tL!$P(Ld*^BQ?Mk>(`eb{Ngkp*P9`^v5 za@YLg<EPB0F1IiYN?#Om{uZa-y|~2^?2fMrViq{8RJ(g+%dv>1>qA*f)-aw=+0=A> zc_A0uY-PzmH5)uEBq#5=J%7cHiolg_XFmw6b)Fh<B_MU}ZU^;NcHvn}qO08|Og_Mn z`L{Fpit~<O?(K=If^@IF;5u_;b=Ml^$(*}%#EvhySGVrtg#52(#R4WBD$G}Sb~gA} zpxPOpTayc7ua!QU%#~rMy8We3rQF2LH$7VyPMEvUvEU|4gVOu<eQ)0Oge5GBH$KS` z#o}vg_U2Q<iF^jmV4kI0U%%k<id{R+Ma7xl<m-E32j0IQ&pe;h_(WtkGxOwibr;X3 zKk%ILW-`BzrPYf$PcL~{9$G3ZDk-q`>f{C6uU$1ME(nWw_{fJx;RxgIcF~Obun(pi zLM{i1{@!!KI8Pz)##9e;|Frk2%Nj%1E4>lgz;#IHv8blyLl=X43tNw8_3%n{FF#<* z{BP3w=dvd)UX?Cgrpa}m^LQ%PcA<Us8&VfLpDuOWqBB81o%_n=|0YwqX0JNXVjQe< z#PxHTxyrTcU0P0^_do8D__aE>#^<)J+{=jdzCRQ@_Su|!e$TvrLFb*qQkAzMe0%05 zwz{&heB>5w(L6bMfzqT6yvl61Hixuqcs#M=>El?z-uR-GRkgqFY&vSWYg^8J57&JH zS9C<4uyfissN85uo}?md#{K`rq|VpM1?Qp`&i$T!!zE+yoHLuMpVYl;uII|U`mWc> zSG!-g`)Bt@_w21)^YbD)HB4&Qf){S9zO{rQw<1&fo>owYL)@-_1@E7A`~3`9lYIQ3 zaPk~!=QF}=H?Lpb`T4*^E)~U%r?>>XonJ<M>vJzx<>g5*>d+CsRoMOLACvmxN7t53 zoWJ?YG3k2~3xinF8NWXYzMANKa?{-Dvn{S)-+in3MAW1=n?25jG&L&;P0_#3c|E|n z(vjy`N8jvoXZ@U(S8zVw*X7~lKkY3S|23AH3#(X*-c7nzIREsC)0^K|${b?r)XGsQ z7tyL-!~d`O8>gdF^C#ow*OWMK#w-7?c+2+pXM^@XP3GIoGm7^4=Kj9?e_hBH|EP13 z{IxH&uU1}Hd#Zc-!F(B=({YDia&VqBKf%5`ph9}`s;%-a?H>&~`YkK!9?3u5VDvJ( zY);#`y^D7wJ^H)gWsrc=8lOj}ul%<@UD+YJ`xSfZiBIb0PfxBs`fcx&V};U*KB4C) z@OI>gy^-wu&wM0f@?rkEXIm{Vy=HjTrD#xi?0L>Qvk-^E=^uCR*cH9X(a?&!BI0@5 zm&vovF>hYJt9hdKiRSjWj^;<MJ?|{mm_5;-R<m=TMZmedpkCknU@>XCuXER$&*?o| z=@f8W?}%AU?tH6B8gCbyMww^apT70WV#^o%Bu@lPcKv1YZHHKd_Om~8-oDDx>nvRN zQ-5w^vwcX@28P$ykJcZv%sVZ2@wA#2lc#c-LeElxZ`1Q43T|Dly0<^d%BSstuBG0j zmAU0}pBjCfwmEv*A$JwWu-}Epr*Pfmm+OB1s(^3h%(;qhVyk|~*d#wZ>hHg2d6QVm zN!x$3i$Cu%VX2GrayTz}y1(aaRMGy1PkQ%6)=YceW3^!4id~Wlv-f^jQ*zt=*qdcL z3}-l=whGF!Z(6_O$|*r9t`~vn?8!k~7p|&KlQm#wnR;!{!{UNqRo^Y|Di3^TnZ96y z<)+!s)oxllG-*D_@t69uMCNPdg8)J8rgr10H|IZg?zVV6yIy+r!^fW6{mUk{#Ks!h zo{ZfZ+vvJ~_KhVO^RG_X7Li(f>Et3!v&%0o&M4azvFyN(CAzuGIPWj0{^gK#=Vr-@ z0!yAQ?}rvex9W|K*%VK^6*_<Zo@KKmm!Hacv`%78OoyZ;zmWEvi&MBI7R<<7C&L$h zweFCOHn;K3b2CN!U+M8b3OH4M`tHMLXRKO<L=234UtQe!$VA6x*8<C&ZF|{|>2*)Z zoW8y9>8+{v53|>B=W@1sD1QntIP2>tb5$kcsgGBWg00$t^oAGi#vE5vti88;=o!1N ze03zwLrK^?GXCK6KRemNO*9K<EV3=E4xV~QIbO9=&-uvlc&5(g&0XscaBP2?B;(V! zlue>+vA&J@@x=?)Tj_g>%w#n!sk^6gHlto5UwUEk#8vf-+59g18$B-u{9-+7b|h$j zeDU}HrzBRY$=BV;RCi?Yo3VV?uj+7vHig6@)-88+!!i_}rdfCe%H6-Aon2}%)$Yu9 zMVs`-+<-F;Kfm#EUXWiEF>&%lJ?8sX#~tgYFBa4n@N}~@v^x5At=zMvJ(K3oI6ql! z>&kn&Q<k2O+%&&h(4_5qTlsy9+vkg#IuZ?Deq6S9PjHBM>f1XlA=6GCkM;d-<X`#b zR#X7%dD&~>PZzAaw11+)rNaV7W^vj=z6&>TP1x|Jy!rg<OWzawOkG#APv%z5OsV+F zvv1<x>WD4XnXf)P{;F(xuDn`a@I%0#raP9$brgaY@%dWa)fO%C;=E>Ur)T(P0_W)} zA@xPR-)~g>5K%t<w&2`($1P{xwDfcwv`9<lVN98%%5&$(*Q(5<0-^7r|L>eAoM<Ch zlDsxiF5`xcZ&O&t>g!+KUp;iF`y(d&p<e6Tig`0^7X>PrTwZ&!J6-UF>+bC~c3hR8 z)R#<FYU7wM$0$>H@X)ze{Qu9L**$kl_lg^u>irwy^LV~LKK@f~&7Ee$R6*0L?Ee`& zyn?S;&p32HIBK^#Z+7*L<uhwaH=h?-=A+qhdUfvlUa{^Me~WH#WoxrNSe^ak@^#6O zqb#|7k=^H8D<a-@oD45qw&!@D+I&Zzy1ng(Y}a^n8?;X=T9@T^W&4Lkd)SK4ws_B( z8!sR^-}JXn!IfLVo1Skkn*E;1P2-+d*WbSE^DMItTE~9gdSp-e*>LXU@;33A>leO~ zn^46z@#wa!8QPCh&mEmD^Xp_>Rpi;yMOhLzFXr0*v0l#nS1RQ2f-~!%itjQ$edoc| zTg-Fhd43-kc-FUY*;QU2qyL9k_b{v2R5@$<ZvOMecgyxDy_ah=0&BI(Ekv?3CLTXs z`Doo?jb~=}FF#*%@^XpXk(<mLI;KsC$kpyJ%hmYsOSI*xW_5wf*~f9Ik-{I=g{C|b z_?mh6)&esl84F=yxrs|74p^%E;NJHsZXKUwg2i@|((<wy=Xum_%2a)Rv6*ASyMI0! z@7xM^iX0W#yxO;ChSI^Ut7f$C%A9adpw7v+C*j-;>u8NbQon6q8rLcPUAH^xeEp9E z`(2lkyLQxkv2*NB>+zBg6O!d`tX}2XG3COZhTSg(LmaEB68I}w_O701v*7NXWc_Jt zT~em9iB55xq4Hx+Xq{@1^o?AfUX9C|FHTI<KNCA$f7hIe6`J=}`sQYZ{oMFLXwSUM zSM+~M|CeH)KZlWzyUUa_Z%^GH`|amCGpg@DbLzbz^(4n3^QG#m?&#(EB9pC_@>w-j z2!=N@tADs@ZQg1dmvxSf;mN$?F86<#T>Q4l`b^92+d`E)-nACqzs<GN$@;bL4EYo% zW#2NDn1#>R`bElc7CpbTv}CQ}#aS0lNOPv1QC{ACQ9w7h)Gd5!^|_Y1TeWQBpOP!} z*so8CXk|Kh^MbJG?A>A&t@6!(`OVD^2;SZr&-_8T>D#R=pX0W+Y#+8IvD>cwc+{m{ zWZ%7=CwPr+sY|jhdnB=rF?f-BOT_bE9EumG%|G$2H!fZK8%yZ(c_+2x4c1>5RA>|3 zc3vWoGwBq|ZhbSYStop#22Vd5kmVkKT42?mlOEQu`??M<Je4CIbKan|Wd8eR52JUf z+#RXWe>oTLkl8<TU3TC4%zv@YfjjC_Gz9ma3%$$sf^BBZVqP0JUz@HauUH=~+MBju zLR~oTw@VtLht}@5oH=FE+NH<d%WS%PFYDd3hp8F`T4#KB&wd}hzqnKV9NP{9_7%aK z)D_NpC;LeqUp7(sbyZfd=nFnRzGVwq9{t$=;Ilh#%;)3G@p2zF)$dI?Uie*1y!Cnh zhei2ijF%sDory@Aap6$RzNIe(S=&mVE&3Mb<G236-rl?qy|2<N<nNRoF`O{rN9N7@ zAv{*~S2G;vg{{4~!`#i;AX@gz+w(^rO4Wavp}3JTV4up_gPRX7%2nLTm6+`^ck%y% zOEH^%JM1bhGdS&V_0vJt%lEdY+<6c*?`VzW?LRj04%Y-Z7lrxVY{)5F+|Q-4>HqFE zZF!9Iu8ThweUK-9q_kbo;mH=e^$f<hpOn~nEsGM!m>zPyqW+#>J8RwtskT+y`*&@b zCy;&b6Pp{`vxuy2!*%U#Z;d~%7L>aE&`Thl=h=powhGhD-RYNaJlhnvzE!Z?gWVu& ze?o)BUXgvu{u>_O4O;e#rD>TWcb9s1N?*;N6{-J)`J;5!{&u-u_>nJ!m5b$<S=4TG zxxHNadiAV^er(ncS8|*^C@^<EgTv}S?D9v~_w(l|p2}Le@uOW!PrUKXp!TPKYZQF9 z?pNo#tRcl6u4*Q%bn)2jbG4B(cgL%-_Z?pUpJS54Qr^uid>ZoSlQMptzk5V4Ot8tE zXTr7VYovE=n#`E)8|W6UA#P~otTpjni)_r%Q<|j((WhLz^qth_+^v+-X=Yj<XR%S@ z((CJ0=X<t$o_H4L<DF2k=E~J$iGfe|iLH~^&OFcPa`sv~rcIR_{cJu2m930Dos{pA zYc<zKKDkwB@rwL&iZW;4&U<p*@|rofT(N`8sh4?kW(NLus6P6xN4on)XxXOUZDp*U zb6;dUnyL}^&sn79@OQOGoa-y2*X7(1oc^UU*mg=M;|K1}6NkU7dmU__7m~KNP}(@| zj?%sm+eEW@eF04s-3>CG>rb5h=DPLA`{Nx8#S`CcjTe7**|6lpgg9xlM9*is`xneD z40*hyyhYkd=(}EnwngQ`lft>Z+soezT2)o~zAJ4@csxn_Q|G#$&GYgfO<rP_c>c$o zPxo&&9L(m8o?hC&Cpw#R5u1Q~)n`eYWg+E@Vhp`ninhonUT$&;-SGH<Sfk8=A2Hf% zC)`<~7k}Y;{Z5&PX_IPW#S+$h`8L<*k;xL9;Eq45mah_&GM~9P{G^qZ+`->%GvcL9 z%=s$LpIBz}G49*yEspXw5nN%N8!S$RDmYHw9xd^h@8#`={26L<EdAzfebh7AVfULI z=cjGn#bJ3)T&mf2?IX`iO*W=K;uqvBp8enYOvQWkzIS)BqTbK&Quo+&IAF=sgkN@< z&rL(OH0@p97L+Gibl<IF_VVEJCkK?|ZCyqGM>4Ku;o0&a!1K;;gF3Iidh3cMPqI`5 zUw=55*UjF(Y~rWOmp-0kj?F*Wb+Id6NGtDW`^13iOOY4)&YHQot}jc!Ywa>yGejeK z(sidiu4GHaeRB(9;?!of8D7!+`p5asw(vQtXV+I+zEoh!*l0a#(*+^Mok}UM5>CfY zJidKt@iN_;I(FT{(?2!sGj~7cZuQ1TXz$i9J40?-cd{EiPnyOpX!=)Yl|isqnPl<2 z3%T>A8On>)d;g!fwPm6)$D}z=h0`VGoOC|VvF3pg&%7<$IQ=W<oLw}DRn6|?#Xu&f zx$oW-#5)Fmb7a3bb<2e1ddByZWM^CE<!=ALp#NGX)aqNfheAuWe6VHa+>L)~o=HhI zZ;=vP6{xm6&go>wZsz-~-x4==nJQRpW1o2D!O0KN#Wz-8ns_dAmu#kuw@?0$ww3BR zG6mx1hhnRgHcVBls|~g>j^g2AoBKz*dC3c(7t2jAOY^2~yQL!E>hP%aQ0w+sc3UU5 zxqk2Jnr$A>oyKgf_jv)+ld^|PZ@jjjk=yzDz<%2Tnc)0(t>&8ruX;?JOYS;6JF?65 z;Ah<}mIq=h|0o+qd7lhx{kC<&`==}Pj18t~XsWHPx*nq@<$sjbeb%#?(^I>2UpzUd zy03C}*HqT$57n+Ein(&0e`xwV(Py2F-rLyXLkc{?56#|9Eaom0@7T*UgX2?C)|cn{ zfA`7S`>oNKbU=HR|B@@BzZ;`$R|+-pAMA)}{n^cbdFe-E&!FN93nOczB$gab_#(0; z|I+t|h8vRRUE!Vm>C3I@d+aqgS8VhM>UW#p^oKvKN;Tc2W&PS0`3$E8^cUON>7??N zYwT+bkV{^ESC=hG^b60ApN6^|x1^)Q(^-5{=R4f}bI9;m;e?yT`{l3k*Dbewo@IRd zPSo{~E$hvL%avFj?>-!7>$7WPnRrj-vz~989^Kv?Khb~Zp6fCDFU`tZ+0Aur&iuFj zQ@B}=$X|T9LBDcBgxCIcm2Y}-CttT&70#b<)gtZA!we>t69+0p3Kfi%*fp&-fBqdN z6LmPFadsl7__1Doo=bOgQeJ=6X=Xkz`b@*_>^J+ejslCr`(JV;Stow}z`fCJ?fI+6 zzi-o$JF~8;l~+;hwaWRU{K3a>>SQV1IIAk3{Xj?FfA+K~VXUHmSCu;RaXq~)BxI@e zuujbVs#;&u!T(LgJ7kO6=bhiP{`T7RW%e3%JzMUZtS$>W6w=-E-uml)`NzJq?t1dH zZ4FZsm8_enD?i6%zG)%Djq5MV>Q1@Tcl_L{bw|3_W&!_<Whu<<ljG++Skte<r>dgH zCuGa~huhIR#`ud{$L4+FZ*6U++g(#VTqhFpUh7M$*oGvv#hHa6OHc3j+gtLVg`s)P z?ORcYzHL_h-rb$J=g1TOE8pzCbXKUn>}`C<oGqWEBHwrXs^^7}X70N|mrYD|DkYij zX6SvEa+=XA-G1fEcXJc2zTP<Zpj?IWS+A5uYs-Q{Z0<D$JfFnwy+QK8n;q9aPmY;g zRFVCDevoklALGA^R>$*}ExpP-`<TexJN(7=M=tnAct!|6Sad{TH;;c~;d*BgKJEWf zXUv}UeDwd!ylr=RWYZ(g^BZda-GA|+>Oud@T2Bq#yA=w?lJolF<bKL#ww*a{*5>ZY zmRo95_PxCS@PU`sEuB2u7YpsmOU#LPf7;-4D9yX#hG5SU<_5FiAHPpJHLT_jvT3i^ z;r=x}$9=+e#YBe1j8*)x&;O?`KO^nVWM1Cs!OCC7T+{06kf?K#>l!cT;$ttxru8kG zkZa--wP=aJF7w4AHLWW@vUuLUyt#PQlM^yxZVZjL+`OLMR7*)V|KXeUK#=>5%zDf9 z9P8#!6Y1PuwJxh<V@%;eQAXJp`Ac8aHhZ0%xH>?1=f;5BY?D84O+VQnU@8^#)aZEQ z)N3>S+U0%~W+h+F+1lfOp>f??<5<I2izX?WZe}m(y?(XH_Ri$$HESbwROotKIL*3S zZ)<L`vT?LV_}<u(1Iei^F+W2NyIComUGcKbI;@!^f9LBhXLoOX;WFFbmQ_n%(c8TG zVxq*F-<B^mC8dAVE&L~7C*AwJH}mDMXIU|OuT`)uJ!6(s<5bJ_=wy_&TKA_{r{nIi zvu|^$nj!Gs++yQ4lLsx+AF|YSrafGDWa3tBw#0k?eD}Ak*!kD{Y<>BypYuL=s0nbK zIKkdETX}1j$vxH6Yl|MfnHfLj=JgL&a>qVpa(GTJ^WfSM;JGuG-6%e=)#cn2%ZLB7 zuFoo5bzdOxVRFpEiF^9bPtduv_5UZYsHM$k-p*K`e5C$t>W=4A8Ej<#+RjUQ!1y5f zq~}YkRDIs&?iH(h-%Jg9EN`=lf#Xk~W6#fi_1h&sAL@LL|D0;CRV=PBbD?$WG;#G= zxwqy#6yNjglzQ)H51F2`(g`R1OYdEu<Qd!kf5)u~U*iat$*cAiE-087_W0<HkhVji zkvG?z-r4M*Q*>Q!v-<sLV^@BUw8gri3y*X;@cjR~RLFeluDc7<4c@!YUldSsc<t-? zd-^pe{Ry?Z{^C*K)p>$5+yi-w-{rDC-#ztHqTkemhvylu-!9@Vu>Rz4k6YmjYOd6N z&f}YS;oFZj9E*OiY?yL=_JY@M_7?b`yF0bZBB|3OVS!!tl6ik-f4h1iJTaL~dy?A$ zxv46zW2P@>R1TXxC3^j|yi;X=wWj<tuX^28t?=sdk~=a<(T5&ni7_dhVCuN}aH;j& z`!6{x>umql8Fsm8hl}}JZezOTH_3%7dv{4dz=9`Dxz~fYEBu}DSSoz$nv<{ptm5T+ zcV4!C$yt*>&;L%|DC_bv+(jVv+n!WmhR6h^XA>Nmq=GsUW?8CfWquDbUof@B;ouUV z<j2z&E~{MsMq|c#?jJ3`#f-Wh3TA%PI^cBes(s@Y&IU2w4IdL*PtRyu{;EdjeUL}V zrAdOUdat5B#N7&$5%Kl(>G^uTPUKLf`R|pR_*J)G`_FlG!%}62<Xrc)uWO_ZZq`}I zvM)6@X+8S`(Nm=>f-DjqEevZDykZ*vEn(v4)V{k`E`i(&d5>6q);i1}AnJEss*>l6 z*+0$6mA8DRIE3f$9davLt7qVTX`_XJ;^&Sz{SyS<Ub6kdeJJ7%_j1jhDQ#O4S{wM5 zc1CdNKaFa=-+AEt@y30&nm$*p^%mckyz}yxe(k=ax2MiMu)?)7wE9OntNiaRPA@M# zFfPus(e&syzxz*9;TF@YlbNml51aWlANc4mDed0Ob$*}QicIB5PY%bkvqd)N@BF#< z{;m9X4>n)C{@G2bNB+pHn91qOKbT0Z%xrPeU*<md^>ZD`X>Vk>t5&z~pJc+ejrGpM zqGe57fluZpP20KPd=^J8XUwIAC$}*zFu!s8@#z^?)=fL`?C+~nHiomlFG$$Bcgmtk zVI_<!_ZPAW$y^Zq^R0?AJ90<K3XWGB0u7(!>}+{DJB4qVd9?i$x9yv9x0c+nIrQ_B zevtLW-uo->Io=lM4$1wlH`7x;!1_bcvm=YTTD1Kq#pF%d{KkKsZuR9EAuF@{PyG6S z^#boNlUX+peVQKgOE4=&@OWPOo9-2Yzg)sTS6*ZPWBOoe#3{X>Ds4-)o$7jee4X>T zdF%RKUkk93=kVK_wB4R3YL42er*1k5)vVu}e=W^_y0t~M?7;)KkTW9gd<~p6cUR2a z{(PN&MEwo116S8NxjYTcWlRfHey}o2b<Y{K=KWvxeqwFh7jbPt!mPFF+gx8?vT5P% zPusY4=Z4ayI_J|lbFP|RZugihasBi+(Tt^MKW9g4HaygR{O=r3)!J-^i^>;j;<^J; zmqiLme@UFjxpSQy>(*6bKg6R`f1Y7azn||pL&182WalOCFgezp*JtO>6gOPS-Y?R9 z<@<zvchj;GCx+iGovr4j)bjuFMYrM!3P~3Qdo7RY+|CF*$uF1h?6BQ`ck<jFAMECM z8~usdy>R*ahH2kZ`=xFRU8r?k7COydN`KNmHugs!HIp4vr~S)0p8A?QGJe*)LrLpy zsyutWWcikpZZ`GHf9&8&>HF2TF)q;dQ3qR&irO@X+@d8v@8vz;dhOAJb^cGjnpCj- z7TkTU&PysOZuPvSA5XnDb(~XoluyZa-PZlTMS5drye`*>Uy`oRd+Q>zhgWFz3KLDv zJvy^J`GRHA$|jdxcX78^YpL6A>+N;$dD^z_Df!<OfAwx-xclf`KuL-0XE`;cCnDa@ zH|soz{Z<y8ul!{8k}WB3erjbYube&myJmam!W@q{>;IAo6PV}hO-@&sa_%ypsO_rM z^yL1VihbRGnRo6`IM?uL@BW%PmyH`U7PLP*dAWW;mEx>33ue0i%lW^Z-%Ie?-Fx;g z-%c>w5YB6(wfTkhr9<Umscy@EIqRo=_^4y*VOV*qBxGL5<nRRVv)hkNpJHbydFPF( zq{xz>J?oOEt`@Ooo;FW9-?*dZ?|YxRg}=OvSF>!4PYujj)x>vCZehaC^^TFD%5gap zZf<|^e5Lml<7W=yG2bMA<?hn3y7YwqjK}>-)#e?VzAG7iiQf1)O^I`-q}{yAFY%g( zL^`J*Td%{MpZ<8~+gCv)ifepM-|#*4{$P}S)8p1T6_ZnEO?J2CzWZgxbg3f=nk?*c ztD8=n*!*USSL>^s^x_u3@GpT!ojgj_zl`k9w_E$)J$m8Rsh&j7&INh4p*ANgHGj#j zDzkgNZpz=1jV<p&<T)H)OYE?YU9+7>bItS*tNw4AFmvAzBgq}dKkRw1mZ2s1;@OSn zk)fQEu9xj(kor`Rb8q?k(q8F<XN<ZI^BoiXBK2oFmr&2)2~W>#Zt<J@?fXKXV=NbV z{pO!Hi@SGO(PPmb9fA94`=%S*pMCh{v^(O{nRFTw&hN~h<)mVq^W)@giye)^@kcVg zO#2uv^JJg=hl>X%J<Mq5{y(=nH1PUDC&sC59F^ARH+?;KV{hb^X1DrT%PLkb2|INq zWxC{j<}+oB*FO<ZvVB^7h4tWbpA$b9s<|m}FP*h9dqvSSP3zr#_uHJd<sO>um-y`0 zyE%vSPM&sSOn7*B#h(J6k30u!=C&#{Uf^6`CR_Aq!o6Q_qBh0Vxli*llv7oBYWJ5@ z?b3x;!Jh9vZ>#p-wERa&-PJo2&rbTeiqZX)7TdZe_urXsh0ULqUV6EKZT>!;fK~4m zj#j#zI(ht^#j*DciWSfGD-X)vws`h!ONn6A)nx9yVnUY%gS3MGY(DAOG}%R(k@F3c z;tw&0#jcSCCniX>9k~{C@nFiXdYAQU*I9WjNtwg7CpzzTthceb=al2^r@vm2mVbQl zj(BC=g3pE?@0CllRf8sXu2D}5(&;_xSmd{zad|86yNuVq%O{FjKf3SmRQ}U75&4sy zbLPET;Jba!1Ko36>Yt2!`K90I2h3+tdF8R;=E}!gwqIAd+wy59|CL3eHGEyQo=m3{ z%B%&Z8lRgr>z->=mA=m3On$i+l6#lWI{o!z=Q0iBf^4sVo(;7NYUF&m3r<f8bTQrd z<lOU^Kav;L#XY|~ch|+%_@JM29StK2HIj5Tx_&=*Ab-!c2dz=Jo1!v2Hl8fI$)~^S z=8Vq{1}Yu3XU@DTIL$47_Whs8r8S;!J{>zJe7#m;(wE}LeCo>%>UgzW(+ItF)9-7& z@+Ou~AEaNiP7h_=C{iPC<mhbHcrWJVVUM?--m>#}uD;(l{bQ)t`<?yAYFW$DHp=@g zl=F9zjrg^dBkXNd{`0r-8}4YSZ<Sp$eP!SUCPlWL*+%<#!ydfw$}C%N6DrRfd0A+I zUc6^JtE!s!xl9JXk30rbb@%U)-zyRQwUB4k#myV7Bk%89{^5+hz3GIF8Bf;VJ-vV1 zi@kxapA{Dt_*nh3u)1`%s7AH&P3GGdMh51G7Gy5inrkMT?EAdnMAP={KF+t{=kHvL z{oyB|m@l)jl}}{p%wr!SgMSDXXS>f3aMoYL@yVY5LUFw9g*W}Hw;i9E(P?T}c&f{v zTa7Du@z-^?Lw4Ukp`4cHdSg9{;Ix}N)3`rf>Af4ZLCpA={H8^>EL<L2&rS5$apj10 ze2}eAba1Nfjp|8XkNTgH+$wi*@xfJ}7k=sbyg5#1x=DK80zIL5&l$X~*l$hX^!=UC zzFUWrO*P2>+>`LjviIYqTu->ZV{*P2@m*iBuA@5Ws^Y>}&T8XU_bJtFbAn=C7i4MM zNU1G}OJDM?fl2S1<sC~c^J%eikMGZ)Ww+M3K(%Gcsc<c&NeTLEPqUmq^ZwmNr*Z?M z@HFj+eTM@h4sd-BEf)1S_lvvZzfOR*RiV(IS7$3#wA?P%dR<+8ptP;R_-R_-)o<$* zW|xFUuUyLY)a157X$@oe*XOTzTlu#}esw7Pny*@rB%CRoJF9uZ-%E0dI#va~?p4w| zM2_!Wwp!G(K6+NVe$;#u>7QYb9rJo4u9^7Dgv<0>rnj0@y3R?CkXdrQ{LZC+MVGn1 zPxD_9zv1^)pT1i4aJLg>Tsp${#pRRTMI3VGWjVGc+<3{T7yIJl<5G^jyjNb&RoT?u zThbS}Iq^bBt@i@&+u?#|8uD~3nk<9@G_Htjm_5%Sqsz@E`BXBq%?g9}r8}p-S@P?v ztW9rHT1((@!F?PZJE~qvW>l=_{4qaNwo$h_<?V|vu@Y9^#*)l`J15?L(NuIU&G~Wm zp4)CK9<@H2%y9T(yrD<sp<^NWg%$SY4%;eD{pIV}77`|TzPx_X{_v9$W;0}FKP?Sz zuJhYa^V0G-tE7oxVV|tVOtarvjeILQPq3WaW&OSRsPVlUvP@5sThv%@tK67-=DYN% z&T{=!`zD(X%PB4v3d;MnH-sr%S~DqX$<mmJc8gsab+KFOkH38x)_thX+2D8}o9ON% zTQ7WCWA=X)*8{bn@9+OzeZFeln(DC2ZN{tKKA4?2E$`r8zQ?<b(&XbO=z67Uo5xj2 z&p*61VZCCIXv7Sw4)ws+FwrM}z9d&A+1~wO-poFy%F^A+b82h)l5PRkvV+?{FVXhe zbtqustXa>d9@?|_4o6f)vCM|-Ur%|rp4|V2hwJMjf#-6$Ue%upn&;*@A2zwO-Z$?{ ziu<2^Po$*{Ce$5#uH`9~cJS+qnj@=bXt@U+4mfwGf4@to->!F`Hy`eK7+Tyen&AB5 zm3?ES;_)^2t^dXaZ~Jw<<9z$I^GE*gv*kHjP$L~L8eINYs+nt1HmAb%$KRKV>s7AE z*=2ZIck@f_A5#`6K3~lf{OsS$>wz+vd(2EZEpp?lm-;7($fupIdCKswE^*q?d5O!W z^zNSLWHISg(Rs&P^Oa2B8!~@iw$Z^@UZOr@UrmF*=0xG7lD)eo`ZvyrUv6_rxJ+w- zcjvju|61J2><X)S4d1=Kt>?MurC-f+&vP9*N;kGhJ%3#~Tf%9IctYA2V+q#hi?&`- z+HM)L@%8jS!4}2e`{cK^MD32)_kD8kE2p<>&P6OU*?FjEYgTam`UlT;Mkf_9JzDwf zrRuY@A9!R7xVB8>d#LZc?p*W4|6G4>>`SRy<Fv0m%(ZggovHsqi-SKs5%{6*@%F6x z^I2Rhq0eiNPZ7Mh+5bZk<HEUL9v>=*=O}uf7IQPFWXie=77Q%fEuI(4UKY${kp5*T z`Rm@I>XNpzsn5SWXrHyU*P(ysX4B;*M&*}vW=h<4dw<0xXS>_si%QD>*3H~>sFQtC z$jeX3QJwsEe(4tdX_D(_R=<5>_t)?bns&O{*YlZOmpXls)e!!@qqL5xtZv1$_=oS9 zxw$-?=51OsJ7`7Fs{S90O!FnOHpFgTaAm_}O`%BV4b7U`#ifg%sm^|S?||dxW>Xuf z(94d6&Ov8qXm+l<>7;%wu#)HLY-XDW=MMf)m~eQj*^d`tZr{>OFD0F=ukmZ^DF0;1 z{UB81>*mTsMa4^Yx*q0q`r&MmwdV9p5xLTm(2u$mOTtg}uwQE9Ydm*!O7woF?S?I^ z^S#>M6xjs75S6f*nY^J<rub`_ZpxLDyMx^?zo;;N;_B%XxM16r&oN%}uNHE(tp2x% zwe;AXO~x}nEL?ZP`TGrab^BkgpI7YfaGRQS)NS2729BM|#VKp6uiRjD@6L?edUnQZ z&h2b*r+<ZpxqJ(|)Li%S_3K$?B^%f_23LyBm}K<BIQ03I&cGv;canact5sN0KUX@J zmFtGv!57OJjvQT(tS6FtV101>qV;m_^Q&d*9bP_jT`YI8qdp)-^dQ4?lbU5+PYl|( z`uv-}YE}ZP(`o}pzD<7F!IAaN{fDDM>#Q6WUY;HHCZ#BKep*$X3Dd1LQy%@<XUu<X zVP{0ja($*#vHT)SI?~zv-5$%Yik6<ByvF-{<;m7NlhP(USkv#jF;&TCW@&1;#EtSo zuB2~_H(A}@sO@pdJawM?*sjLf-;K%{T(+DBn{#~fSiMibJk4V(I60x-{=15b!}HCC z8}kdkJ3rCOeQR+3uUj~eeZa1rb7j}YKH2YoZ{?@Z2hQBR_d|V)u3Y%~Xy@VJv`?o} zZJ&IeH+TCUp~*Lb#TWJJ>R8B$-L;o0s`_k}JEi7$LTc*tqYH(3a+$@pHpKZecdyHS zrt#tlSF`Hr!{t-n#TLFk!FO|eUDTAbbFY=ysIaUSTj+4EXUkHL%^Z5gJ_mLhFJe4U zF=O|g_wpZ2*BG0g-N)*`c=v^8*1N78nqXSWD`v898|R-c*Pud&Ggj7mU%q%4e!FaE zQLbR|V%@=?ZocL7)~GPO+;6aMrpJj7f7_4omaA=kBa}bohi27LLo<)nEqjcPKIJ;> zc=KLHMgALsYj4-Dbx?LHy(D@je2%a|V%WbBzPr;3U&pj5Jvh^6`P=i;u}2lH&1+_Q z99sD5)p6n1z1nN!W*GQAm?+}-J7@8wzrt*@7WE(Lx2j6Hta8>h|HU;<jkw<Dzl@*v zJwNGnqN+VkG~mTciwEr=ohKD_Onk&Fs&MyV(~XOV<hxs|wU6%hDt&nRo6UZ!-70^) zxY%dC(J$znd*JuSq>XEvdQN+N@c3*w{l1F&(n-&R>{Qia)P<zkAHKgmbJfnf>3etZ zMMwCXRWj`6RF&0P_GIQ<C0of&g%1w}HYPJ|e(lJo5S$q(D#&87>A~N{J7n(1EoeFN z``ha^2d|4gFKU$CrM^Xa$Bn120yms*Xuiel%dm0j(dbF9b0tGw8uhZgd*1SPmD8uC zpQ?N<A2}>hnxLIz@PGbP{<HfZ+z6jEm*I|*?Roy#t<zrboc`^gYU%tbnT}1XrO(U} z^!)K-*3vcWL=(FI<&~~+)AHK8PEK=w%R`=GyYQ<oIwySQNqc%>VaPmjuG2P5o0jgI z_PAr0$B_?3|FhkSPlX75Haxv;nXM#O`WNwcXSQ++_<m!uyBKHJk^6eSCiDEqyANMq zvYflv;^eu_jS@%Bt>STG`EV-IG$ZBaEe?OLHQcpFy3eSHaY~1$H{Ctt*S)j8_2b@q zyDtYkG@VrF!pZ&R>G|^V-_BQGf3YduD#SDMj&MtAS!vd(ee0taS(dl>2PJ1N=zY;~ z^1-fVk)w4_;+Pq<&e=rwEbq*>b(r_y&zX(pikAd~()YMl%nUx^<6Pm{@F0UxWW%Iw zGIy0C{_H<wTV>SOl;>4YBW|Z99q?=NOvlw)P2aqKsqNHbeK_IRob%n;topa7?>qm3 z>3N(-f{xOp>EZVuCg^_K|Fm7J|I~i*hvw%`PClm`Q*hqk<(0K;ODnZio4BqiDo!|f zK(?+|oAL3KB?`(Whp+i85!d(pQkqrvw0O_1;GUT91rvVphsVlXWWRrD=A*y&>{os; zj<8$cb>Y^WZ*P~~-u2<9hsx)p_4~ry)*Z3F_NJ71#=0`Cm)tF1-*aDkBlkt)b^gQ< zM<MUee;40<?XK^t{M)JcaOQqFOPedAWy&!vJ-5z1bl-B_yJW9P^}?(Qze=58^L(Z` z!gs`lro3EjQ!Tl_=f%}c>AZ8l-TGPoSNHJ8f2Oz6erbgZX=j#(ZSK?Dog||u$o>3t z)(!nTfw#j{PR!Arq_jafueHk3rj0o!%HVz81J#Lpe@zihT*JD5PG9fm^~Gy@98$06 zGESG5*~G}-m+U1d8?tIg;C7=EEGn&%r&+EZejnYmkw0INpCRLqOxo;UCM%c4g-)~L zz49ip$H%DY@*A(8xrgg*n%o}wtXbkb)$hU1-0&t-qtj7$_k=u9d-vhBK)}1pc~jOi zBwmp=IeUA;J74Rk_K&T9`q~}P-4VIwA$#@~#l1Zzer1L}R%TeS>|fqf5uX*i-paDr zp4c^q<w2wO+<vb7l~r;7T=rhK($GB0>y#A85~#?!N_WwtJsSLfy^H@dZM-I|b2&EV zvVNC1hm(<hUHof@$U7RTDMxPaPGx@N>*H6}H;>uyYG(F-s|&ZLm#b{a{K6&uAh)?x zLt?SyDTn&*mnp|ZCs_a5{HJJBrp1%TWwEM0r-Zh>|E7BV%bwYsdGQ(HtIAjRaV6+o z3O>Ts`tRx-*4o%(d2>Q6?i=?js{1*WH=SD4ConNi+_GFaO6<^rDd_^GZ)-yg12+2o zI&gAdzV41qH+=ludE6R}_Z9jS{<=3o<h;}xVQ~>Z;rF{s`ERXo_cJ<eyer(JXVvxn zFHc844Gt=|?my3U@BK{)d6P)RTOXLS8{c@exXH}u&vshUpYkyFdhP`dlesLemya5K z<<AUok9;zJ`DWP_Vg-NxEan%P&Jp!`PgMQ+$ESnDj{WdlbT`6&2hY*P_csI`U=MsA zR2E;#u--61Sf{2~dZFa!SASmnsM^MBZBGl!wm!H{KmPrXo3BnEWwpG`CE_N?bMSm~ z@TO87wm`c}k|ozl5)J>p`?|DqYtZVO4q;AVZ_6s|Z<{t=`u{PzIsEnSQz`R;(w;d^ zcvA3II-u_XbM1`dxwnn|Iolq`|6jlQ${lmpI~l(xI(nSn6!ER&dcB(TEaP>RSy#26 zY4Xil{L$gl;b!SHF+=W>uTTCx>EAZ<<!Reb3Df7geeCgBB>iE}H$~4S{8D;rKFMB@ zu&fmMdvIm&A?4YNt8OppW6cy?5Sa7zl3~T?J8}NA9p>z8c<!^TJWG1#MAyi^@5>B7 zU+zBLaZ62e`Vu|6EjRMSqU(-qFaLf<d*xm0pj^Sk2XhvL-jn#md|zYcOzVGcX?Cmo zeXiVZo-UeuCdG&MRiT>MgU>u44dw+eKitfn>@?@+6|t%|1Ihh1+rNHzFT$&NDQEQz zY0LlSRY&fBipyqR$n|f_!wEfdm3wc!<k*n^>Sc6nWvcmm@hj^bgt*IGyidOWAY5}W z`{L}XqFX<*vnC#_NN|(eo1c@P>#%l#ajV7jLpHGsc<*_g`^sZCvvcW*&4Jd-N{=3S zwBN=of0@kZeHXo+pTAiso0TqU%l6!kS%-7e`RJ1Mx(nz0VsW&ru@irLZQ`4b6=E}f zMBEp@+&<+g8(Z$u^IQjIc01IX9JJPx<NUq)lfz!a8E%o<zPD{d+z;%ObFTliwl`q^ zii*En=>=0aI8QokY4^8p=8l3ymCUpiM-1Po{Mu-=`9(_9tUy2a>@~J2p90!dRZ?g6 zbUwYwG*5M=;oQCMF~Vm}3TCy1r9Bl~V{?3M?n}{aiJ^;<L@PeXZxpwAE%WsI%H3yY z_cAdtE3~~|C+m4BVq#k7M&3{V>|O`%(M+|{`@8)@Q|<o5$a{y}UW@Ji>BX{U-RjBK zoF-MiHd^OWyUz)ynj0<Fb@P=_SuZBD;-ZG8oBzYl$Nve;WM8pEv~8i}9-*vftL7ft zr=7@bA86*P{ce|vhk)3P&GoyalhUg{JS_QOXtvT}wiu7>zxkgRIlbVNJ^#g8fAgjJ zGfFgl=E@yuIBH;gkgHNg_qeBTu-uMd2G!-?+=U9y{87Am@N;?G;}<;ts$cB*GV#uK zgCEN*6XyL|$JqaB@`tFOy|$?*BfrRgma5?EzkRgOl9`p0{ZE2?`ib3r;S09N&A86~ zXc-6p=jg@*eLM61U&-!ro>t(K$;LhDrD#J(d+ya|@kS5Cq_x_w&Aw}Q<nq!ZVs_$3 z_$~IO*5>Wgxa0OgXm9C$wPQWnPSuJ*op-;_3wGt(wc1VT;e40F>*i;y{E=N7urR~* zM$?a*CPL3_pYXju?kXCR>=ecSC$M1Cq8cg9?6AXC3J)x7w<z_TIPq%TG$kID_Un?D zUoq~nGu^ymjq}H~yEqtjBuFGZ=?eO4H$~d((-hNNH{V{15nU;ApE-;1eZ_+LesbEk zdZ*nu)e)oDyW_pVf<ymzZJFMX<LW7G649Q!_ti@GTc>`XXW@)I*1F*E|F%^}ZhH#5 zrb*3RE5-DMJE=vok}rCRPQ@C7tPP)5#Q4ikHsBT3zRY`g;@M3q?2M8{vQ<6eOl$u% z{NimBE>Dq5obql~_3XP7nWj2@{qgK;@<XfH4=c-V&6eKo<&aRYVeg8Ji;iY$Z?E{= zwUqsE=9cAxiJVO*eEWh_nfT^jTB2X5t||38;!UddZ$+L%f9J0Vuauv%VLF58x5U*- z20ND~&JcM}w=wt6R@usm=h^%RR3um;giTaB_s7aGFh^RNY+#R9c9ojb%oKgJv#bAw z%9?W>8*?7EW(lvF+PG_P^O>Li#`g>+hj>dFSWT>A+I3Yb>dRq`q62ARFH|ayYG}E% zIvRcXyy=rFYwzOJCwuGrUTwO0l>21$pXr4uHmjHu1dnh07tZZ05)_ntS>@W>sFjcQ zeKyo*>+q0OJG`!6C_B2$bcXi(ikht=QI>xb_bz?<)@VwSSpE)<+2J3Wd0BK!1j=_> zDCrrpZht9$FyNX>#qqHEJheJcx168%k2W6lf1)Wk>EF2zxo?9u<yP&Es<?4m!th7_ z9r2KR|BR+AU_bBM7N8;<pTe!U`M2kkr#D-sxlFaz)^hu2Aal{`=5?d+YLjY-@1K9K zWO`b0BEm)0kfZisz<p)Idsp@>`?F-dTHUn=eG4=fs482kY}x!^=5$e!_(ztlPY>RS z@a|o`wZs1T&WktC>l*0sSFcRbj6ZQWhIN;$;f7zI<}K|oOx(I5{iclTG!Kuf_g0<U zTP9<bZ))qoAnEXIzh7<0VddzI>rp~mC$+3tA8|BAY>{==mztg8Yd;A(PwaRuQIO-D zvRvC|S>gYi3q!(s&)ofbyFK--`{W(#kKN{pFbz1aA|xlW-G!%1=$7>LHRrZQ{a-ri z2G3Of>1X{ozgZWEtEf-E7xgQ=eaY<whHLzG>nR%5-C(?Vu6VD?ww}aY^~<k+Ikiaf ze;jlCqJ$H|o6c(=o;W90USad$XMXCUHY!S5E5yIl%Cj8XdQ7Ll&*u6igO2I%RDF9M z-|@=fJo5A}kBs;l*S#}JJilCOx65PrcI@e&C?Q9ujJLtO#Y_kLdS*wg-MK<}$IqRM zoRXCGO*CJ_adFS=e@Y4Vd#w%rS$=wTVB3#81<B)AEqp|7D&6Y)5ce}g^swf>K&HAi z3JHQcf=?+|mdOd7J|n%Cw@gKWW$XF}GoO4mTDdmxiRvfG`cuuBSL*h>Y+w2@%4g~O zD@+WQi)Pn#E1a03FLgcBXu^T&Bl3PZ5-|cfyUs-)O6fSc?!^4d^XK{f%ClS-@_v^F zOQI%I=&gN|0(x(yrm1YP^$XKEZ~UpuoaLJ9(Pxj;biCZ2Y&}<H>YCok8NY%1LQ?RS z2VSC=Dz=CAYWp3T$R(1Vx~)R~o%iIU-rFyg?Gy;xwP1@=zs0+2ujOy;Digic(pP$S zQjW*P^ZdE&E-xO%+-YT<6)^YF(N2!nr@L1lxnpd!ViR9uaErp~ja!1;OU(MLoxK*g zNM*m9P+W1^?fJ@`r&Yz)pYJ%>v3_>K)f1WrCfLk7dHK(s)WbD~zd7&t?|nRR#~e>z z&I)txY$MIy#>1N@T)Vwzfp(N!)jVCvW@W_)$Ku(3)7~kRI}0%{$~-9Qr5wre&1&|n zI<@aFm>Ioxw~Nkq4og_CC2i{B*SwIk`24KflC~~UAD1`_^9S@PF~2tYS6RotKUUB_ zCjGAIPKoCkR<6s9JU1FG^8I`GQKNvRr0VVes_#E7NNAfHEu|VBzVy{fw>)F<7Y}dy z%~*2(SV{eI;q;ZK8*DgtI!K<s_dxmVzW5uA!pom#z0myEo9OiD+tM5HcNeXC_I<%3 z7Tb5pufHiD5>MYJZC0^(hQy6-Q<gPti#B>VD&L<OZ8$@3inR9np8dxr)faF?6`e1C zp}D?~+4teIFq_6-7lT%>e_(Yz!(dnN9)-A~J<Dw`gxuS(^A}Hl=f7iT41a4Rhj;CL zw!AB*-qP9l$%C&G{5TJvHkX?6$VpXqg6r4r2^mRc`XUL{&;C6SEcKf8!hPeHm|XQr z_xwduwlc=v`d##?q{*!|KuzV*ylY==E=XKTnzNNn)rr@7s%Ox*?lU{3uKyMlc&(CW zk#+r<PxA`S2ZcGpY+0)}E-T(9_Q%Ra)tIaLnc*ePFNdF0I$BJ>@xoc}*x4)G+*9S& zzw!F?f7Wcq2j+90y*{ye_WrA?>GOZN%eGo9@jV@wRH*E@u;-AV`03b;hq<<mzYj93 z?Tt*Wy8m&p*PL@4#a*G-UVe{L-|+niqwx9_9Zw$JS^oUgx?SInU$T-fkjVaYPHyhE z^PkzRyXK^yVe|jI`N8Anhn~tNU%jGM^Qo|}o5sq1vos?%_gmk)+j~#^4&Sxwe3TV) zc^0<~cl{eJwUsHarFB+qRuQ>p%u-V;x!dS~NcirpFP_fH=$hI!X`|EPKYQgWR<^wT zZDK!b>m9?erx)tF&M$10Ra@;p<NsRD-`~<-obfoQTA9hQ$82@5!IPtlca}6e=RMN1 z6X%jRKf|NO@$K}TEAFW3ImMbpJh4Bv`iJb)^RL;L{(AG#+d4B$>w5R}m!dbdl(wX| zbg%H?Q_<hn;rFJkW<zGwzOWWO!3NI8?XCv91ZAgczg6YR*yP?G^wn*8oBkc4JoiH@ zrKW#ay}f-&xbC}_^7374Z;E|;QM~Y%#pSP$-&m&1Fxn`iRPWWcZnKxZMgBp)C$564 z-793P@~byE+}_xit`V{(rY!%8{{PnBCS5@{uUH2!O1M9Lam&xDpDW~UMa-LT_Mq)| z%|ky;)oB~1?X1#@JH93S>PM&Uh1wTx7rKb-NjUeJx!XR$dET!(QEtX5zUMFI&pMx6 zHLcFHR>i}G<J-(BF1LQDtrVORuGlRxUo>^Udqz+|?cckN;#(}z8?(f|E>GW-KY6X_ zb)$GI-}{VLOn5K%aCY*qUTI|C9O{q|5%S~KDpC7glOOqN>?mG2?Lf*V)9Sfx_6478 zUUj=qC=a=;uMy1W5N(n9+G)eJueZKTyHnPbwjtW{Y4q{~;lENJ@-0zmGreJZ*!7@- z_wULjM!Wm-&P;dIn0T{jNB22x!}Z%O{wBy>W;wjczs8`D=N@;$WYvVla~XF0IX%z% z<g}~j+aEpHsrtv!{uJ{g%T3HKk>2OBl@j$VS02>reZl|ChNb;kOAM39O!vhmUY2*u zoo)qPyJ7jWRbeXo-h74#`xR?H)@*L*cvIUvS76DNbTy&1vmJb9E4-b>%E>ojcBFDw zqSTs5)7GQ!MRN^3Z%&`wBgeG!!)8Vm>85zoHL*K=PAr#Ov_#W--de`aKRS<(%6mMj zKVxF^B_hXNtY?~zs<p_~xm7(Y=S`V;Z+ht?XZy(q9(+>SyQfoHxaUTN8hIhp)( zmi(0A2=!Xn`AgJadBK7$pRG3@<Pts-`PqBNqG?NZ9=InIddN+E&$H{oyLaYJ(z@|< z%||VVM5EwCTU)1kMn3-c<=mHNR@)CfJl8(;#<q3opL6r9tS{eqC-h{8&=sK{|6-r; zr7n?8^YUNzy~F*zy%#@kp3~=7O@bzg8TmiLxKCdGcFBazvGaVUrBCj^+|=gP-{+R3 zZJ+a`{@y2kJ-I~1LzViA<N~;}mu+yqT0OUdwfn)O>Wi;G`-*7y1bR=BR_;_y(c9V2 z8g^jmmmtT#UB&J4p|bOLS-iY^AaG&w*#no%4sW~3FM4oVW0qb#n~i*%?95YNzD3kK z2u@Oplk`8y(&4*~SL`FpyD7`q6S^+shy-*fvGH+dv~yKS{HjuTR1>YDQ}<6{!|J$X z!*gyX8J7!JncQ4ab8)xttH2~1=Dr{M*KvA0`Tx%2-KHtu9(kB=XK5C>u_jJM%FSWX zWuGN%AxADfy*Y7F7yIT<ZQaxR{s^~u|GsxSk^B8$|Bz3m1?_vzKRwwdD?7V;S$K}p zpWDHwdD;W&EPu_O*x?lA#(#bFuZ_ODr<t?KRkU5U$v#!<lpdiHWc+&FgxV-0h5WCX znSt|<U8oT4ydV4IW^<Fq6-(!z`-H!o@HyP&K3&FWqOqFf^#xq#zO*}<R<<ztoZjzz zi=D~cRKE4a{@_P8!s+v(^NjZ<OI^*Jl=PG3$YF~gKiHBZD;+MoX@1(HCZN-MPPeU2 zjPV)Mo@=_#K6sh?IjxmjHQ~15n!h*OZcMm$@v7W}FRIr>I?j|&pPy(g(wMY=TF<h` zqz7r9B03k&SS1RS<k$;TJiDnRn!L|<e!&0Tb9OK6UU4xuL~6dp`CShqW&UM-+oyL~ z>RHq@t=(VJrp?{|bQzD0;|`HDr+pi{T_=VpeN%LvRk8DUoWf<dBUWl}IvF#5{99vK z>Ji+q??+M0%C~h+srT86Z`*$_*!61mSL=SEp8Hqj^DVg=rzf8{^_JoM?Zr2wPVAWW zzPjKmm!8u}J!!#~yNd!{!!)OaGOpiL_14hZyZ@D$<+^j>IaAlYGHViW68Z3}KJR(* zaofocqMmB3X1?dO-O+5d{EDSPlKe5hU$`*Liue1Lb~xkEdLifg@v(Adj<K&|6W%mQ zzWDTfo5qs<Fuj=-tLJ(CKA0T-`RTXIekY1Hwr)80c;)o}7y6#@o6I|N@QFn1iHyCn zp@w_;eSUD|tJ>Y-nj83DV^j3ms&v&OpM1;j&o~$IM|A1&g-%OqRf_+=5on5UvE4N9 zEBEQWVHQiD#JuatyspG*ZnEjxFQtYA+sH|L_f7jaQVM@hIQ?<Kl=}}4vnlKrD!j5+ zS>90MGuI`5_Qe+$d{(%i!H^zvSC8qPrY*a9Pg?qpwi6E3A%C|_e)lo-eSYAyCkK4m z@**N`&DkI~P05st)Bd@I*18avV<v~Xe>_pJVE+HD>9O~ZwsRMwA4#@vKI|OIp&W4R z(Aj9&9SkRChQ6Kl>#ez=--jjZHcM*#TPM(0^x{?NA*qO?-4~g1uDp6ZOLb9@3sd@` zyD{~#Q|^dG3vPSq)RQeNcHj-8&C1Qz5urX)w=5DaJ@M5u-!Z}ZijUR~#Y1H~+)ay5 zO22+<c>AQVgVvvbJs;E-Y*Li}_&mc~!|-<7pZ>hYJ|QNBj+X~CpXVg-DLq~j>zsL@ zhxgCCy9&&=r)B4dlyv_+UnKrQLf1c2VXpLwhQAX76;Ah^-R~4M#nd$DvfQM}W{L|o zzOppo)L*z~Y3S)C$CsSDYrFCM6z;frYeZc|MfJ;$&$y%B-TGjO)XTfdm0y!ibtHX? zy8iBNu&qPi>`s?WB3Imbzj`Gvx>>lx-7+!2%DjEA`RTI{mgc8@@mhZW<N~h=oO&Ua zX9KIhwJ(g*3S!<Hw6*X0nMsOv{wB)bZ$AEgW1dAvaQKwzzAwK-bUxzva{Ogqu)2l! z-}`woHNKCl^I7)UdV4<Kcs^chS-jd|vGn(H;+6sD_Vu`z36+_L_Ai`qy?oyVMxL*2 z?6aO7d~i3|a`Usb*)Ea(Hx(v-_KWCO?Nt(;qCIJH#%8tmH!?o`ylSl;btme9a*NP{ zZ<(dbzOO!|#Fncd$sas%&92O)85vLXKNeK#N-F$3`SE95l<4i%c^jRdJblJykiJi~ zL6h%r4O7g7^<|20Lh`k*DX);8e`x*UXN=Jo%wwO~@89%WFiv1n#Hn9a{$6gP2|LxK zU7B<z#_*QBZ@YFe-KHn4hh4w&WVykP{i56J4ysNyc{x8KG^XnQqwgK!^>s@$QcNEt zlsYp{<xM!!wtx1_fHho~xj%4TzMOnaRdLGW4ByxVE7%hrDFokafBPf6;l`h*;c{71 zbN_9RtJ~%LiqBnJA@YX5PyURej;#HOe>Yy*m2a>%p5y5W9=|zXB$xUGFDY`+vJG_& zzxHnB6Qehse5ZRJr%zcwVdZ<v>gV6S)-)>5XI|K{T&PyAb;{~a#kd{6*kWZ$WTbqL zKF?bE?P?(7RgX=ZA{$;CZr{oAwwr13&S%}78`!2OzASsRQiEenjpMTI2X>oYTzviN zrlqRamowSRWN@bzHCTUIy1r4|<<H7KaiLR=qO$r2Cz)=!lKr&r#@Rc<rxF$BetY=j z8ncDd9Q$8p`l}?I=lkb*t&T7GF?I6mvzc?Qoseq%HSeHEf}N9{1>g4owylx+&J#X_ z2QdbHw3!@IKF`$bnM7)=h4vcZ4f76aFdUSB;ayrf;ZROZ&;!0oi^#{)(Yy~tY%6t6 zimmx@V_`GH-_C+8fzPjZA8`nc`f(=b*SzP9u1P1aoeh;SS+(Z>-;HPI*_aCOKICq@ z!};g6xahhqmurvM6yMMDweZ+_<@Lr`7YnC{yWV`2yt*_fYW|kX1?Bu*3`<#acQh#G zyKZo9*51bFIsJIH%~s{7TzWI&rnj9>)KhX%uxpxLvC}u&J95JJl+L}Yk_(eP%QrTE z_W5<NDL?<$)B7ra#qE|}U-|sKQC3vj2li>}`2NN3Q&=X*ufw0HwBnEXuGF8!i+9ZM z3tm5KN&KY;6RT=cded)YUFloTD8ZQcQ{uD!%pWcKDJxoqEGOTXU{)L^Ds*rCgkw)9 zJ~r3ajVrTJzgfm?7<;?=p97EY?&iQ2-9?Lkr%J?j1k{>mZR6`%S<vJEW6|=hKep8@ zIy773_VMjUTtg#YO^k80Xg{2xar5BS87opUf98Kx+9*CT$>ziF35jYimaJ>$3-;jc zt(m3FncDEfX_?(@<}~KMGe?3u{`g86$IVf#u(e&Y*`M>y68i^_7P(l>4)xjkrT3}B z!snkoio%T<12`6S2tRK;8})_RJR!eR(co(JBjM)nC1JnzxBSR_WpVw?ld8DyzY`xG zKC{8|b^PyxT8F~q|K(Qo2>!^KD-$8SH90V~-K)&Tch14>uiZ9<A8>u~I#87P-m`3X ze(l|7neTeHOL%_O^DUP3dCK<4fi<<_&c4!3T!K08gSLKKE-9(T;ac%PDe6|}+~T6w zY$poqZXe2cdVfvF6V0dWyXW}i>L`k@u-}rx`QP`t$-GK-)yUq;P;-4pl~Bf#h>ka3 ze)d{RGV^b&eyCBpz{q^6X6M^S{TdgXv=64NyLQ>+Q16|bm)wzyg1)5RblA0+OU!|% zhoPk+Yk>iC`NPc~D%DGQUMEbAs^0L@;&<SjWDz6w_cOP%8J10+S++)lB~T?f{Pw({ z$0tJzrz$><GdX@#>bN}j*IlMNB$?+PTK7obpr-Hrr7aUKn|4R77Yf&!@&50#b&vES z=WKsfW4FaAkHawJ$rJ0@Ity<w@`|{fJ(e1^U%k9+(uc_4S(0H%-+rw-yk(X}NSxOt zk4>DB(`@vr9$cCG>A8-5Wv7(rk2OdB|4(lGm&~^^%k8JwZWi%P?#36Cs=S|G*fP_T zb;}G>S>7*EKPryxHffrB|4^-*^#YF$&X0|J^A=r8dw!okcVAR`^I}2I*Fo7wmiw-+ z?V7woDf^WA_O3^IQ=)?vrMCqhY3}$pUDJ_C%(q+X>)rWj%xoX0svWz^dsESOk?6ed zf-^+VKi?wtpQlGGdBXqx&7u3+x+5PSS<vfsUiIc*?k{KkY-fJ!`|_gVO#7lKfugAe zo==J=&pE7k?3U|~SdMSM&doWvI{B=KkkE~i$FUm0lb5=7b>#0<t=uihQLu64jPK`L z!{<+ry_ERwUEcQB`*gjY7>Alg=?QLf*Jo1jGucov-(?<q+MoLCXHqR6_Fk}6^)J_S zzI)EQwE5c8YfI<e+a4C{uvo4sdELjqla9|%@ekChDXzDl_E}hSuS;?R&-A5QRm(R^ z-8~-G_c_0Mov_xt)b0mozM2|%=L!TbI9w~gd(DyZ+8ue@9~c%bJ|63nsQ<<@DA-J! zWo|li_hTWJ@JGKaiysTP2A&J=QExuo!O#3Z)r9}c-G_J9?wTw7rZ4l2*3s@#qdS2& z{v0YdvM@Hkx`y#l*UqN}%NDPC^^!4!?O@|pzDeD?6E+;$?x(+^UNcVP+h1W(Tfw&; z2g1dy<8L-h-GA=6^y_Kw<S(c`U30EBb?y1=gSUR$X)U|Bc_HhOj{ydS6(4Nt*fq4y z2i`cu#KoVuJo%|!tNkH2*R4l{HY_@Hl;xt1SyIv2uOH`eI{cI2pIgiR{$~ZtJS({- znbmRCXYNRp+A-+yOmNS-G@~w<ZAJ60-gg_m+E(0jm}AZET(kL|#`3KnpZUsPUHtCb zK6B+f-OvKN_E}tBTRp{>oR2Lvbq?MbDi;*TbD`PMyr)fMtMXOmQ$HN!b?r7}|Nnkq z+2!M3=Qzn(9gaR;$6n}nJE7NpDcc9;dkx>-+N9pQ)~w3)?@{6MyMKPjer`ITSYv2@ zx$@9~?}vUJ`#0Ub-DKmd4cFaY$7fqIWXV;33aDc>uXy`IVgBQoBd4=cvOKL0FIvpD z=aRUW+_ILEeZPXU6W$&cjF{Q3@c+G%F|UC0i=vQ6K3u`B$@4bGUFmA+(OBhX;XWbk z_3iW1mOcty^l4A{`E&nTZ{>e=(d%y8EM1b9z$wMPiYafBR#kph9qXQp73zC^HYa^a zW82bpY;EWwe<n#i@7Wd_^Pc#XT3<L7785b=a)8(3q}j?F9lDq7cRiT2`r)me)vFhs znZo3|bNl(~b!W_Fh0h*cfA67!@rpYv$*fQLZ$!VF^!nJo`*Tm-Uu3Dh_uGPr7J`EI zH|tKvt-V$ISW#ey*cv65C!7f_>RjvmvzJI-*=dt+@XYG=iEoG7O<wO*zVi0o8zsvU z=^GK6y6tKcx3aBbu-BYw!}R%o#Zt*{u03<IWDZzfHjWAPFJp9du0JculN{@_L&jp4 zFxSr4#mp5u7k{<A<vq1FI?t<e@|wal{tu?%-%GO+=Q|WPKc2mq$wX7Fob}+2qo?*< zX*$Osxn<}5Gmi5fT3yLkntEu$m*d7=)9&tjATZ15%&A|ij~$4Vnp*#N-@aUPQN2!Y z(bUN+Dk_w{x5ivQ`akE)-I?#!E6y`L+BQ$%Rf6mKzx*~FEP`Bi0=^IAkIuQ<S#EYm z-;e*?uHBA%Z*W~x`MhG)XWy3JYCASxwDZ5kTC_2heYUmb^qL(<N@i>RXta)**y54j zynxlrw<)r^?cTqn-9GyxSrlZhR>XyzzTMIpced*K#ARMNNqwL0ohdoZWRS7oi9ls` z=Yc+1xx~n)V*hGRGabD-`OzV^Z-L&Ih4?Bv$~0boSHEZ)!p%A@I=E!MQp}|@!e*Dv z*v}tIR}8dcu+%&-<?`J$j+S2w*J<@?KD8CEFa3M?lc?LYyLzI>W`7P&6p}b~=6TrD zQUit9J=v@qj~Dn~n(4B%x9skX@~Wnok0C$ALRN&`-?4T1&I;Z7%M;FSORu@XlBu1c zeqLtLU+1+aRwYEW_T((7Z(p=kLHD$Dy`|$XNA@(2H=9%bZC_R_WVU|#`Kh`>pVgCZ z>1sw8{N5e)*L%YH<%&Aq7dLERIF-Q{wL)}3amZ%5X<x3+V6|hmoNZBW`N2ljWB%^# zFIGMa`#q1JZ^NYB3oX*`EnH!t{auc8%ZGr;LCGw$y>5Mby|TyAYff;q{hTGvi(jZo zK7VM!v$JhsU0&3MX{UEIYi+BK@A=<WDfIt>oZXgpa{6;CKh0*J>$`rD<)Y9vm8S!p zf&_Bs)PA4+@c$8)9L>GnaZA=2dZw>0eOLHgyyeP~B(E$>pPOoH{H84a6d${;x^FMX z=_&8xxPK-7R8qLR%&e<@m(kW2j{5^FlBLhT+8yrP*J77C@me`YLVM@b^(*G*U6<t- z`+4BW+B+{F7|xk=FZZJ1Q8VGho5rVqHA$qLlu$qKwD{$7COx($hRN|iZk<pGvXh(q zKY;C@&a4%BInhqJ?h(_DttvadAbew$QDjqi^)|*mrynG$D7tL8A$Y+5CFi`eKkxdi zJ-HzK0?P*0Zl=^7?UOD#WK_@Cpj65fJ6p6#d+(_L_1`6DzPjBFcD;I;pS$;;%ob4# zgT3w{+$+t}LYMh%J@897=ym8-&AH8QC%LZ)OJ}w%=kJZ*c_7X;q=9{DO>m6#Ds8<O z?@A5c)}+J5muFix*WZc0HS5cPW^?g-zsj~VJ^thp|Al>xD(8Qei&1tLR;=u@<<IT^ zRkcI7ajVekwCkUoFHUcM$d&((@1yvu{W~swUbJ@W`OqcuO^+A85Pas8&Am*I-!g}B zN%$vb8K)orG`bHQ+9;75%lSHUTkJ`ZSC{@v$i(Y8s=T|g{CzD~m(5Cr6T)k>3^{w3 z%=xjL%d=$J89_IvU*>;8R>e#9eY(E;ddY<qpLa<#PZPY;toG`m)#9HSUYyx;9;+AF z8@jc<J{jpE{%LLNAK@;~=@JgpTej7?i)5`)i&9_l`e>4FtK*imlT5mWtr>Eb3YHPR zzdF1PepoyA#M;_Rwb*NA)}POt@bc{KAcbpdwWJOfbo`7|SKYg0tJWP2vy6OkWwkxJ zbDVXUgEqDJ*=C(PESanxz3X45mrM`qlad1t6W7drJ}Ku>%t=Y}m}ef`i*7xv=XO_j zREl*pveQ|ncJuMH@7(9SzZ_Y8Fp;S-Wg#<<@XE_~uIv0eDSPavY)s1u?+=UACN6qx z`1_v2v(JX&E|Y{V%Qx2jn|a4;)t=c48!qK>=mom7=ce9#|LSUS!ryI?n-4~HX)jj) zv@`s;-4q$_E9)QMyrOQh^?hDN`r<ciTa1#AS*<<j&g%EM@Ob%eowJvBem4IhwPjJy z7ha#5#F`7)--;d1a=hD~b^nUOYR(mkJh!dA7SH-Uf3^ejiHUntl{@MjUff`~AH5-B zSA6>hC%H{iBU#@HpI_(5^eIP&%WtBHO<abTPStYWh6@e~{|+vCWX$1g5-oUBZIc)O zskvJNW`10G_vk8%@A4ClJzP_6mv?p9Q6GWAc`psDcvRjjpYkZXMs@w0go3nFmFsuC zSk7pa?tR{Fea_v3GLDHSkE}TJzG2n9{n44-M#9^B8E<91W)U!)Y@fG&(YOE06z!R_ z*`}n0#LqTwbY3qc!*Zr@m+dz%LEDB0_twWO-Q-o3`@N>*|Nqxh#3pZNY+046_PAuz zoj>Ah?R#c*N$YAvC-?Qn|Nri7(!k%Dd-wMuRn@NjRttTX9%c<*sJq%U^kwYI>kH)X z9!X2eTcu|fd58Il>MT1EE+HYK%r0G@VzHXQ>(lDCGX4}%*%iNZ=ldgTdTu2g4&~9W zPAN-p%c>QW-<5i6pU&Mn^X(<ZpHk;7KXIY?H{ZYVt9ScP-Mv*JI^no+kqh^oxrG_J z*1m>rs}h20*t#^+Uin1W6@HMqvA$=W%E_1K@0opn639}2W<kwbSqt%o^&Gdp-rc*c z>nZocJ9n;R9k{T>bR%=VB2Ry;<|6qRgDTV4F@f?^MBbme_@s1MgS_F2$$>4rOB}O< za)V~&Npdu~?6B0AuV6epXM(p6*N#QY^#$hc_U~x)&s%HdcxU;gi@{5$SIB1mk^kp+ z>CtW`$)4*o;<e1=R``}|=T~~(_&?da;6WZ&_+pm%J?o@1t8b^u);{%n-}LWrQ-t$A zbD?lSJ_l0<!39fSoL?A!>**`2_h;`{xG9)?mPxw2MOyd}XYVfi_kO=x4t;H_{r1N? zZvNx5anJfL%vd>T1AmP5=L>#uudTF}|7$KzzyExT*QO*9>u=GKUhUglQ!WRJ++KO( z_}n)RxpF=Sr?pytj1>-@c<7dy<NO`MsSmm4hp+Bp4R|s0^p*M9A{)K;FLo7be<T@K zqsoxdDe=7S(fls1uEjoUPgv*_bV)D>20V4tZ~4B~oxj$1SJgQinfM;rO5Vm5A#ZIv zv$gkrdcMG2`cdjrec48aeL5Gs|Gh7Hp0#?z!Ptka;pvgZS^Bw?^+XRpc8aM^4|h?V zFoRu_^NG8E*A>1y%VWA%XR_YkbI7(|O8?){*+;x8R!`?*lQ}l8tT8}->9Gc5g(Dwd z#4Tm~XYp_y_hXOXn{(q1y1h)wd~jPK^3SPLpO`Pj3+hjmQ=989<)>L?6)Pt7<>ulk zE1&w_K2jIGe${N>kj}bOTUGkL8Y~xVKVxnsf4fokg{HRO8;-4MiH<rO6z6O*+n6lK zcii9idFzF2^QF^o>+L^0O+n_HOnv_f_jS&3WgF#|NAGRYR$N&zrS{wWEmO5rmW6ib zOCB|qoOXoevD|YZ@r1;C934e&P0k(CGL5n8IRl>Lc$abAZMXVqXnu3Y%qy(Lzt~F; z{^nsTKE)Yqz!%4oewEjIxvhj;=fB;pD$a$iMjqOiy%s(cth(s?{ze4r<Bb>ljQ{BF zoNQ6qx-a)$_;E>zlta9;qF(c_dsB9N`-yagsYms$SLeS}GHtYdef9CQKGg}Vr#HR` zvYWAmuR-6X&M$d|>oML#6-<fBvv1vP{IPt&oOF>yS)rDFa$i#)ODeRjvE;oNEcCpb zY4VSG4W$l0{`|J&FNw2Te!0Wm=0;xUg4L1pR@TipKVjv@{r5~)+)17+)NbZ#QDlAV zW{2xV;V<P|+@5(A*G+Zv`>b}~uJ?p%RC|i}BV*%v>&)IrUzz#$XPw~3&~1GG1yX!m zdO7|kc9-cEWwf5Zl;4?n`$qD@gR7KFpZF<yteSNCl-Q>DUVG+%-<~m6$AmZ^uR3;} zjr+~46K0#IuV(x5^uPtCjVmtfef(Z0-E+BMj_9q2A9tSnXEtSFnSbY^$$Cqb&BfCU zZe%EHI-0u$-nl&a3rl}#%DrzPim6w6)%7<kdTd-IneT3_e<_pWYhCHh%r6#U@p~Dr zHcSf<fAn#+RJ!~Aq;lEdnl)|qjK^+Fa&YfF`RuOtYU$2xCw9t(y4_lNq*SNCBRccT zh4*U>&X#iYNqmu%i(*ij6_NJ!T_=B{;OGDQMGZpJo?p2BE^_*3XBPhjF@<hn!fd=V zr&*|Z>?r+Fc_Z+{seS(|m>+ext~`CYBYY|M{EJK0+jlplAO0@VwXAt+^{@3G@&!(I z9>|w;71NvaQ{8ZO|1pc&3A_4!pS)@OLeAUs#oIETIQ_LR)0mg|)lJuySZTb#@^gI9 z_N_5@70>vw$+Qao+M$~EkiX;bu4!D?RT;Z(--_cbySJK!qcWP!LjCpMx5u3V?fzD{ zo{cWP@v24lVQfl&?)B-DH!>WU<#_6EmfZ23p6Ac8Zc}J&KeDSaM(MQv_9+UtC#x`} zO;&I`7a`2QX43ho>aQz`J&QHw?d`e2*CL(I-@0`E2~+XJw+W^B-*`{-cO>_?XgV&L z@o(d#Z`0PQ9A5BpbN9LZIS=2P#K&(pKO$gvL%wp8)T>sGBo^TvZI|!;4s&L&b<Ahh zHcLwv-g)BcXZ^YJw!RZk-@T;qSUUGovDpS~3F;RgT)W+NE}Of3FY^q^ozMMv=bmD_ z+h`mq++2C!bo}09e$KhgCyWa#!ooa`+FCg_Cp}*4%606(4ZAJYPKoOm*M7@;6{eP? zl|6wc$*@)P+3ZYp!<d?tw+i?d?6B5cGs8h^|F5LX;xFH|Z$0d4j@%bswMDLUu8qRp z6CXm^*>axV4%3qL-OQ3=@yx_%K@wZJZul;q^%k8I=3HMH^!wJmq<~prY)`hu*lpEV zV90epZ(HZoofB5^iMF>)yU<{~`rhRqX^$#izBcSMNoF}DvwO>oO$~OL4hLL!FMQyj zd0@F6|F?d&tit!RITKW)Zaim?x!8UoezI}kilui?6n5t9s%2Zjw&K^ZsOTlLc2BTN z+qLO}hkD-Ev^^XT?LFVTFPXbDanX?@R=ftRr(1*9Oqr;!&v;|C?bJImiJKn;%)WSM zK`rxdjp(_V+mAjr73daLbS&TEH?O*8O<sAN=35`3S?3h$`d4#Et-8R-Cbc^1ogwe0 zUz<%M%`U5{PP-L9(>yFkqIs>1(&@b?|8j3wx^|xWn@P%|D_TMo_Pl*NMVO1HHD8B& z?_!Ss?p3<8BMtBFJ|CXfCM|P!o2;REJIAqY**kKVmU=xDe{)gu=htUnxI^uZ8b@CI z%AM+aBkStYcUz1mZixzG+^+o5#m2R1?wi9|%kOtEtBJDp$r^CfWZzs-Kl{?c2a44y z4}LM;6%^j8`t*^~>y`({*Rb>5?AY?XlRtl<^p!oU!V~VO$^Ems;Gn~7+!?UtaG->} zcuQCA@{Eqx7iOoP6A15<a%I2ndr2>NbE|KejQ)0c?P*MNeC57cxSoiJI<fm)(p2Z= znJx?GJ(^?pYD;gVWU1_*zQ{x0mN2~Q_gG?ZZspSnKF_8`Sq5l+YH>fG*?7o)#wsmQ zU8RnnKLRRbs(5C7iB;i=i>fsKaUt#1O74*FY5lBeaz#1Uc@hQhnp>{a=eWY?QJo<l zWVK#pVU{_AOh>u$9i!{#4LAeKZ`#_-pL0Q6W=?0vqD#})uHqM;HK$r>=5jZ^(&Ps( zymcq_CTdK!Et+n*RCu!xr**x>MRs+*ou~iAa<xvgQ&w8$CBwcxaO1*_A9S~D>f0sB z-=?F*?lxa^#xeWm^Q)dOSs49PX`5iqOs1~p+KGM-pPJ{z^0OWH6D<#(^nabW?5esC z7T4|cr5PpGEL*j!($Vg1s0j0%)j=~<+P53OJF0zAepA)kG*7!fmnZ6L@JG+kx|06* z^|}RLjelhaPM`geZ)HyZ>N8I64iCBRt1+m#_b*qzwBW!h$*z~H^RFNK_+ZZV<n(nP zBsV_U^wakEKf`;r<rU`f-@k5Kl_``Lyh>ky^U*?6rxRx%S^cOk+>xiWc7KA|`PzD~ z5{4-<f(ne1MtO5**o&;l@>7vYD{jgZthL+zF2Gb{)3w{p*UQ#wuKNG`<9@B@0$2Cg zD82QvxNq(rEiYvzVexyZYjbw=hn}B2Q-mHoNjd-QW?|CH?K9L}rF}o=PUH<;d5t6d zH2?Br4F|Z3<Lud|9XP(<<ME1SJC2AYa%(T1-`n@O_wxpZ%d976v1bS@zxV$4$=Hno zoJ~{x!b7$)E~<D|oik^zM4;)6kMjSSW?bjm;dC#`QBWd!C7VRU!-EEE97Mi;oF$rh zbC0W!PM?|3ud5HUO*$XzJo9|6^`_uJ_W^<GnU~~F`x$Zm=w6$2#9eN-)EZX_#%jLF z&nFyOzW98Q@80C7roPo3%kN}9k8C|>buX#o(+36h_7`H)k6wP{_2THh$9fejdH4Fw zQ(g4`+r_!Z&(3}Puz>vmb4uv-sVC)ok41_xN-xk5G?{rmV8d<Qp!$prbG9s>!?w)P zL2Lgf9U<wOW%>JRnv|zoY^@L!;+yv{LMNj`d`rOUDwFX4Q)k=1-ew(U{itkHK9@?) zYd;%z;VM~H@8H8vO_I0lv=iUVa5tyxsA5h2teRbn_kOgV{jG6LXr)HzOn;9hakG=& z+;&)Xqs<`3CnwOD%_t{k%k6Nt_2*tNR?gDfd%i-;d<$n*p@yjr%SXnvcm7G?zKs`8 zAInO4bno<=Cx^}z)MYGtGR@=C&3zfW+D@rllVxmWb+7bZF13wo`Wi8Y)pLZmt`FAp zzaK2M-pa<IS1Tjr#)Z>Lf?tg0uFnhjt@A{4OMU*@@aW1()qg@CM(XIgUNTvAPGw~o zyNk}7Jjav2%I6B?i?Z63?RO2`#w;viy6B?z?(p5m-{*#$|5R*w#maSt(ov4q5A9JA z+D{IM8=L%ApLveoY#YZELw)_VzA9aFf2;4zS=BMGOY_}}c=tA`7wcYq{=Lce5MPzy z&7@}=Kf64+=sd@Cjqv*`F21a5&1%I0x#qD8s1@hxZu$Gm*6)z%g9Zlu13s4+SNuKl z?N72jSGd6UFt;<=@2|<cQMA2uX=iVcMX;tyhgj*HW$R~@d=q0fYIv9W_J3~t@_Kf& zPcJt62z6;}TH+C|`gLPaSNK91gWE|JoI3aZ>An8Jex5OU{zE@qi)<FY3-v9pH|MB# zI^R8!81vw3K}lNY!@YCo>=BPhSRa=2`CMY)hGuDL({`8Irr@QSH}j9}G?i5LT2Z6f zyWU@b|Es{<3uljYZkuy8>V|FUv+{~ZQvzRyIiy`J>Jj+->gQ>NZFk?zT6~+u>zS~& z?)lK!fA37(scxauzbiH0O>*s$tqaulIvV>f&Udrpl>U&~>HoLu$E7gtM}KA=Fxs{9 z^@E?wr(9hxvvkY6Wq~hzq~?iRO!ujeihn+D%1ZH*mOPH17Eb(n)K0Hf@#S0D1?DSP zZT+w;{`oZ5b0Qi?jb~&Ss~uMj+-zx}7q+nSi;hk#PxIl=pWa>Uzb3uNJgxjv>OnPD zT~4mgYN{nSPdHWyv+wd3l>P5KPberwXvH0u)ePe00+wpei$uh|uLR!Jn#k)W^yl!J z<hu_4GA1XQ9Jr=DsYUBuNb<#vncw>lw=o#_%NDO^*Y59;W}9Jq<6-y1%CM+T!^zxh z)|B|&oKYF0BiU2*^Ka_!ub<wnI<~jvrG)(6@DHq#i+2~jFO6k8&@^G^lkk-rue$`* z6x>tD|NUP@{6=QLHOBrkw#UsPl$x`bB{zJo{Tje)^;!7mwTb(fW+zOY>TrdfVbhzB zR@=HaxEJuXiA`T$|6BF?#3%Z%Tud%8_I*8NF*WkU-fOY<ROco;#lA4*{dJ!!tK5Cj z-anI-=kD2bse1nIWAf>0=d|N)ow~!G@Rck0>ektx1>$yGJeXZO+q`kX#p=&0R_9mV ze;_RKLa}nIotAmC{@tTeJmrRA)^9cIBfaL$@zZq8JsKG?J$Bcm-o7>0^@{i0uB$m9 zpv`-4?Tn+AIg$MLUh2$!(j4pjW&c9H6_;js&h#<bpRZlMpzG<xSq6zFS-H0u{N=t| zygfAM@7J|~33_w6WWPI|_0)Z1{-sT4*OfQQp7CuHOy955kH4=mo$;e!xA^TBB959{ zF3fmz<D$swXWnu@eU)wARvuJYxSDzDhsDf$_D}zP=g{}S_nhLKO<BD1X{t*WCuOCH zE_hyb{Mg>VQU||Zlz;fP=@Rb*PVb<<OLmwg^tKD0+M=;x;R~+WJPTH|iA1b9yx^6X zqu8|BFXaVizP$X$rgXPxBHP}E<KB0Jf3jQ=d*kr@ik%Xp{T{t}%kKI84vb!S)mNcX zrF--I$k~VF?)q&%Dtz+nv`_n_{+)ZZ;@*n=S^MuhM+E(FHv7ljcl6l0u>Cov?g?(o zHz=qW%J}hLG%LIpw3d&{$GJhS>b2$DZDH;G8%|Xjv#<R>_we}>Q~Jx6ag|(~A;ow_ zR;@(kbq<e+Onl-KmW>ay^!J4}@CpBZ;q`8E@>#{z=H2_}_)Oe1$3lJfOwSpOi7vbn z1$&m-ui00g`CGG2Ncs5fV-jueA8X5c^e}RtV^lh=yYaehSbpll)y!AVto#$Z<kSAg zQ#a22>w8DtQ)PukSg%6n^U{^6?E-RqoO4XRa{TZPuU=dK$?0&+US@%r6@RWo&Z^<f z^Xn87Y%7|x)<x@O&EmMo`|4JjKl%?oSZlR4{Kk=qvbTD#`d^;RwDE_?NxkE$-15go z_mzj=omsL(W?LmkW_Io5hDR1|I-gGXCp9<j-`=ja&sWl%Q?~PSQ}u-72|tSpz7#K7 zEb_DGM~}jTJsCWw3}?TOKK>wgRoi@xTTL?!7{hi{UX|rfkonUj+m&UvNp<^l5vLa~ zlzscX9xPbw`E0sW$}Yac&;ET=h`g|4w}QKHySw_9!)Bl7&*GYYGg7ZE;L`c2rN=j2 z%sZPS;d{kK>;BTx61HU*%@wcLANt}a^hV`U3?J`)d9Mklez8=aau<61j7zY8gWctg z6>R|vH$R^fp!V4=eClG)-;!^QV>>F(`8>5c;}&dCmm?ECMdjo_mMO>2CLViwbk$?7 z=~H8$rB}3lKe;ELElIHShP+<7e$tV<n_phJ*JFJ0p`WFbSzOZF-&Q(rIeyx?B|Q5S z%^vl5;`xMKcMA_subO#fzF1FlTf?fOs=vE!uIE0Q`M6(PhsR(^i#6Nx<E!4kp1pD6 z4U_rimIm9x)R=6SJX-k0vb}7MNcpP;>n^-fR`*t2EoHE5;+q22*|Q>lEe@UH=ce;y zipAr_zv|QaChebD+&{<WoSmb>#nzyoRZT3LcH~?NzWv+9?ZD9=uS%3XdVATzi&s@o ze`b@igwx`-QG5E;Ew>Jz%Gu8?r4d*7S-f?(@z=JB=f^G6rk(D8w(t8v(Zjrt=g#xq z?8tYs_Vvsgx%af)eoCajOT7Ggrs_8q^Mg+$lJ~Fq_*Y0%;4JTg;=1>I*UhA2*?VOc zr}N}$uU(dRZQB3)9yecpIV~lcAJnW6H|rtC4zt|zaoiKsjedC-HFG~V`Kt4NUYh^k z$EQ!NyI!1TbwKc@wSU**4|Dj=^qB`tnXJ2Fm*jzSmhY|a-Hh$MFQFt-5+$s|yQkm% z)o0^vCoF2}*2(^?w}@d7S;W3AR%Yf~y?++c6DHXyJ>&}LX<Zk($?TNb&g1)R_PKvw zwl3h6%ge-_y&wHF_iAv(T$-RZw?;x_O@r<HkYj~g*gkh^{hF9|!X{SvJPWt`%5r^W z`QS9=OAnvqx^Hk0>AjtG>qXdIf2)smsv$=A@}i@ymm5w{VqYZjrTih+_UpDh0iQRd zC%<1D7sqzw%GrXKE{7+&%ka<oSiNYo_0j)3_G%Qbw0{3}-6!vgV?FCaU-e7e4dV1& zc|bE=RA--`^m0c|&7If9lI8!{2sYnLZm7N+eYV|WLj8tsdOK9s3N%M3E=_%MC6}ou zcUk_6Vu|-Z@B4DySFE;FsNGZP8Q!s><m7Qim7mIy3znKjOirCT>G4wUM{&P6mfD%W z>zRJsX6~-VTBjYZecb-J<f&<V;u9A^yQ!`}17GGYbe(E*`_}m*M?NLUoj+mG;mBDv z`9?yIc%X>-LCKGw`X?0K_@esz=NZR`D-6%I+>%-xx`1g~=9ES0X1g^1E57zDXYS?u zH}T%;N+tH|77tgPpS@GFUgOC@<MhXYY#tu-Lv1dXMXa6X@~ijEXT@hl4ObU1q|{`Z zZDlI7pL6BNGIo!Z>*`LICB-DC?QiK(zPTx<(&@j9T9f#kqT^cUj_%<SX4`)CW@+k* zBgZQwe%n=wDpgl+dG$)L^XS)<y49(jiEPR{Kfh*^;`Z9$BzYkA(wmtnU*89d2MbDV zjh*sfg>j2;)WaiZ%;htWDNSo^Q`yTi%jC_610OCO`L{3X#Kq}nJGJekXTAEd(6T+_ z$;t`!-&=jJC7tiSY!vxq;h#50SOYwY3Q|2|UMM!@-H^HQU#E4?>&H#{6P{NTuR6F> z>{(m!+a*3XHkRn#TVh`9*8fA=ZpGrYaje!$FRwQ~cssoO{MO9kyNtizuUOGlf9hpl zUBl(5qx?3f9xj``cLl@O>K2RA6&d=QpFF;2!D~5@?=|mt(^bhHJa-;%h-7)l&=zI$ zS50!qz9U<uOc{PHQ$DH|c0?lW<ct?*V`J<Y!<9})Fzmd`q0F1{z1`y6i`8a5j?Y~f zj`%2Ng&Th?i;;YNt0MN?(>?5#7cR)OCF-QD`ufwe{8Inb+uvWG)t$0)TKmqdZyIZE znJa}d+__%dVf<wI8m7C2ivw#~pQ#FZxqrRd|4H$fh503;5bsCqU55%;mbm?&%T_gA zJ#ed5>HNec*4+EN>+@aKi*8g2jgb_VVZ0YDt<2M;*)d(GeWF+NFSRVKoXhozVSg6I zUzmL1H~R|h>Q(11TF+iOccb;{Pc^ZjFNN>T-c;N<p{8<<o%fp6TX+6G{v*9{;Q>>& z^Sf8S+idwtC-BI$ZolVC6-<xnuRp%?Vhp3E=*mFpy7)UCwk?M_pF5p7b!qSZRr(5_ zJ5)XNZgi{t<lQEItzmV+qUYP@Waiym6so*u;f8l+DY3EbZ_1|WHq1I7y(DDaWf#pl z=k5HL-8P)E^ZYes&DulD)ck#SbvtbiuD*L_%I#IWQ(5a5Eb7~{$EV_->TlIMU)ra# zuRADwt+j3Kz8OJ3?`&{*@^DqF^sjf8(lVE0^u(>E`yUQHdXhQgtbK`xH%of}|HZv0 z3J&OO{H`kWsqRL){D~NI^POk5%vRd?`DWMMrT!Owhq+vl&Du7@TIcVEZ2^CcJsSfn zI@b!HXOevqWAcs1X#d=@Nt-A9<i7Y;<n>IA?`C11I=jlhuwTAz(~uPZUgnSyU!StV zkxkK_^1E+zeS7fotAkeTt(@omdo`+VADWcW^07qG#P5fl?b3s%YNmcly|#_d*n6jT z4)Y<|3Vp-7hFc8%dM4&eUD)_Hbn}tboIjo|+AFN7C3Y%rwnOUm!pYw@GQKU9e|et0 zxKEnlq>}Y@rlPmE?vxA2oXX!OajMUmYe!Ohr-Fz(_tVG8E#k-CHsvJ!-Bes}mKm_T zQ_~>7r@{8kSwBCf4_`0qTHa-_6YciSDvOWWA^o|4At?3P0*5HkIg@$2@`QKr?KpUx z|Myl0CT;!+H+P8kOJ{U2uS<GAq0gk=^qsZI-M4kRwQ(*wpKL!$aK|6^yPCY$PLNrt zYwoh8iXY<yPZ}EB?LU+)-DiH={^ra{a}IDk__4x&jh=&{l2yoCR(*C`{fYW<T3<xx zExa)`sLw=YpR2X}3(JSEONthmvHt$P?oWnO>SpO5XHLJCQjPe<boBEoi{#~hY*YJ= z#-HH)<Q_I7_rK1<BtF+aK>=^p*I#bCx2sIjm*4Kb(xX<PFGsnOmRX<IjJdTdV%Oy5 zS(|PJ)}@-y7Psy?_xyt8$I690{1;E8OU=A#vuBb^-_i3^r{2n7W?%klO10rO1$O_F z4i}iV@xA-|>igM(z|`1H+g?Yq%lXWBuX8cwxb)q1UMlJK{x{#=S$m>gVEs<F+LD{R z*~`?GUEE`;O&?e8vUXf`|4G<~o<RMTmzGUgn$3~BAinZi%wF#0-Zfjc{&{95bm=zZ z+clyhY1^8_i(gMHnecL}$_4@N*mUN(hxjZ#!mmD`f4X+j6OTu`uM{0%T2Wp0-f-%t zJ>R+|7wuDAE9r7=jwV;AcF{J&kf(n48&*_u*=4M04tXB`Yqe(I2Ful(9xXX$Y5sTp zpLVww(T4vrc20OV%Vb}y!LA8gmTlX}`#WNNKATK;C{x&`TWc!H1q-#-SD$B0ULw6D z|DOI41>K1T9XDkD{Jr7*pR;{yU#6$|hWQ&B&Stz#nA>;C&eV8ievnGa)CEt~^WGJE zIE#l?HB8}hm@?T`Xy<mh=jsVN|LySSdt@H7y}CuYo0V<j-J1^?7R`R?95l6)`RJ$D zyR{A$$3I<Au}$K8(3S-cz7-YsaU5R7tof4TU1=sy#>`lrcMmkHmp5+v(PDV>%4T!n z;A-a1rjm*Aj=VdIT5r}J7XP%jZUbke<*wD%E%G+UW(u(je_pBc#rYS5jOa8Sk;6)- z`BJ{PPj|bu`PCEai%aj<z0%ybk>7m%3vZ|WRTn=7U2rMee66&4%7=Me<z?4$uQwG> zntwV)^w{35UsKB4_#S0_pR_Z{clC_r%G%G)XopQ+<<Y45_IY{D5h12ChS#?U`D?5= zE3i2*yUV^%#D+nrWBcDHv3cbi+`p*5Wms|}u-G&?QOtd<y~-l_XEQWZ9y_kPFvI`! z8$lNK(3_J_6h1UMf5}YF^XyOGYpGuLe`D6z>U8Z93U$})PRR7HzjEr_%PV#^X|L2g z0~j+8&3t{JHRYpFy#|Njg#JHrXEY;!D{0PsP*Lx?BlVU4Bc1*XrN10f=iar|FMRhw z>Cln64{sc5$&7AV%Cz5<edAW`yFCkb9%tk!Tx@XMzE!ff%j<aO_Qyx&&RSr8P1EMq zzFuYNIZ87!6MFss@oIOL1$>E`dM(Rg<NouC>t^0}d$eo&e(zH*=Cz4$ck%pt^3(iL ze6hat)A(s6s}*M1ah_kT5f&P|_tI?Jxy4HELe&OG&WisO*mCHIbpxB~!;K89({Hvf zFzKl`d@8g)*V|#M^F`jLIT=swvyM(~>K0P_+ZBCv?d&fTENZ{_hM(vcysH1KAz_x& z>k7W}?)9@pj-+ge5Set|<iHKnNnbRKtb~=Pl*&5C>}!^ZUvkx(ck2?~lNw2)@Aw(j zR3BfSeR_)RqsLPYnHew6b8fN-cFg*{#P0gqnPK<Dz84?dR$0~cZPQz>CCN=i3nqLv z4>esS_kRIbYKpot`()2&^M&nAo7Naco1e>+b{9L@7_o0fsL8|i3wIUU1gb2UI!TjF zVPEVjp~U6;ZXdMH)4Zm$^N_UNkrtQkbrVlW$;a@_k3Ar4f2`_;amTi!H9gXn-4aWx z->-?>+Ot#M#Hu-W^J3nE(Fdc8UfwgGsK0LStEs2-!da!ZHgNCU*|%is<wNqXc=HdG zc%S9_%W`4)>+)}BICdUC&ggPum*A#mrYCL*+dfFSyp?ar@O-`O&9r9g=QW2mmT!Oa zuBCDDT$dk<)Gj&uyxb=J_>cXAr~j8)bn&b|nI_{DF7SHU+fQ#KA3frCnV@-KW$}_{ zI*EHHwpbii^x}_<cy(_Hqrkn(0ZFY+W?W|_itlZm&~*2}p=x{i55?@Ck2$^AALVSX zzhu+QjqE1ccG~|WKX%B99&O9}9d^#FO=m5?+x51yd5Z3D*5uyH&Imm;E%?Rjj<k!$ zy)8@2rxZGxsQ=SR(a&pO|KG6gsDiG!%<1*dbrxF}p4Hu@lrHbr9NT8Od}W8>gav9& zN4lT=UL38`ec^EktNd=J%a=a9I;p%zra^O&Tv+D!_?nA{Geh>vvzi`#JelVfW1r*n zcS3dh721y`6=&?I<T-sz^0#P~X4ls2EnMj>N1GSEsA5U${_8Puq5D-n-Zn=!`BNL7 ztS&OnJA3xD9eaar)7B|m&Y@8sWq)*JK2bl#wO06VytkaI$^G(~mkK$L-{Ie}LvZ=2 z%Ief0)5YnDDk~p(sU9|+Y4d%B=PK2y$3Hi2&lP$4I=soINvGw{YRBlMTSUBWvlJei z(#pYB^<=u^lbdJnPiSFz%UH3xTF1rcz3u0D2FF*W_*`7UCwY3=Y3G@J!q_gaH?uG> z*lpXYcxQ>=dTX8gmp43Cx#oTN{8zyvO;?U+pORg~c_kwtUO(|rO+nu53~@$QAAQsJ z{k!(~usz7-ahBL`WO(-FLH$|v8{bc9Zg)PM(V1XTvhdQ*qLh`J)2o$SC(ggOVqOJj zOYW`1DI4d^-E^{@&+lkZjBRYO#V+@<fUFDd)4#6XdiUr>Z;QnW3gXjZtb|$>|A>C@ zopIOvqyL#=H-**N<?I`Ht+tjQJ|j?5?ijc7Fo(wE3q}h{9vYtA-2ZiFhT=ud9P>J@ z)NPtiM5o#)B&O|X`ZOsvFUz>*@^PP<hws{$w=kFoSRab3=L(uveac`SvrZLn_op}d zcS_>^_dmH-dd;D!cG)_c(D{xjTQ0r5-?O1rx=DKfCa=l<lGn?3$OyGbzh(LP^!m9c zmg+LwTnoGXE}s0b=RZ$u(E6#)HpNysz8b4t*#snA6Gh&c%Q7%J{M(=UyvA&8S>)y9 z*PEv8oa_B@)v;6A8f(8kPdc+9wZ1ZdIe6Rqy|Tglhjyl&_S0BhW&g%t+I#k-)Z1To zDn=x^#(i6V{O0e*H5aUTY6bqxvfD0t>%km{u!|RGX{+T`8BSNe<!`)g)ogjcu7v^T zMa@IrOK5%B7h2qYUTV?m=aaaf-_Qzl$z1$Vp+O_o>R;%EvaAOUw&$Noy>3f6@-5Zi zMT7CVJ@&OPuHU%)<PGngE3&&)G*!awKfJJgHcQzwHBN9txZS#ptqNyS40260!f*Rk zFWsWp`X}?%$@K=oH`2}@5%{}O`TS$OPy4RjFtc%(lkd+erSL$~g>k;P+<Zs=7RM%? zxxKP6`tR*C+08p|<`ifICdKzZoFjkh=ZRM?KW2&Ckw3m%KAGpI*u8=<R<@RsYq#aA zAJ=@;s#to$-63&m?t`ck+g>?1J4A_gM*Mug`{5S-Ln~gaJ1J2xS?<=U$Wz=CE0W&L zy~*>(cgCue|F^bWx7#1>YyM3lZ|=LNhxzu;`}#robh7p#HHEDX_g5X*nETOrUT*HP z=(7Rg2QTjp{j-9>T46<s;^(TyN9_%I#(`&k9opgEb6USXpY{5xoc_n@W-}M-Xl_@& z^1b8M-%ZONG2GG06IorfwC&owTMnM(r>tsRvQL@wtTq2HKR;tap--w|-rfT(3`X+i zN_Uy+KKUG&d3VCHrZ3v(s<z2aSjIkGoo%bo%0yw!trBx==Tux~aX$EI)|nr+wsR)W z(c%5S?{xmP{fs&Ch6>+K)j7rb%L*L|)bwu5PEeX^+~e1+dOu$*aQ^}8UXMp=s<YlD z8DBX)qmAv%nYlCfw#WRNbx+s#`SdyeZ>3yZu<FBP@#~l6&a|<f->&-cpH^pAj;C>G zDTB*l{s)!M3fBAGxYCt1qnT0sg~8^SS+1c@SJ;E>wC;r-X7kLQ_2@{o;QD%oNf+1z z8FfDkl=E1v_f*&y{@XWNYhFwI?!Wt6*X-WJ^LO%@7n;j?AIta(E=h@BU^Ca6#s98M z>Ti#OS}sch6H-#RTHID1-E6-pmo=^+k5Siu|KTJr)(xxjn{3{FobvPx*YOaK8O^l@ zc|vRx3Ve-o!w=nX%#vb}bT*sd822|cYu}lZtLBPb4}A4*8E<IsrFUggcVCyWNHm=^ zx$wL$$DMV_P4Ao8*I!;(9d66)G_iV`ZAOXaOYH?&*1>Ak?cw~hd1~J_oQypAK~G4c z<f9DhBL!Pi*Mn2e^BrJok|^37Z?ZjqW!kdy&J$lP4NyEC$a~G{l-6Ol6$!1<EGkN6 z3$?`UPj9_-Uh`G>tJb8R=#syci?=R#)!KdKZ0XN~3dVIiRnD7L?`GemX2Ps^@qPBx zg)!6WZf?{)cj;Ze_|!?95z$U7cSz0U6ONwr<Kg9!lQPeEW*z)L!CAFaRI%oPBeTZ& zPl1JgIf?TGsx};NalFImVs^nk|H{$Tf;VlIFN=1xJMDh7xQ~VJg*oTi`|K>Uc^Owk z+D&?zwdPjBtx3xLNh-_l6)v_344jzz<B_;bRObE6{Vo?2k|)-FZ~Yf|C-Dl;=IAAX zhky8fxZ2octlg=4a>==3W3j^B(}N>*a@xXD#J*>9IR3J3Ir;kQ{q__7LHBz1-d^=W zrT1>x|IpcoMBlsUmU4IdN2)wEi=C0iz`Ml!ysCs>`->eDZ>gPMFlV2tyu;M{ZP(cs z9sJXh!CQZ|_}tn#tdhm5hxVLs)_p8}pxi?3`CPYE>Sq5$zwb;5C{eWdcgsBcV)d76 zn_c|wFE+dPR{E{!6Cpj9_}y_lXCKCVxy<}Nx}hst@m=L%N&AA|OT?7)-)`ZM=wHYy z?C|~a)DXkqlkfj5kG0lSK2W6M9I)|l%xT_-Pd1(H)!fzj%Cmi9`pRqWFPcmOJnSN4 zj(5Kf-8oH-^WLqiC7lkp<s!0#Jzuv8Xxkt8%V6^0rh$#;e?#j}btenfPCN8v<K!9l z{~Y6e!ZuZOwL!$GWf5|$T7}CPUc_E?{*)o|{pyV;=YPn=<~~#D6pC=)a_!QYdsX6> zGmdX<T_kYjiueTM$4lSkl&^AQ3;fIRcUd08QpSB}H?g@asmZevl+8ZkeIv1?`oL;~ zr~Muk)>p5b7MZe`K{Ph!qol*stb1a|dv<vJ-u--C-tS{4=AX&Cyk=oFn>f#6%U|== zEBZ_hr7sY4X};Y)?XG{D<Am&N!xM%wP3F7z<@7ILUHqkR_1_+!338V-D(q|OG=i4D zFmE|~<>c{%sCn$G9_mP~d%JPYo&F=w^WIH$Z%%n_8D?}X-q>~iyHNg+>xn;(?Nhi? zCOdK3vKg5<(jMD9FKTkFyy1VOQ=#JRQ+}=VUej5&q7EyvF6<G_K4#6`^k8~{<W?n7 zE@{X9e@(ldTXC#>Z(Mol<sFH4!jtW9m91_6_@P&Aasx;845w@TdgrzkeeeCPHC;v3 zrZcJj*K>o(XTO`CVRibdb#|FG@620wGJWIrT#EJ6Hs5};K&ZZpW1Xnav3YD~+GCi6 z5AEX>>I|%juQQq=_k(lc?I#m%Uz2Pya#_B7zM-#TBG><u5(Z{74sw4<SoZj`Z)SGN zHsw2qnr5c9{_Q>8ZDJs*yLA31h6y~+8TV?G$x3E!d4J6KKxofq+bX+GHl0PrT~9aE z+}?bCYveW#iI-7bufr1$Z;yYHEU_!|H1Aq%mxbyfUy5aQt(M;@tv}XxE&uw|@<<2Q zk8f%kqtvDyb2fBa$?>-A!Nnc(clEH=ORWm>^H%mQ6{y_CVSk3TW{=5BP5pU!lS<lV zwK1Okkdmu-r)iqtU#HXymm^=A_OkUn>nq!EDBfZI9fiXpzuGO76?`%^g3UwE7+<W7 zc*auha;+-kom`+dcXH8OQ`MFmuhxGO?bN(1{3Mn+`&npebZuE%@~^)Zt1hPM9yj&- z*!pLx^5MB*A74wP-3^kOl%e)&|GzzdOvPq#1`FiBtc&_k^Jru8Yi7ZlZY+*xZ*5?W zUch~oM<mJo-ns+It!E6I&%f?)ZhReTqG5cLsm$E6*nP5E<&BA}L|msmY7^?03R9nG z_VxFpU>{D6i|@?Vx#-WWW}laHN3z~RsE+;q)y0K}?}&>wJkWMC5OfoXzIK#x@{eYf zv`78T>f#Ssmp|ZkTV-<CF+cYE$7{PCGd<3HFYQ|9e7sfS_w22c|H_HAUsKPVvBp#7 zK|#z3nN@qOR>WJ+J#Nq-bL{sQx!@`7O)Fi4`bt@z`*MgIO1u)Xjj@~XQYqKvlHr~V z9}V5*6D!uqf6KVYe#_L{O*o~$VXE-X_PW5%=N?|>l`6Xf7nNRBls{a4$6jLF*Jox5 z4i-1~?=EkVPxC%L;Z5``zdGyX5)*FzKe<1Z&*RpUNvDKFL=r{b&S#pN$6|Nfj>jrw zzV;eh@pVhh*OX=cpJ&f`V!f@~<*@yoBL0^ScmG&m{{PXfs0Yim^DA!V?y;=<ZKx^q z*TC#I*M(x!|B_2qufI}wJzFvK*WQj0ldqlUdEXSC_MH~}tNKvO-&r>@UmjbxzCCBp z*R8tie+HlZ#gf9d<)Fjahx|YK=iRz|=hN5Y7xYfAUmUmHf?Mw0U+MVI`{ebn7;U?< z>lItT{{7jC7A6O_2HxN}B--oMnffn`F<H;Ct@FbYHM`;kztYTW4zw=2_R3Y@Z0O|K zdtGZXs~VSydYzZJu)yK&JhQmI<-LbKe#z5vGnv}5@TOH@(*2)#>G>wHhuxeGEK^-w zmCRBQHqGg7r2dl0`%G`J6?>KZ>QCJ5e{Z@}R$HX4(@mL5Zl-=;U&g<u18l;Irm(Y{ z8b3Xwd6sdKL9S-5mhPd*hv|#_UVq;2p@06A`=X;)r$;`J=->Q8ILTnw>9R)o-mU9| z*ZFfBoje(yR`BffEm=YCf6c-=cK%27>W(NFCa$eIurEgN>RtB8h0Yo0cDkO@o$jww zHaF6@=+}WInXguE;LGP<fBj1SJ2%0@5lecT&VH55T@trg&N@wsDTMcFb+oKt=*t%g zzUi}kjb|n4&iQ(s$#Kggv6ikQ>CfI=ZftoZR2!}+khAzz1Yb#lkhIjc&yNmq<mC5$ zJ;9e29JJ~Besh-yi`~r3?Z4+ZKA7i{^f*&xnTbWlsju$WV$J9L{U?6XA?!q+ium%o z4d+%%t&jG5bm`#NpT8Ofr1mj9U8+<pU#hVqPuSzj-<Z5Y#Z$>I|GhBH{x_NFK<Fyx z65r`o6I=djI|tY>9GjB+pQ+jI-4DIfs_iEiDW_}KzFio1{qtV|w=)%ws?6(ta?~Ez zUUucP_*KrV^I6r_6TS03_}paoVu(Do&3TIZ`sLU7_cnKnEMq^P<Kwn>1)rjc``x@P zyBag=|K|TvSfzI~`*P^bJCAQom~)8r*xY!}$Y0X$r+vG5SXF23Mz@1Uc4w-4{yj5S z_0pu;zmvtdS1<P9d|kh;EyvO8)jOGEcV2NYa%h|GwEgtvV|w3$V`i(?xR{-v!ydY8 zAt%RYsg$jiH7`W;rv^1nI@uJuqxRLy9pAhB+cTd3oD{SAh4MwNV{cw&cO6jQJM-6` zeO9;3`J}q`-{$CD?%#S`uVR-+qm!BDO77oT*CN;MlH=$+;;JyksZ!(q)*~@Xx+{fi z_2ZM?<Yw-F7BneKpSPo9{;pZkb<cJrbIqK`yLm&hKl_aQE{UC@`l*ZfH_2Ouq#Aq= z)SVz3(Y5Kg?%RWB1w`y?dumE}b^ESODM{Ma$2#%#joWK$mZx^xCf_Z*dT`I%c}tiM z@bNyMZN4a$JDD;3NBURhSO4oLmFqEV;k^5kapSy0kGm%=co{GyvhT}0<2`Fv-Tn3C zP1;_yQ+%yaA2SkIa&~h|^Z7}623$Jfy{BDz{qev0#+j)bgwAdEd?vl}p^)OWT=yUI zOfA;yopI+Y+`uXG;}cg=ivH3_f#>$#u8R~sLwP6e-SS2tu2txATdk}3v1{fPI}&=1 z<jlLi^Jeeg)%WV$y}R_+hJNN-=fr#ViI70^0}bP~%PM9ZG~zxz<G9EM4&T$OZ5x}D zZnDXI-+3fTM|4&1lu2q?PdFNm8;3Cbyw|d{c}9nx>e43R#z@y??)xtFPfS$HDcd16 zVXFVR<188(@=V4%sw9G^{Lk0Ft)uC;Pvq70Z)c5fFE<kC-=aNHsz2$r>8TjM<VEY% zz4nH*ED8@)@;vJPNTzW5SB2?`))&Gpl-uXsUm+1tck-9>+CB3Wb<eGQnYWNJ>Ws|Z zg5ujZ?zivUnk}H5lC|ewQT4Iy&HFa|Y&Z~|Vv@gn>d!5aK9?Ot*NM%ooMZjt<BsDs z_q<lbPgI<mDLW(Hb*|0SC1>o5_h<`PS~c5UaXOcJN-QV0$e`*y|NL+I1&I%M+-2pS zebW5gR<xkEFDl*i1y@RX!_~UY!T%DDC+EzqDKz~Z_Wx?pnokVh*0N1GJ}b0O$KYRx z*e@lvH-0|GUeDr>9-CdPDptw2?=jckik?HsFK@0$(QFX?^h#N7`Mr-N8-GZjwwaw1 zyVLetmX%=2_Z<P5a}plC<*&1z(-gC)ongu9c_-si6S*HJC|;i&#OHgbM(d%*SFXCX zGnJU$tXiDv(Gh;=|JpDmr@rfx_hu@;ZgBtR{iXNM?Zb~8{krSc1TDy9wBMWHT-mti zN_(3BlaK5EeLSSxw&QHm)|Ip0+_Pk2m^~?>zGU8hPLAz=r1koyY2>&_>fSt)s&=|d z{nbvkc8T-Wo?Ujkq>mYR$TaS&J}|TXiv0GAbK@WPM{i%hC~4un0>#}c?!~?OEVC-| zrOBb)g?sxn4WA#8_%8O`XZb&0){=ec$G4;%*mT-_PnrA9jrZ#xYc8l1>p3YAW1IWB zYOl%UC&yi{=GPoP^ycx@>B^_K{`Xz^)@yOu>t9ztcisE-vD3PH!MXKIj@+B7*cjt= zOki2&Rl7R^XKq<Dm-8<*k6`z4X%I|Oar?9C0fT_8di0}2%bM*0?<US=y4ifu?UhZt z*YfvVxeL6Nw^s5rgqd^tczik3GTmkw&wsXmr**&OMt@X)o2f5V7+rC3-$|9=)t@y2 zr`^B%?dpqfvF}O+8<;0foKyR(pm~nVtn8w{b{D67&#~H}`*3!7Y5b|&H<OdKo(b?p z+MV*c{lHYrn)^X$g%Ni(C(FHB?u6sl=W_lyoGG*E`R!@DcV)3IVVW$vee<(4PVW!5 zg}(JUSZzCAs@|;aBT;Sru_VdjEyKl%8UIdpy-?zqq&8)x`PI0Ihj`~d+u@kwv+}v! z9PJa~if(x=ogzKITV#6vNVb+Gcr(UD^vn{@=3H`)S%9~OxwpY*_p+UWH{VOGi(gxy zq?fa;g7rm|<97SAm#_Zv4-TFrIrZ#z&M9vd4l+jY`p6dN?`Lm!6<Tk*`$sripY{xo z$Irs`E7v{UWFEv-r0^mA(c!hrHtxSAb+T%{m@$iWyUU)J(WhtEN-XCu>?>cc8M(3L zmY3S{6MT#6H;CpbFW@}e`rbeFWL={-e^S48_>-#Ol-c_VUx+fgxTrAkmfWjU6Wg7Z zDJ6YvuhywoHMc($%#1xcM>n(T)xSTrn-?&7H5@v8s%phT4&6JZ)oQQ4<V<+ew}HKC zUD<P$Q*S<P`L)C657%Xr{mUm-y*d9u*YCkI-<w(M?&#)(Ea6eeu8}$+5c%2tN7>3c z(dLbE2InI+7S!6+=KRste0}LpU*MDe$5Z&%?^6j_BWJl=^z9X^I}iJX1a2J4*%HvV zJ^510;?{;#Wv1*qol}2ryz4CZ#je}o%Hyu|>xLJ$pZLe9`au1m-|xAz=BOMzzv{-# zf2!%4Pr8qo+jVVs-4+ym@Lp^0{lKMynyG@*MXsGYygPhZX!?Cu+ev~!KMgm9M|fy1 zW^md2=8UnmHTOrULt!~q8y2N+C`h(dXur0jFDo$i%)4u@Y43#jE~VL}Y+R$RB5r-G zeA1_nC$}6+(7pHIXpCI=nTXFH*KZTKp5?u8Q(4=-KG8P8eLnN2Y<6JM{(a}c%XqHM z>wh*(-p#RVWn9(GLXYj2#Gb$SrCt^@*|?eE<G=l_omVXWB^VuXU<@~Y@HAI-;n8Is z$(d%SKP&gFpD4HBv}s@3E$(Y;%NyopXqFV0zWu#sZ{m@bU9sz4+ittf@mx~r!2I=X zLTiIoT6|b((rtXMaLek+pPAY=UvSqi{c_m-vgiB7nUnNRymMogb2>CtaDKtj;0;A* zR(F2PbZ1cbw|CP23Vs2nBkbF2tJ6;kM$f!_IG1x~uI{up$ySM?n_4@1K1`qTz+vhW zcV0Ka(ADfZ`4J(eIVU&mT=}$0oBOq8ZeK{t%n!_u#qL$GrM~dH&JwgVq3Cj8+m%&z zi|bW3wN6wiU0jhL%`8x{sKQ7_E#t{y?fPYlF4!?V;Gd?GHY56`Gh=@4mjw&{WS%j3 zR#~)ebFQ1`;!j&w$~@w;%74Gpe|c75sNR<7J<ii_9JW?gJ=p1UN>a+j<Khp-#-f>L zlJkBFt*%*6xlntb^1buF9e*Ad=J`JL$;vWI9WS>td!Kx}xI|T(@zqMFu!5!;&-&*b zV*KP7s(jt-<&PQ*hVQ?Vv}WYCOgs1by1*_)KYP3M2kRd0Zsb^bGgz<jT666pwbp}% zb>_K__oF|&JL&!OJg4lwozgiQ>q=hEGnG`Z{nN?5EH-mWUZYXV9&^cKFJ`M&2yZ%+ zbNk8GEq?z`J^XU|?AH_DR5ce$E^Jcn5kIdxhv#@4U&Qf-`I8T&IPnA=DK<0bQU3Ih zrDWf?@8|fH{@(ZaCL(jy(RA{}MJtp4Y|wrgo!!TIFXIb)SLSb-i9c5AC5fF2sxSV} zeK5G}OubW!x#OMVS(}u^=Ud;)(pH;PUcSr1aMg!7OfRnJi@DF$IrY-O)s(4t+w<!W z^TZ-k+n=vk$lsBD=Rw2FFDo^Z1OwjXZErl$s9urc)wOw3`Oa-=TUi#r{!#O1!kS~c zuT@*ax}0=8&0l2l8M8cJmtd5#EON#4zn&ri<z-ixI*rRed~tYlK;B9D!e`<24cvB{ zg0w2QuWjPunEQO&)uTT?DW2gkx7gt3AiCO-dF{I7W-<Lqm1~~q$_a|rZNC=WQ!L9j zabnlb;*IAo=gwRCI&JCXQoc3Y<v9}>wmsbRLZN$4*T%=~`zj8`i$7*u8Cei<TDn2r zBktq3Esy$V2F=LYxhAZ=spGwD>E-_YO!v3@y__XdUnf)jaTAZO-fnTT9WhU})<y;L zdChM>T-2t!kW0UESNw<nAHK}k&iSIcNy^4`y22&%?s@HOR;jU$me<4z_ysCH%Aa}n z<eW-Kq*I&h>9g!dIu9P6aqUd|k_FSF9-23uyr0z=xYnw-MgM5cGd363etw@G*EuCG z?nJ(sDC61mv$-$AbZ@lHvX_;`vE1$TTnj}_cJP)Qo^~he3)4G;&QH6Q|F7T2<2y(1 z=#+LX9jO*|h8{hRuC5lQz#nnlt9x}KozjJOK3EnWpEK{(9!6!Wuj}1c=gye^wJl26 zF=^#X<7l_Xt9q4nrC-@!csNaYYyM%zXJR`-mOL(XWt^!IrTAm|83XPr&6iQG23~qf z(_=dSC4E(A67)JMT%^k~Dd+vSr_RNNd2@F<3S|A$u(Xg<2@?JJuCgWgpu&;d(>LGh z>J)$E6-qC*kuUhqtZHyXNTb?*!iUD=86R4IDjwhP{Mw5WspJav%d6(F?X<nTt8<a1 z`Z@OWXY)3ewgueSGgZW=<k>gh7c&&)12|RKsw@AmX>;sxJ~Hv9oSBS)j@Z;EMF-Bl z-t7MF<g+>ERyTE6q{0PW^-iB}Uc3B@F~d{tru&93IuDzj^$rL+v%N@a&4=pMx^goE zj@%8=@Ya_0HV>MuaAHz|)TV;cY!25cFYeTQoV6z1SN^kk$KEMxUo1Lfo|~Mkx5C6x zI)G!V)y;X|j+)ea9o+uF<lNK1Y5)C%t<xT6T{aM(r{0+{LC5*6*O}E}JngZiy;`3a zO+C?K{Q3^V_tS^>&U?$<#2oU%?ZP3;i3Y3z{Q)cFbC;IC<&Rlab#3?UlJYhCS3G|c z^L<sPrQByVvHz`a`k8{Z{g@P~Kkv%X4`<F^Wu0<t&rX5O%f4DWE?#rT`h7^WQu~Yj zHoG=UpENR$z9ILqnK`yg>mYklhl!c)+vV-&UOjZNNqWBjjY4kVm-!Fk=WTgg5IB=r z%<JgY3#E_K7JUDle`kXJ%-@?jX5{pSProMSC-|v6al^-(8PlDgtl_gTJl41?O~UIV z!^A8350VOF!_sTHO|7-1JIah!PwnHJ@j{`CSz_|nSA_?b9WN`(lgiz}a&W19vgGAh zPET+3Yux>ECi#|X;m_B5Rdp=>_3*|o?pa0>%nR%s&c7|uuT?nRb2})IYu6s8)05b_ z3YZlHO6_j3we2sK&U(AS;QS9m)`Q_TofVzd;>Q<0@11q@C+|GbyQ$WlE=TiZD&~ks zmA03Ptl4=(_scaFHv>k4=h7#+5(+gB+ss`m%<C7Oqqgdt(%b#VJ{NLwi!54w^ZJTV zCr+{2XDOzaFJDdYJf@pdD|BS`gvzD92Z|l!W=ExV3JCU`Yv9dW-kI9@#EU04<)%>o zyuAh~4fO#gFWp~ctkN^o-DC8&+WwUHsYf?o7is(`DpXtOG~00VH_5^@E04c|VScHs zVuh@yu2xCC`;gG9C~`}r)a0vUm&%dLyr1R2Et{pe<U_4bZ*{5U1tZyzbIT-eSug2% zYCVnR$i$CTUZzdWxp!o;rXAff)sf*sv*vlF?OV0B%AA#HiEhhu?YGUpE98?@)^6@N z=VJVm-)8TakL6E%xvBBX&ij4q7ax#lmR;=<xYn;~r^HQ#*$EE}pE8LsCQQ)Ex>&Ej z?0RW-Ot*<d>65(Pwzi#2jHeS)&&+lERde&u`bB<k+8EFJEO^>9V;l4Jx2wJP`zSng zwP4$pTPsi>zgv2`(ovC<zn&O%+HMF^GE#YSYP#$vG27gy4gUS#)z{QpGW`|hKIXB& zYTX%c|1DGO|J+#7`~O$cqtZ=}rmrw!-Mnf`j*s{Ecjt{Gcg%a-YP?J8RLCnWg<DO# zD-N`-&G>iabXSY9`AQE<w>$ZT9QA1yp?;NXPW<`fQ~sE9?oO@#Nh{p<^8Zt)i#Xu< zbm7dxJL37vB&WKEMi*}N(>Pz*lxXwrlKkn*iM-Ku27&A|9QGQk^O;!d{1Qsk7r(0) zF*m@KY1LdeJKMRt^X8}9{A$_R9rk0Ao@<%@lBAerVwICG>mAdQQurfR_9BO)sO*W3 z`uy4K!AjMa+VmF1xnEYYI=N=cNvntopZgPD3OM>VZMQDm`j_(p+pB3aHFwpp{uH|6 zpgr|M_>;#v(L5WrbIy3VMc~DX>*dJ|^EPK)S@CCuR-5g+{l~&&wT|2^bq{1z^*zad z%j=<t;gwwWS4IJH`mu+F@BQUI5?67ud|$YbyDMvL@ujHV2uJ>T{I~4WxBO!A`Se1h z-S(PE+G~-COQWif`CE2p%=53^zwv(e&3ChnHohrqUMhaX<=w9!*7KST`&HNQ{*z-8 zb$+!q_A~3r>7KFs+?#G4)$Z4_`I6Up`S}~`W!v9pGA);%7~Ht*&N3PEW1p5Le0wz` zisQg0d$%jWhn(D&8`McFFNjnr%PEUDQQ=+Zv;QNr>Mvu}1CzNUGjGe!{H9;c|HZp= z{jDW-e^$&{w>FVM#?{#UOoHc}$8#rUHY$JJyr=Shiuz}nKgFr@MYrXySZ}J_+v`wY zp?y?Fbz9Q!b?sZjCR;n5c6%f7Ksa5&v~$gYI}^^AKDYQ|VAGaq_g`iG<SCtB-b`Pk zc=zPVZp|2rn(A7)SiXPEm7!^~Cun?jd^2;R(}K&KTSDc8nhs7`KE=)K(dVo0yDs%k zo-Ea{=c~x!yAls-vyC4bdTwfStw?1^JjlN_V#(=M5A9s7mG8X2G~Y<|f1!SlXI4{s zwRhpG{o1~6>mR$XO4(!J-W>67htGDmXB!+{*w^Lw*nd*K*r>nYOwzhE#>*$%t2E}$ z=9hZ8yNx;Y^|bap$!o@|eezHL@0V1SJK%Fk)FSW9g9``O^SCPg`|V=2R<r))g7p4F zZrV)CtGOHYI4{<bSMACC@c(DMY~<m3lSy3n6-@$z+s<3ZWq8!{eXe{Uo9LxqRcosD zyP?PJNJsC*7Q-o?o9q|0IULmx=d9_|x~o(%^}c~j*CYQ5zge3^8QiUBJ}g?j_OHyF zpQhSR_oUCfz4W$s$NHW-N}H@N>^#zC+-3Ud;L}U|lSR)xe#vs<;?!v^D=W&<3xE8S z5&Irw9$Y6NCa2tA6?pZ&GP|i7^ZwnkUu$G~@)@*VL>jVBF;6;qvPkbDqiI@(=Hk|+ zfAwd){ZQ&(Re15#0;{htglB#~_m#y(!{9(y@V~4nbH2UOWXjq*J@(kj7)3`jtyR38 z+p6v^I=#he)^2Hwxh0!}KU_GG^6R+&!b8V+1LW0u87GLm6F<&0$>_ljjvpsyeU&`7 zrZ3Fk$Lg{fS5JrUns{n8*TlQYhku>EV%@mW>qBS@xBtR`GaUBUw#{|%<a&HA{`xz) zxPGr+*B;4ohvm*m{>(6ML)6K8eirOb>n~>C_U2hU>*J3#Z)9@*&e6NKi2qUJ(S=Jc zX?&fU<NHNIZ-3^u%zz6w9|pdxzp$h>-YevXyvMvy^RnQs)urOg{*>4FrWn27c;3Xt zUDosLs`>kq*e8@p%~O%y{Ps+lTusExz5R*&7A4c>B=s`wvOnGP>bh3Ytwnk(6@P3J z-nl-qTDJC4@hKP2LI<S`@fy{|AHxnw9+zMK{<vGmrC+~wU+lX#_mkekG;8sB!TU}> zaB}_59^dthv%YZA*=Y64Q?gm^m*}N-M6Pb0+qO}-_D|l{0_N)13ze?Me}80S|3l#N ztR}l@w>{5DC=_H*|L^grZe`w_m)p|TnD!Um?wfihzSKGOqwm3Ub*bJ{E$(eloXK=C ze9>pUt#umaXaAnl`K0J6tz31wpqNGR|B9Sz-kJxmRC~*t?4Gvrul<4T26O!TCfuJX z^-1N2(f)mt8JO}bf9%;HC@qt|CtC7g{XeE_k9MEoUr@<n(kXIhd5!*Q9rHbV0yqlR z?AA`tTl-pjk<zr6yLTq#URxI;rS!s^-PC-^-;~~di^K(29t5alD(XC3qJMqvpVHKI zJFatWdzyBe?T8wCGSBvyn@$`HE^boRe~>KvL;l$9QsILk9{Y0Eo;=>{%jU)2e|y!j z2OpWVj#U>r$L_6@ao)5*YA@fH-n%W0|MZ2F4p#=xKh)InTx@1>%EGy-`FHc*JMjyD zG`}9>@v3ygf|5z|J|1A`{2%jZ&7V`1#u2^!HNFStv<Rp^H~73JaLMzUcbnGDI(%mK z=Vx~puhFV4XM31)>B(M6rmRg{xw<|~?-F{*x-8b@_}7yz-8X-$h6qhIoyAjb^L=+* zxT|9A)n7~M;=LcHeaukV{D3v+{#m<Jn>qQppKFq{?sBH)@*m30&eb^M=6WQZ$zA^O z9S<=je~IN2O8K%xH~e0i`+H@zwcqxKQ$Lm$-R5k6RyjLY;l&}btq0v1{=`=Dsb3A9 z8mfD3%N^4tx05An68rv0JEzz0T%2(It?19A4~qVrjXJPKBG00*{`IWY8h;b@m-|-b zs&7B<?XkChW=dNtkLL3e`#<eJGkF(J&&+eYeVgLm2VLUZxqES2fA)6X_pXOLW+a`v z-}pko$*HzcA^6(WF9+p2d~Qu>HLhH?wSedN)s9DjBGx}o@a*hbv|Tl?*Z=-=!#{zd zF@2E>F1`uv+}mWU@;Gwy;n;7}EG}I(J2Zd)qm%Cvf?gzF*!U*%g4;d8eXZvjGi&@7 z-je=3Q;F-v>XV<kJzfZEI@^`a6KuIUQ|V+;<|>xwTf-#oE38u~o~V0yL+Q$W+t($g zZCS4F#L;oy?$VQzOF{v{I~AktLKD>uY!Cgrabk<<yY?I>2Ya{gue$BH51TQ01aNaN zQ#r2Xa{9#soeG{;YvNiTF8F%#l`8YX{_yL!*<|W#_J1vCZLXO8;Y_Xu|Cbd@id8%3 z85;E#Pg%KFZi`*u>W4!2MK_3^xbt>TpVCPat(naq1fPo}w0WPfH{)}Vbbs;NF?0Vv z*6by#c`5~p)Yksq_CB>;eA&|%OPnLBKb-Y<>)52AR+q8M{rL@XhW@-y%C_lyK1nhr zns`;R3eLY`v8t(b&JQu&+_E!l67eqguUEWD)9%}+Cv;cvXuQki&kr;=anHy|Ugw#$ zXyV3S{5!TDcE2pHcuc3j^-ItZkE`DGdlE~^8Pp0Et-3Lx%RuW-bOd9<`W{QYZEtQL zv9bMc<yFF)&!v~BcX`SU%ae;(zWn>~&2GcQ+tQY{B@^0i>Gr9ezYruW)VMgd)WE{m zL-ylt&(rK`m0RAk#_vgP=iBn%iu+_gd%2PG%$eG|=VYz^RI2#w#l)aBYcHqg=ANj1 zy<xvsv6-6s@)HiPc>{iy{r=xwH6t}7;UK5@hV^o?@0W#i{WW~`?^1k<y|wR;rg=X_ zyaM<B)h#Ig|KY^5Da_`tx75Dm)|&JAZ;<0&_7u_11A#}^$}jMk!nt<R_8)<1`xmB* zpZ}_~HZCj6ylC&-236eyDr+l~pRrtd>85qnVact-H~&q%{x>G$t>a{)b+he1CO4lA zb#R@&Zu0A`%U_v?-Dxy@*70h=-nE@F6B&2hniM7+wL0$ZG^v1!W2rw_)!+DVpNiYm zw7J4#dh&X<RX@~TGH*29<?*@rZCtSQ<G)8NPxS3n;AOk>+m_*8<=V=1zfF(dVhjDG znDlc8f9Jh#XSMSt9(SoVGjCzhmJ$B>WOc58OWwH`TvjLMEi65na7g9(yVXuo%=7si zOAGVQ7`QB)d~ci4OogciG7WNK$DW_`-R!2Cq@%gx7TdJSnY)fkT0e<--PtO{f2sc8 z4w>#Z%9~GKSI*x#cWyApGXK)AFYf&fYSm*{e&o{u_REZ1iJ3hTaVusmnjp<zZV|>+ zDwfzO@cYxuV?Iol%Q$3Sbbs7)SbKfR{I@+EO>9ZM>5M9_abL|g-db>Le(r&wONs*B z%q2H3UzMp0cDQqpEmOdzT+4FVqhkT>pMxJtO0%hO>%P$H|HHoaSM{!<&$mvA*o*ye zDYr<kKXGr$Rj)Jan2t}&=za1a?U3W%rHR`wp8ML``q*oUXsvQj((;gdag&_a-)@<p z^g~r^LYl`^NtHMMHdRP?UT>7sojY;&)$(&+Bx-V=>ufkS_ZipQke`d6)=u=iKYw!9 zqQc*qiBD#RE*3L-n{=)<J#_uliLACu#192T&phbaeZRTl;?LsZ*9PwSPVsiXU$6Ak zt-E8#{%x71?uw(GUT+sGZ2ck6<8fGy)ohJi!@GCi*&}ux_-6gx@|@nveLRBEQ3)rl z4lY=j6BaD|eD;N<c3viqudhT4-Q?Z>MfPUEzFSEdCOKu*`zw6elD6n_%zx9gL0IA2 zi=tcWwq0m^Vw2YC{*gIvnd|!~XOmvH&kkFj<}I96YxGpad!>7EuuH(P?X0mA+jzG8 zws^L)Y{K6B$v65<8XQzlxGM)-FU_!iJL7~>%B8yvyS}j9IAn8-ebwp<@wTsXZS*bn zeG0qVRakn)w*JZyt8Y^epLnAE?A-C-d=F)-YmuyqA${HKdE0GI%hnw6V|uUgFs9<^ zmCz*PH$i!ELaTo99amCz3_jt_<<?|(Qy^y3syo-sq<@BO;H_kz8ZQu4G0&k^Rp|1| zb=LFP(-o)m9nCTMU2(3mG2`6)f+LsREiXI`iFvy9e1_B+2_DyzZ#}&}hw9at7hK&^ zAM|m(TjkrWt||dPHD^tAE8VBtJ;D4g=Z}h1akj%oml-*ZdsI6qw%+f!)GO>Lv8-%U zvx@y($FAnSb$^P&<l|pWI&#-iXNAx66r1DE=Oi>7=UsO2WU{Dhi{Zph8;N~q*7HS2 zx1?lb_N7%Fe-Y~WjCXg;JBgUxO~+mw|GYb`>{8I&J9}lHd`=G4`*v5{N&m0b60b{| zKaWi~Y^NbFy`nI8!=IO@Gh2Qe=V@$t<-fe~-!tyWGkM#%<R?cwzrwC@wrRzhlp_;& zxtiv4b1To=vwMz<`I~d69_1M<`TWr;L^(`hxrNE0^=^A6Ke?ze!%X{%(Dv<f-CVM+ zFPyQOMaItZ=O>;>#=RbiYQY&*4FW6mdBwEdvg-Ov59(@sEI(oUFK=u0`_dy1)tYA- z^Lu=Mc<irZmO!>DYv$5-8&<6fTyrI)(Jx}-%W3{{%ad<~Oqrs=V)X6Vn^UH2Zmn0> zEYwI?^Wmjl-)2$4jFp>Lg!1eWy~)2(Ks;pDhGG+~(#OAjp1z-;bWvD5cacxbqwBkV zGHG)6^iRC#dihje!Iidsj}O%sO||8^xYf0N{;{ShjLh4#vZr01JJ&36R$J679gVMn z@khUGiZoXaJMyM;k*+Hn&ky19nBY%xXO8Af+>`yaS!_+T=i58+N!Jc`C-2Q+K66C$ z?52>3XN3*an5G?cd@P+eUFfOAKW5SVIbmzw{F?md+;I~z_eJa34Om{!{k2gj<-yDT z+*(GTV3~Wu)1xyIb6I1YHasfY8b15H^v+A~q@Q`)F<34+yvj@U*O%Rn)$#_5c}n$X znt3cbtyN&MTz;}ZaBj#SzL>wabzJm<5?i;uSDyd6bkZ{O#xKEqp{magd0uKfr}j5( zh3y~RwkJ_Dg_631R&?L?tC{Nme*$~+lCAs>>4#=ZoGnrlS-Cy%?d&^!`==b3rg*Po zQKkI#jW<_G$=xy$zP6pQ{kp>DQ*yr*M9#?6++1-+XSZdngMZsL1?L#<`0dJHAI7$2 z_<32zcc?vi<HR3(%y8#*tz%ldO%;lG4|Fk{_+#30zOk@6@6D}12gbT1C&k~)`PXdt z%lrGa9dlni68PHp`KVyXYK}kpA+4)2lLcxPSWLb$_q+e!Z+BOI4qf?8pq}Ao5u0Md zx77!4E7+9Po75aWf1I1Y=vab{bfIU(U-j0i%c~yd6km5+Fr~+iqk7{qY0t>Lx*Jrz z)~$J9b?$Oj+4Jfd4`q)%-rausU{1o(P*vH^-wF#Fe-tX5>*#dhJ)F5`g3=?UcRi(Y zO#jmrDsNpBZ;RsUtra|XnO7wHVf*7h;VH~3b1f6LowIrTT{u9eX2q%Xh58Pces%vn zno=G3C+p~{x@hG$0mUm1wskob&M4>q_rZ3pwwLaSrc;jRtbZTc93&pE@%NS2!r$l8 z<jwD1xOYH9bH$hNFt<5ZrdGrVP5&$?xu@2ysYvD=Zx)Ap#+`V}3(Mb4oWC`D<(>(1 z4!OKi-KoQ(pxX8GfC0A>r^l%$ACImne|sV4$T8JjJ04U-@7>s=8O0E&cROi{LDvN3 z+?MeC^+!1uOv#PDzGB*{y|x@XBNcN#7%q}NZ)?21ZB7VB$|pyw&JBWBE(uM2bKdEp z^V1E-{G_%%%d#@D{5)$B=c11#du;UVv%hG$o|CAUJuzca*{0quxuk7MH*Fa195X&G zIR9eT-6f@~_`>y0FfTp9cJ%Y)rPr2ME;v8yUwGmMlPm9%Dup;@Yjo#mRn40J|H+1h z*Cw%hUHE*dEWvTZjm8W{O|{Qw_a>hgR83uM?R?Z{J@=hDmVkz0$BIaarORu~xK;)< zyI&17bBvw+T(P7#=W*tCpIH@RWoHe;|KII@u;z2$3zqP?k8}OJ6IT{Yog^^Fqmtj? zv4Y?cn@gV?O859T9lga>?*CxEa@C*n59Q`<Snz}Kx`3ybl*a7OJ&S^*p6fCy*HruM zob$_s|E&3@AI1xUDoa>d&K2$zY0~OEbENCG{9ng~6K9zO&z{+^;MFRF?nt+6?R7eF z-zPiR1*Yw|m-YSg-GaMj{pR~OR7P-5cpteiZ}p_e+~^X?523qjv>Ph-ALd=u`frh8 z{Ob?i8><4^!cRHfJ<7lPwy0y%DzD4ys+6}~3RBju?YiciAZL=<`(;hiMGKjYACDeU zi6~Qiw&Sn(%z~|tt^8-LW8>EjFSPyrd|}6n%klq?X<NU&W|_^a`RkL*n@xQ6FD1_K zDzv?sI$!bM$Ltw)YjSM!CVtfTE-!uTVeh)579ssh^B=4>FgUdT!^RUkyDnZi-kosz zQgWSty6Q0rEAc!&zsaXBt*>0yW`Bn%Te0N7AlL0nz5#8r_g<*&ym9qt<?qMut88|q z$8Fxxy(Q>9LwUo=@JF8Cz4SN9n+T<C*z;5R%)|}h4h7+}3OY(2|F7tc3Y}&0(*5R> z4|n%o|E{-_>$Q-+CY#tMy{T+0M}%2E{QBV2$yXWRsQg4oX#Y9?lQ}L1w|=J_w~`IL zF@NGtQ`f+bzt!*RWf;S!)XM(dRme2q&f6Q3pS4U?0z1QW&ZpR&pO9|Hf9UnH#l~5V zEKM&T28Jq|ZF|0+t@uZ^HTPrFvZy;@=eC(&5BhHteR}$;lRs87X%#$~c>2y+u1AlV zmU&K^t@rl3L|)sz&+VN@e7Ca{{97ZW|7x02jlRIO==<(P8g>=mkN%ohZsA-k{paJI z&$}&{6SO5GKfct9Okd=rSE!=DG-zsxoL||Vp9{m^9o;IYk+q`c{yRT`_l2B`{Hu=d zn!qHp+-Jf>hYdSbi_>N+e5jdFxo63R_Z88}1qKhVD^xn={N2RE?)&~mu#&-?w*4xT zW8!Bk8n|ozWN2WC6cUdR2)7AZA-O-{-+bPjDQB*|v|V}r)Z*6NEy0$r4&PFLF;U)V z-^46Go1f?Jwy@}=E!?zB>#yhP3(7?wTlYpD<1Vq){Ar{rahg}L>9%iBYPexa*@b}U z>KV>*C%M;ce=zme`@k2+_bc3vORs8YNGpGOsBUdu^}q7hXIZp={Qa{qCo1)B($~5x z!Nvz`eC7(-+GndxOzwGZ@$8Lbc*umeQm5?PCmmE@e0{fVi{!EDuXBGsXv%8|4C|_W zw%VXWduolTjZCxgYT1`ed)s3oW%@2Xah16KES^{2C$_%e)RS*4HC%t^`@godugm|r z<AMdl`Xkj}g-@m|*{aQJ+^n|J`pacb&YzEU3@g-Y%`#X{Y~x?q-RtN$EAYv_fKwS) z%U75kH>tnC_<hO~r4QP(v&tN9Z;*Lrur51LV9l!c)%`Y&dWo;sbU!(E^zA{j_&@)a zDjzsI%dURX>ac%Y9G=JL_wWAi_oT8V`r?v_6D`jaT;P5b!nC^1YE5OgH(x^9Y2Mpn zpXRSjkXR-dr&@pJ?hD~7_A6|EK0TYDCsQex{y}2mM}8;m05-S(!nYzbSRSvRz+=Fp z_AGM-yUX4yDWNlV-}JM{?=d;Z{@1oG`!0)jTA1s@cQY%34c_oi7EhWlwOOk6=#H}v zm4dJ0b~!wswnRYiaq^WLS!ypHywTg-5b$Z=y)92V+MiiiD`s%$d`m8qklo+)cBY5U zuH$=RisxPUy4AY1^!WPvOsCiRo7csb<*{TKW^ZA&i41+C)_mgT4CzRBx%>}Z?4>I- zT@3#&zF_cuOTdp=hZRfK^Un=^^0S-uec+U<GZI=XzF00@e(~AQkZSGFCl6%%gzYye zZT&RQs&tjomy?_KFV;Si8~y9E)Lx0@qSxj`Jbd(EQTmo3k8P@f{Ofit=34!r`%0Zo zo?hGzu?3>48|M_Q;56q9e4X{5%PXYZcZt|VvzZUW<m=KtWV+edglvkg6$rM_Ir6|w zE9gJBrQ)KlC0T#|rz~c4dAV@c6|4C4b&F#dm}4IE?YDaI*U|X^&nF=xr}GAqf#$v` zI$_f1p4~Q8Z?kQ+UaE1g=g9R%pE^$l|6r5)wunJM<>A~J^KQKf-Sqm!l$keD73XdJ zvRcUF)Z5Sb&WjdD=sK=cQjU80FKPAzwZmT?W$!Wlm-%*&ZjM6GbKkd1qGq-1dGR*& zV#1OgUw5tRJXNFV^H5Z8=WFh{ZA?0S*3V~M;yk|Ed<oa}9igVT)(V}gQY)}op?~)5 zz3ZxvU7p2BPWsEyyFlyOoXY0Atrc2RTUC~KY&O_>FZHOK3Zp{XXT~E7|DLydxn*Yi z35%e&zn(Z3r&V}!9iGlze|V0}2hH-0J4(zw%^Ck{eLk=CeB!N}&$Fg+$=VnG%sh}X z&(Sx0v0~{X#tiADm1nt_EHlj|#FA6AwpjV)Y}Gy{*B#z#Ch7I<Ge4*0d`qcPp-S(! zr$y(U*^*bR6e;%V)TKM0jf{@(b(}0}%d&EDj*jzW?lWQbufv<Ty@I}6m5WinE~FH_ z`_HLw+Fe)fcV1@w#gR9EoBITrGk+W>HZ>%5%@o`2wPXIP=?l{B*yjId+c%Nri+OQy z{1PT1hBJTtw#aojy}fv9+CE8{&MUcMcb8P>_^a0GXsfDOimlF)Pma=ReQEeVa$~Mq z?8bM0WuI;{=wEnrQpN7G^*cKLaV5OC_3X%l8#-0&)(@@quD+3|N>)E7;;k$4tuQ3! z?P}kp!97-8+y6yPu!&4rAvov5luJn)Z!%wMVY&5A?Aq@MPaAKXS+!@v`SdiCzh*mZ z;w6L2A{y-(=es3(-V0dYlD(R%r+NRzl{Q<ocr*{(S(Bape|_f1t(*VnUD~f9_;B6F zZwsu?OzoA92uPY);r8srgj%<6R}OMKwvTJ=5}5xic1h@^MS`kr%U&5W^i^-Jc=KWV z>rJ!v2|2FJ-Dl|If4O{K_u|bly4+3n-<%gDG%4q#TyOL!pL6xCsl4TyWyM;%PJVWJ zo9%d2_{{6m*@wjUO;TTIAsUo$BWJ5xuKo^z30BQcj2Z1)e>vQ;V`daL`04iZn3N31 zo)kNgxl6-&{!Wyg|82YUsw$67=i7E}y5nB^J|`y7blse7dR^|PUM`k*{mPvmEViB1 z&r#f`R^9B%_9N3y>Ds8w*!AVa7h8kV5<+_ppD<#XE%>}-=ReOSC%4YKP`Cb0_~8XT za&MJy?P&?W?#3Np#rN&0+ub{Y@s0L(g&!xL{h8ES`sBV??cJ5De2ZQ7Y%tb({_^hO zN6RXU&0kssJ_??y&@6J~oy6ZzFQYYIKHS}TmCd4$+vEFgt#cJW_TK4oJh+_sLEL`B zD+>j~m(OVMZ(hsYdvo%w{Zn}s3M~r%cQ!hIf5R-UwHF^A3+mMWA$-B>`Fft*<w2T7 zyQP{|8=sCT<$dhm&S37{byYs}!OeACzal0%2<|LD+-CAx@A2fmrfvUo^%6Jkje8Jz z`sB%bTy2iNGaoZg^8YYb?De$N%Qs~{{IFqrqEgExmR0lHYdP2HS;@(4MF+2~dAch5 zdD_RnOvku-Y*{B4%C8jKePPb)DIFpumlk+LRlj(lqgk+Pj&aJI-JiGpW(duBw69j$ zTD8tDlqbEn-{h%$U?r1`*WZ^Rzb*s@eJNSfR??ce<AYXJ@cN~*x4!V)b>Nui?Do7O zv-h1R^!n_-Eng`f^XBsXBY*BKo}zy%?hd<0<IjGE!h=T-i~Q`qX?d7MNj=9~+KS6% z&Ao$<%4HVZ;_CgdihZ5k>o1O`dYk4xS@kNcz@jRu<?E$q>?!H~udaVJ?Y?Ddk|Tb~ zmaXbaroY{(qe=QpJgTRg|9yI1V}jqYsl9UR19=`wOqgS4_*$+#?x=*8dctj|@MBEd z!}c;~<>h&9%eQsv*x?t>9PBsm^5N)-dWZJ?sboBpH(|!3#`}G%K6?td2t{?T=hQr^ zFhy#4ghqJoi%nPGheR5Dx&0_kk-zkIq4m-J@1eoAybMbfBk%rAx&P8%#cIx1^X2nv zKeH#fNSAi5JFs|zxo6L!UnSa?)&4D;{dIZA0jKj0mt8dXO#6{7*zjn>;zLu4(_VQ9 z^Ih}}>Obmp%H{I+3N>$T#}D(m=3cjO61~Mxu<Kn=TEeI2GGE`M8~@5?<jz>f*won9 zrrv+#YlHWNUp|X3{0aP_zHU`ocP^*mNrgvybJnxWu0HlDL2GqiO}==Er)^K=)w{~O z1DwOwE_zjLc6O7nx}52=8dvv8Pj-ZFPc%(o5VkUQSe|FU?7_*bJkKqW^L!J$%ges- z^9t%+EYCi_Ofa*%kDp@>OQTeo<cY<K7yn+9o*gx_n{)j|zs{mfbH42OSN&~gkm9H3 zhEi;tzMW4bH?EU#nDt!6?bSW?@0Yrwc0FA%-F#1q8uPO7%Q`<rl{Y<|ZqizFVt!-% z+t3sLKJQ`Ld61Lo<!8f<lfBkA<cG_@vQa(%Vr~0LZ=V_ixn|MTtY)R^htAbS&b*p4 z?b}O-sP@e#7Jl=*74yy{;@+Xk36^p16S7{lK2dyDaKUkT^w(MY3cu%u)NR$hYWd>8 zkrb;vr5|!d&+K;KyL4&U&tvP%EhP8w?%H)Pt|#l|^oZ?yswLEHT6x<)P6%*#Z_Xfd zbf>#qq~=!F>!s{pS}i%(FP&w~ch$~Crv3hblB36erEQ)!IheKc+q{2g|2)`GV*5p8 zee`1vr(KmCOLlf<?s9w0ARFwl?x*Do@hJxF@}2VWi4QnFoh}Y?N_}&FcFFWl>t|j| zvOV?BCWNJ?!`ZIm=7V@ft<9NCYOBNMHcU<Exl|t8S-3UGtJP$Y$q`NQmQ!L+PqA)z zuuG}rbI=S0U*lM#$1mgS%&#WgyreJ1<Lnvu&onjvuHn-bIpZx+yB00bw{~4)^y+oZ zS{auIF^Tm~>;6cdpVjg=aBAi!*Q0L}yEtEl%~Dy(@3fI;Lx;wyQ{RhbSN__UzO;i~ z&%%Ypq<F=ze77|5WBQ$oeCzDB*LgUsx?sp~tw!wg1crrbUd=VfWwtMh_-I#l=I1|$ zU7w{UmWVI+mW{8|+uXB!%5C4@<=4V<4ldO3neTt-)UyYbu8yrK=hx*;m}%J0)V=yc z{!{Dtn~oEuEIcPOuI;`tBXf)FuVdFFn!c(>w5yqGGw;0eI!<Ec+9x|LS`=^Bd|JvS zup?N+&TPLQ=Tq4W9MTrrU)BpuJp1$5iMs5^5AN3%>Fzr&RjH6?bmAV<#S8We3@gM8 zvjsn$NvT`+fBON4%PY@`rX)>H-6K0S#mFl0-!_*Ii$3s`d|G;Tjj7nixHWuc$FFY> z>|UVItY+hOBX~uOd$Q&>ho?&-l@FMlKRk0)#;q9+^()#|C3hMd%euXP(i7-*?Wkwb zb(2>|KAD++IO3?-rmX+e$W*fKFdvVzZ1%Z=srGNHR+jsmRNZNE@b0HwD{XE5{}8|Y zV+x=0i*$ocn*w$U=~cXSkAD5>bzE4^rCL=dE0dc`B;?BcTsD+`<WVh_ntlDu7Zw8r zE{D~9SMMcU%(FCjd9BR%@4<S`MSbVJ^4^_(C%fk5n_apyR$hCuZT&&c_bCDqnm4!m z&E1%Is<1q+{!Z}fITwrftG<m~r0&Nm)KQ%yJUv7sZrP3SW%oq$X7~qH{-43N@&A(G z-G!ERYXVCy%O*32R$7!5E&iDHyD9DVkqJ|a*p4bB)fF2)DBaX@=&Sm%+3Qrr{x7P@ zUjBcR=hWI|ou78yG?BcK(H3@oA_v3MTS<8a!K>|5v;tl`Is0o|d3YrG;GL=0+GZwq z+_c}bM<VL$hN)*VIWKg#`*&%7;!vAj+&1U$%;-CNTr7mkMfN3!B!?b*`c7-x=4Xo+ zzx8yO`aGCH)Zx|s)60)6o-0&(>Y$j$<nF@<GpeqgU4B7v7WZ*S?$TQdKYLDm`g88| zmcu=r0{fb}HY~}Xyx(EL#@Q>f__{M6rEYYe@=UJl;uiLRi|0-REu3~y+JoV{LU`)? zjQ?UAKI}+6ul%1QVe8EOw~Ti6w=DRz=&QXK-&%u@ll%3&muP%n&C(#Lnmt=!);rk{ z?w9?W{2g!D8VglhO*t;wml`wM*zQ-oNb{l3JDtO}uXE{M-26D>+3O~G-ejq2akIDc z*C)6~J2VwtN@8H0xhl&iAi#1z(;e4?VbiOMBN*No^ZeNsHK`!7`nP4JzM$aAOSg*H zN`iO(Pj>yodGChM{bT$6*sUV}Bt-c7v~Yjj@`FVsTH^0!+32J{)rHP6FE78+4S&o! z?e1dthnJP?9zUJ)VukmOyVDx3l$^g9)}TLMz4gv{$IuR;*B|sFT_=}U%{jFCitQb# zMqhUMq$;`DNp}KXG0u(LnqA9t>{-mlDH$)dcYKIwJ9Y0=pXd+ITD#wA%is1WK41T7 zz039QciQzEb04L6pZX^J;!gj|OScuBc=Ie~`(@6&xmWz~!^0;R8qH1%{L7@Pp`jEr zX$oVfH=EKqwj;l%I3Bs+y>!yg-51KmZ&uIDKfWhWnZx{_NdL3nwxSA~F6XzmrO(q@ z^mmC~_~gxL0Y@IL*uWLHaLUgKlja&WhzeXVnKaGyrNk-K6?~ed=atvqmir}s-Z-nW zrR@Kducm=_jsG=YQ4+YStFqa|c}vmpiYH0J7L$*da!ipm@9&@4;&<9&xx}aRKRb*T zZWOi1O?7FQrt`MPI)0)4@unB0fj$5BE;2eeVNSUF%|ml#rhnM*D|F!ji#z)#-V@H! zQJJ@Q!9~T}(|0pmTYFGq(~%s**P`pZn6~ZMvC{8A?f=iu);w}N{QRf?#_5G+eQ&r| ze+^{+&MtEMw)@dj8O-;jTwW}SQ`EP!s5WQc#`W{(^V3thMV;l|$UO9pS1B>`N;s8p zdbP=s>ZtcWw&<P-u;2Xb(f;chp8l+n7BB8-v&rdtZVElAHn;EB+@@*z(<B%Fm^k^; z4ab8g@4q{C>>uk}uN?^;N>g4=KfURrQs_K~soCeWc1yQxd9Zv&W1za!aVZ;XnV1(F zPaB-7{^`r7ua~(pJpI#*dHOB8LxcIB??1A`ID$EMIeX^bdnrq;1KwQOxgz)J0*2f> z(_{?%1&ZU(G{*OeIsV=}+rCDObw(XaQp<a(BNq?7;YnMh%ANDuV!cs<%_HL*9E)ai z<Q<F5=i{EZ^>?e@+)3AdJel0{psRybRr|H^-4{EzbTl$2tGmnS8tZR<{$cK&Gr_;l z*}H}3Z%%yRG;3DS#m1&XQ)f@NS|lHTN&UjLmsOQ-47!61TH^%rZYXh1Q22REr0Vo? z{&_X!lONvK*>dj7+Z%CSLi~EmwzGFSEC??C|MNrfgFOe2?JH3D{Gs~Q;oB^R<)=!2 z3Uh=z{L7qlvif(7bi`ME$u09g_`i5L_i&WMl(Y6B=WbsuxtKZi*Wve%9Rj2OZgnrd z()Xi%+wtl49*1lntjaKWDVS&NBhPYXVO5l>fs?<%=~su%b0k!B95j}`;(AkmV}hPm zNBf$4?7#XdzxT~PDy5<w{?7Kgp@PuncS;TCE8aeGF@AeqIH!((bE4dtl%p@q11~D{ zH-2E&?L7CMm$@jo!Bb#sQHbB{q-M)6dU8%Vo8RuTJtg|0rmkn%gNnwMo$v3*XKcGR zbM|J&&2H7JpFFwvx^S11WVVZJ)x{}G_xVjda6_&2lvk|E8@n|xZfl><d&OSwZxQU( zbi2rV+lolhNA0FtPPy5h=UDOhgY)@No9QpCetOD;20Y$-HS1<q(!J&9V%3r-PoHMs z%x&S&B=q#HwZUbjxz%FoKJUJpN69dIRA1KM+VHIIhJ5FRU2?u(o2PGLUmTy)X3x&T zb7!ShkiTGoZ%4se)4##r`_k`o+&#JDNXz|}n<DFT4!+Hs)+=XP<$HB=!_mtJ&l%Ni zG8Bzv+{Gfg?V3!vQg!Lsn#3H2(_yUF7D-P1{M>fyIg1SOwikCJ4P?SYm<}_`?+z?? zulX8zZhu>T?j47jl9eHc5<WY!8y8-`=c~O!cF*knfqJR0lC&Oq%gqdQn=F1{_D83y zie}+cFXxLnr%C*hjb#=2obK!sarK4Z-I)zbdV1n5z9#5aeJb4HFd=c<+Cw|kpXwym zZa8c1dg{pW|Fd;DOB7dJ(f+$NZM%DK>-}ASLkujUEnGJktz7GIq_BB~X#JE$0*oJ( z&RjgQ?Nn+U=Ym{?{G?Z{@oCR1J(%bAM2Y`W70TE+Pg(coZp+f-Eh^rQM}j%J1%-T+ zMPoi6@0hCIb-|zc<K%cx^WO^ugF26IJz0G*ye#$lUSY*MYm3Y$WjdvP%bfYNbX^DI z^uOE8i@ly*zvQ0e@@3K1Uo8hWRq5`sT9I}96od3Oqe)Y3PxVjq5%TRkRz5xQJlm$a zJI6iMz0Z7C(T`SVEb#oOR{h0}ciIu9L;pCQS6!dWbEV|Kmijlj4-;df^d{Vos=pZa zXsdS5l8DkIk=PYHytn*S8pG`~_N;#L_Qd3i5C7&J=Gou1YS-PE4~g@x9z3BV_d2KI zS81}RLwVZKiyvb)*Ps8mSMBzJ_xGOYv|Klg?%95vv)lHWTE5^C?|F|5j1+ckzAE^_ zWa&Ashc9)d6^!)nAFauMdm^EIaYEdpO|f$=`tGzC9GbuU){h0}tra3ge@>}Bo!+0o z&ZR8-_<-+{^%~4PdoN9XI4Q!_Wkq_}%g;{h?%kCZdG07E9Qj8}J54`Ni0R0bzGN+p z%#^->gL`{-X1VbHpJu)9dSJopLg55kpV^<i_-9van6tF4@To1&J@N9Iz~$#lc8l0o zwzO>P)Tz`t#g*7p{DI}*`$t{vl2T0mHz(KL_@`Z<Z@AfCS@h1n29q!Do4Vznr1Y)n z`LJ)vwPQaMr&Yb{cu>OF{m1HOazv#}#Pr*;*KRAE$eopYWTV~wIu_Z$H}^mKa@^IN z>f^lk5MRJaQ7*yBRVzC?5ABa<y;POVpyR#U>5HtGkn-#>J%iQXmTvp<ZvJzdVpF?` z%MTl^*s<<UK$51}8{YlT+C{&~NP24h{+j&cWI<v~$TXYtpB}a)d|23i-_X5kl}27f z;Y{nNa_{*h+Tw0}6@IYV>5yu;wRd#?s>MeP-Gw%9WqIls9?Spx+R}5s7yQ|#FJZ9z z$9|RB7cOQkPD%Wdl*FNuU16i|W3JY+$724H#Mqo1>&~r97*DpUah>K^xJ0(|P_t*} zMYZ@hwsTwR^7-#)m&|?prEJL?^AkG`{4>zt>0f<gM!UwXkGvP&?A}+U$@I0ryCTR( zsW#t4B<SnJTT|-gBywz~Ea*B|8d$Pl^vvIMgVk#SettT-(NMhqda(ZDmp#4J{_pf& z{`hHZ%$1?BBl8Kv?+|<A633%<3BFP%COkGZ?NUwt9C>x4k662<6i4xE#?xBU>`(ij zeA$<Buw{37*E@Ztni+Rr)XlBm%J8v4u6%LSa<7MT!t#%<7OnW@pq+mFMZvpc=X_5T z?URVI)!g_~_4|h@p`Ho;>*xR5u%$k`sN})TJ@tP!Z1wpm7C7ly<o`$4&31Ss>U_&^ zeYE+~t9)&ZDKGXGFaFjo?(Luc@5P<7%LG>*zxuT7!`1pZ|7A|iWS5U`Vb$_mxoV5e zr?+ZbCLekG|J>cF#@uYumpx3Eo%Bw4@o}b6V%>>-Y4tOXZt&af)6A_TEX3P=;IM*0 z!@JM-VkgdC`<9K*IQvrijK&xy+uVCW@i&-*FKF5sCh0Edez46zOTtu`E#_E|&V1($ z8JaKjPR-&se$K^_E+G=|;^5a4CKgp!1$3VrJGZI)i0WzCK(!>d@V(De9!DpxNZtDI z#d2+pvz)=MM>Sqbb9J<wVA&`dQ@?cHDjtJbzQ@0N#rhY-+_rAL{3B6&?X@=5#p(yR z&5{`&x`(?h-FvIyr0Js|X$8SYJN&eaS1Lc95d7KpO>$@F+`2}|iTbzY4OBhlzieGz z)>h&$*ZLV_`6S^s$N9@+zUFUb&pGw!w(i&Y`q%DWT6QbHHTi-;_PmbOR&^6!ZoL)! z;+Nxr%|R@!-;XQbS$*dD*Q#g1w-5aB@-(dD;!?evRls)c)9Xj8*L2(Ke|Ws;RM)Kj z8$ZLGSKBAQzcWQ++g;A$nvz8arrdq;K(cDWi$66t#h!T273uzz^?Xw!H)nLwr*%u( zd%Gt@PJX4C#NGbHq1$Y2`Erl7Z71z6-<H3z;=!}%a~l@~H2N)6uD`ZG%E7D9LA2Iv z<*o9!OrOs=SAM<``1;=AlGXqIUrxPT6Qh4c$Ln{U{gEdxrZ}Y5`D9Pt<#gb9T1M)O zdM>f(nRQz(U5fH(4-R^BSoKZC+utuQ3)`poJ`Z%Lf8)$z^3L&$no`#l{v$WGDuhkC zBD+O#-@EBSq2^Iy?ptT&WefIeW&C!`Kfk?^A!c^s{Qe6UubnQQRvB!4QS`+BhV+&d zU!I?l`uFt7&X}piMjISnf4cI&JiW@`q+-Bp-%SO&Z&d>JMWpS@Qn-6>y+v$axuIsr ziVgp#Z@GFRO5&L+f0>2Thl}?Mic13h|L;HGyU~I((L?{*+uCRQzU@=E5b?|@^lQ}| zfzy%q_f@9Zw3YSx87<?#8}nKHNoYi`_g!wIBWh7kt0s0m{910)9{%QU%d_%7eE(k; zPUKo!!eG?!;5{dEw`sAa#r~Y*S~p(TIlk(@y+yCPT)6Mj(TwoJO9k@9C%vxa*`Tp< zS^d;aN(b&gI<|Y076bqKjE+w&-;(@W<2YjdbnG5Zc~{Q1aF0OpmZL56*6utxyZc`C z9988-2@Y&M_dO?FW|lpp5Wn}7#PYjmPAg{bQqtM9RLI-)a_*W??=0K@KkK4rO0BoB zQl987`tr~{p$Ye8A4`eO7I&4cy3Mg<^PcU#A5-30&Xcw+e%rB{V*y9}sf95yuYB~n zsv4G7+U$Js$)~MHV@<@Ybhk6M3GGVRKU~-OGaat@bu4k&7yHk5o@FafS~KCgujyq~ zZoe$nZ3TbN&+H1bdl306eu~esyQlk^wsrpN()zGXM>J>Ym1kOarP%KMe;M>;>igHh zZMhMb76+xa1$<D8iGF@^i}1#Id;iQ2G-Hh5IsQ^iAz<B7*)rQ*TMgwboF<j-s+%F< zemu9Xc=4Nu6SE4oYIU<W)b_l6$MvAG%lFo;iyH&B^c>edP<HpHqD9r^kHLCVr(JYc z;(l>K<;%fVje?VoFL&hWKT=rn{@tcjao^j)@3=o45w=|M*ZbpP){OK}-;(-Us(;@z zeVrh_;(Pg?1@h|z_+9v3=J0Km%i8n!n%}qZPt*Tf{xMzpLEisj+Jw~UfeRPC>ru9D zi~cv+g!%8rb=%HWm}p6M8f<4MJimAY(|c}Fzmw-Pn3wXZRg0;KC~>IHvwE;#ul}_8 zd$uKP(~zHcJ)uqDtdH*8yLLAY+}`VUdrERyx{hyRX{^ohAorT(QsEoe=WontG-<hi zraC1v{_>gQ@y8yXyP@!Mw$_n_4%?PK)I4x=8Mn17`=cZ8e^s*GS;=K4XK$|2_2(DU zqNhumPROY!3;eUs%$zL0USUQOqf7r!%}-md+r3KixSu3@(dMvj)sv36?aOCNiFo=Y zX6!oR`R677?}STD-6x+YscHPVf9JO3@+8^hzkhQ1_9XjE4!O1O$FiRms~C2lcl*~; zvi*cGPyhaxO}|CY3A{H-Zg9W$d26Gm(+=056`SK`yHzkx*i^ay^a0uBdatF||6Fj{ zStNP|lbb-wy~62{4>-8`Cn;_{bK+?Gl54)9Y#EyJ?j|ocO}@Ia#?9@bguX=hJvj?* zfm*R!AxUh~hwbw>9+BAaa7*Ue`6~q;=>9no<@<imsUOOlEmv(&+rrNo@j_4bOho;e zCz6v?wp23inxcGZUH2Usri&{l*DFmtrT6OlbWZLa`c8W%q#Bv<NIkx($Hy|W@70{M zo7XMBfBMLS>+538W!4(baq{~qWUpKGw#Z!}XYsS5$19ukuS}n*aJXT^No)P1ayb@~ z3lDDN=-nlwm0S~WOtEj$Rn4z&|J*QGoXlT$^yOy5L$%MFnhrlRxfQ}Gvh8BE2cMnB z6aPh$Z6AEzKQf=oC(AzdM{L8Qc}Kj@yltDe^URUxBZr#4GA+By*}8P4xl!*w-g_sv zZoGVDSHp&FkM?FPaC<)A@ae`0@%hgl-Bat|{dZdCHvc=zr?9!X%z4Qo^+`?t@9{rs zJHAA)UVhA(nSC`U-y`mbyCg&TmGyixY!(<j;t@PJ+sAhX+x@7dLsE@;WtnR3AuCx^ zcKltICB9HCkM-2nZ+E7A+h;sK_~7P3A<53zUZ#@2Z|C@kb}q{noA<-#+M%;W%iaV% zniHs2TJf2GLYCp;b8r5-{dKvsJ$UxLvktqXUwidhEI++%`nIzT@)~6V&D-9uUe($z z{cN&E&=rMCY<`J93j7|FIq|XgZ`I=ARpD74pkIEZAk67m!@mt1UR7RT-r2pLyThNo zH_^9b{`q-lPuh1|CQsbw?{+Tc)FalA?1}x>F$IPOX{yF<7PY_s@GtE$NU-=S7;!LE z!6M=6wi@Ouw==%?uMiTJQfAvOma*u1=rY9v-q&&h7Ya+8sl@FJp5goF<#+pqu2b_) zJ>1~5H;V5@xVp<)ajuQw;nP2Ke6_f4JEisRha$yymbo(9Z~9Dh+P>W64d)}J_w(Wv z4sEqKn)APZ@2e@AKdv)<5j63ewq|ig-`ge5D}(!YS}r%Y&0xLTEi_Tb=Ipl}mbS{) z^Fm%VbjduueDcphrzOkOM6Q&asjyILlZa%l7ZzQ0<7ft-keF~<zv{<V`!jBHEaR2B z{_<XHzmsRKX7g72|7+fu3r&f7^=auLpQjrqZ+CfgB(M00#HYPN-?td+NuScUkytKu zDfzo_ZQH!|eZM5$UuU%LTAVxQoOOEt`F|>=x2rCuR0>?ni(Mraml3qbA=Z214<k=0 z1?R-ia=%Z9`0of0s<vRs;r^?8X17Ay-gVDH#FD;tF|%}C*8czJT#Uhg=O^bl@^qK5 zA1_@OC7Eu~wt>y%MCYNceEYgZ>bMIwwd7|m>9n2Qy{L1AmRO=~Uc?)V9sfk`_MPcr zWqaUYX=;B_y6@=28PC2iTlj6~)S`z$jUH88S}kRPQ{O6VJO23Cj@Q$+rf*ER<>day z>FIU33Ny{m_oQxoX8X76=YA$fzI5B28aK2z6*fLPrz(A8-p^f%_vZ(C3om?;tmGXW z_FCJzV|V*@>+?e5Tqok!?c<PX*_gCR>8)6pz3SHY4ZDJD>=^f5pH%K)@XzIon~0E0 z?wb2&pI0v7`hHyZmI=So`<=S07KFcv{;wAG_ueIy6%F%VztMW%HT65wYR_LsI<Fh? zMt^htbC4k<Y0iq7YcxGeUM|^NccsyW?P+T6bI*>`=NBA0m>bC~J;N@+Ucn<_wy@Xd z`M(opuf7}IqogD*QKj0;wEyazb#m+X=RA<OA+mON_Jr#>B_)YRpFLn(aXf8nMdbOW zFjn4@^Ul7u?^o5Ey!s`#<M-reFFn>r>_3v>zx3atwU<t*PTkf}_`_c?K=E2b1&5W= zq;iopZt|Lswf3h~XkV{cykq;tYcCw?{>1c_>i<h&``fMP_)kh-bG7NBiR^9b(oUzW z&v=)=-978Vu>|k-Nb&0r^^B%iY$y!hv|WSaG;e9b(VGqGH>a)6zilOWq}X%vC;5q= z3|=tr`SbA%m(Bdmrxu>{WPd!VApY(a!RWf?dO6K1>yk}70?+jQNebokJ#*gi&s~L* z|D~KOJ>Jx1${fg^@<FI)iO_L_>q{@}{pNhJ(^>!4ou+pxGi$Zj5`AwP1_kXa`?Z-% z)NKA<=gE$1ROe1p?L9R0kS(K2j9mWyd*SVKljAwf6W$!3Bp&y!BKyd32SbVUm|xdt zi&q&ux;j@kk-K-&(HW-suUtb}B>z3BHlK5p&)alaNaWHY28(ayZdc=WA5fEB_&;uT z`0>oi4G;D)PRc$ZUD^KLn@K;Z&F%TZ9|{LjCcpfbXs`S9%SxB*KiZ48v^P$8usq|s z9IyPIcK3rqtGT3C?fd5Qe1o&i>?w&Wqtw=9vhC{W%4InlaY=5Xf%VmAEo*&hXKJho zko!9CSHZi*|Lk-G!w<-=5Z>_N(wd{(i^Bdz?y+Cinc!ZxRq|C_$(F+Dy>j`E+}k;S zP1_-OlJn-@H&281<S_5G`&e_O-^ebE`@-W_f8X-jR@ZYiE{WrwQ#^^w+$%ND?y-87 zp`ehRw{p!j(-SjxC>RAzG5xSS$U*Fk;DjeCx-+?tzGjYze<Jgj;q&#MZLP=ComMV= zxkR1okf3t)!MtVM_ZRh@xXZJDccrDS$ZEYW4_|NZ6g&Um>8rAYM~l~PntpUc;QY$} z3EI2Z`KER5U{rten02di8&miBiiLr<tv{?YTykr=?(Eshsh?GrD(LR?nw@aiQJYWu z{GyB(AFed_FP*ghrpZl_LaT|#r##fSp28(l^vfjcQg`;zA751h9viRpHWQg;@$<os zX#I_+%Pl7MIvneK;&szt(t;ba_I*52B>g>omzDjzQ%XN)T^FuPH%a!_-6TFUV7^)F z3lD(@S=-J=U75Jqx9O1OHT$nq4esqSC`mPaH2=`;3k{;>Qem?qG?r{lxn}Z`DWh%W z9mOWSp4hn3y9*{3tzem>x>x_~Gd598gVbqXCo%5x*dD5Oqx?pY|MJ`as(1dJ^>gF$ zu-iJ-k89@GL{?mmJiX(-c&n)O&!u(xr<OU-V=mjhKEGt!w|$nAzdft^sm<>G#PX8C zt}4xwZ~05xZ$_|RO;es{xYB*Y=IQB=XFRvdd2+Ax{Iz%M<z8LuIe6(rXuk`SiS>ez znZ<2-Iz{(XZnV8_&SU<&rvHTcg;~3q;y>J)zb%3NjuYFlr`ta^)w<31ss6LOdF|?y zuYQMam2dySUD~wKI^(ED>%J+hw@z4HVp_aCgQtb-)brG$v-6_kcb)$^>*kxHDaBW- zb|jp;yIFXdTlC9__5~-drU`sm_)<RhkK3Ylp4fj}Pox%~XSV;IGjI3g%WTt1ib~yX zI+yRTSRUf|Fd=R3bjxpX6I36SEc*RWeCCS4ha88xFKsA~WxG?lKdHL(k&f2v{6oie zEJS&eGUW6wiX7$p&i8x`Pu+~~b}Pbpdmq#$mrr|pATm_<^5rDn9DA>md+nv??Ca)O zmUiLA?AtF*G^&{$92@)c9ZxCVIujB7^T`3xW2&iHGmGcH^Z50$?4!LacYI`k_45tI z5i2Gb#e3Fu<xaB?an5-0Dw%_Gu3xP}Q?<ejUV(RWmux-zNjGg_`2tTyC9OmKqV}oV zn%4ZcRn%lra1{6H+sINk$<;G;-QrIVQtH|#&D^~x`boa1N7we8?!4V*Z)T_n>|K`n zWwzifHwEEeE$@AuAOF6raj3%Ua(c%9X=YJhM9yw7J^kT>=gL0qwUZ2f9(HNC$@qu& z>Oa+1cU}dHo)qTVZOI$fG2e9lxZ~aC_e?Vd?In*rd%bdj(O&+;OaAip?(F~NZ*tEf zW#9C&^0TW>rG63Gf4$i!xlLBW!GTjtu7&r1!2dL%ujv`lRh^wLEaxs(NcFwOC*$OE zYzy1tNn*+cm9F})1r~13*!7y>{k5Z|JbzTnRz5v3U6EDLbdO?&tvDNt!K^BlgYxb= z=?B*}Xgx~Ib`<`9L{yXa;Jx1qE;xu-FLUF($B?){e6?Adz`o%5%4dHIom#r-e(-_) z7g<?+cGSg(@3N9Otmb1OHg}5QTm8m!?$g~J#6Fh)yCyNAUE#H+j@KQ|z0Yd5tT8g( zdLrg~R&C~eiP$4gdgib0U3h@owB_=dTN6)TFgMi`zq-JEx_$FL_E%qToS1UxcXMdq zi`6^C*@BnV?YOhMwMbK<DR0?69zGl4^=AFMcHg?cG5X2+j-`t&m>;h^=$ZHPxU<0f z>FWFDcIw4VO*rw6t>@^KmoCdIf2bX5h>wor`<y<bD$sS+mpy+PO~g;y26R2C*m`%) z^7<*_9}M<nt-8BR%3<Rp1FIzrwnClXd-hd`Ihr0+ZB@~`^H9}9U`N|FpM%_o{?7{e z`=j}D+wQWYORi_veRfJMFME8?glXUMZ%dK`g_kC*c8^^ivFgLUzjNMyUB7(OoP!P} zm)?auh|_zv_s)MiWh*`9*lRmm^#1&AJDpZkxwhK$Z%RTN%cd;lt4o;vtmJ&+8E5I$ zI#G%J@6~1BZuL8fh?yO54@xthlkh>@r@DQj2y5wy=d&AcuTgh9@}i&nhN>cWbPdb9 zGm9kd{jS`0VD*K!?TLcsIzHQ3Cp}1toZ*(+D8kvLH|w3+agMSzZF=ivve#{r+PAOb z#d*dTf<kAf<-PBlQSxlHLfU5k+YaYz4srzP9c#Kh`Mm3*6%J0KZxXJ&S`t=n<G)no zC(pzWPwu6B+o<Bb?r7;c?Jv`s*Wa_Omikt(Z{Cb>iKn}@_UK-y*&v_%)KuV{TE@Fh zcZ1J9Oq}bwHk3r^30>OgFkwST+Y|}Kxo>+~IxoC#(UKD?3bTH`a8=aS%(+LS#C{## zWmd9sP5URNPaDe<`M+=HJ`}&;%F3eY=d#WgA2n-?oZz~9#q`JTG`rKajJ2+b%3MzT z_Je74tM$eU^RF-czR=_q*F7~&EiqB|9(C;-`R|r?HE;U#!KK;f<>yPMo|I4a*Sd4| z-VTG#vmaI|XXgI$NV~de_P>x44V9EPDsqhX#rpWu<K2qC_%A$i^nUx=eGeEfMO-aj z?bgx6T&$<`yi0UR%DyKOb}bPB_i9h39^Lh5&By7LCubb=R{wH<-@kRsBWX*{DR-U) z8qPm*)>+72P9eo)PI6$bao&rt*_Y1GR46*5XIW_7vqN3!WO~rCYZAdnzDMQ;RIOkz zTl-G1Cfr$x|B$7Wkj)1xgA47uu1K=pmU_?~-Y?>nCgtQ(xs%t^B3wyBNq2qd5_|jK zZu#@VpFI?Rakt7SLjKXQ(+`rZ#pZHv{ARpKC+f}pNvvI~KWyKUvh`ED(X0o3(`L4+ z1~EMf61}87ZB3}uBGavgJ-4TuPfG5QtINOM7IQJ|#r)+04+5{f-(um(|NhIp5aosk z-zq|M^jG}8dt8B~-`gi@c5z<s>7TRadd4i~Y0SN|_HslXcf%RuQ;U*+7_60^$zCq% z!M(mm<eKVc?voGq%zB%;Yp3nPvm3%!f7t&%%XyjHnm-KHPY*x0)}7)s)u-ZnLD=Q$ zAHAzCSRDMYXzoPD)L2vHMMvY;?p{-JK1?n2kVn$-)DuEaqHLxyK2Q85#m@V5%Qo3Z z_a)NozZ>kTSUROt!(8!)a^jY%i7GEMboX@M;rn69^{%wSAYi8Mi{dvI;=GT@Oxu%w zCG@6KY1?6^7rhS;Z#uhTn%?8zlJgkD&I;`aoUwLRJ<l#@d3(_-aX)Jh%;0@FVd3%_ z=_R}OwVd<Y)T(wVp#JO8;<`so-6g#7y86F%I4;g%jsAGwC?h3)$C61-E_EE?8*f)G z(-fTRSF~f(k;l`PPYgQtd1t4~7RH%@dzH&frqoLHo}XQJJ1_gtviM(en>M`VOY`+O zqONu5a6p7~N1)rL@6NqD^@?{sc^Rs4p+l^V_fEvbo*8Zd6KeO0T29+^VqM1HnHJ(# zwR?Gt4s6P_Yq-I=vCQjPyTqwWj1@mVB_8iN<5iTd#{MRE_QJg#Tu=Irv$|f3eEQ<v z{%&5m3Ge0OYGxWG>{pt7D#zk|TYo^%ja{E3nIlxUZ@Zj$;aA$nwR?Xyr@h#H_j%XL zLa8Ud+R0ZPe@@7aoOv@z{ZD_4McPh{cU%A6TxS};F)nCDI?tD~o-31>j`7Kfylm2# zCMZ9z?_uekUE4M9=je-n6Nu?hdb|JqQoGNe#dmWyJm_D`bUt7O)9JGPHJ5L`^11aY z+V4_%ldWxUT2pKg%l)m5`dT{i@_zG|wWO~-RR3nGgo(Lo!PX7-dzcHm=X@?RUiW18 zUe9B!7nvM{`);O9v;7^;wc3VJMX}nZVb1lonTMvmIm~nAlE699kGb!?)z*pK6t<mJ zu&;3!>(lb+jnOK<JwGn@DqYl*aHu``!|wIvhfN+$<4d?XOFeq3-_q|nr3trB8}=F4 z%D(xZe99}1@rLgsmzu{{j>IPVJ8Z5#=X`EjL3r5*hte5B`OY$u8xC)pvfxp6zN&9q zwo=UU%=V2%8i&@ay}x^<;%~8ANw?I@Cqm0&EL4K*CoSKl6`z(`=;AQBYJP=0<Ar4* znHj3?x8kjqdI+sC+j8elg8yn=83Da7e~YjDVch+}GQ~Q((r0$Ym7w`^CguiSG+omx z`_7ViGM|IZ@~=;{D?j!|_3H9Cz2cmFgForRo#+zV=kDg!H8KrNx7X}E@y_sAnqPh8 z0R!=JwObQ<ABP@Lxom1b^;_<X7yEZ4a(Rl1?9j2bnEdQn;OFewD__ovnD;^?ezl*= z&F)b9fPL2<aK9;8nfB>p%kz2j&P+&_lKhg9wpY<qu1;nT;|!r4J5}md=qGp1@3u&` zxGWsDqeUsdNB@8~-&KR36aURE_E%q*^gUQ+U4X=<*kjcd&-lK{>{nYbA;J6j--+oA zir)MC?Y%XgKP}#uF3%>R(_1_B_C1G9TV$uberEic@2-3>*G}_WuJ>gpB-DM+ik_5r zzvsq{lecYpyPu0mbF>Lujx2ZezZJOkS`edq%5CeJ@0qo28}~O%QOcOg7Pjfy*)+@Y z)Mp{Jn=5v4u{WG5G~qw7)$}^ksb@^4U)38gF%>;I@TGWRfLet03URBx>t4$bsQr++ zX%sQzUgExKp3Ek*Ro7lxAjX-P;_1U0=I-+Bo|Ijr?eRYkE+|~zeC>Iny`Vz=+xMl- zZjFj>qUKo0oO`m~B}V&cai`j}#dp$Eq*g58da-za2EWFf=k4qoMzTx4EV^2~oadbX zy|Q&Kk9{pB<+}A3>L<Cc2w?uGq<`wqgy(z0#F)M<srSpiReC04@A0A=Vs&ji-PeRt zwB8h*)r&hTlJ;{N&y1}v8K>V%XN}o8A%O9wW><1}GT({4f2GY5p3gkoZhD`e^Q!)7 zXInX^V{SL5{B}vI`NQrctH8Z?=b4We+yBkovMNPp!qQJtLOF)~lOL^@O*oSBWZH_X z@-s(fiEvb%D)l=hw$Wjc_KyEX?T@{S_D$aA+4F6#!J=Z*Wi5JTbH4{B?@Q}n?zA`L zKTp-eXRh2FTUYsR+??Gc`o`bt^RiOI?#?e<%rE`7E;3pj{&Gfvim^d<@Da{Ua{t&E z&ilG5tz6wBxXU~)efzswHp>kHyw;KIa;`c|vvxmHJt4WVZLwok#E&yk>l3`WdtRkq zy(M@kukNvais^=qjdfxTXT+`i!_p_J^ruSnS~*OLNXb6K>{7>;`||hE9e-?9xp=vv za;^8cdfkvu-~4@3$h>EYy_{DJ6tfp)6-}{?-fJWDR;hB@>SaMw)-Q1pY1R&1chpAU z!n=o`TW2{R;Ayw=SYVocH*xwD@jrq!Y9+G|9FXM{=HJ12#`yi(AEk0FFBJkZS*j-J zar>$r+<yDj^*b{fm;w`6OXmMO$BgxcAVX6F&UbGs-u&E`etu43;LD5;Qnmh#ZuXUF zCnxev<xm#Y_xi(TzEb?ck!>oUs-Hg)JUX{J^@~B3QGex*mJ@T?D&IuN8tF~{E5>#* zX}Ljek@B=9)*IrV&Cfdii+e-6yT=CAlC6=vdZzEK<vv_;lqgL);uF-rx^NM9ZqX*= z$=;L1D{ik3PQRTuzfptf=z?}lS6}JgTj$@f7n^K&Xl!)KQ#3+hv;VE1YxL`ve(`NS z(eSDF6W7Mv$uiAze_l)vb$eK^ze#XcLsikvhn34y+Er#dJCw&6OWC((ns96se|&C3 zT-u{2wUJW(pDUIdZDExzVm~dOAn?d-ON;lG3Ch#!TC5|k9(Z=I_l@&}Rl$tuU-`cM zXsMR-na5wbMLI>XaZ-tYz}u+&BcD!RiA{5v^YPT?DI2-(UY)XT-jn_bwUegqNMP0a z^Xt3D?(341wnPWkOr9z8sKBaDI_A;ux}CQYIxCLGtJp>yf0XpH`?o^<^R;RLx*I#W z{gx$_W*M38IF+{7aH`N&tIrdTaxJ_NA!X%trfE|IyOzkd*8ZD!=LEOERNg5ZwLWr1 z>4^ysd+z<Wn(1BR#rI#jt6G8e^v{DY@~$0dTk&mrjbnPdtGet&jz5kIW9y#0cQBIP z^gD5b(VH6|KRjiN6|aAJrS;Sc%g8q2Px4&fo$pR{=rCUVz;1s|M(Ry&zcUrH9-MSN zXZFGA@Ij`CKUYkvwoC8vpLW5Xd-Il)ed13Qjtg?n%`Wo%_iA@>sD9HevD0CXex-)} zPiBt4XF6T{US!Jm55*s^=QzLMy|IeFWZ4dfS<Vf2)PJ@d_LzP^ZCX}{_u>OKv1vk_ zKX09^xwTNJWY@k&s!zQ=Ui=WtdUcgw>a5IUkr&!`xwfv$e96#nFD%0U@VHE9;KeO& zFB^=RP8$B1HBoT>RDC(YlEfsR@|`yxg)?29{IG3u#QyVHg6F2j6z@M)<sK0KWJCDT zrVzb1s*Kw<ebtb=nLdB(6zkHEhnv@0&sVn>UhpdW{kuoJ7k^iTM15xZyQJ-X?AD5n zw+{*g`LH|vpC0pKQS1Iacc!1@U*0_L`{6p9Z_*D=ocQc^RP)lR508CcP5F^!ymY(J z(S{j$jbHWndX|;+Yc>6uACR~B@k^0f-iqsrCwn6#w_Tc=^RbZGSN)gZ!n{Lele(X# z>N~u=+PU=X?0p7|^ES1eoUErVdvc%lq_CiF;Um&#eU;wa-|=c1*DwC*g|cc147)zW zW!!t+@a4wO?FNgFf90txniuwNS~QE?`cSoc`{bGlujCiA->vw<^J~$auRaehIQz8T zo$YR|RhPqCU%iP<MNm?${z=+ZerMs8lhgX7c_Xjfl#=IIE&E*T@Kvd~EZ5JiYSwc+ zQ`xrWipDnn<4WFJZy4%nx^+vwd=>ZkhFD*&&$Z8eCCan;-b}SWJ!{h>XZ5O8@0a|S zblz50v7S3&;lCG4XUNLmY?3J5aa=nz#=GQsz0>2V)6JK!kz${yeVS|bjcq|CsrjL0 z0dv*lXEnOc-WhB6Re?KAiq|?P?!cl&cevk&oV{`2{W-_trkkg3PCPYFU3#;+c;5Qg z>os?&NuB+~73gs0)9;Vj^DdvQbIiIQbv)F$JMX=*!o_C}ikl~x?ljh(qcfo+V72JX zTa{DROZrc-RL!fH{&<GZwh+!8zvs{JD$u-QAYcFJW!AaGw4X`lEU)<|&Rg)0L+_ut zf_=iA_Er<Nw7;6iay-`k>{PwC-DH;P+e33N_+B{Fz4lhA$T{)$=4}=Wy_Rk7`qBF} z`LUTug=)nDdC_3=6BDw^S^2NVwoDatyrsFT>L2Hms)y4ZuY6khKcwVleD~+g*&;!2 zw_Sd&bojxqqUIYx1&VPz3hpcT_iMIwt(Gs7KarDdS@G#{?53LuPVAS=WFB2SP;yVZ zMB!S#>4%qU7lijH8ylpa$=`DOGt0??`y`#Ggs<>0xOQftFnjNjxqdgdoOSiqGW~eL zG~gM($SS8wz84dicU!MkeExs7{Gvts-gRu)Dy+J%o1JIxEd8I;)vol-*(Dh+y=dXY z>fc>DQ&#$}yU{W8;*wbwqEB2i4$p{x_O+!vl-oJ*@|z98Cw1cwyFY#zaL1}dEq$3( zRs25Jl`D=~AMaSOu~ek_#@|<M=|67e*lwv8Kci~$b`4+2^_>SdZ9Zr8?EFf}o;#J< zebd%3G8~c!-<T^|+}!!2`8Ma>YPAVpZEvifSIf2WpYN*V8=K7KUQApVA|7$`Rn`$k z;au(cD>SxUHQKN^xGZVTtQuCGl@nz;?|!=!9az>RuKXnVg=XW@>#q$`s%t&mAH~@m z4|$MjdU4nCXL?*SBiKdC#V^-QuQqvdS!;pf?x$sc-j*HadBYNza(jwP`u#<btg?sY zz1>gTkWkp0sG8KaDJAQ-NIH+V>#_jV&`W*RCs?mm*MAN(4G*_n81N@~&Yh*Rb|<QJ zOs`urS8|nh>Z}E}Pv6BPABc5~_jq!T`DW(+AN&zEJo}h34o8MR%A4VA`00(y^b-D< z#V;lMm-v_kGidmV#=mM6kh`{>_eNq#!>qmGH?ux6UO4Y1D($sLt<Pv?(OQ##M<(~D zpSDXckMd*rC;e=;C)@NLE2h{zs9n7<ZK=b`A3Ft$idXzjtuBmVar2NnZFGH4-v)7q zeLc7Br)N(r$TYt6ZADl7sr`M2F7+lKU!=Xn$+1tMRmr2lulDbg+`Ju<&v_kG6#Mol z%sp^*IY*b$O4ete47L1Kt6CX0@*J!Wh_|>EWiz|MBvF|sQ8;UZNb9^f$Gdw}@;=q` zR~7`@INp7><PGPSMb0ah%sO(EmF;@TLPO=|DU&a$u$kPrzOh0x;O>bNQ;Kd+l)B{g zzGBa#h_tGc3v5rGyA+~-y5YE_AJgR3nkmg583)T;<75{<-~U&XEp_fA-;kAeLsr{r za7=!z6>`nF%ptYJW|`STtBDtHf4}@G_1vv#|L)3uId@I7ck=(Y)5DbZmqo0uIcmkH zF-uzVhS7>2H5cUX>1x|=Ffwyms9s>PC_18Mm&4SZ{<SOqGSA;%{b|$3LlgXG#x5~j zX;u1T=dLwNlV4?RR+f6nu(a#;rHwNV9k)Bc7MHj9V?h0!+J8Z15qnbS<^C@%T$*u7 ztjBcOygfa+6+NrZMJ%6re|<={#=h16QrRXvy#3GgbJp6uZvSUInY-*`?<~uIeojwr z*BqU@u=KpQ{r<JRrOSd}`JZ}!?Z4TxSFDF$<|$7yvir7_Pvp98xRCAtmn%w_Pn~>t zr6S*tQysguGhXDoQzq&DL-@Y#w!H~zD;OjKetT~Cw6rbw)-KIP^KYM5hvu)2eE45B zJs^2!m**VS`|HAaKe5foQu3-=l=vyI{oWkq6O6IH?Z2?>4BVbCvM%`5C(XVR<JXzD z(o>3jt4m~4*1uN!({OW&@lN~Rt?LA<Y}us0s{CYHaQbX|#y$(tZ4XwqevzKPwcP#l zmzTl^r*1md+Z6TS_q@)>&*ORKnH*YIvFVj>klyjUtE*lVCEvN0Auv^M?&`vI)8-X^ zvyxBw@OyK&@$ClI{O2jnoA$bW`Ix!()~n8~4b1%q^F5vj@u)tl^zQR+X}WvZV$!EA zi(dDve{7t`E6iP{R6QqWl4ZaaA^-E~3@6rX_}zQs_Wx-=FPraX@?~b=Y@5Hp?(tog zGxA3#%jU)|H@l)9dC)KGp~Y*)E0$GZ6W-}dZJqULy7Y7}-zO}$Z~yR+GI(XB$$fs~ zsfNVm4}P_0{q+8`Aw!El>WAX($CG#7`XbK#vex$E!5YQ(H?oJ!)(8t;{P@bT)pduA z)sA{`o$V3xOt;><e7w_qvG?Pmn-MOjY*pt^$=k2RdnaUVkKxe^$Mt$sxBLs|tMyDc zJ%zzB`JK&=HHWVFPka3D%lh8!>k8Z@^V{ZgGRVGJC6VKC$0}#n{Q0}oC#*jup%!&T z^vv0`vnAfHH(&7my14g-IlswQl|<viO|~()K3;Rx15TViR#bE3X!ZL7LGRT*3*EYt zC%<#MA7`~Uzu{i*o3agZ5`r`P`B?cyo!i#A$(~&tv2xpmM=56(*4*-V7IeY*xoqFw zBg`hEHQY}htdNd>AF)g(rhx0CozVAt2M<2!T3OZ|w(0qwEuA)pHkZ0wlkj-ITWpTy zQIGj5Dc85Yw2Jw$YQ~Hs(UVoTOHH5r#M}9v%(?P8-@6xI`crm{<M)i)+l?J&@cx(B zP@~3vYzbH01TOnWMWVvD=FIr%99gq9m3K4uGi&h)$CK)`=WW(r&UeQ2@a7k*7@f{5 zZ(Yq7%Od-&bw<Vx&yVj@ZnZyM`~1JV^|Ah4?YE20#GUI3bz}bYsO3PJ)&IxemPQB3 zzuf8KD=bp2V9NdQw+&Na3~S(Z<M_>=WnI!P7g}X$Wo6X{Bz*{rdi3<-f~vGDcie9q z&v}yn`tNzxxobt%&Of~1)3O)Kqi*Y+UsC???ZgE_T~3Lwzq+z4Id+AQHzB6pQPkq= zs{@PQSnT|`=FGue?}ZO8k<VM;xKXg%MJ#ut`eYs5Stchg*!N4Gv|myFV9lqAvCgrN zi_Qxj{J(E++TX@{u9r_ISp94jUZTHXtzFQ~j>__X;nU;lpGd!M4w~)nxn`mL&C+iZ z4zckEd2i@TIH~e<-h=F$r{lNQ>K)eondtV^q3zI_Gpw(iIPV?RO}J|kU*VKA_vgY3 zPwsC$_n_)-<@SJun_UjFW*v!;GkALWVUU)gj)Ad5sP^}_440(^vz}Km)oF*bIHw;F zy|k*OzPI_J+WbY2Z=SfCu<Nd#?rZ(k506-zE~>cO<Q!fRkacWMp@^x!r@o@g`L7I< z=FVF8=i0`v^5ydmZ#tlO?tZG(XP1ba)@ir%F3eQB;dvu2(38XeZ+hU%!%m9PVpY*1 zT)zvSo;DU0&zY$HKlbmR^-Uog3U1mi&-?V;`~kO)_ti(mA0l@@+<%#A)zo9&uU;Ce zP3a7-33(>oug3GxH{7NyE`PyI*VVbYwZ~rkzv;r;84+~G;QXTvA|1c(U)-PPu=SAS zE&V#Scl#VJ|64y*v`U3FJJ)Xw+YbGuM@-)Go-k_q^!L`8D*>Gk7)35-Dcw!H6w|I% zrsGf--1@{@b@H#>7i*kK|MxxqSN7!Hh1uNCn;6vJ+=!annsZ9jmht{kpLT)DlR{r} zQV++rWF;y-{iky1ox@M&5U%}RVMPmfbxBP=_eS#{zxzrxM$59-w_;}rZ2l*zZg6&& zY$d<F)afYCP7TlCk72Eo4!_?NR=qIv`f8i}wHu-y-(UD&dESlnhcEBuUFAI~SO3lF zC!9;0U)jq=JdwP;YVH}mlSejvGuKX)c;)Qm)%xn6*p8&VYqejo=^QUS^rS;q-t=OD zti&hpi@K$+=S_Ruf7j}A+P$bHSJZb*xDYV)VESpU=lnS`%m4iT|8zpR<o3>qeWlEw ziXJB%uVQrQki4VHJ?W{g(ti1~&%(|;XGnUk&i7Ko-0e+;x|NaSU0K03w~nY7{FnY_ zzv8a+wu-8E&CJ#;XXa$r@ANz^bV>Hp#D=Ro%APvh^5s1sU3;?D*X329Tk@OlsdmgJ zEir$z8BLY9SSA0uZX$I%_*}!@Q)+6<9sCvt=jideHWcmlpXPP>towbFQ{r8EZqnDS zK3R0Oa274h`s(rUS(Dw(rAOrcIvnQa-q!UoN9o0Jajg)^h3z-B4Ljbd$|@Y^pPIb- zu()5!8NuS6mmO~>W^=pWN}bvE;pa-7SJpiTYA>2^RXXwhMrHNG(sgH9=dbF1Zf@LN zxi9pc;G*b?RpzqKFCUp%lbHP3WW`#x)ja*;^*1fb%HRA^WjN#Y&*bXcbit#dFVcAh zy_h<V{z&-RIZ^Q3pQKeEbx+l-%q@*_ls+u*OFPYS!{@1yryixQJsvszK<Y1c>lRxP zwd*oY8D58)J}5bz?^yFs|GDv;8%%p;n6Gb<J*U6l!+kl|IhL%a3W;gnadQ;QG(V=W zFMG++I-iwSAR+qg@wtomaz8!Ut}OY^KH|YgBaQeTAH54gmtQwIRK!Lo{^9E=`nJQo z{El3Ld(AP^pXWT6c2-`$T^PP;<-yeNDhu8ptyo+oyjetZeGcQkg(jUWhb|aLp58De zw(sFQ^_#!Xr0;&sERv*U;l6#Qf#JoOY3JCs@#uf|+I=ha2G_a2;rG1fN3u`dYhbx7 zSfu@d;jwvU6QrfQ9;>FE-G9EX!!vT--)*G=d?z!H?Gu$dCNqOwk4v`XTiMg-OA@bS z6CeIFIa0lY<!<8M1EOXtXWn!u5cz(3Vep;D7pwXH{Lqt{!@<Ke@!ol}-TX6b#io3G zWn3!5*nDzo&W;b=wH#|B(tBDPul82?c5;hnf0(@C%5<w~=Vo}E-uF81`1|t5Hj(ap zNB!DAMK7(p5c*m8=*Q=2dk^h(?fK@bn%2r?bG4)9(P{RQ<CZZ}D^9)4-RU-cWmMS4 zt@&3PMblnwlJ2^%a?a4h<mN)|G*AC`X)6CFU1Md?RTX9Z%C0zV>)a{4OBD*w>Y9ij zJXRd@m}d=7%HPe$g-+j&-1&aPswKYj-W$GC;bjsk&VF2XAlqg|s-2p0i%8hMX#rWf zCX)Y|=TsMSJt%T5{wY)XvfXdaicJw44{N?J$Zt}dx|Q3kC!X)pv_t>T`f7_z{P0nn zX|=jk`0<cCz1$_ujHY=H7xoA5lilnXrL$=Jhs?#*Z7Wu``iQ({EIXCp`KP1Y?~`rO zr+mqKr&(*6mp$y-%)M<@p62&A#s4^WDy?aovTUtnsgw1LO|dt^W=FKo{;APEKjb#c zMX#6+?sqp-_C+u(yp*@=f^PZCoY@Z&Z(KU;;kWIS>8VKx;wJ*P7=PV<<*(A6JB=F- zpZ1g3f7U5y*P*@6jjN=eF#q@M61>#uTvfi)KK|ZO)1%H!sW-n}>^&V5YRX!0x{rl_ zt9;nM^;06#1x4RqO?ezF*)3!!v+#eY_;JsZk@LCwl<uuE^G-PXO0Ku6^@#o4iv6vQ z_a%)@(^jzZ{d-!z@4m$4JEm7!x3Go&vNc)o@P^2NMc)pewS8~G_CIZZSY^X;fvksu zsb|G^9NV?rZtYI>T~YHIts)Dp>bQRQ_tZ7ysIymXih6MQa6Ln}UG`!57)zsL77K1^ z=e*K1y`?g>^!<_6S4F26&y0NbZ1T~p<Hy`sr7Re??)t6w?BN+d(`J8_?8#kg^fy!= zWdFJM$}yItu$;~^|6gI+Eiy7|^J*BBlOJ5U#k(${H^fxh{@|3jZ<@Y~vKLg;_!{4r zwls-n%4=fdc$D2GKb>7RlPR9f^+rMDFNsC2T5s-r+%kXCOp`@^oDESYb&r)l57%N} zEA-az#=A9a_nXTzgDMn1&zZF8Qzxs-OUWq`%q)$IrMAckxCwlH=6(Gg|J~g0yZ+DK zlMwev<aBV?cbWA!{UqJLJ}8WOZe1c&Y_s#!bXMJC30|Ance9-Qq%Ha9l;xM$Z=q+x zUMm?bxPL}|(UqkaV@!`qg&(@}fA)hsZ~qEcu5_=h3)z>t^c=Z5-9gg#z;my&&H*yp zSlo6*Jyu#5f3Qq$_6tYHrb|~&uU_ZpFEFpJ|5M?n69)oMsm|~H^rnXK<l}Gu_x@FX zk=`FW$2sk3ed6}$a}7)OI`BwbD_9kHW7YRX8%|!{+WT#h_RA?xb4+%A@eO`a`9G-3 zx1gY~W#66r6(_Cock~{f(Ixb4$5OBB4DW^Z#wty|b-m$>Rp|A-AwhD7PCS&IDSveF z`#p?*np^a4Exa7?q4sjZ{m8t#afR+rzIrT~U~}_#W5G?|@;z&Q9)9+$QM!?Fd%bGA zNXH_}w2Q6lE#J*BN;<v#*}YX$U9yWpSar593pkpexP;qxr)9mLQLS-=<HqugjHLVF zjjJzCI==Pw51nmu<&MmdXLFLeZ_yX_OX2AMiDi13`JY}1X!I~7N7~)2zPa+|#*3PY z>*eog{!=RO=s)RokaPAMyAp?EXB=B>CQY7wq*>A~zU<egA4XRuJ1}fX-TbdOP%k)` zah?pz4$&FOS1f+s{!|mWzkb>_t_$;WKR#S}Xv)&McZv@yzN}O%pZFtn?SiM~YnSRx zYE75_KlMlRlfs!+8fO$A{7v=k?E8LW;@W`ifsGau%9&KUG!`Zwz3A1V!nN*0T*j>& z`whP@_=~+?$R-!GmSN}qzjD#%8Kk$fdEVHs`e?hd=ARAIHdO4*ZWW%O%3GB@<9b8t zt9QT5)mHRA40n!}uNBm<NR4l+JLi9HGHYfJpMlxUyWSu6?W*t!?M)2xnj8}GB`fmz z)a4}^%$Zl(KYH5LxZi9$-Ou~zWy$CEYPBAp*WXpQG`nR*|33catzf~-iW5gul~un* z*avrh{m-0t-OFUHh>fJ}!<UNPJ$wG{<mIufXzf&YIkVA0pp=C>N?>Jw^lQ6dUWXYH zt}!|<OPy;v7j4|p)TN%+c*cTl=Iclyy)8MVpNh^sO0_*4XgBHLf}+2A-;E-S^t~26 z=3TDNd{b(%k<n82Njqj)+3?kWdBGP}ZYMb@=aBLGo6)mgbbWB+-e9B^@~<v(w#xiS z-IJQtF>SLi{N7%sG5d$l|CDDZzBqSCtUPyMxzZN*AZFd0QLkPeyq42EW0`iv`?A_) zd5bbv8$8$XkNvE8N{T;{zcaAT+|E1JulwrG-#_;i&rAM&R65$zXy3zGPx$8j{h4%V z?Q+9)2l;e<-JGERGTd0|xUg-*mTxC4?q7VjsbI6X_T)9|KVIs6sJtb6^ZXU}-nzA% z=wse@>Egn??3&D?S&=%Y<Ar279yf*g&+XpP@rTp%N8h<Ek~?Fol^yTq=_mYKWv=}D z>vp-xVyhD?wg+yKWwboJ<%C!KuGBZ1^+j9{DsFkbzW#pDo{e8kymlULI;H(F=8|WE zc~D6Z1DnIo{epK6uZq(!x?x_p?OAa04!@E^&r2FNKRn3yAj>~thd^RO-pu{G|6G52 zUw+fnS3AtP>?hBkyY16fU5=eG*9A^HA5%Nvmg95heVB-za9`*7Vx`4p97|?9TWDTM z%bc`sTIIFn{2yP`>;7FDpLDrnqV?s?bGET~a_<s9X1#x9<o9U1o@t8h$#QDJiwqWf zx*cnL7jSuZ+@2plZ?YSzJd2gRY=88qr+lzWqCwd26y^tR)%O{dCSGn&-l{#l&RlXD zXEbBp!6P5T?34EYdhXuk6#7>tPI$wT&{Mm6moX^2ewI{omhV)~^swIR^)Bex)4R{a zwxrz1x#u+9>J)!OO2@5BviB3Fuke#>a9SL+UiF&Tq#a)lt`tpa;kGI@;?<EY6<s9i z&eFV``PP)D+wUBI`s`(ZRXBG<-CxV*hw}gHN^Y0SnN;0A{m|XvoyT^`&v*11Etl@~ zS>btMM)(dxRzJCIUkb%erMg|dn)7zEa;KZwg5=8|8!Z3FNS?`<wnEq9VSIfRSB0n9 z+b_Ph6HI2GT6V;(^4pqu?okKR<+fb*7jSK;^48qkAric^NWOgY`3Y79uk{#Cid$UE z4qyKF&HJb@&QEEl*%r*vN?P4?s7IiBX6W*t=a!1Qa*8HNKfQY}Z^2E@vv=jr9DL)y z&E$J@N%j@<RUcjXyqWeyUa@Msnwnbs(kN$c)p>P+c7~}UwS^m)Zc4HhRcU(c_ua~n zE2ZGi%)8dQLN2}6EhqH2KSPkb^X?;l`qc}V`PHoE$FH1l?Pm5z?mTvR?+U*86SNN8 zJ(B5aBe?Kk<d+_?56|xX7V(>5^*fhy8q0U(n_Eq+1Lbynt)Jue<eH_u_*I8%AvGSS zJ{BI2y*&LoL-?1Tmgr2y#BH4WA17b>;lY?OE7p5v?CeLZ6-{iN9Rk<#Vr>qdS=gk$ zu=xOocM;d?y9zx=+{$OVTz`<OQj@6R+s&Ic`H<n%kWCr|y?*nW-apMvkEouy!TR-W zNmHklD_6^IkDIo!Z`wlZeYaN|e^-xR^OU7!?~X6br>qRRE$8+#FW9zz=R5s?{Uxkz zhgIcVx*or+td9O0n)Kjr&3$ouv5Jr4QPz*I?Ahe%y~zKOEPpxY=@gs3Oe3RZtCzjy z^I5>qlJ4uHpC5g+Z1ytW3;hKbmRxwUdg+#w%^$Kls$v$sKDy)7d85^51YA}tnr-;l za4P49!|yD{(_E{QEW%yYC+piR_$e2*r)9&1bsN+V>of|!uia@O=Ij6e`Hw{zbB$|f zPFwdoYTBiWNrzTf_uudRnD6j6>1+az*p2?g%4KgPuVwu0d@*x>i)5(F3<IV6{7>q1 zLT?E@H_MUFd)?S~Z`NteS1Iw6-Ih<fJ^RG>*~h{+hs^)OS5Y1K(kaE5MdkJ7oeDnv z)<1s;?q#^%Y%*7S<E)tneke&wd{Sano)tO2=ghwCv+8D<?>pKVH{-VNZP$YZdvvAR zFG?}VKd}7WyGGLLDr11<2^}8?F{kxQih}n~eJ_1VGVq1&bnBNV-XEBC^tah5H=)h4 zQAZ0bm<oT}mOh`lF669(itzm6_pN^&SQO5Fn-|aXMgG{QbkE{ux7Fgi6@I%&-FRAb z*iU5X#nhd@n`WsV%bmYCdI`(x;D0|@%i{~TMO5mC@%}Yg_;1zE;3GFg67z-TtIF7R zt=*?Cx+`8oRw&_e`P-Hmf+w>R?d^9?te$G~Z!7z8$t0c4>w-^QzSMI>z%pn-Y53iB zbN-#lUhQI0Jhxzn$aaedem~5QsecH3!u#!)<F9#Bqt8j~s&@)WXk%UM=l`TrZEb<# zOIh__tG(=mtE;paQuf$X_Y{jTe5_h=C~VpDzoL7NtDaolbzk;n!1L+N9NMpTSL!z~ zq~`=QuIOm-t}A=+H%xGCOJI+1VQ=y?yL|^Zy_3IKh!zAdytueLe$E}0$Gu^d7W(tW zn>3GazEo&*^U=?D9CNlf<+!W4>R!IntlR!(Yv#r9lNrt|O>d9SW1k}!sI=&E+nP0J zzN8*GzwXd-tG7$H%Ew3Wrpip7Q8SM-GH<f|pNp~ej#t!d!Zf{fL_a=nT{`#1iivVs ztL>j13-r4;H(+i?tyC%F%43O(Hi|DU4cQp~=;$$qc0*G=j=-X04(Ak-!vfZCWyrYy zdEtyFUUE}Uv^`>&aDDON4rM*@g|cxg3&L)tb|383TXuQH>~lN#&+c}a_Vw3pC6hi6 zFPT|wA78bew7K?8NvfdjS*oST^)%7wjlL5Nt}{G}x#EBRBVX|&E!C&9)-8~k;b^2* zw6JtRQ^M2v34a%+*NA+X<@<hX-NS8t%f;eY{=YxH>*w^P{KYbFqXZt`+U&NO^(5=k zsb40jANo*zY+q$e0lQdC)|aMI?;G4=Tx&VXjBMBFTM51SF4)lPv{GMg-K`1TPyVqy zS=YC%I_^5tqj%4D7^$YbEC06D>h&QF?egdG_wJ`8-Cr{4^RuPT?KWpEJU{!y-aYe{ z&)m~|XXCR8t6$}vtLWive_+vmS!(K+^8zhvziqt}{6OQwUx~A#Yj+v;%PVZMdUfgX z{+EmGZ@$~R<l><@$8SG0yPJQe{@^eEt$+7Ccl%zkGonUH{fWBv<10IEJ3nbi&Ahnc ziC))zri8U&W_JZ8zTTV?XEs?VdD=G3Ppf8#lw6Tl?v;3J;J~ew8g(vFVf&@7*|OiB z{84PWcBfh+xaN$?ii7*sE-ra?{?&y7t0<-{$?|oR8h#jQbMII<KkeO(O(!mJ*Ty`| zo%qFD^r7l>zW_U%Sl*(z=sO40zFWmlx-)01rJdz}x%-Y{$>k4PT5q0I6K*tE%<z4W zTN=}jiGsdo{QWN<zgZvlJ>$)t{+=e@4UvD(`TsgUxAn)RJlzuBB}KQdCOp1*uPRl= z^?9q^E7eX`3$Ya^d$&$i%AZ<%e*Pj>Cf7;68vk}r4wviyThX<<?#_At>YE#xp6}F| zvGaTGa+d@@Yne^c876ql`22I>J}=(=-)$a0(cEq4koflS86~w>MgR9%dIu%Xb#a+% z7H^mK)L{WbO6vOQ6SnNqTXwHb=7QRT>*?J`KmL8(ZohnOVug;Xt)T4w_rk$qJ5S90 zwr~MY%&*cDI@^w9RKBmW2~b#EzTfCqD$|NN%U#%Fng7{LHRtTqJD7B*zDZQr=7q7q z6wlRbraOLRUTzTdJ*#jz^F=<t<I1V}b;d!<v};cl?uzxV5I(72CHPi~f&c5A+2tR8 z%op`<W83oJff~yf29ud>FV!^nUUF6u7mfaZE#g<wbmfnc?9MgG@!vgmMeV<NFzf}N zguo}?g3Td^-s}+ksnuzD=Fc<z%jVM#Etw#`B62_fG_mwvmbrBt6Eqb!2%P!Z#m{ia zDYh^1*2KR>KGhjK>MxjfG;F`MXaCM=?M<tzwBjX~spTfvs~0R=Wmr-(@09t&O17^{ zc>Ih7ITmfYel5S<F+TF$^2N*lgx}gP{p)VCl^kFAXVII<42&BELiej(u#HY-|M6<B zMbpmRK^=vkzkCtT>AiS*HzRlK-lpl%TX}9ZNZ+iv=6EId`zLnI<q!RAK3r>FEE+oF z-^LrN`G4xdUH8UrxGyl_&4kxitya_>PwSm}a)<6Fb6&ZaWTws5eD|h(P-#oI*f^t0 ze(p5!nX5DFJe8kGaC^_NiMw#JUGeY|K~IGppWj&+zeqA|-naO+jb_h>-xFF5KO5>i z(p2JzDQ0iAUt9d=gU-&sZk=aBglsu;+AnR%;#ap3>RPv+m$UiQ+n(v+byu$k|Kbpm zh*_k`VXj)B@8I~vYP-^ok^?n5Gmkv&xvs1`yXB3p^sgI{7Q197awo0|zI^-j5$*ct zfe&Y!ovJHYHZiLD|LrsV?eEW<zP#73c74Tp*PK=7w!7VJn65l=p8aisqz#D&?|4Nn zk(htYwj#twHG5+H^tOmp(_0HR1)gHPy0Icr+1OxL*Q0MjuU%?*j>#N<!=83XmhZuu zOV-WbR8Dj%&QG}ii#v`bRMoU?2FHG171kLF44ZFm%Y7-P6S*v<YKo((^zUV9KVs#Y zq+iFb5N-Kc!u^6%TCVu}?7J5wXTSA*H6hS-o<G-v4at0Q<ziFM#;Mn)Oqmxb`Sfk` z`r9i*Qo~%hx8<Fmv5=us;>WkIqKl23guRMBy6^4KOf%$v{8mLpK|knpSbto-Z0{Z4 z)eGNxy`K5<gx|7b%}PI>vDLMBsp_p}SiHe6t?<mU&yQF9bCbSvaoef*7ru^fj=M46 zN!q$QVu?6MfcbLy{g%Qf1cS2|&3(Kp`diA;z$WLHUNPy%#ku58X0&<OeM~yN%3=ch z{LemDRlN=r+&FT8%WTmx-tE7pESYe*{`|7vWz#gS@zlt)o!xlG>dn=(PSx5hpVoT| zqXSzxZ9aDAUz^ezQ$7Eix6>hBcdc(ZEIaa+@*TLcBgC!!d|p=K<fiaRM|aAueaRF1 zz;~a`lD5@v{sh0uO0o?3#+kW(YEy)hXm!qM-$z>(HY?sV)$F=<xNY-9tGoIWIC|Fn zRI_6GbydpCtwv(yp@NI1fdvXni?n_Fgi3D2mrZ1oJ88^kvR3NcB!1f_9&!1)V}Cy} zF-4_Zyz%ySY0rml+nr87|Mt!+)6IJso|wfQnDlN+{~JH+#h>kdo_ZX)`J_&CoQJ~B z`5B_g-&5RN?mkVIl9kNiJzaRv!k|<8@Z(>nZElJ`n0V&;sno}LkH6mwnm*n0pyQvO zR|;n)USIAx`$fRi++2@`*X{R6F8yp&I!kgb|10+N3>UkJ1(Ds#i3e8S$i2D6J=xkU z`@VATmxkoBC*K}CT3<O;jbHun)hgjQVGH-&ll2#EeziUS+>CdjA^Hcu+I4L9I-{<) z`)HYSxZ)xYh8NW~E4I$`Y~OP-NJ(7psf^>R#~<!4nVGTMpwN2P(z&bp)2u``-R-s) zUEG-UcHQyPGb^LdZ#KQ!9xFMgZc>X9!z8K8`(po;Z+ek4VagZ2dksvnbCzFHYB8z% z$#zOdXGQjnpMh=4-xf{HYuS0##i2O8z{|Rob&sms)RsTr*PK}R<zZfy<nAr3lQ#Iz z;hf19zar@$?@e=oRWh=Fe$AhF*Zi@VXvEetHWzbhZr+Pt)a<t8-@%u2y4D9LE>|l{ zy&1IMLUz%Wjr}dQb6+YY-1q(xcw&3~?;jJYR_P{rCo)~0HzA&PmU6Td*VL}a>CKa^ zH8!N)_Y{7i)_K92WyRflb1$!Mo1XMMxkcz%M&+YMmOIy*jkmt%yCkf<P4HgDmg9_% z^d3D+(RRP^r{OEB!r`@=dtWO|66X>QmfI0ES?2OexBW-j7jF|kn=~c=S<pYFuXbzl zPq>u`Exu`}w=nC`8pqJ68Im%syLIQ?O`a}tfg{oP`13TGREY<F%UZVWToc{C_PN&# zGoPgsQ?D*DV%jrBVEyZxHgD(sC|Rd^{N*pT+Q?-W_0m)qIJe&CwVFM9*W}NdRh<c8 z(d<c2nPM)v?~&cmpYr2?r?h%q@p>+ykc}tOy8PB~G+w?|d0_Fo2{LZ)cOTH=W}enk zt0QuK`Ei$S?bk8ucis@nI&x3@+Rr72U7`=n*)CyqVX9|($buHLxU2gAgUSOYMV(GQ z(zZVQt51{#$C>HQIZ;wlX^!ttEx-1~(P&dQuN#YMM_bTIekakLVwGE0$?aqpP?mnM zb!l!IQ?T9EMUHRsKZdhtELRPwHeR#fPqNQno?4xwE+78Qdj4w7*V~zfo(#3`HB$Nt z!c&+EUR2rr5^}Iwyku=qZO7XG^RLM3KTGKJ*pZie`-sxY>DH$fEzn@&<4xh!)~lPj zrkCxD$%n<vv(DxgPKrtP^Gi5!yF2(2vyNARf3(Tj{S}$czUO!NvY)?lHE{214?UMx z8)h-S{xIoM!t$9W+%GaNl%FkR)C=4H_Ro|HCEDKAkH4<kw{Y=3o)2wuH<#DS9Xobb zH)lf1tT4I%!KNPmr~f5OPWbC|b>g1gd*)tCdc>P>;*IT|XC~ZfeN~%+^OCAq792Sg zS*{(RbJe#lN8z#BjXm9;#LSFDS=$;a)hFCkn0cT{VvEp<A8YMPc1vaKe#fzM)2BSY z`!~1#-c*%+l<#3t)V$5C_l`>@AJyrZtasG@UQ^2Ei#N_z*47-&J0EgezfXBv*cZkl zoPkj*dqp<!sQTKtME-bs_^kTf<5#xmN-xdVSCm}0{tVC31-_~KKe_6@t7Xt%(r2|- z_p9Wj>z7t7=BfOy5@emd?v_Jl!OR_#A2}b6mH)i7!EOHPe*vd8(p;OIL?YDN)Aq8Q z;MkwA;q0;r-)=7AmG8*q;rE((M91i@cVI{NwJR&mF>$#!oc#9f(HvH>*N>SiO?NLy zz58>~2Gy!b8NGA=w9Zp?Ht*a2^ziBJo#$<WB8@m$OSn{TY~(VM*E>^oX7V!cGaO6| zzQ30kMQ-1g@NK!l<i%b4&&<+%72Gke>9MVq&Q;Fr-6^5lHA*>t_VN{qM_FE*y2m6c z+9&I_8tZhk4XaxgzdP^R)s*!uKQ55}tkd;rC37SmJUh94@0JTZE0TURaGsw0a&nc$ z*=rtd)nA<27}}%#SmO_+ylTA?(^&Lo=0*7hEFaz~%FeQ^{lua?so7=q$-l4uhq-NP ze`GvG`I79dEuu<iHheVMvs$*b;kNIJBX@ghQ*&F=?wKq&`OE#$;le_5Pq7=)bqz_T z)pG?ZvS;*hFKuFeam!Mwvi@hu5w8gmHiakd)h&IIGS9GFYG&d^e`9qyKAla|1-k!h z<nYWs_p?ESiE(Ska{rE|?<$A8ZZSGr|M<6dawe<Wl#+du-yM8mH0|iul_e)bnjZge z4%k28Mv90>^0m#U-mb8;mo?m1Qo4Rhi+oW*!ig7=;a8V2uKHo%vFUErxwJj=r)-iB zEYtixF>~XUm>hm)mMH#xM)h+|TrM5q<enPt^k^}Af=lfo&tGraPwGCX(4V-DYp2HI z)wjiXzsk+t^dfb3Am5c=Z%#K}SP^&q%TIsjt(->|$$psfUc^#!`JViHTpzuaLnHQ` zF#SKjP05;lM%()-{kM*E?Cd^#@tlIRqPtR$ezL6CajR%aJ^OVtyRx~D-@W0udEWK2 z-@7MXOn8yPy2saG&bsqllNOctiG35~wLGW1QDt&dBl87st%&ty&mTrCDVp<j;nd8p ztAFY)Z|V3mk42?_f2Y_D<L8a*R?qHtje6`dq4`a2g;qqg*e!Llc#Xi*TPz>Gu&m^) zU+Hv{tE=)|xT5Ob1$Rm_v|Us4lb_w}bAC4SlbLhm?b7g{@~gM3`7B)U<mZQf8}?cU zC561+ZeDjaMXkRrC;CQ2?&o)!*`JRr+xAh$>^Wm;PYhd4TZrjLe(g=`?!WEZb|_}f z)wg^RI-CDo|MkAr;B-`!S?k>#+rxIV?R<V!aJvdT_x|lsGkb=X;Ef~Gs~<M?e0a8g zeJI=7>pB|3Ol#b9m9K1e5Nh2L-)pCHV6BPyDQ=tFUJvhE<%ZjCQd+1aQQj<iSNz-0 z4|9}l*;wQ61W2X!Uu=BU^190YZE~OG?n|3$KYe2|*WPGfaO3ay3GL=L6;Bxi*);pk zTXAFN+5n5GC)d5+rm0eRt@ZZHsgsud;#)8IQ1)EQF)y35E^a^9>GJBb)YhIVxEatC zRvmIEd3D8<rRO)R9QJs)$hz)|IG?!DKZ)S=pO{M+Ua{G0N-nvk`DsP1eXPwf-80N* zb7VwsWJojaeKr3D>-*{{E?x4UGdE{9^2etxXw(rbQi~0`!N2CaZsScK*@F2ydE}CH zVx85#yx?+B*5qcG`^|oO+l<h!Up;mmsOY?J(*5q3dgoE|hxgZJt~^uv!gQzB3d^|~ zx0PPc)48+J!uJD5f#)@Tj&mNj?7Ku43np$e59+9yZSggJ1LMwwr4jZsn!`=MNo@_; zUiR#r>y@kpc|A$;T`Crg4-~d>l$n{%o_=nt%Yhr40_BvPOJ;a4+f{z$qK3v2xBVG0 zoIy_*p9ub&vqP|4`s?TEZW6nl+0C1KubzD`bz^r_jW3(Sj2IoRPf=Ngti?S7JLYrx zFgvajdoyXX;Nj+N(pJ}}mvG(c<j+_$XMW#;l8IGZ$BJH^m>8`id*_D1Zt)qRzkke? zsaAYDsWZ2}q5j^ZCv0v{H`ZNRATzJw^?gR~w9VV!+uW9B5Mk4Eoh7m9_on2zZ`OZ0 zcIa+V%Vw8=)i;-`iTyZH?DFZoPST`_)7L%<c&)^>*LiLD44by3J3N|FujCHA`x_K( zd(7n{$Ir#b44%uanUmvm{)Wo;34#y$oQ@ng!4Q3p^~k4#8t>nHXnT>(bV{n@-|Sq` zjmztQ-nwIOn@dR5FX?oouYsrQ%S5(!?w*Dw%DGY}Vv~0M>*AZe=5v1Sua!sdaJ$4> zP4qtd>C(4dPM1z)Obz~OJL5=NYuIZK$%F6m**|zZN$Fj#5g9b4|9Hpuj;S^qZBEv( zOkHu@%QousubXDMlQ+k*UhvA^Afi@%c*?4O<@w*XJ9%h@1Zt>st1f-G)nsayim=b# zEf1f0D2a<1nbp61IK`?>R-pgFHzyXs^~;|I${!DOo_+njNyM{sHkRM-8DlP;x|99L zM<qW?+Wvje$A!8JWnL%R6xA}=dYXJ;S@zng>tx=J!Ygl6)twUU4=pm;yz)cy%qHWD z%=_!ZcB?7W+HZG0{c_@0*09VCex+uuOk3Vf^iW)1^nT%qhjv9<S3dsevU}PU4&gIX zJU@vlJFvBDNdI&-7xh?mm6d^CZjRZDg@#uTJ={LO<(12W`_hZn`5ij{mnGtl%cQoq z`981en)*%W^E|u%cwSOKamnU;w|g^p9=mXB(Pj3?eUnc17hFFunKwW7;bd*+>`Lk4 zqig5#{ERf(#U$mu!C83Ap>vxpPc4Z_k-vQB^yYdYp&TdSw-1=zGuAxv-TC%W#pO$@ zs%I!VF0GzoQTp*)uJ^j->vLFl_+RC4O%YwsbaH`yo!#+2|HP~#5<ZnFc(GP!Ut8(Q z@-g-8OoM6FoEvU=m{&y$Oub;jb8-=PRGrDrwSTgmWHa+@uV>F}pKVZh{=&=J=%$H3 z60Fzg?z-3!>9NXDJ>7NML1BTz_d0b?FHHa3x3ysR#w;aPr3Rs{i+^ud?|HOoZnQx_ zQJ<Hv9{>BzQ<w9WeffC2_2Xo-ur0Y4t$*7+?EN`kn=SlIWrarNef!6g-=v*6$D#b$ zL3T#Wv};ja&H2G*%feOpG&_Fk3U8dyIDN(?r|o%#AJbBU=6`CgT)lYT-4M?gRd-`Q zS{J$TtgvkUe0$=op3A>0ZtK`C<FKA5|EHre#a(>I2YcQwtC*Kjf8Rc@np*NXb){{# z_{pD3UdpQ(ZB;)db8SK5ef{ujsr!TE&c97yTe;`1USI7TflC$-<-#==wZw`Pea>Ud zHQ9OO<LCQZpPy|gt!Hu;F4vj(*K^;ag{u_0gP-&yZ*5K3v@0@o{-fK$jr&X=HsoqO zx0CHXUmAKfuG=r+cic`n8Q*6`2Lm}eJxwNQz1w$cam1gqr}!0q&iL@adUm53duAv% zpHlIy^-rgV<f)m?4dF7lxbJd+?DB7nrUzNLJ<o2L!ermb+3a5xKb2o8kt0U3{iHGj z>#y7+M>DS}F56&RVqT&D>0)Bz_IYzHz0|A)w%**BdbTgH&ts3VcUg4%XQ#8tW-BT< zp50q%9)0GG^^YGV4QIRm_P#AId^eT<Uv$<LNA6inCnudSdsX^5OW56V*C&n6FON1| zkWSKhHCgR(@)RN7RO_i3fmS~a4n1=HuXVc8%T0c2_H6w-i;mCSBv|g-mGW&?Ppa<W zL%%CthShJ_aY<+8b!#~xuK?F$m%m9%bW}WSQ((DS=~upGYnnR?zw!k38}Cae2JHV_ z;dw4BX=l{5^a(4J{$KQ-7_C#+v2XeI`ZI>feR>hAABU_9dg;2&c=@@;JL%_r`98HJ zxL?b)D>7)>@nUD|l7ef#HD0ZgmRz}QCek!HEYpiMTsb6Wf!UUWITbJOWXLv)>2-1_ z$%JlYWPc^6uzvIF<6G8We`kCn^-hIXOwjd!-{DDLmcPv2b47f&(76|y(xua9ypGIW z%gy_#@Q25XxOJ7aM>wSNiyA`WZ&au6w~(87v-X1ZtNZ2Oi(1omer;=<lYP$T|JEb* zdLgwBR(yJK&gsFj3B9u<4@P|7C$?f%7*n>>&+5%f!<{Dzx*yD#@^Gf8nP92atwd!l z+jEf%s)Bg#+^~}fXFYRtnQ-jFm1?)&*)#|r`T48y{c~QguGSUGTEF$>=G$qwKAt@1 zozq&jms?-Ah)rVs^7e>Aa_013$<qAc6ImPdz6&0G;l?Q^8{C+)|AN>IkDR&UYNwPZ ziPt9_ef!V+h{oO&Hy*u|XLV1fcjZ*i;bNP3Bf$7$+<uj__g=AXaf#thZ?jC$aNBeE z=B79QRz%5W?rZOnF`N>?uF`OzyI}oQ*B@Q$L^<M0Cfis@m<DxNgf~la|Gzm;W|P5! zqq`mMKgv*N&APcy)W^r#(n2IRH|BO#ZC|g9lh&E3j=xt=JpS5%;Y)ydiAz%ZsznFd z7r*uDoi}xzbFi2ZcWKj}3%#%Y#a#Dmdp5znp*Z{8J&hM1E;7o6O8!rJsKlLkH1}4~ z*7ChGu0NW1>cMr6NkX3;CA@xi&7V|bR%o>FqKVMKyA2EV<b5mut$J9#Lfd#PlV55+ zgV`q?4)yhmjLdiFAFwz!Z?E^Z9qh&Z*A_CdBowY-&1CquGJ9v{#^#^TK0Ij-@n#Xz zVe|=Yt2PvptU8ofknH^U-uB)t3bOKc6Sg*-C^_4FJh<KU?uQjq-XCK3UtaZXQCjMz zV%Mhy8!H;L)6Ps4jyf{S=l&+n#XY)fY>oD@XPPYz@SZ5J_L;*f>v_JmWg(mvx;GB| z{uVl6S<{4rwZGXiIK;mCEmx>!J8wI&FhJEc^+?E*6ZbQm7A_IC(ClT83Vr>}{@`5G z<v(O?PhaR#%Q%#k)!w-*(c02caJE>+DK>_~5>-tR913e+Z_W?CE$tJ+=Q_##)6UM* zuTJcei!=P{=u>~{(a*FUl6t|6Q*WeYq{_+MFmE(&S{|vjss4J-xrlzjn9p0EpQ>AQ z=QGpB6}>%99<Qq;J{Yi@eO+g0#NoZmzUHaZ>FB!GM!PpfRyN(axQw}F;=?r!(I3yf zzP_O4v-A3Pfr~!I`K84h*>^3hHw}FMAmXTC_*V_7T9;J2RpuYhE%T{8vS72wAEAZw z7f4&pYH*n~ZBrC~#mDTU+HdP^BmaA@o|9C^wnRs8ZH(n}L4o_h9}Q|&*k&A`rvBsP zMcsr8$yL1nubxYabvhZ|JR_Rfs`lNh^ryGxe4e`2meut1q@XovF>~(es+2|MMwV5c ze0g+nzha+y#WI(6BeB<_f&D7VoO3EJxLldAOtJirkNFk3M^8KdPv_?Idd#j8Ej6|4 zMQdAJ!j<Vl#q<2ube(^u)U0)gGd91CyU0%YS>4Vh5!|oe)`!}DoK<6@9eR&f)ncbf z=$a(oXWfevzwKn0vS-D9qrR)E5fPlvHLu$(VGugB{CR4G#3uofDHU%rUnt4(30|1m zV(R53sQlEATPpo*Z8_^+(+6)Ca>~6Iyl7r7abML&MPY?m{(K)zMb^;&la~Zkt%&Kf z_g)e((XZp~SN4Ytmvy`Z*8hmlu<77B^V4Hxb^zO?h0Ck7zE3pMe6~GMo6{j$coJWU zse#-T0bwn}f302{HZm*knenRg_butuJ>|zXG97;MAi~6N<%EuxXANHO3EWsH^MCQe z&nFujq6K8u9A^>IV&73bZGo58M~BHvZiFj6Z~o;sEpDg&(XKg8O<f@i<#y?2EsnT- zNA`@xewlgPTMg$&I^Svu5175{U~t0ZxkfKs*e5M8KD%Pllrz_47T)sg>XDE(61%D2 zxo}3&>%TMB+42V6XnOZPL2kqE=yifG{;kro4b;q)l{`>e^ZIwfxw+SG_iH9+{A0H0 z{n~qhFNU3eO9)#GH^Y<GwjVMZ_O(snXwug_u%zpge4w#WX~MD0S7Q4gICrj3G|W7` z{K!iqmac}szb@aF^2u0gnJ9e5V`3lMHbw2~*BehwnPmN^>v_tY^2nJLkJEe?rY*a5 zo7X|tzju<e&j}vOnI+X0>zujzKI-dOE?&U(L|4Z*%lqwDf&A@0*LSnNNcv#*<8rs& zo?zYUSx*m_O^9Z^{i<}L$W8l<&r|q6>nF1x`zra3O*}er{p^FSMZMMU&!tM5yi`hg zc--6Tw_$o?`@+8-kNmZ4+$I0DoVo83Q1JUe`1CWIUQ79V1|E;R>2+CT?WNjz|95T8 z5NqMG4}QlJ5n1uA{UKBLdF6deJIWIGD%w=X6tMM+_`R#zxK%SLEV!*vW>JH({_A2L zKO2p*D_eHvnj{4LVxJgkW81=1&E<b>Zr+!pk?ySvSLublzpQlSkHqGa^CQ2oO0D<m zUbZ_(R7vHr>sterY45It7YTYv<V-Rat5V*{yZwmO4o2}vk-IGCU*>OTtgjPxm-o_< zt<T|G#ALFtIN{Efr_&=$($@8~BzCU-GxN=qioBR4mHUA?2F>Z-PKF1K9%LEBpHtnw zi|5SiHiaI>n;*{jT5kPewk{^ItHItYGTm?T%@5V8`W@2^6r*(}$RA^_NnW;1sz-5; zrv82%lN;tq2V8F*o$&or>0h1a`K}Ik8RmtG$T?LlzEo>6<JZ&Yx0K_z9c{8+bkcI; zjzT^jrO#{`zw<ihBuQMaTl;B$d&5yLf$}f+&M`b^+qq}inTju`W=r;bR!C#0wCOCW zOS&%mt)S?p^YpllT{CxW&wf7R_dV|N&yJ0rl1-c0X3pI4Zvk&1m)_aWd6nMW_21{P zY-+f^U`D_%#d*@xZeBI5xSO~}PF=&b`%vkR$y=iST>quIaK+ZeuUy47cJsC`b$&Vb zvg492Nk38B3A;mz3=i^M`x_sjJVVE9=3ZgJ6h6V$(_Ugdd5^7R1VnQ>PCiKzS;4Y= zO|=K3(4Hy(ti*eCuBSHo=2vk~2zxZEY0HV^i6ZXbB*HgyA2@zm>Jk64jE})NF%l_L z7wp`1!^dLfjr%M?4et6y0`(#Hn1bXd$a@}LE@q`vp0bjCuE#c^OKEbO4$NQA<&bP@ zt6jTiDu2{hmR}!vBb~Imd#<i)x%>9^Llsf>jvuOXUVk^UIBI{6<+Fq0Lgfu>MJ!*O zu8B6{ytrQM|IDy8`3;O&`aZX$HcVd9^rEHgQ10ZY`R0=ErC$6C&YSd+T})$X8t0Ke z`7b&Q#I$-YE%V~z&ogM>|MHVagW_k~%Umrb0%uNrUi>22bGIAY#)K&kw(RChuUM&n zVK&PmwO!S`^}_FyZOr6v?=yBdUT~P(Ui;e9mvjG08(AJ={?_IaIbCaV`J7J||1_L< z)G2=B$KNAAL_hw&{-DEOVZ$En!*e&}N$o${)8!ys>if!mj_Z;P@2FML?u+Fl_Dh!Z zoh&)ku&r5%>u=jjeMg;ZzntRU&MFFa;quYU>x)>($LW0G;_e+nGx?`yU;XMeacjZs zD9t-%(OVYlo{Y2Q$_$Ng*nE=h&dCX`rR?mgaX<cbU4P$I)T6%bpvbRD7geflkGoCU zw)fq>8Id=ut)$j-tG~!T_41=$@U%rwPrTtgZtk75=v|4h>K>lj-7{<L&&}`qt@`3< zG}Fn0NlFczwKJpNyD+^dW?X)(>*I@HrzH+8f9^Qmu)gtl){Qd@*Pio#a`ockiWfmL z5zo(jw(~qI$@|4<PT?bN<;?l_>y)&@f;`$HylT8}2#8cgAKHFEk|#O-WN^30M8-P_ zS&wHQ;B=qLT=&qkZ{EIat}V733+uGLMcy>ZKDr?6lK9%|;qz{Kly9EOFqfZi(ylsB zb$u=e)?Ms6Uj#qOv+b7Jb<kvL--{cKLG3Ng9L5|kmauF+!8pZlCfCcEn%%wks}?J7 z^)4yek!m}4!h-DV%+DOZ{iYejn}zd#O12hodbJ=uE`q;x?Rmy6&UX4aZ`9Wb>E|4H zz9UIA<X!8=lifGlrU?h_`<B6QF6(FCZl+FU@mGJeyr0=NO!?AvgyY2DkN&Djr_bGE zG(FFMD8Mqc;NXh+)BOGw=gAj;G1i}dLw<GLiwpV(&v}F?XI%;nco=VcZs*=W)yV~^ z!oRg^ls-+qWX9og;g3@OwmgF>hwm2@S(aUl5{!6JYJR!1ug=bS|E{ci_EFp1{;LQ~ z(3|_YL7SK5*TtMKmzEp0UKiWm(KPR;qDK4n>CR8vrX8AOy-GGnIHBSG@1FI|@3Ura zewplHq#|s4tZovob0^ck*Dv|bYE_3!`M~<2J#F!qMG{($D?<`kdamUL{;A{^&3Cz3 zpp$fD&qC!4!J-STCpoX~+89{!Y4Zcqwee51^}^evJiUHx&appLrm$l9M}|LBnwFQI z{w#UyPuI1@+ZS1g@s@l4R<qvF7AW4)_m9=M_q~ge;G`0#Kl`3dcwAEx+I%gGRrBN@ z`LNGg-=(kSNA&6LyX&;e`&0a*-+LZB{$})>ok?w5`J*kx){&>XwUZRR<7>HYemwn* zDfe#Fz3G1Mx%WGM+k8VH{;6q0!S0g!-@C3yr$4!1yRYYAM*L>MLh+Qa87!*0XJ#7g zk`H5KWULE*om_il;_m5+tC}SDSH0Zom+#ujy23BacJgBX+qcip6Mc8x_UwMsfD_sY zvXh0sO7{zkC?zXh<}<0~UH_^6i?5tYMg2~uh$l}cS$&Bs2<O^tAMnz)%iT+D)#Mj) zNtO9EY>6K(Uf&&JHGg5`f~={cEhg>LHdy-Z4tz0zLE)tSkF;ymjLg=j1H$cD3UA2n zZCFxy;{G15#pP}7UYoWb*zin=E6nolE9nhcVz2hBy7}|Y&Zmo0-WmUFvsTO&UbygG z<hQeiI;q)lLVu1dEW2@kqSL>rdR>Kc751HGmR`L7VSw+kfXAxp3%6><+KN6&KC+v0 zYuU>mT9a#1&L5lE`E91@`dIN$@mACGu~RBSY`P-0b4CC1_fp-r%)a2Y<CnYb?w795 zaIY)1>tmOBr^I5m`Tn6`Zap98Pb}N=CUsSR>X;tG*3SR`{f5aB-OI|pm25J4=+ZHv zYoXh*RmZg0e(}Csy<Ym1>iVAQkKDrI7cMc?r5>1;6uoGnZN;Hk`<AI$r`rWrXbQzz z?LKWM$?5jsUix9f#*J2!ucSsDU(GDJfyeZ*h)2MyQ~jYoeizKGR<98IdC%LbcjjX~ z!vle*&+UC0*VeoBwBNl}=19+S)2ip@R?8R7F^jYJewn7i9r@tOLdUgYt&7u-*4*9m z=XKwg=Y9@LCdtHwt-Wu0@%gf-{C59b-LrxM95ZHTo~qG}xZ<!O*z88#p&zP7#>>C7 z1fEOc2=Lc`*V-JS8oSr$_{rI=Pl_IBR$P}ocirJ|%)OmvvqPo{DTnDB$6t}-i;21O z!e;*85YO+%=RVBiES&LogI(xv2kU@alRi9~thQ&x%}-sPFU0C!olx2+BU`n?{q$SD z*-Fn3Hm5CIa?$5Z*!rSL_kv#juuQlxp-W+_Aq(rSIr|ftKL@qB*Up+e$1ibmYkYtG zVgBWY?fy<X#MhoS{ah9DNA!zwKxyD3RmSW`!u%^&Yt`NTu;b)ZhllUjy-uB+(;Twv zW%jXaee2G=$T@K(*E##FNA%x*i40HAj6D^Z;lH+RSvP5d8k4n4{FBga?hH4UYWaSW zy3>C5v}~o8l+|f%$FLl)gd;K!m&8Wb>g~EbIccK%_u@4-vRxIX>j(9xGUS(i+qmQf zliD5`kI5gRN}~FoITjuN;UvLl<;%5`-#5YPK6604{Yl31HNLOU-J3g=T|)IW&zz`| z`{y@ZkBMnu(N?&ky*vBDvIyZx8>ZXj=^CBnw@!+a(pKEXUErmgyy}+4^@BXq`I&ts z-}x%_Bs8b;@o<QjS?pH)G{K$0`9y$js^Xu!SFLNhr*}#8d^&fzJnNXR%*5NfRnH%r zQ561Vs=AqTjmS=~w7o|hV^g1{moA8Bknmqw*LI<9y0p?s2P=oX{V@hHiU$hw*DTn6 zSm5v6rkNRgn4P&_stY7XPAPqHZ>PTAe#2Rj;wLuiZ~i#vZ%m=AbhxOqlx$NkSH;eY z*L<GoZ~eGtX6e3&b_?I>3$Ipb?k=#Nvg1uv!`jsE*D3_7!ma0R?^CnjH`tsVUVYnk z-_)opp4Y;Amz(9!-mYjKIn{n;yzkT<YJpm-rcM+y`*=QMpT&lK%N7fFE?SV%busd? zOV+NbPV1$mABJ!|_m%IpQGb3eOR0k|s=N1}z>WAAF*&u~kMm0`wRJQ?Hh+z?dNI!> zX@T<0;`8%Pb68z;=55O0)DKGe(qp+hLi6e*e%-&^$rIHa?%5@6>}R_Dy5gks+MA2F z9lTo+5IylM$8`B)uWaPgn`ExZ+Sx}aJ8G!v@}1l|Z=y&B(+-b@UlnI0PBZ-BR}^}( z;=0+Y6WfJa*zBfkh;QHB`~23q>Z5h@A6uG-Z)M^st(6EYDG7|e^ZRD~_opv3690ak z?zX<AW7pBgZ)%FdvQ`US(2%|UVZn0!Re#uzoe_&)5`3zE+i#hQdYQwH8%nDSZ&V~* zn6Pfv!PDmsRXJab?fz2QpLvAS=+)IPCDZJ3tHr13C!ASPw)l0<1j&1O-`T$^@SiZ> zuxZnl)oM>a?zn4fc2n7Y6>HV}6xF8w%OWAM9arb*ORZ*&TUT6vPV{`I<E{2ZZPg(k zE;d<|?_0O}XhWUTg|G)Zbo7J-R(@HVD7g6aT&Ih^-f|M*F?IKL1Tiyxonte_t7K^= z`}O>{D-@pC@3;7wSF7T9|JU2XrtAf_z6Bi@-B&6p)He6N-EcCcl6`u;`-VMRt}Th# zP%zQWBCT3z({#oE%)1tylsc%`67+A?qmQv$&P4CiJ9S#_$^1^uVutPfX+_WS=Snkj zt&C)OIMH+A{;9irRl^_Ol&kok;HG5~S##>x(y5P<n&;oTRLOHl?Lw8frRw=@?`^pK z9CYIkojE*p$B&kSEf%{Pvx1rKZ|*2HS+ed^_UU6gM9q??Tv%FK{_0Ft>LF2mmMv>@ zw*On+m8JbZa+<&8EkA>g^B23?hihFeYTg_yaew1#u8A9~Rve%CmM7l#QLgiWyP^|w zY~>rAdm1k5@lK9i81+~3-O{K(X{V<Bv`d}J^X1mVm4=+z>e~HZ`0^B1@?Q_t4)4BL z)XMi$%F6yo;kz^=g^Om3L_@#cGs?Dn&!#P;(0_lHcm14IKaXpkmEk|U;-iAf|NXq> z7jN3Wd-i*e@phNPPM)hBX3Fm_TN|PL&1E^$x2I_@l^N!8USofIF2b(Mirb@xlifgG z?fTNT5XIV+yD|>$d|{>&@k@5`&nc$^PQTdsX~EQ5ui#XVNRj!ew%%IvezBa8DvNvF z^E>&1AM@w4jOHsQzdYQ!>HKAvDec>9#Ml2ncm6?Qt7(#c>da+teSiPFk!#c%Vn6v! z#M+eCb#II-UsyQr{cW3-DKFEOck)5~K`BNXu`h353jPp`68nA7#JlEDM)Wo}2Cc}| zZ$3^F(Ut%3`PhNu%D!Lur1ndt^Yp9i%4&SDdG<|>*^FP9SG;d`dRsoj&GnU)&fSXd zb9Sb1>M1E?XYbMwitk?W@7B-fslGEdT3$W+CG|))gL6!M6;n^lh6=?iEABN|#j5=M zz#p$Z+v+Cct2UK_*^$o|KWT26RjeJz^uMvjP3%~POn>oj8|}!|5rXxXj&x;hFs(A< zE~)w<t@HW5*zPv}N0%2TKIu%mG)?9ycl6;HSDE!|&jhY%f0;f*i^t~L>ZG+>wzjX7 z>QX<Nw?5;Awzj~J#mA1UnSJ3F+vJHcWzRpl2}GaPF}pY?qvKP<DuxeRPqOZ+oO(6E zF@Dy+T8*DK`Hx<o<1<x$|6$GVS$kX7a0<_#eC6@Z>-RQ9b11cQnNOc2xBEvTTa4Ts z_X%cJ({KKqz2NzU^ZpkbtvP4>oo#Kfe4WwiE!&>38Grt5dqb;9@}C!rg6Ohnts8f3 zn>Uq5KIp&FdNymfR6^-CHx;@0r-Pq*E!$clJV(Rz*8NpqLhqXtbvg&?`B#>@)^+c^ z%W+s%-{peto3)FhH7(Bb1(k&S`Ma4<XWPSknVxf2eFsi{&^6Wxk>>9fxwl<q*NZnd zZcbmR>Qv=melWGT=F1b=gd449rhV6yGj6}r`TFvLeCC>EJ6vx*<-5-A$jZg}bxH`s zy|lJTxtoi{zurCf@+hM;E7Ptz_16_1iJoS>ku%P$;eNR|<)(pil*fvf{H7fuH#bg< zSO3+cA$d=!{f}+&jh{wm_l4|TG_@yx+qF}6^OqdaT5_IU|0ZY2<vHomVG8k#j;|MZ zIc#|LsQs>PSo6ck%{P5_q<<??+)y}?;Z8!-b_RaVH0~9`f2Wj29>|;4*Ld*BQEzj> z>&IH}cmH}jZ?0d;*BH%N23qIsw8OU)zgo~(n8o9MuzP*R%Wel#o#V#8LRvlkHYG9} zzf1N$Y1k|Craq-4?zei$p>3PyPXDLEG`mQlXy40^N&DRMeVlh*RjQu$_^1KTyXP@K zS1Gl%`-ieK?K_y1EfFR%y`}W?QlsqORcninN_l6U`fPpY)&AcTPFy@`d!b48^hv+4 zx53hrsyy~h|NC3HOoY3)J>zEj6kkS%=a<_$zctq`sLuM$vb2$J$>)k<b>Z$MNe0r> zUwr&nx5DAkl|x1;N}6tG#FkfdTL>;YoUPmBoygx<q!7Tf<dPrPX&z%S_hozAX7AFk zW4RpL7vENYaPl(e1^bekWdHw2Q@?d}=`H6LrRly(sqC-iuI*%cJejvKdaAo?3;UM} zh6AgL@2oD?t(9_B^$VWxHufz?+--ZSb39CK|NJM`Jc;*Ai^#SNj#sZ`H@)2C;N;H# z&3dxOmmeiEl2KNkLQx!Q8{GbcbhHSsIB1qCFLKVu!&D_f$M1h$M$+V9#s7X)J|;oM zCl8mGoleM_@Myxcd)8tSQ&*qtx@4jHa<^F#OOl+{{``cBf<)~tx@(R-%k0T@RxLTH zbZLgEPF>CUo~sTsud!Z#x^MEvNxR)0ORGv!+_G<Emn~^{IN5UDwD~Flq5lskFKC~b zruOSYVke6xr>Kj?lSzkf=}xZkdwGOkDB}6O`Nc(&hj+;vKkc7x{$-iP^Tqt(eHYp_ zcJBz&-XqV(;;B0^UETWkueT-1){mq;3K(pgc5%t^iTAy5FwTjs6gzw({qCP#8ymiy zzQOl7!1=ec+JveH-=jDAc$@m~5f+z?)7+vsCwF=8`JNPpgyhdm-5yN7>vt)BS?SnW zRmT01mxafD<)yi&dN*#6>9Abo5IvLM<)vb_{g*%P!F*L#cg;fdti_A3DVwG3uU$6z zW1rN#GVhtwR(@y~{*iEX&cV>0S(d6tKFs;yn7%o6-Jy2J*Ry23CM`V8Rp-Cv>DyMZ zsoXqAxg$zSmTFIU!EKhM-~D*8WwC6eTr9uqmqX&eb}gJ%Q@cZ(|AYBw9;FLELXAon zJ&t=(p}l+^=WIUlMZAs`+$ra0uMV&HIpbnVe3z;~nJjZ($l;4z|6(6;NO7#udl>Sx z{9?uT<Jl!AFXV;Ci*ITa*>=&I&0<!pUhSV1UxHI6z4<k-@#K8dE9Pm(=b481HoNMq zZunf@zH8;JKmT;9)-PmF+QG%jcA)CyWG+eRP4DEJ6D32Y=*@ARA)I$MJXPn_flpS4 zuWpKbnvwrrI+Ue-V!<ToXH#?U8BQ{_SyK4m*ukC?jSfvo|1PiolA?62Y30Gs4$@7` z`DRhs%Z08sRs0dp-!6YQNkvBeewkv(?Tj@cMj6tRUr#=DV)MEb$y$~RXB5*TFCJ%p zdtYIPIOFD{={L8_ys}A*QSp>>^8S0;<W|JZNw<$DH_SM@^Kr@7=}S5f{a1H%=*qmc zZrz68H&uigzAlRqxqq_lE8~PKPt8-ZR#lyDpZ+E8AA|iTo*4!%?=NN<t^2ZxOJ?GR zvt^7P>lZf4&1?EruvCD1&9X0xx2Ip4Y}wmY7XI{4g66lN8a0+}O4ru>;XX3|+>RrX zlHUy4wma=`m6iGWQO_#PNO<n+;N=HwiyLd5f^Qvm7hN-XH>c5uDTij&@T+eu{%MwV zegacZsrxoHD=*8EF6Ol_JvIvbz8=t@EyR&~z;ek~C*RKUI_KY8@|DlIo|nlvneNl3 zFe{@Wz4E4)j``=s@nSo-W|gQ+{Pt^RLc*hLmUpuZ9Jc(D*zkXq@$OEpzBv`=Hd$@a zeUeb+`AUE5LW8UGPu*ddeB-_7le-n`tZr#{>vS?QroFA5`sLlD7lH3rg#4c6<8x2m zh&AV6-pa4H#XG-#eQ&mBh1IIe-{Pyge)X;UwR+#C;w^cf-6x(e-1+8p>DR0LvqPVi z*Ss(1(~VN;>z*I)5zAW3e7|wB*bAlA!9NTYbUur$nH~M{TVrVX<qiA91Ok5E``+C# zZ90olq_cZP?dv}#OUnQ3VzT5Zik#kG(NXa(^2rUWH80B8Qcp!4Y1`?z|L^Qn&;Gh( zg|b&)G%Tieg<3OMimUNg%7#=-IXeHz<Mb;2{V#Sd?v!0}ihWHe<4ToJ0rLNkUzHL! zRax;!thXgxa9>1MY{J)E*}pxGyK<`~B)4VqHJrb^WtWLe`PSag*)~1~7YrvzeGp#T zJfS&N>ROGD=Ziqjr~j|ao)YwV(e0I9sns{kY%e}o_;OzS&%%e5UCZqCe=)DQuqTE; zF~c@9^WfD(YuEmsqM|6jc+tjI=k=+Z)_gd%Z}vQ0DM{A%X16w1opFqN=bInWJl}g? zIQxecOfpBj?%Ecc^#z?fZ^v@CYthSfJPoy9XU<5PGp|6le$CqNCzen0&k}voxN=G6 zPv?VSU4Q#Gdt71mnaaj1Efz4*C&pefF-5YW?ZvgN`?siU>%RK&Lse((fieLOe$}$1 z307Bce*IkgVn^Gj32$nT_xhgH-}Uns$ML<E=BwQHJDG2PSa^Kn^7DMRRO344F8^w# zblZF1_1~YrXtN&MVmrO@Q2gx;)5N`f&it9Je|B!$m3BdH{y=MQ!5goR*>ZnyxI4pa z&B@0<re)6yzrOzS8diU%g>y`&yS<Xt?cLmI9VhtU%h#Z*H?;Kb2%LBte0;;~lS1=# zj!icB`M<(!&WxoUb^ms%o_L{n=Fc{xYbA^vXVyp_ZJyJgVVxel_T19tS8pVT%$R;P zFDt(BSI`E7eDSzA-noHa%fB-nK7S|BNGE+#xzwEfg{vnDWQa68u=<tLa_E}M#YKm{ zHw3eq^wx^__lSrjKRjjKxQ_ExSAvPeWy26#!|Tsy{W#UR@Ii&=rS}Z8#6-PS16Y*K zGW=)%!@a-g>Iw#lRWqhrhyGvDw)>-7+)H_mxBr>`RBzpMXos-;l_XEso6}D&e|i3! zJHvI$56gRNHdq`Bk&u3OH^+Fx-TuzkCzWqLmD{tsHY%A-{nMXB=@S8G;~y{mQMo7C zZAOMsgWBvpjjsZAgzcgZ6;C{y-*e-oTGoCkrR=VZKNH^_{Pp*H;yK0nR&8MqF9zh+ zdu{mi<<2vqg{rUaPpz13x@5ZTfd*?8sR<lnifbZHon~R(C1s~t^fcn7v(JS7YjJC5 z>7I?{+3PoNTcuwR=fYQRTt`1MSX}UMDwn@dc8&i`+m08eN!N6a3HpBwXo=?2wtVXA zZXGJDnd&oBI9LBe`lomwyIr5x*=;c{t4mc{zs}U9KhP-se^b*O)+n2mHW7zceA(g0 zeX?fH{PW=!hp#K;EMN_pQ9H+PPug_Gbr+XwJ-0t^aW3@n>W>z6Uy^49-?*%0@^D6t zA9Fz6+j}c!Prm29uI}F^f!v}Q8806E{5ZkEq%}V5+!FCEvn7sSTH!8rU}55nS%;Tw zX?@4Occ!7(gPQ4ar=_c=xVL^gd|a}!cDiF?ZnL?#WJ@@Yl&hGE(3@WhSKC%@V_Dq$ z*|a^;SmXFqvBak;uRLe}_nsAd<A!$RJC&zhrv0+XiHy1LTN#}~HSAIwJw%W9zMfJ3 zPHLB4RIQQ7+X?g8wWGf7S!ek%lqogvP>!?8odeRXuXO%092d1!t=tl?^e~4ZDNpsw z-P^tsy<7jiZm!8FxV!gFR@r%0<<pb<So^+yxF*oXw&mmzlZ?}>n+__tecf~>TX8`j z$25<-wjMkVFVAg}S1$JWX&xEacH+C)`K?d&{@+eMZk8u5&ugA@_}oAJ3RgujcE>ph zrI$7wDD7Xzd9q^rgC?m{FQvFOU#wtXyx%2KOvh^17g1G-XE!a1irxx_A9!QW|3Hm5 zFgxtE|1ST}uCYn}od;+0u4(;qS^U*+?cVlvyU(|rE8V!|Bk%1QeQb_)!6HYP3N$%w zuOu2aH?`P2X!D<XFUMlV+`?H`zx7=^zum+3X5^=ajhoX|4Au)o&ucB3zWbWbQR_Mu zi5pCBI*#peH5Qt3CM>}I<o!$1I+;IaDc?OX?{E9cPtq-+$t9cT9Fb*;Qha5XKmYPa z)d#-W((l#Y&p#u(#QVJGo5ZqC*VBp&Mf(^n1dqRSS5WbpZKTY$?A)3~XO2q!|1j;g zA4^E1u6Th}ft6;8-=hL+Yw1J}>r*xF9x}grUy--Z$LAlLPuio&Up6XoNEGh%FD<GF zv6$eRSzveUUX5b>?Wm0JeOoP7Xo)hKg~~a7`ncfF%#Hrm-%9l7bVQqQYLqwc_7nRQ zcw6A<uayGtCG&W%yDDAF60kA|;ysq8aQKqnxzoHU3+10Pxk~S4UU~g&@Di_WFSJ6w z^BXwL72tn#jd#|zk86#uy#99K@NT{ouU&RJUQPSni2i$P@9b7&%D;G1l5w$7yv$p@ z%{$k}O*4=Gu_b}Kd?}AXMbw^S^PapjV70E3ci28(y`*7>-q}^2+3R-2@@RKyD!Z6& zdVACDU$~b>ilt2U%FCJN^Kx(SOg|u|XnD1l!_*{~>0axeEnG9YuLh}GKHt6WPsse5 zUuMm28ynm9Uc0)^`ituVFPYCb?Y{j~+SPhvQqOFD55=x8Z<H-}h@^+iS$k;h#**`I z=lY+&qM;n5J>x;xokz230}@X(e{@{>@ZT+)(y$jZs`usd+ziZIC>)U~s&!#~#G1<s zHB8?$9du;A`88H}c3Rv1*gF>lCvx0i{{3rRrD4w$!417Ct+V~EObuqpH$O3FhvcFt zwQIqu&);e|SZq;YGM^uC!SG7i#lz*^<rh?DarF!Re>L>36J8BBxxQzOgWRd7^}V z&5a*idz|M9*Ph7_5d3KL=-R9*-eu{>Z$BuVVN`yu=xB4>(WSGC9xuq)ay9UFh0g<@ z`j(A<lzyzb;o<XlLi>RgpUdp7KeBmp`^HSw$!dbJorSI&mIxdBmf7XK4)}fN_0vSx zwAY<-tcAan4Fj(w|M7Y}`*5VyM@ycW1!@8_CT2FxY!Z@Oaxwa&h`n#i-OhPFfs4{z zOy13TapiaI*O%(ymwxz!PC1tSx`?~Q>&5<e!ISmm9-I<R*|y?G@{yn6FSRy0>s;M^ zAaLu#zi&e|H*C0k<;1;1Q#a%We`5ROxOYqNyZWVznVhv1Wj8rK694?<eqouK=|T0! z#+LKe*hGrYd3>RNi{Z@&U8+elLK<^TCZ+c3Ts-|@{kpuCCEPFVXSMz7%1-3mvt<3V zGUjiFJ=~jaq`9eoW$c>}ZsGpYXsgnBxt(io_i<U2O>brsH+A`y^80J1!gcqFe9>CX zYlS5RG7A@W%&hG2zvi-JUN{GnckG*Op8|dRbCP#Q{j~lXJneOD^}+Lc9B+#yGNxFc zl-};t<#oaJi0^NK&p-FX7Y3PKe174qgLu!fD=#=T7fA0qVBNvJ<3&g8Qqhg>t$U|- z9m#(%$x7sfU3I@;$E&kbx3l@?eCui#pBJ=x$+v}v1GXe;S^SI>Ion;wn6k3{^6^C9 zVwY(P4=&u*E;&6!*{iAT^WL`fwewjQ&6rvBK+`5=eI>KHl<B2z-q4N5Q-w1VZgKoC zs=4@2|3?15PPLrx6T`!U=B{wf3*Q@MUUZl(<#EJ}9V^)uc+Cq=4&8lhX>(AM^FsZK z<zLpwet)KX!BgJy_{^%+nS~Ok3V%vJVRP$wvL;|v`~Mwx?;oDA@b<4s5^OgPCMouw z*L3u)JzuXIW6gT={z~7(tTdkHDaXIG=_ch~;rgc6aKpp!OT+q`4b#n5318`zt#y8_ z{hW92p+jLuA2FZi-BGygv1pA!v&_mVx7ho(x;@d|T((~P`?(y6DN9OL{5ZYnmCWNV z%O_l~n%skYwe8=nOQ~D=``b0O<Q+nldD~}Zt7PxGFR`d4PtML_L+7QXRc~j1jFsih z@!s29AszjNcS6##g@;e>IJlUlan*v5nzKS*uE)$y(sq7vQ`znEJ^87^@{v+!SrU&3 z)Ev>7sOcen^3JTRCcP<sOC~7Q1n6|M?^oG)@Ls#UU9a#?Exo6KYt=W1hi+XI*vxD3 zF6>`llusD@*K2#1y)6w4Eu20}((L)i&Zzwn@zd-&1Cw7e-m1IQRyt9d=fZ}IY-|>u z#;vEfS*+yHxY}jp+3r*{Q?)2l_$`Cb^dI|we^^~zSk|@c+m~NavF~OUAIM&t+R!!a z68k~s|DvI>V%ue<7PdaJe)-`buQij+uk!~C^#q+4^ogxpuChUnUHBqb=FjEtxOM-0 zk6`qwW}J6%S`z#H6=9B&XD=<|T=r&av`}elOrzV=Xc5gxoF!FtcS9@g_fB~nFWdTI zO6am{>i5Nt?tZvw?x!=+M$b8}t*yI#Q@mdF*8Xy-$f`SU@~h`8PUV)<xWW7F=M2IB zJ8y+I@pm;%ng4qIzW&vRCW;5nE1qw0|EPON?1qR~!+Tl`=K>D(T$s8bW}D$Aze5#2 zZwr5LoVsh`>iNe7)Gt1&&V3@HVV2O$c8X))-DySFc59yg(|4!f@y0%Wre}XIUy?6u z-Kc)1Bf$A`?1v*>W_4LUh93g130W&Iu6v+!=}9o(^*I?{HDTXOY;R52P}(tT{lqg$ z%IpPC=c#RUk^TE`cD$b2ynV~3E<4J&r0(yA*m|XP*)f4uUH^<X{jy#A%WaYoyXNMz zjit=1O)h3<tkv&+VHQ+zA|NqMp5JBd(*+tqtk-WSbi`OnEVtWQH%GeSv-`Xn$Fe;V zqI<O6Hp<UfT`jcb?i<51i9Z_+mi!S^=wh1a$UG@g|FoS**uiJE2jZ6a*6x`mqp*3( z(jB4tNxOEhx9&}`i}f@-$K|1*Qdz#Y-rZBud;6yV4dwK2R)sc<FQS#>zk2apd*XU^ z&!)NqysnOOH715dg-x|KUm3S8o#o`}sj;h~Lmcl)&e;@v{Dh;_vo{+K3d%44-u<49 zw<G!Z9jmNrq#G9$j4h3g6+pn!$RO*JgxkK1ws~dMg-xc;d`@RWYMm}>1RVeC@xpHM zCBtK1zO|Y9#OhAf^uNUR#75zlXo5iHg|5j8OD;rMOo?puZP_?S_j;P?WqlWyslR0s z%D3fD5S23(?E0H#l*P~e=lcUYi{#=b0rplCgQdh*Sj)70l5vijbTKBZ>U7pgOSen% zp)0hdT~91l*t}8NYqM@B*STw<UBW&Ft;M&4b{x3nyXeqzZuV>c*ChDQtDov}g=uzI zf`#ZG`MC+FSZ>Ig^C+t3XYSa4{=~61)}z0TT{z2LEnNJ?#QpJ}t;Nq-y+7P#*UWC; zlrJV5bC&Di492e+MqkPz(+gMb>su;Ss(e=PGM7PB&)-f5*M>hb-XRs{FVowN8S7Xs zp55ckogkT^V<NqD@7Fx-sS5i7E(U$cu$iW1YO&>M;nevZE@sQ551mspot?I=X~NQr z!CTh6UBB(k_1c&_DyI}8)`~`|oLTN*Y`EZRa9%^c++X3KRWCfhudjTw+x_-s@0+I6 zWwxFVWNgle*lyul{Qa%gCeQX7vvY}Ff7|tc=LTohA7|`;elexZ<B;I$=2ZoPpN>5X z{`hQK2d8Vc@e;P5`+1IB`TUjZ(xyDc+VttWSBtOr4ln$nwNq8_`!SVLKNH=Dm&I-_ zKlkq1ZJ(VLnmhAeRR4UUdqpPTWjI5!sV5UF_xZxBsr<L<|41{ph21-QDz!nJS!CP2 zCrVA;j1#l|E-;n!iMf8FH@Em_b=Ky^jFs*DUtaurvu;(^Ub_Oxt7~6p85Ek8Ur%;e zc(li_V6ozxiSPX!X4+iJGZ$6ACp)e4wD|2W^2<(GcXs@H+Al2I+;w|?W=~gFagEcY zzBrKy1`lSI+nt(Szus+<ZhNI-;qe-Sj;9{$Z^_8SWFCI5(y_+%WWY4*_|nz23)C+5 zPRdSJmieWmwb*oegY$IL{|_E>#hEGGt&P%Xhz@m<KDA@=-R1V4-W*rYmqhQ`oSNma z_t-`2rk+`>e?FL6uFL$~6|(00OBq+?4DrInZxnB&uPQjwWqbQ(>Ycpm?9K@@mwXp3 zd$(puPE?4~&H{adrs>+rQp{`f9Kx3z4GcDPjDK&^xZCfvm}1AGDPAuc0vYcu4f&#= zB$l`{e)$u%({WSRMs<}&&Y4nnXjzf-nTV2)6W`wBJ7|+$#`%<Wx9__4zFT#h_tn;K zEOC&#J|iSQ*DEMhi{JW+C=c6a%_;Mq6ne>MAD_U(viNnw#o5`SH9S54P1%+u@Exy7 zjhU=h*)jW`yUj5UagojYTz1wK_#9W4tPK8q`5N<~-*Y9`@8bWJ+Pq{%1H<J9we|X6 zWqJ6{v3*Kpl3OR?HRW|^vfvk?3Xd80kKa^eED|VsoW;Ddc(L+|VB=HKJCB;~Ycn!G zUt_p!L&Sj`2ELhoi+0Ib?)mNTNHyZN5wCI4q*p#MVoP?oq!)@VyCuTB+~{&ny;tu! zUy<ieYusK>jGljp&GVL?;Y_>KiE^@Y0~Y35eEGe<OJhcVRNJ)ok3VT;sM~+2(-z)R zba1iY?;rPel+N3$-%xb6Fynuj*ZsX)@?I*M9WS^dlsF^yl}pjf1DP{s9y{XkvqQGM zMf}mp7v(opm~)!$3CwmgD1G>($uLLlr<lh5dX}{%9!z$P&PlS_>h)nxkG5%Vo_^D; zGB?H7tjkYh(x!IB3c1vEj~9J)6?>VwO2oFY?`*udR;Fbj-`1sFmvlAUPaV))B{J#B zdrhmGEAK84-+w6Nw$<H&X{m`9ukwrR|7iHJG~8;bVMs~Irnf6<)++y6+!ZN#Rh6H& z{a5s|EjJI`pIm*zG4+DaZ>OJq54nPNOysX%D9b)6aeJD#%}YMxhZQ+&CI<fswOX{F zSWbU?zi0A_4WgGT1wNLR9ltHRD&mpQR*h9!?-?d+-Q&X<<)i5`XGQtDQ)k@PCb+HN zBQaZiN1NKy<96&mYtNs(X|spp;bxhep8qYL&e2i%%dkN&?EaH4>FsQ8d2y!h8+xT; zeu~L#JukO?T0C#glFI9Mt2gd1Rb%&>ndO{c*M6YOe)(G8eV5}G6fKTD@XKss^F8A& z^Df_x30QghX|8Es^E>xVZ1FZB_VttMzHCZ5mQa6?|LOV1Ec&S@)*q7V{;|4dPWSKq zv-yRqN?q?ev!y<DkPrC!z)-+F%i)ac*JE`u>#DLc3@7SvbKAzhDwaKxed+PG)AjRN zPS0Fj6tdE@Yc&ghiP~q86|%N>eJ=ON{#nJa<R0g(3fY{e3l{(QwAgJ!>)o=#bt=}? zJ5rX{wKlmKU1{4Jc$#zLgjW9fSx)!XPU+Tp&mHCyeCuEsAKTwsXUfI)&z`AyvDP43 z{>bk+vrFHFN*P}M)8+PTBIgYG<qt#Z!ZsQgUe{kO$o*@d6R&-Fz?(=9@o2^yVy@>H zenx0YaitpR>T^U-;?`ZfxY_&c$90)+N`&PW?U?v-X4|^?=@<8(Q@EVTtpCl_@Ykf- zlgpP~Q4Y0#7h~joR)_7SqtQ8WxhPemk7+r#ttag1y~T3)ox<eEX}7&NZ=N}JO8fW2 zDJ&lvjaUuRYHze8*~N;S<*rDMf7U7Rc%O^2_7_XbV5y@=%TjJ`U_LiR?({NAn})Y? z{6Fl9&6qqnFLdl(o6J&}GJW~GTXnm-cJ4Xyx-h?1FRT37tI|7atm|7-%+%R$dk5}N z7K>1A`g-?%#eMH&dCr{mkrS*Fecn%7oBu3iL-AvmsE;uTb#E7)kYl}Dc0nU^>s*!! zCYGxo!&~pTFI}rxC>vTS(eUXPmxBE=F)M$!9?c7;tln$nH!!M6-Y}iQznoh$V_(do z5^L_*Pj-uzHe0=sVU_dv-S+x$u(ft%;I93;0jF=<{C$vE<bM01-a;F-HH=~h{>aRA zQ(qXNTOPje;={L%=a$TmQwy88uCnW-_}sAB508b!{q)&majzrgliaBohy4|wwS^6A zH)&5@UZXeB*{QSgNtnR<JJWtNE^AOfbUaw@(v1>URR;e%iOzluZA;fFcAdY)bTo-? zv7DfPx%HCJii_!gv;Y4K=i+@=xu#q6{ETDqLjUbDIJf?Y>@sipIOCCCbk+Ln{LR9f z=bhqO@nA`5Dc1#;2i2R(Eg6fojwKa~2edU^N$6U7gMINP!>Xn2s{U7RxEu@>XcqBd zJsR&NR&Vh>O8s(!`I@kG-k(|aa@C6bK0aBU%R}v;Pk=WwiwFY<w5M#1Ixctl^O_V! z1`y_D;ABWFP07p;D9TUPD=tY44Pj+qp1(#nLu0G{-vxFLSQyqEW9{2@>WH$R!l?k= zUPkdfaUZG<zGhuNebctwS<5a4#=f6<vFFXw*zMn$7UZrDTeVB?bl%QYTwF32wuMf5 zTXH3_RPJYplgCmO*GFD{cJ0~hNy}_Hce5;1VNjA#RDZYp)?c69^VQy^cmD1yvp@fC z`OUvNyXULCQ@{CFrqKTQyX80j^6Z|U@cr}ax~jY9*Zn?OYQO#6@@s$3?3y3({qw77 zFN;nW>`dKu$0#aqrp|VrY0=M4=_Ws&wzBASz|PcVcZ`DaW@>EbnG*f%r0(M<(=v-r z8|+M-cE`voZ>Gw2o=MTqPShq&H7tr2DHCtJ>l$A^@p|l)Qt`sOuF>Tauf<L&6;HhD z8g3pqeRjub-z`toE)@x<?(E!f$0a;ZN!vVd>g<kFz9vuACKU<0?(AG}$HhNSN!>hf z^6ZY2zFVHC1r-Ua?(Cd!$HhHQN!dJb;_QwSz9vu9go=b&cXl@1ak0-+k~a_RpWSiX zcgth7ONGKucXSrqaWT(Rk~R<Qo!xQF*W|I<q(b4`doHug1E2Y}R0<#6(W#fObb2<& zG2f6%;lO(?lg%%7&pvU~SEW+e^`6TF^NWvtpHv8&-gD_Ozxc>^NrkZLJ(mviiw}KM zDuhMvxwM#Hd{CRHD&+F9thzB;`u45P+uT<!`Al)xz4yVHH9agh-?A#dyU%@0k7IY~ zJ?;Z}`agE*f7qq}Vwe7dUHUh6>0j8Te`1&ZfnEALcG;Kgv@h6cpR?0GW2b$}PWyzN zNlUq=-sTTp;?nr($+zaoYCh96cK)5fa#B#)HP2o^Qb~nl!fk&0nlBGFKK|C+Cu5O! zW5?fs=3_jPv$xBe*r(JT_#^Q6@YA>Kr}+)+Le|M^)X!Af&NDGO?S!uKlWCkqrx|vp z*4;6(%bO{;ou@xK?YQpZ$J0(0o_??+HSdm*S>8;k?L58FX~%SxA5XivC)Ff>=9y@= z&(k^!PsiLdnzo&%CwkeZX$$wHs^!lV-`>+5?e=L}=bluB{F%q2kA0k$xhGX3f9BC> zw~y02_oNEs&paG`?87w8J*gb|GY>|)eVE3%CpGGhkxriEf#_%Nr+wU=`YUgy=ysm_ zx|_>Szqo5uxt-^pZgKhP8+VOLxAWZ9UHoX;%-yL^@@DRcW_vfyv+(qS9jW*7B)3N| zdpj+0cj~pgnZnz9Zs|@gJ3V7Zs@EOyFv%^^iw?|Qan$$7BQ>Tyo&WNb_Rn7NUM;Fn z_~TudYV(Qre5aHPKfLQwZa(p@Z%DcD#k($Ad5d<=_IRh(wY&2{-Xalm!LHda-l`St z?!1+!v~70ATQ#df;gxq?GR-G;&R%iEx1~&Y?p>EO^T3<FE|1idc6YknaY;6xc*9qu zOt|r`OT78S>%Lb?g$wVxM4L~%<~yZSIPtDa`1gS6)g7m8w>*`*RK%aUvwg!I=kRxm z+TR1FR(G7THF+vGsfgcoXZwOX&i?Nd)xQT!uI@N#yXA>oP!YfC&h`m+oZa6kDt`}{ zSlw~L*5rwtP!T`t&h~~sGxq%baHus!<;mN2_v3EIj^5_)>g;H5+b++=$<E50Z@(ph z@yGM>-wX3u@6WsUw%ulr_3mA_`Af8(J$aO8e_*M@$7f}~7xw19ZMpTf-9%XR!nr*A z8B-@JNEiN2^wHsY^ZHx!^}oLtcEA4i{qVK5pX)B{`a7Yt{=hE#n7d*nJ9QrvMQ?ER z7SjpO)7=o%dTL7ivp3TWcc-4plN8#{b5qy&(KN~3sYmi=Zir@kGwtWD)IE7K*G0Fz zo_2Fr>Xy8jYogg+Puscc{!_c@zoXw}@0|bCZrbngchNiJpW03R9sJIFr~Fg9DZl;S zS?|36#BTC$?|0fe=RdKV^xOTN^v?Jvb`yU)zvJF1|HN*>Z~J$@ciew$*Z<r4-Rm9m zAKUf)Hh*_}NBm>E-rvUWPVd-X8UMNNS?!tMhVSC<ZQsNHxbA7~so#^o$KNS0jQ>>k zr1r$`{_nEy&F`h}*<TSKvA)9k$z!=!h5R3Pw3pp+wtBZv=DR>&^^0S+DUan=74l!) z(Vlk4+34NEv(+A-<xcHsH+a8L;`@cCwkehT2Y0k<y;nR{o$*Pos*r!@j&_yz3r|#Y ze3Gl$(=PLV;j!wDk8)9a+C|<kJW|c^QO;^lJJ0)thpIb1$Vu&KXL-NyK=q2FwnrYx zG3{yp_fBzt^@{g$QHA^;?>bk1pK#B1N;&_-yUyj`C)~9SDd)d<*IDb`!kyI~@8r66 zw?BBdP~^KnSM`gxaz(q_Z@p98R-N%y&Z>}q<z45@?-M$!R~)fzDdV4e*E#Kbz)f41 zM{-KL+uiPnUt4IXxn0bq@zW8{$<f?1bOI-_oLtN5-Xo%D^yC_oqGQ9K4~5x|{BqVM zPj+l&IL<3=nsFz}!1>s+v}bEsXBg_~Cf<n(@U;AS=;&J3NfRgZ_iYz5G1AjgyBF0^ zkrClhk$rGMLIStgb}@#3KfkWsv9%%JU!VW|wI=J`R#u|h#m?N_FLvVYzbJw7-_eV= zM=^Z2|7iE<@8REV-?`rl-;>{?Ut#~DuKTynJLY@;cfT*+|NdXs@0#!5-@U$jes_QQ z|9AWD{@wMv<a_9y`MdSY?cdqm`7820@J{{i_htLv+TH%U^|$)F)pz}O=Rd0J{GIXr z{JYtA^>_O}vb*_L@cZ$1-FNwS^Oya9z3=+pb-!c2Z-1A4_x!H@(*LjeUKSlM*qOZT zj$zch89L=W)2yGJl1+Zvx3cJXz|Q1lcMOBx&Cn?4nPUCyr0nA-eVIka4R$6^yJP6} zZiY%Z&!pdnq>7)EdAyP1+TDKO-NN<NCtl0F+SR_}-NLoi9<Sw2?P}lfZsF?a6{mHN zJQcfD#GSdbb<-WEh`fc`+b2woUU5p-<*C@LB5u!}t&8qB1>`MM-#%e-^oo<ZN1lj9 z6>)3sY@Kw+$s=!}^7aW6qgR~Jb$KEtRm9D?v$g4tlSAG@`Rx<>qgNc)J@Q!WRw4Jx z9j!%ooGkJdN^hUg8@=L~uFGSwS%usuceI-2E1r$k_$+p4PixX0r|H`#Jk@1+EVgP- zt4999lhH4Z>OOfSHfc|*QoiDe=ocTwiuSZh<trYG&iE)6w5L@lU-3xviw|N(ds?~j z6%YMBq-8SW(KRNK{Jh+p?P6=@2JHU3RpITmN?n7(Y{$;u*O|85j^{p?SFdZZyEKmb zRGzLv;r}RyvftSUJ8s8wAIqD2ooQn<_n~+3+z0aNb${&oyY;~9Yn8e$c5Q8Vy)EJO zHiy^S6kcy*c)jhxtAD+(ihfHUefrHj>-5^sZkaoKPZj-lOWgUlcURGG>C~s!Di^Q1 z;}*EHe(|z9|J*!x{_X85dc7wwAaC)!JNpCu^6D4Qy7SM?aA)bg6{_0<z24nlp|X8{ zphsT);=Vgp`BNs|UZGSjGSNEggske5KCU8n#+{4n?gZMsTOn62(r=x0Tz2W>zEg$n z4|goiyAx>kZiQ62NUwF)F<I5eeYf^3HhI6|j5XKif4yCWzoknne@mxUzTOjPkSD#t zE%ct(j?2%_&9i<#*QWg49m$7&bF7o!wd}ln?`P5S_a*mM-m5HrUbXz}T){h$#p-A0 z8kgI>pR)6F`Ks{e`c~zu%%AI<RAryb?U`u3?1ZfIlRn9!;~YDao9-Aoyqh6k-qUZr z?6~aV$9*>okH6TFTy)3K;@u4C@}6GnWyfTlANS2HJbq$Fve|oyv({>#`ws3&PP$__ zy}akCEc4^Om3xvk-p@E`{p_gh<41iH_arO5mpEbl>|<Zyo@A-_63495KK2FfNfvr9 zam4!Bhd#qS$z1Ox4q2yt=o8$N%=CVSSUFF(HQR^2pSzR)yqmGlI_;?J;qv2e?iyB= z``nXlE<b+fu3=fZ&s|yP^5ZLZBtLs6vC~@ZU0>tw<e)o-8t-Oow?6i^FLQVDjdwG) zT0c7?ySVK5lDmd#@3^ylI-?a2Mr(W!%PQpFxTBRJf8qY<6Ys@d?QRXb<5aW#!adz3 z<=jv2I#q1Ha91~_ocrosr;_axx}r1QiA~zw`Y2CvN3_OK-IhmURl8g7<SpD5-SJi| zYIm#O9jC1A0k?Eh9*Jocaxc8=l)in!P2G?(?uk2EPvk8W+<xJPu1Xnq>s_aW?H8`= zJ}Kocz3UXS{lYcfC8gY{cby`(U$|<!@U(5?Y1_opwvMN56;InTp0<5>%J$$X+l8lW z8&BCLp0ag3Wvh6~mhqJB!;`iLPuea#Y1??xHu0pb<4Ie^leUZ}Z6BVnJ$S-);R)Nu z6Sj#bY#mS7DxRoKoXgNs^r^M`w#G@16Yj@vuW&o&di2O`jUoetezanRc9Lm$_k z#Zh+xb>3+luzvNv@6+zZzuv77Ef={jyS3c?<=w!_a*=zorRDB7?*^8Zi`<o6`lxT# z?!`~ut=M7B^{&sW(0$>K#rNK6Y`0$Zwl8V-;%o0#2$xT}B|EjueddnEUUvdB%CFp% zO)YbudN)wzoyMl$=2=H>yv?6-W9?_R*1K+vcLU?gr(Bo4TIybSSNKk4$#RzRupN^R z&2=jmyX#r<{K8zPa=#tMFZ>o*U;X-AUsmJtCz;7klT|s5gFkQjRhjaX!PCluWpjGL z`7^Fk`^~vI3mPuG+QTl#aDY*Oq3BOV2E)pyISZH^La&N4OPe!9On&p!|H7}M4?Zqn zQaHhJc-F(0ueh{IO1E(B<o@gw{#C9bVrGb)gwrLN@UMy6{Y39cN;<JQ#VE8SGI7@g zFe>s$emKDFB-3If&?w;4pm3#8K-5C$(E(PC1O`POu^kNp?23YN5e`RKr9L=vh+J@B zwYuRDI=je@v4N8zfI)`QfrBAD_G<BgW!}^EV)>NsF8;W2Ls~=m_w>s#!d%OQebT*E zrX8DN^djig11(K&AyE}|507Ooc~6+1I0wm^e_Hr)VMdzBvGxTD4jxK|a(=9TmsFMp z?o^QH&YpT()5Wodp@~uK+Nv$Wj*bl*HiS*RtHJe%S%8P*w*ZTcz`?JfQKer^xssZB zQfhS8NW}ciI>@jj=c)hdWg6c%CM6ZguU<Mw`TNE$uAMB}FSZ<4jWB(<gGGCp<n)BC zEtQ;MUQ?$hOjW7m4D;xno?z;_heiA41JwvuM*V|aT2FVgtX{U;dqdK!-7KqBMW!b# z-BQLG=BcW8kgM~EYJ~4$y@Ot-N;$&<dDiJEdiPEF{X}P{Ki|aPK8-O=Q;w^;EH>9m z^ioaEs^s*XGQHu+qRx*(i+ozAH>m8mQqCE;bho#|tlcduZ>xG-)>EA2@<?dHA-zPe zt)-kndea45R~2!31n4EsdLp#Md6|u#qSo3%PLEmB4c6{q$$X;fvbbydgpvwQkEZDc zZ+El26qy(DPH4hCy~J5%oR>DKZSd|e@=c9p3YxB}JzXHQs)#dKWx9YbR}p8B9LQ|A zQJPOo0<|RG?rzZtdHzml&8%nll8?4LvJLaje!nqD>!aLi6`}VVow%y|uZDEJwhg<u z^2$|{)3s{~R_r;x>gCDWH8YlYy-VJzqFW@dt*SZUcZ8~E`JLljo>`CW!h&But6j6? z(&^t3u1hC>-`M1(_&&LH^AEYzYKMPE7_#0wo^|rQU6@zZ_l;hwcK3@0-Kkx(Ebsfq zNvrN2*QzR;FZg|9)1#Wb=~a%`YL~>mOJ-apqw{@Z)-Qvn&6D{(?F4>)c--8_Yj@?w zgXU*!@;c@}J|s2s_e<9V+<D;qkWD@+=flGMX8ua)ngzEXFyCR5zh(Ag!nT9#n|bYe zaz7l*Zsw1aZrJc3?ezN4$x}Z^7`j^aUlp4CIYLv`vOjdvgwGL*UoHBtmK-gV(|*Zw z#_Qy<_|>8xZNhw;8*0jnHLiJ0;E1l(StC|3SKVr=5Q7Vwg3gYQ8#hE1e9dm1^3*0Q zSnK)5Np_a~p&_0VKYP?Ge4KG_!nuxhvz`l1JlIt!r@iFV`v_lEX+ie=%72}s8r{{? zA15dFHZgy6WLTi!U~zz1P|2pEfz^(IaV`U^)B{Er4zUg{C2LlW3I(P!O!5sYPAQyX z?(J_i?Rsq~UEshWAe!O8sughf2Zu*j@R8>>4$QI*9c>F5xqTX#cxM>a@Uh4(XymhF z5ZrozU3h^5a|Xxy&kVdJ438K=JiQ;LT3U>Je|);HSKNrU-SESQLvq6bc73~7H(n*a zHz_-Q@c`?*!s8pWimLlWC*LYwGws~G<fSH$EW<qG&u?`4wBW1EYR&1-BRtpJ9M5t) zRlH`2@494B*P^+K#&+|Xr#!X_mb^YOF0Q?;=-JWYMNRh`n-~NeyWV>^Z*X8vJ2y{Q zbSlH_+GAc;-)6G}oUHkKtclU%=4<1CNZ-gMK})=sxcpQ8daxwfGuYELdcM~GWYOA5 zIX3-YI6r1?VCGFZaO}NJ(4LiZzPz=%xO~g&3sYi`dwH&T&FSqes^KlC*m*)(fwi(_ zWxxA`cLA#=wsg8!_D(T#n=2yU$i#cXf%lQJ!vSW&=J<&W`~AM|^9pS#V2HULzB0IT zeV~AR!vY6p9)&}lE;oveHZXPw=zKWXGx=Kal9)c>+Azz$Nt0iDSS#%8V@_%Nb1duR z@yaz*isl^G>O5AtW`fcAWYg9{Iqj9*pCeqYE!5_@pZs9s+4$Tss&L-QyOm3dyfvrX znB=1{)%WO<*o%A-&p$S_3n;b}FtF?L88k4*J=JEh5nya$;x%Ys=6kw2bjo2N<>wRs zbrc;alw(eDV3xg+Gw-<9%TKmpo|E5ibZV;UzgqIqHZ17y_Xt-@mVL+H+XnCX?(urc zlS#KG`1qV&k{rmF@V@iY0cJsQknTGbdyjjaQhaR_c2W2F#-=FC{x6*-o>NX#niMpy z5U_i3fbB$|`-EE(4IJzi3NF5CX+7s7d^MFN`T4JyR4AzJXV!fy>#_2kgQq56OUWaL z%bS@!l{;iLUcPqpklrF^^7fO$%4zJbt8F-TF+X*@m^q2rw^zpF*JFo3%|2$&R2dDa ztu_-vgj(30IvN-_7$qJwadfjcHLxr3)GOzHoXu<YL*ejuX^skoNAD~y?|AHSzfYL; zBO?b>!w&-nric$;P70)6olqttC>OwRgk6S1u}S0pONAC50}ydUW@qnJljaFLwnh(T z6w0cc(R^CEY~uBaUZU%pLn`KGvK@HsVauWD!Xdff<!(L}8-a=Cvo$*S9UK&xMLQPm z?hO^bQ@Liwt#ipsr<BR9R@wYHLa}%5@vK<~U)zLT$ZT5^uRUA$`Nmmhk(phWjx2e3 zB7gFPWpch2v(iiw%|gvQ%}mV{&3JDy%sal!^SzCkuhzL_=5o20x3_5e-g0re^+h%J zP}ZSCBB`F8mnNvld6+Jnap~lX<SCpN8I|-FFsM1Hi5;J5_qQ*3>5_8U)k}80j!--r zdpzq^nXLB8Tcv9jrC;CJbjhH1hu>tO$=6F~OqsD^-R31no?3Z&MXYOHV)E1~SW4}> zr`0D84=1_ATaN{oSjZ`AJr-QxWjeio!V{*Ig<Tq|J#2C8ryf~_`D&j_KH5?)w^~*7 z`NklxLb=r{(%U{qD9*I#pXz(Fa?PTo{b3hg23=o2^{mjf$~CjL{E0I3T>U3X*K^^Y zC{54lf1*@9d;df!dN%)w@?C0Ew|2=T>HT4WD|_O#SC|}IKb7}s<(gSa^=oHH)UTb! zSHE@&Oa0nO|LWFG_*S=eS=s)upq=rnU))}QsWV<%<5p#u>gIT9q34cLg`B|~y(cuq zI*A-%xAcmezF^6brAwA9UDEPZVX2y@_oPWGvYuL>7A%qUnC&ss!*GI*d`pRi?i@Zp z=H)taCcTrFP1xsodx>W@|0K0a*U38;c}87SS(7oH-L-Jq9d^x=&CA^7RCGTr0LA$m zo=O1`7LGY*e^)rPbO`V=F!CN`;@yyG!_Q(P(D*|p^I`EK1v`esMt(UCM@D`b1Lhwx zt5x^8ZwT5|&9ZvQPWKH?P9HhKE^l?;Flkx^%W92I_YF;LwoWCSp05R$M9V3za(ODa z#CKAk0^`hQ6HawR*&SjxozyQNI<?`%`4w%iMLrq#_syTF=OoCVa*$z3%@0Y<zz4bt zfA2PlUb?3p;oJNs?x2^JxNjkMSm3sB1+U$#n+?}zUK8W2S$*Y{`U<Ah&WQ>(c_%s? zB~MmpX#Bmsd2+RNqZ^yr-B(SiU6WN@>Rzl^nE6xbSA=kCP0Or|N6J@Rc;y$WS^eNJ zy(rcnApEzwWtM2a!PVLKd|xgrx}4FKdBs;McCy>$C4nZHTYRKs6?@w}7sp+CG0mh) z^{G;8+E2gUsqPuG4zZ-J?3^@diL!^wGRGx$i>&tvGxoi|a;1W0wVLl~@&2w>-Cv(x zJh*k~%!1^&3u|=478zJ7En)AIoH2D$ZwI0hb&v>WShp#la?uiw39@Ep`s#|}yv%>U zyn3Wn5Ns{|F|<)^x^~bk1<6Os?7cn`dNRVCk!ubFPi$f`6HZNv6-mfki5dhy+7r+F zy?e_dB`MLiRxe_ig{RY#_CBdu)AZ#;xud^4y?Aiz(wV)nA9hXHdeloq&AsKz0Ym1^ zL7M~%1tz@D<2xF~DI|7ihfYlD!cQ)qf(q6-IdOhYdQxn^US2)w`(Rm_*`sVGUA^!n zCXPl&-1($t8pK$r32lmKT&{FfaCWEB#&ya%x}ghn424>b^GX_OC`<CQ{#Us%`2fUC zGgzWyH~17zYB|9#Yign!ZzJbn<vT@4ZpG2YHM4$w(wgI-%)EL7D=1RICcnCRbZ211 z?toz7*3I7yOald~&j$&&uKliInp^1~Bs}%If~jfc`7I|;R4?(hzbBk~=%ZZ5<!9Bi z^y+8N=!x0W-g&a)qpi1(TkHG4BqOF8f1$|}?^REj(G#*K{Zz`AZ*rQG18-F?@^MqS z$DC^PtBQZ}#B2GNCr$fqpzB+C{*ut-?;5(ImHw9|^?z5;y<2g<#PhT5rKP97yG-v= zxX&D`<yCV&Nbq6)<e-c9t7lB<`gCvek`R;ca;i&}wtrXA6rEYYKPgD?X7w^J*SPl+ zwM1k0s;??}Y8$Xr^L=2|r`uDuJhAohbicQGipdjOFHie>lc#KXZ0q4^e$Uu<)<?O_ zpl8*KJg2>HRP)`Z&iQFOXXi&-ACHdrkxoiA{X#+A-&GWU?q%of%y@4btg>kLcaMo3 zA$!!jTv)!#X$VccT|J@WO5N;bE*b9=X9-QLIDcu1#~WL(V5RlnReU?Q+-o*@`)S@w zlhf5pE^EE-^jo}#-75FfyqBw<Y(IITdPd8h^pnS{r<Ckb_sn{1>k%aRex{e<edVc# zD*Qu!J+ckZd{VvS^`rdD536Ue-QRrVk*!zld(TVN{FClhFEcNG?^v|^{FNiMOH#gf z@>Skt*OJ|}tN)AI>FQZa?zCr~tew_z$9?7T+DVJd-ZhJy-E-c=t5QDm%SXG58jorh zTs-jGCI8R8%Pr;dYCC`HY`yEg@<{C@w>#{fD_+}O*84s&YN!8~leG&hJl-+ymHagC zsyov|E5;M~%8wtVFKgYdpvttTRn2xK*UQ-^Z|yG|scl#~f6afNshj?|OEt0DF$gxX zaWE*ka470r_&CvFhu4Hp@=o&Ft6A)>7Jc3LX|_hkiWMw3wAOcac{Qx8Nt1f&bp2$j zjzq(h*)?ojOf6FmO$=@@c_I>___Km_b!I?c_=ZWBI`ZZ*t$y)TJ79qgYuJSaC&D*4 zCH-LuyL{L`K~?k*OW4Kz{t2vG8`zaxG8Qm8+r@4C^`@3-g@E0L1MDW1*FiRYv`fCa z<dNW-89_EpSA}jXM`+HhU|GFnllulIp=y@ZnZ5qkl_OMrYd0*5S{Ax2V43$aw|&W> z!cPR(%&Mq4-u3cW`I>1<jP@ljRq6dGyIMu_Y5AH7OD5jmILk|Nf3oUSxw_+8TB3jY zL_>akmt8$;ult6qO~3m@C*LVwGwt5K<fSE#tiwDr?r(Hb`rUU`<c)RMrFm=LN4V~; zInE{3%iw)>ihIPuKkIz!!d3Ubo_K%iiT6@Z%D;ZJzH4~YaIN7~!?SbZytJ3<FPRwR z{&JB>V3daFluZ+UdFKDPxB1y$vzvx1Bf5f}CSGYPNy>7n5>pl2D_PAi!qUN&k+3&m zzi;{K&gI_1{L|FtY5Lmu&8nDW@=+l3(a(!V7BB8x?k3!yCfuJYe7;+4-f=a#qiXY< z)aHr#*wp&iRQlMI_}CQq*yQ@yWct{o`Pd};*u?qRMEY1q&nR1C@YW^qR^p*8#VMKY zCocK*FO`+?wl<ztW-z@>YkHZ=^fIaGWdhU7*rt~;OfUN}t?b>jvS-uE0(9Q4(R@24 z;FjXTEyByT9A3Jmc&UhDcMyw~m*6}N*MLPL3p;{X6ubn>G+Z|<6iE!$JhU{Z#dAK# z1ocmy8!D9MbF`^{>J$Jm>eN4VE+|);&ru2z0WtE_CkZ&dbrn*Yv)99^MNof|z{A(B zLP{BXJ(~EYi~oEPV>z+EZ;J5G7bcby`}rmb|9rs%66qKI`QnMi#D2bB;h!&3=ACe7 zKh~-8Vn@Y97TM$Co)<i8CbGyL68F52_)&?|N;~e!;^uNCd0A2QPZvE4mE>i&3IBXC z!(w8;-_6dNk|Tak7GEw^l9yd0{PRhM<;0dbTKbbFFh6w_Dw?*(!>MngxaSGZPfDDY zHgQiT7e98nB$L@^;yz3H?8Ijt#Y-%axvEbl>^-5*{>J6voV6N$(>3^}X`Gv)(KlJc zZ;}SzM2&O(8hw2le!UufX(Gpsx;`s)eU>;H_~oFcO`wopx5}J-Ds%Rz$m~*)*`YFL zo64LmDl(f@=4@1vS+6o@%@SSDut|$l3_Y!yR9IHB3-K+H^qApcKGnl~l81S(hk1vG zd9#OkgNJ#Ihk3b&d9jDN#)Q0U%gj}mnX4=_PrNL>?&aC5Ca0&I`f@Vl<dzd6CrXZA zIaX4<E6(q_=SwclSn;K+7nbl&Syy){)PL7C&+8U1U#Z1jUGjQniL7Gm{w1rYP3ivP z72>tUQ^d2x<BGe9`xG}5<1A+7tj9`Ok0rKx_S_P1%Z+f!4RiSzmvVK<BiAq=&A61U zN4_a(s|xFH^3tlFC_3pz_nKv~`kN-*O4xhCt7)2eq-yBSiK0SNq$7Q&-#Oux^~5dg zqJsIRCO63h)?T**kKMuo*diPk3+3%OvC8vU=b9Nwb53M+esT%(ny9|XE6HY}=%t69 zYnC;sZ<_RP?uk?B?_I(?%k(!nO{$)F^~+n=uuH=Fo4OY5J;5b4)#00xwg$6Hg@6;A zT|)!AbjybZex(VF0@4x(*x$H>UFO)vm!kT%NMUsb?=<GCJ~PcGUQ;;fz-A-xkb&L7 zQlW)isUzmZs+Y%G*UTvS6L9ZDmgXm?Fs~l*O<q=eCWuaY(BCB;=^Gq#!mBF#hF*&3 zTEoJHpr$0l)r`4Kp+eL7BNbzRPPiI!s(sB8Upo;{w`GCs@&wVX9~HGTwxoY{4!dxg z+tYRd1BWO_A_IGc!l7mXjSmNz9dZ&HqAL|OI0Sr6Ci$9l`<k@)n$-K6RQj3}`<mqW znyAgnI58_@&#a7fGd3A`CPp1{X<5lWnRl7bGD)vxGu@X-x-6S{V5#K(rILG=O72`b zbKBCHLf*#8)6#ZL+ocm3S+%LfrL!Z5Usc*`rq>j&ey<L%Mz8atUjEigpT}GhcD*!N z_vOrKUu?XqCaKyUo-{Ak^ZXhWJ<o_qD->)Uqnb)sGC3~sFOl^ypOB`IsuZc@>!-TB zFZk%nham-A7O{p?PtHDd#W(fIG9!&8M%h7<!ahNgn^jky;ZR-46EP{I&71ADee}QL zr}n}BKC85!RCRD{$Z$I3lET>`)UP7rVX|yiknHLI9{QSp7kz2D^xt#7xUDRg+Sa8; zsvDN1E2hr$nyA+)^m1YIWBULBg;{1Z6Leg)G}U;Oe)@h~Qgm?}v!i1}gVSOKI|jjn z$6K>~I+<G?7<p3;H1o<lXb@0X#N#0R)GAOwjK|@WQ)MqJ^Mp^6&#PFn1_&tFfVzTg z91EG{A{dUau`vi9VpV$3$g0QS#K5+rp{bucoZFIBF6TkBfK$f@h8FG{BJ<jr#03PK zSoJP6NXfUajr+(ED4<xAz{t|^;{l_Qo!`l;iTghWZJPDkgnb7CqZ~)V=D1=;CYyl0 zKP=|1*=KcDNL*FdGh)ITAIk}iQd-w17AY{B2CWl5#OTN2z}m#bd!k{I`vwONNrA>E zf-W@$jvRsw2iTSLDnb3>MIQx09g>fYD>)4mD<?2=WiYgG2*@AIvic#bt@)sQ&7zw7 z8=H(6nB+Pb3ct^Nd8d3yUa)Y`W{sQWAbp9fsSX@s84YJ|lrM=p-q^sd<lv$^<0IpN zS7)sHI{5WC9x}=WC>;AFs1vH?tG3gjYmS{=(-n{ylRU$ktjEd?A09Bv2Phn2SFA~B z<PVc)ShMP3rBOm7cZ)z1n^nPqT80g)GPZX%&0t`aN&s<^mvcHYi*Go<uAuj!k=aBb z=@>i1>el-q4_??PX)r8eR4k}r*bw$Z)q#Uu;%_^Hf|^4kTM0-!uZCfR&|GyF4n>&> zi~_u%K`vg7jgOfdLSNVi26ArTXSl{WePaSc&^N{dQYAA5j1n689by_7_(K#Hu@x}z z3;tk8_`CC*iC3f06sBbwAzCI=!vsX77#LUZ3A8eBb8K*Atg$MH7q!;r?r3m*G&PAa z=g_}5Z9a_*hgj7bRHi!gu(Jm|VCF5kz&L})BWOj)3kER`hZUT&95ZJ%YHF!W<*HO@ zVQ7(g(8yG`<eY<gwEIP_2}=Src`mZb2qZDft1ui>*90kcloIXo()4HwnxN9^Ra^jC zA$8-*isS%x1BW(N9))IBmhSRNkLFIPP*=R?*<L>B;oOi4b-{a{&E=CG%oV9n-@0RR z#2wFu@=N#UJ}FoKde>9?oytDz%=dnmc2EBFPGyhv%Xfa8c29owPGy(1=h3+)<?1Kz zdKQ)o-I+V(k)Knc`rf;qdF4U3=N>6jUwhY6{hi8IYt6TQMuqBAcTB$UZpmirlW+XA zc2D-W<C#)^slz(+ji1o&$%o#lY_NX$+V9h@$-CaEth3I1?RRO{<W28X)>yxM<@Tyb zxp3#iZFfAP-s!aeyefG`@CxUZ%)-@&o!A4O`ycmT{yr?dm2dvJd42Qz?ycpUcW!Rq zT)(+|ch~lD&9#)ZoNFPwBYLigl}5?Ek~<~0@=9-%Tr2T=vEt>DyRT+k&|KKOtaw>+ z+3MhB&Q9$<=`H7OtnHiOH-m4+x#@k^*ZNK8n|^Lu-!#8#Yx$;~3l-Ok&MV%Ma<J&= zsa!u%t>7gtJI{jV>V?&|TY67XaV&abcHBcy$+?B);i80YCS9&gd71|nJy^v(U3a?# zM4xV(R>(4s&Z2I{Q^M-LGwvisEK=c|q`X}MG{^69Lbpx9q3*|v!tTc7e6p4WcanA_ zE@tbM+%A!lla-cqFR7z2lXLMWG3KXFxWy-JmrzoamzB;pG|<yhQ@WRAVWOiVv*)OS z#EmA_2X9+N58u}nnkN17RBy^tw^c>TfjcKIyW<g*w?xBSXo~d9lf6%#xMdY78}6Jq z?T&|6-Vzmap-Iv&PxPidanmYN7Th_p?T&|2-Vz0Kp$XD2kM}-#?Dncq`Qwg>Wp_NR z@|MV$3-w9AJl31?*lksz^2Hq!)9!c}<t;fY?fKd5)Sih3`Aa0sFFox|sZ>6=W1?2R z$|>p0Pi|F($~$*VRLNg*LYniFTh*S4GWkou6Kc^aN2FhVa5LI7kt<*2kaXq;H=#Wf znevy2nG1DGbAC9#KL4n$(EWLFa`$vE&AqD|boc*k<|pm_a@#F!%3hpkd~Ei-tyjt< z?as3kCvKj(c;@7pgL}?)PAs08Jd;^@`6sh>qjaO^u6^AyCvVR=A#-BRahc<Dj@_0y zHs`3!(K$zCj@+JeSmyAYLo$cv9K0=aaL$1_VwO5Z4Ee?XivAS+Dhhk@;qJ3HPhUKJ z^7O&eJ9nSmczWgOg{Lb{%-nr;;_1%Qji)^)Ha{|Zu6tZ}xo*2|`dhQ-E`3pcQGK0r zgtl8|Sf*R1S*BX56rC{mk@({91}5hFx^1>RRT7^J9whGAeKetcAs@3Wo2bOIJi`-- z9fuj(6<OItwoBYI*pZlV_&{6YTd~8OJXsQ#3}ze%cqGOw)n?3-CUMGO!j7X3ZHX*x zhCE3Uhw=;?5`P?e(7KSD`MPeKE>D!iCWC~;9lQ2kXgw{a8=0pMUCYJ|UCY)4S<AK; zx|U5Jx|WR_yq3+GeQsCu63d+yJ4Cj7XxzJV>qg$hYkn)1&fMXu)mWAmR@UwE=%1^S zv()a=IF*gjOEySzzH$4tYvP`~CF`U+U%TDfHE~Pck~PwtuibX-S|0mOb9(izr}MIQ zE{}YtIjy?t>Aa|&%R}F3POY|jI?rq8^1yeRQ>uSGnP;_gx$is8$<@1_%+uPr-1D90 zr0S|C^Q3kzcYUWhvD)g%Jg%L~9p7nAsQ&eM-me|YZQp73SMPc}@70dwmhUwCs;eH) zyR~Dv={wEdYOBZdPVHDe`+Laebr++T&ussBR&t{8H05c+WwV*5eV+Pw>gB12OJ{GM zx_Ij3sm)W1OS>;aLaA^=%*LSBQ@ZCwGy@md>^xd{spX`Q>UImy2{ML3PsG|!2q-!> z+<Elp!^P&~ebF+Y47#DPJGl86kBp?n?Cln_EM{8FurMsp%P-U^On4CTDBzLLy+?Zv z6gDb%3U@MBc7N`8+;O?%aL49~?!_IGJDNL+JCZwsXD}b<mEJC%bzz2L^5<_&X=-Ub zr*CslGtkmh&)45mpeV^%S^e<h#)*X=zcnQ(JzDtiZL8BE$AbrMbL&Ph{QG$~?nU&$ z?aRxn75U$vTlel8)7&o0ojY!G7ib3T*?BiEBRSf7VOh1}Sr^VNn{RWcrK+58yAvlN z%+36J*WL|rA9l@6x_4RP`<GAiqV5GteBbhEUeLYEC#!!wnzw7m@=4!KKFxD__4MK0 zyHy)@+g`aV_acv9E04c;JNwSt&N4AvBKh0p?%cX@&F@ZCfVXiV)7xu@XGThLZi?nt zK6d2Lfjrxq%F@Eh8@s+Pc&d1sd0P8wz3l-p+cHizJr#S^HB~EQ*&Qd(PNt`wlcQIF zR#$o!akoC{n5g8Ex3KMwQvpXITOms!Q+{F8gAE55kNiCRare=eha(#gw{LD=T;4so zy}7-(J-I!&yxX~bF;hC9JD>VHF?K$6Rz9vd<}%x(=a|Wu%`u%LY>~CyBGW>x!0W++ zhjZ>en(=VT!wClz4@(wy8@DO93AZt~eJ<^O+<Lk7aO>vQ#iiYoTbo;pueP3kdsa+0 zazo6{y`W?vqPg8XaDh$Xr6=FoP70}dPPlVV#xSVmgn(jfjQBRajJt6sb~ThfT*W;- znmZa)+CRP41oENB&aDEhpwd21*B}y9+DCIkS9CQ^0G0MTwq9rkmG*hM55T2;G`BIR zw7(a1pr<gC^YJw&RZwZaT}(w;QC>D*7rLO!!Nx>KWzSXv4T&2~N8h$yWl9iG*nW=t z>tnG~$9b<lZhKVd{C3B}k~;yGc^We2S9+yS9peps+_tFD`RtB`DR%;9n@@ShJGIg| z@m_#o-ip)Gr#`hc6*`CB3z%Yl<q7ZCM{Pxg&Yt%ICYp;p;r&|SY<VxB*IeW=@74-u z&3gfz<|2=HODmiu?*+7)i#+5tt#IbN7tm-P(k<O}l$W)_`S0C;I`feGyjvf&J=(qS zTb{;VX|4BdhjuS~m#48?deytOMY|V<+zBW)pK^y+wA}gN-2lxz4H5Gzw|SqIId8oi zkYj%37H{dJwxHb$FXd?nn}>8tbG>b|+P!eWsRbS^jGqo4f9nfb2DkTM;bAvksqN;* zc`0{t?q%Fdxpyz&-jN+U_iWtLSXdog>0D`C`T5bYqi=hk_&(u%a`tiW<F~$#c^{vB z)ceTy(Ocd}XCL-H^nJ+t@a@?Ly$^gJ_;yQ+Z8vW)uQ#tVuQk`s%m0!0E$>U-r@Rk& z`S0@H<h{y!kr#63-kG~6?jE^&;O>$gdmRfumpv}KTz0sudUILvBe%C|Z;u^$>)R>4 zW%g$2&9gU23yJ;H`4RbI^M*&)nC7?Ia#x9c(s^(<YRBe;^o8e`=CX=z7kj32BC=yM zL%QP8wX7my_jGndW^6uCmYsO^5GQw**d?7AJGKTKVw%-z%$+87Do=O928Xo7mR3XV zr0rsdbQ&UmY<iHo@bxvO>8-llQDU2P67ELrcy%bXd)jTzO`xLx)LRx%vxDhrS(Cco zEQ81*>&8hc9(@u!=PuxwsOZ#m$B*Gjd%v7bnS*2Rj#jDN3y<Wj*dWdIrtR0Rg?sW= ztds6~-F9o&!Yz3#)<|=`ZrinM{;oUzG4Gb^exE$;x8~`;El=xq71>AboWJUhf5^M# zn%^f+`K@{CFU!-qsv>*Ko%3hi@%MSRT=o0pNxwBu{%v_uXH{e`xpRKk9e<a1%N4&* zp72}q#9x*tb-xPjf9{xHb;sZ4-E!IQlly*a9{=0&xNcXW{mmWov+nqtyjw2$eR9w5 z%+Ga~_RKeWuYTsY=CQvemG+VM{0-hMKV_Zuy6@7i`o)_ztlL#^bCD|dH0`ie0Us5f z{@<&(Te8r&Ou4LDxQw~%bLr#K%ca$aOE;G;E}dN3Tv`pv?qa&zv&D46*M#hhUFkDX zi1pOlUq?KJ)x7h<RY6ri(QYwCBNvYew?$9z%iC7mS!Z4H<Urx$vaNy5$9h7Kz1_7l zYu!xkXHt*L_QvRjOJqOws@(0jPCrb1^%JSe-A?QJS3kbB!|2|+?$wWG?ND0RzIq{O z$@m?=1W*&tC{O*yl`}_r3at+=RqgRQb(>Qye#eBKJ#!g8UEDbFV_B2yQI{i!Z*%f+ zbF#DMt8?TWWcu*FjA{Qq(VFV*!Y`gaynFMmUx54aB)@lMO%^*uH16f87i1?#TNhe4 zM$PIJ%GfSEXXez23cKef9GMXzapP@kY14X*fO!@lA0&28Qt_~<_~7v5+TjVen<w0M zo^YFa!tKNTx10NKJNMsa?!SGw?{;(FZRft*%zd{H_ug*qz3tq4o4NP);hx*gJ+}`( zd+Yf5+l0#M1AA<b?68fwC#RFoZ;;17bvyg1+lQaLeR%Zk!J}^-KYbIZtZvw2YjaOd zCZE4|JNvQQhabIl{P=A`MYY2oTbX-uJo)^s+u0A@KK$UV<A-ko71bGq)d_{^7s}H& zJUZ9#{#?TQa}Mv%DZD?&@c!I`cjpegJGbE7xrTS=9FERCcxP_oow<&8<}%)y>)2(@ zEK<&vFS%oFtM)^y9bEU;HD-5DJ<7G?-`#a}+4pxPJ&pdJ7rxgt|7i64u-&(}<}Hm5 zT~oaJ&RePNht_I_iM+o3_SLp+Yja-Ts(Mrw8htrTIJ;ByZJBNK*=w7o9`P#M-4}5@ zY@=xo>+ab7Ve7YMKaJX+7rxds`)JhqU3YFl5?=IdP|}-Ol&!4FHAUSoFLxHG^gp?l zcapMOmw@D>t4B=_UVF<DC7j~hV0tig`fX)BaIH~Ya@0#yD>$!z31}Vg$+v;RYTi>+ zcG@}>9q|xU+V1Y$!ov9J@wXH1pz`mI+|vVvhuwN_D@%bYjeBybNym0<*<;%j=xO=$ z(YF(z<>-&!PE1yQyy(%}K&3|u6Cb`6eE1;n!P?JqTX*c;Ah&VH*#&RUHM~8S@b=t; zBXb*X&2_vrx3SZ@S*V;Xqns_hoGq=KEw!9Y<sHw7cRX%)Bzx{kcHEV0xhvUlSF+}= zWW`;{lDm=xcO`S~N@m=ZOt~wWa91+sZfNW~Exq!q({_8Ej-C2+-JzoJ*qy7_+zAbR zr=?YXb;@q9Q?a5?*EJP|+wNRF=T4~aJ1w>HtCMznos6COWSvt{xa`i=J$FJ~-)Sk8 zU!Abq>qM;RlXXl*;eU6muDKIx`%X)){A%BBuj8>(AFn%97=Cxh>YO{Frth?*%CGk9 z_Bs~3wK6>OUg*qn(Py!ukJs(mvs&l9mPC2z(^%KaaNm2O`m17Oo?UnI5Kyqs%LVP; za6Z1)mruq#=gN+)3k?suwVhqo`)sZ6GTvprJhQ!}Pe)5jm`ydCVm8@KKQA}thQ}?J zTMoBu?%lGuWpc~lmd-7Wd$&|>Dcq8|C2>pS-YtP!JhwP*vE16SV{2j}bH?ZN$LW{L zvo{}VJD+ym?Y!FiYi#GAojZ1J*}1lN*W6mq&gq@wJBRnqT3;?{5wm==JhR;GW;tfr zX6iXtaxUfN`rMeZ!Ev+YX2Z>zpz{N)KUu%pZ5vg1q<l;HlJY6#)h*>E<tgPM<u2vb zOCDvs^LVH6j^o`ojiZ8Hi?=IpU%c(M^0viW7Yn;*xo2*7SL^eVStvVKcII8Vsj?F- zl&qx+P0FfO%0$Xo%D$97DXqRzdZctq>5|eZrPVE^C0AQdPmAURHD!*TTFVl;!e^q; z(=a9t|2Za!McM~JO(2<_QxAZ9ym!0=SRkchnCHFFiRGeCV!u{|Tiy%pEf;+pyR{-* z^Im9Yx#*+V(u#1&d!eo6q7P$DE5bSNg*KLlcJJ;w8p~P{{`YQZU3uvJ*sYJ&J=(qc z+dHkjyS3i0JG6WCyLVc<cdvT4Zqe@5A$LNH%ctIn6)g`xcsEq@ot8-X)!VU8%fh$b z4b3UPdMmc{(Ym1Bt1rFN5-tzz+|BiNoz?EuXWp&aw7cs_tZP|#?~c{S-f3;zt@Y;L zTBhH-{;vJBtMuNgb+@(F?aq3=?$WN+o8D=y+5PI(u?44l8K+A(>zcDg<?(E~BayJv za7R&c!cwlOnn8Jn9ZXOEZ&gfmPBT7~_U4+~8MQNPXP%ut_WIhg(`~2IPP?5}dwq@V z^fPeRUl7#X?V5U<V^zRRCDl{8ECKT@G7mj5Yf|-^q+nSj-8fOnrHx}}uL8#edAq7R zZU>UvK^22CxHTxbUGM~`V%XEGaBQh+&#BuS8tU;oChX}|5c+g+<3}^5W1x!RHg`5l zJGeU1J_y<saAwC;hhxjq)Sj(n0qqJ1x##6z`SVcgleH|MT>-t@g&;!)UIiHu9u^ha zji6lt4cmqO{QSE1!S1OEpj`p)!k9q20*bc_oj7`MsY9Xm!P%hgRcl$)LEEc#Ol5d` zW=+qLwJa&1hJK#@8leW;!b?xYm_enLV^Q}(L1otto}EV>K)I~uP7<_@YX~Z?c%`;W zoSCq3<;==%#<U)9Z;9;^Awhoo3JSX$Q&gTTeyq#Z+tc0Exm`j*PD)JZUJ|5{tIO6< zUstQ0XZYau<<p0EAAN9cU7z1kUAE$)!UD}aLx;lT58wW~C4f964fT*9#6!Ih51Bzd zBna`4G{i%>P!IJofIXB8^^h_{_XBOF^&GpD9_;E(&}Lf8u}SGb*MnEvmT^zljoNhQ z8mL*tHTCu^&GOzUyVXv`GCy5cS#;fEXZEZ+ratdxsh0On+O2jnw)x3A%cAQNJF~k4 zeoi{z-K594BTx0lBnR&%9nK9ZJ9e#w_RzJV=5axK=-Z$@^tI3)x;C_j&IRtFKi<{^ zN+CCPtcC726E!h5Opdu1wxK1^)3P%9VJr7Zj}y1K`pa35?{<0|+xT(ahKlfjJ=!Yw zL<Qb+wU@IV-tF`-w(-L{gNks0J=!ey#IJGb8XRC?-WJURqIi7nBsDOyNhAc6b%Use z#v8h95(y2AY_Z$HltjYaBnMYl*QHOVX`S|MeY$K{QE=qWm8<TAgygN#G@m+Uw$>?M z)~Cy=ih?b7uAFrz#3yf+s`=DOv$an8wmw;ARTM0_b7j|^5SP4Fisn-%%+@;L%lc&5 zufpJ;J62ZR39-prC2KylZ?@KP-`2;=b`=KS+_5t2PKZg~DoOLHJ+reuFT1p7rBS}t znb}&$d`l~XBkzS6<gGe2yX(`kSxc%4XWXBq=+wlpbM4QEi`n~Sx0_nMn<Z1u+qe7K zvDoCt>sA(Czpx`a?T)F@yIE&<yM11Fa!<Cw`&km@XP?F<S6)A`BU|ge)Txu=dMf$Z zkRi5g32{+j!TfAKUT#kI{A`x@vkvTDb~N_zqjijXvj4r4+P{0*`*o3p*FW4ftuF7q z7dyH9`h&Zs<>kG1V}r}DU$|=u+U4~iFFR;KMRE4-wTYlE`RhHVncGd(-pvv!=e-qM zToxaZeFog04}<jQqZQw;YbX!jv0MAbUC~$XxJt@dMax@v?ryphtN3mmLwUGIVYowK zc*Sn*9d|`<z2nL$Z{5245a(7CVbwhC1#@Rkow#GIgISV~&YNvaH~vOHJpKCHcBkuW zKZiZowRS^k_=R2CDR)ITyyFTtZ`Ctr)iZC^HD}c|Z`Cnp)iH0?HfPl~Z`Cqq)iQ6@ zG-uT`Z`Ckog_Qi>;PztQogf2n=XbkE(;bn9J0f*=L~6e5SXxvV?0GL_qPgf3->((H zmiIz>%|##kZmkH`ycg1GF8au~v?5sYUP!CC=tE!AieS!rA&ust-Lt!n`m$C8|GgVh zXC8Xrck82Nk9M#8mZ!CMw$}S)hjy=gm#4LR_NsTw7VTacawnwNeCi!v(emJfcSAJu zv_#CW-u8W37QFRtNRIi{TfU`_mIduzc_~jz*gUjzHrLx_R=Zc8$y>E)cGnSK*RtT= z9V?IJX>FXX^=28<?v?xUwARmF^?KQ(T`RZcX|0{D^?KQ%T`SkUTdDV5WO{YhY1^ew z=bb9@Pu#hD-JRgDcPq8Ni%hM~I%TV>dMYvN)H^Ama^9P<#*fxX?#@2)Zq|m~Y;V^6 z+?BoO-K=%H+g`7`xhs21)~2itS?hM)xdd$%&Vn=xy`jy*%vpC#eDY?gn)gnct#;D4 z`N=ZNqRSFHGrR7Xxa7@JH1C}-TkV7|^OI#i3orlJky&-e#3pZ+tX0v4J3E#xT)1%I z#6oXpXJ=>O$J3sDTDEadX2Knlsph;-eT^$G@7a+VbH`+ox$hHS=E}=9_e}cCeINTC zuDGmo&!o%T_fc))QpY_@4?JGB;qkHskC#n&ysY8zvVzCU5*{xLc)ZNv@iK$Q%M>0j z+fW&NVUK1?5~yLhlwt9tqEAP4S;axy%eITiNK1-~=4-0l6A{SgYBy&+JlpA^Z{vq$ z1{J{qdo)?@iA3EIiMS)ekk3_b&boiL(|zB>qrQ#rmu)ByzHs{R?#;WG2DrO7Hr>%} z-SK-mQw$fE$h|zx9d|`;<#FYhw{D$%sB?DHEnmgA%Mu<f3wX4wp)A;9x8{tyBB%1W zRPwk|%v%M`TQ|;bx>1|BRG`e7_36B-B7e)B%V*sQ_IbBb_4|}b)mkTQTc6CcD)N`y zxxDL6u*<uZir=S9sMb1R%lc&AuR{NyJC;}73ATB+Quh0lzG|)Gwylrn?JD%Yxnp_O zonVu9D<!{A>8Z~8Jnzz;<wox{&s1w2vn{RkkGvOb@NVU)>aI`oX6;!XcPCiyo#x4E ztxxls_AHlsuX((B)yH{BdzOp6*F0LS^>Lolp5=V+H4j&>`Y=yv&vLf+ng^@3KFrH1 z^xwE+Im7#v`>RjApZ99_@~}I>HQ%q?vt3&5|MYHf#rG?BZBxtruigzV`97tqI_urM zNxPRndZ)RgTI;At*Wn8?_e|Q%eINQdS6t?~XVPTu`@r||qh*POml^Jv)SLI-_q|+x zdCiW@A9=I(&USmh?Bwpu4|%h8&p!5U*~;CSFY=^B&ClNPRW84L<gQ79xo_8OwxhnE z%P#M@Ym#fud)s$&+2uJqGOy&#+A{mt5#QiP%N%!Sp39TkJbT%jWr~HDC)_nr&YQJy zw%eO!oVzm*<jq<?``GJcFL!0`$eXoxw%hAvCwFCT$eXpgdf92)!%yekEIOaDGkwz? z<A`@NwZHdFtzLG@*7@nYnMLP4cBU`7V;t~qruz4u$<@nF+8%x~FS6*o#?JIfcZ@yW z%~by0Gx7H!tKcWom~Qw!e7)>JY4D3(ngw@7w&ig}nYXT)&2-K8;VZLOMbd>kd$-+j zi^^N9vt4l7?H8wVQ=XcwDv}P|*}LqHTTtF&jqQR{ZofF0`{apPR*|&f&faNv+`RG@ zt85pXbo<4L+>|F~T1C==JA2#ixH;u5R@g2$;r5H;xlbOOy(*OcxTCl1j+<59VwvrN zeYam6%T0M~wyIG2;*Q?5J8njKi_hNn_-uA+Pp?7#Vu|e+pXR1iN*~<OtCg>O>UPE_ zv#LVrojZC}@)w`D&GE^sYEQ3B{^Db|J3g94?dcWCUwq^?$44`(J-s~nix1uI_+Tcr zr<dhD_v*k0Z?D|8eOl(f^=@#^_baz-OCQY(+P(bJJ5Ay5A)VD+Z|7O<UVi4?%1zZ> zM{Hfo{CjsSKlV;@W3|?sc}%;P?|Y}YzIxT`d5?B2-}X*(ZMD|xd53l_UzfL1Z@b9! z=&aMaOP@|VRpgzxbLqM}L1B3-wYG~)jm|oytNL_WSCO~l&ZYD21o`EyRNF2xIXdg4 z?$RgIyo$UPcP{O_6Xce+Qfa%$#OSOOx~fm6aTR$p?p#`TC&(^urQCLr{^+dZx=SBV zJ5}iYaL3ZTJ3(f7E2XxJ^hReL(^Y*u?be>9CiyGRM00(f)>Y^odoO6(c9EXwRiCCU z+LQeyY~{(rX9RYpx7{&zdN)(yJI{pbXUA<HKc4rp@cf4z>1B6}t=`R)`Oec<{p^@+ z^5c0c3(sHJk)C$P*y!ENv(;{&=bhY>Zt#Al#P>5#ZIdg{AJ~zu^<MH+b=s$Sm4)Yb z>_}I6Kl4O2+oySzd(vgz&pcM$_Hkb1o^+A-GmliWeVk{xC!Od0%tO^}ALdE!NoRRK z^FZ~oqqc`1&12k?{_maS{_17#=S3Et|8Up1`g_kk+sWnUAKW!A|K4-gHn{x!g}cUD z?`H0-c6&Fkb9efKcQZx4^K?}|dpoaiclxb&lH01&-p;cuJip?uapw1)&gx}HY@5sC zBht_1^+w%s)5%jlaQnr3vroHwf8{L}-7a`PcT2hS%e!us+Xe6CmXu51yz5rFUGQ%1 zl1FB<cK1HXTfE~o$2&8xLg|G&dhg{aZ@<0bty$9U-fMY_g||<<l{=+OdghK^uRCrT z+b`bCO(~O}de==QPkGbrj3c=wWzwB@-4eG8-pKt@DqVTkEq1%$_1rC`(wTSNBDV`( z%PlDtFWuR_<Bn_eJ0;!nz-hZVPREu!)!S4g9=fx8#U0n+cS@S&fm3#KoQnPOM6akw z+;nI6j61I0@03)_11Ig~I2l{=M9-*5Ty$r5#~oMacS?%offIIfoQVB$`1sl@-O;Cx z>Z(?HyWR_$u>Hzo-KQ1aruTw+wqJRqyR^bv^<GfN_A3u{Q!Bhh?*+ANzw$s=wZfbA zUXX5{rr36o2fAO&y?@>fs@;C2E4u6bv|GEEe#u+8Cz|X1v|W=oO<py5(d3{)ZBuns zby0Oz^{J1-xLU8wo-#XRw#e<ZS7u$|)HGdbqA9#RNOj4DCFho$S#m0GsfWiz&)x}A zlZAFq<(kYi`PZaRlV0tb`e@RvNtY&_nsjK_)LoEqRBq@vDr?9xkDuvh-p$-p-FC#* zx$Jz;j`U;iBsW&8y_v_jJAL0f$@SICUe9~DD}CEL$+gvLujd`ymA>v>`t;u?pVsB= zoFDZrecJENr*&~V=ZC#ZpZeSLX`SEB`9bf}r~Kx8T4%R&zTdm_$-hrNsngpz-|Joa zq~D!S>g0CLcYBvU@wexbI=-Fro!+HS_|5sG?%$62cJI>rf1i9@_io30t9R*rzdIk- z-P<wW>|J{AZ_med=XT6DdiVU<-z$6O&-^a@x$fAG`P08&{#18p$NZ_^Cx5E*yLVpv z`{kp5r|g+O@w@P+I=g%R$A90fu$O%Q{L$Z#J^E|TAGy6qu3WHxcgFG9C6Dz^6^cLH z(Vch4)$H9OsdB;I-5JMXRUYfz+S6_Fe$koT9G~^N3dLjZxlSt=?Ag8Ilis2|-D>X_ ziI-38-tF;8uWL`Y!uv(Xcc1vEm$j!`;{BqdyFEVYdF|;Ic)#fI?h_yMwDxp!ykB&1 zx5o!Pu07pRcU*PeDIM7T;=SId-QB<5EfOskydS%zT>Rx-*UECid$A?u;y3TQmX-_N zja~9cZ`SVaC+`;R*v;`y&#O>;;g0Tm@07OhUh!5hX?ORvcZ-C}C*F#kQYJogN4M7< z*NpOuH)B)E#HZeMRe7hhX?MnvSd+5&i0&hc9Cq$~&<UCwy%V<pG%xR#r?26Z(lJ3{ zyEp^5FL9e2Jl}lmEvp%5zV7k2Cf~C@XC$_Z$3z`kv1HHQgio#9Cr{kwR*;vKmdw|W z2=Q^T*|Yb+h6x1$AHFpm5Yu7!pQr!fb@ajQ<<*UGa`)!meal)3Is+n4zoU7#!qNXO zd*-))zx<(2?4JLj-<B2jtnbqw{LLw}kG$i5;P=mR`@iqf@BfV{wEy|;`MtkacF(W; zF8sdk-d+FQzaz`-U%p%3_1m)C{^`5)JAYet&)0mX-u3%unf={&>9_x;?4F<f-Pl~< z0q>V`=AU;RYRxZnNq4+wyS2OVOWuM#(j4#EcI|F_leb`(bjLfkphD)ecO8n%1Mcv$ zlrt~g(HL;YA>Vw$ZQd(o%p31IWSd{;l=gVb=C!-g=Z=GFp7dT(j<*I5>E;t|@`jW# zPu$UXB5#49`Gp(2DrL;AcO4SUFI?w+Qp#L<*CEFI!ZqF{rOc^!9U{yxT>X3b>A#0X z|8sWMZ@FV1`A%Nv`}wKAkDvM*{Pf?#qW?ZS>zCZI4}2%D@%{Yd-^Wk>z5L`~V$pw{ zo%K`h*n7T{SNVQ^;_u@p{suq!r&#o#XJ>uO9ec-j@(SP2_y0bA{O{$*{~i|pf3u^$ z<c_`NJ9(M!=X-x2KlV5H@xO(I|Ih5GPq|}1`+NVhzmqHfC)~3)d^i8}@8h5TH5UF4 zxo1D+`}rq-KR^0cSoq)Lp8dq{{7?RVuJ~_p&%XCN|Kq=#D{jA7Yv0xW({oR~!29`! ze;@zwPjgQ_$NTvQf4hJ9$GN9I>W;n6JNW~@pTGb2ad-W%ck@NR^WXowx%~f&yY`je z`S1NLF8_byu6^lu{=0t{Kl(Rwcm0!h^LPAafA`O`@c)7x_4nS%Z~wjg?Z3p`_1E6b z7yjOV>+j^U|1);fd)=|m_<sK8-{i9YQ|{WUyp!MbJN?LC<Ffx9ckL6u^WXUUx%7X< zUHjPY{MY|(F8!Zz*FN$)|FyrxrQR=!ydM;K-zf6FP~?50$ooK%_l_d(4MpB7io6#T zdCw^Fo>1g3|9<6T+uS|Nd%l-^oTqi~@{#JU3V;6hD<9@A-tNcEZ>+j!dGq&>5A)dW zT^9R3<)|&|p5>rnnICz}tE(z2%C`qYMva1ZR!f!pKYW+T1v-Xcd+@gEU1k3McY?Q8 z&nmloXJx0*c9Zh1vNCH^ZDmKZM`c!OVr<_^t-ro{G%L?{Yu@t6;59Ep#r3vd(v8}b zu(L>eYtd?hyse+YH1kV8g~i`l)g3)`&(#yrt$VH>k1pMF^_cpp<tLYm`|IV+SDCLg zU*Y=l<M%7)%jD0Odar)$cjm{spgr@2-m4$^{qjSd(VqES@6`|e&iqg(v}Zok`{iQa zg}Z-qeyIDkd;Xty%lG}xJo@)Yx&7O_{#D<D@BM8lx4(PWzwCSP-M=p7_A7VHfA&s& z=Woq-bxphH2i@`4c(;7}?~`xqvUbnE@oxFn-!G5+T~cPh^sc|!yX8XPg>U^WDYKt> z*FV)?W!{N-ZZ^Gl?K*8+Z5wTCZ7c8EmD(2C=Gtc3rrxznw2ieaEqwH7%hPL~-N9n& zI)34E*4(iQEnM`-<fvy?@RVqE4L|=mb1Y0}#>}1Z$1tP(%uQM0;4*3FM}3OBliltZ zCYSfzkQFXF-f-72zP#tU?B&wq1$Paj%X_ZLPA)y3aMv*0+-JIU+iBj-PungQ9ZuPq zxZ#dLc%Fo|xzAMTwo|;uPunII9d_B7xZsX~f1ZT8xzA+jwv)V@pR@%Q9ah<yIN^?g zd!B@{xz9xDwiCR@Puc{F4zuh`Y`9}!pC=)2?$a;bcDyfox$5@GlWuFCeB1J*%&N#* za_8KxJAN*C%N4gzo^V_9#9NjpWxooof9{xDb;r*pZ@KLD$$hsqkH2ksT(+yw`sR+g zS$F(Q@|H_(pWJgh^K;pyJ#&rn)z944JodJv(mL{<pF!U8Q@1-mmCf2SH|~y~UY`2N z+nS%sn)b|<%U3^sd*#Qnq&;)R^3{*t*8EuJRAN<PRH9X)w5L>R&s>)L<p*xBJo@&? zqcWyFbN}V3@4vnBeOXkY^~bw@)!QfEdpo7v`r%!_^6iuFz6~k2zIfM9D{uME+n(>r zx^~Z<GiT16J9%?&<*9G8X4-o-^R0n_Ro)C4bDlowXUBMxAGfV6JbYnCV%i-8qr4es zrQJTao!paXkUvAh{LE9{<jTVbb|h-$OPrEU`_xuhczDN-M3wv*C#2avwN>s(l*ylQ zOuFr3TjZWZk^C7)q}e{US?)>X$)9mZy6r=o<eo&9{22$NmmTFj{HTp_PvXBkiT%>c z-nT^-9{zCGpxV6W9`EGx!w>Enl$-b5<qa-BeBrKvR^E)A(r)kCI(H{N$eSTz&eJ9R z>}^}&?!;Sp65FKH-nLm59$s<RAk)03Q+nAE-sZBybM6|Xnfu)2b$-;QxI5A9jzO|{ z&kbJTvcnB`#lvQ-k6tdkee$ihQ_8Go?wIR!$1h|1<(qF)%B-i}^#g&V?S6^dg>St5 zQfgg!*DrRv@b$M_O06^R`bBORzV^1HwERU;`GcbJ8%5<8ipozEl^-Z7-%(V)p{RUC zQTc+R@)<?t6N<_^ipm>`$}5V>3yR7!ipmp;$|H)(1B%K$ipm`zb6>J;9Gpx~j_0z= z+g7}}P<UIBy-(UK>rUAML+4}5p5?Zm;hQ0wRI=kuLgj5nxAs$fQ)Jbw_w0E4U{P~Q z`w6}Y_C42mFP9!JxN8u-{qv!WyN6Ql8bp})ye-P!d8h1j?$$eIr*k*nxfZo^YiOSL z(`~_d+E2Fy=5asW=AZZa$u{4-(@(Z}=dFIS%`>n2$u{@A>?hk?^SqyIbI#L#vduA% z`^h%@yw{Jn+2);oyv;gq_2X@pdEJk<ndfCc-e#KT{dk*kp7!Hyv$tQ}llv^!Fkkxd zw&~lq?$PbJ9d>Wpr)`tB*A_;LZ=bp+_eri|{_l$D<G0Q3P5Zd5dwb}f+()^B`PCKC zhi{ABoAzN_^LEiaxes!q?}&$;UUc|zZaZIZ&-VSYV)=8oH$Td4KeC(o(cOn1-X&C& zYwWQWxF^f@p0BCA{lISL?%mAYyO|%{efa*}gYxnhyRFyku>NpY_S-wYs`B<dyP5CZ zefaKOLV5X$-PRZG%7)yLefEy8sJwm0Zst386_4I!JbL%x+jkGj$}@IbZ@4Ra?H!-` zJHD*)_AR@cJ9j&G?q<Gq_u-p&31#IPyR9eeu%2*N*6oh$v3Gn)<?S1GGvB!T@b$X~ zrR6VnSr^=u-S&<zs=R&8Zsu!uAHI5a;pwvjPoHgg`s_d>Gdo{co@K#<1C7p8qWNSj zOn&M<$o-%9y8QOO+v|4d-p~D>x4QiHp4*S^etWmAZ2Q{Xx_5I!@07jUR<yl$x9*+X z;5%YRbMNQ9F1x+$_NKdKZ?~!EtuDL0<@T%{xt+Hc-Tn4vTiSNr-MXE(C*3VOl6yQ) zyX^Lc+f8@Bz1|kL{p~K@>$%(WR+rvhb35tow^wy9i}n}njNf)gKkD6do$uV!em_0+ zH|c5J%A)-NJL8w#(GPkzUE@3Vl;2NJ{(bbMF0*LA!Or+;cl5p9O;`EOJ?Zz;6MvJQ z)M*y&7uXr!c1Pdo-E@WT+!KC3J^uI6<GPmzn@{StLuP<ZA3Sk(;fb@2C(b6GIO}-g ztm28Yj3>@MJbw1z@v{q$pKUyTHu3mb$Kz)ekDp~ce)i$9vj>lzU3lzl<FT`e$Idz) zJDV76ENpyCx82)YV(OIbGHT$315X)#zPNFs;&fu5q2R|4)0z_%71<Ahg0$elhw{@0 z-#@!hUK~+a{9w1`o4Yb!^7tyv+4syoeE00Zch3UKix=#+T(QIQ%w3r$d3=TD>^o*V zcg<$*ntk~8*@JJNT_|&3u)Qe3`poIR->%31PI_E-uyFsK9q~DL^iAK1OMO4x^V{{< z-%XYKGw$in{LcOCuh8SVoqOVS-iu3o_kQ}<sdB&1J$?Om;^N=CpZpc7-0yHtzyEvp z<G+_G_8Z*O@BZHX=<lS8{R;Q=+rM`|{2NrUU*Mj8^Y`ute}yXcZ`lzaaYw)5`|10C zAC>R_a#vsbo%p`rsqgD9?vDTTPJGYrr|;@E?v8);PJGvI*Q0-p%J-kRt6%t?`_A7< zkLnx?_wTu@pZDGS_TNKg``6snSAQqI^|$KVI>W;KQ+C8(csG6X@1t+(G<U~)+|f_@ ze!Am#>YF;j-SLOsalhuiq1(Q7_TkRi&9}}fzI~SP=vly{XANb=7P~EH+?6?%$ET9V zmtx*7Xx_eYcJqz1if^7VlokKjWm!>LSa{>ng3{B9-OOU`tMy{?%yTYIVm&?eHgDKF z-q3fvA@6vD-|+^$;|+Yr8}N?T{~fR2J6_*+ygu)Ez2EVAz2o(K$LsNq*Zm!@+dE#@ zcf2m|c%9$zI=$m{e8&q~EPU{B+2OwG=Dup@zG~*a>chR&&Arvmz17UU)rWhkn|rDc zKilj0`QC)e`~!Q;kL=je5?Hyru?W;pxy|wA8t=sR%AdR9_q?0F?sx0!x|_S=x4fIa z<~Qr>x}Cf3J~f+uJ323W=iH}e({6|7MemG#YBu$DaGv*0*{5bxZu{q1@4WlOZ1QdI zJnfxxpO{U$?VcySGxmwu#M{n!+&gPm-LVVFo3FXOf68t3Q*W7{eyc3{ZLza<)*U;a zy!ooz`zPI2Kl!%#$v4ZQ-x51(yYASz<jq%fYGU|V`1&zu-o`4gwg|K)?$}!X-rMQN z-YP%-b`!it=5=x9?}&SL26^*O-ERN1Y^UAi)#tm9|H*5(vti-KvcqkzM{nQf?c9ED zH{-{$!w>f!{BSRzB41&TInO<5miN4k-`m8#vx$9YJ5YW2{@#P{?_DU*k0{K4u-p92 zUFk3Hcq_lN?WsO|ckjV>_X5iE7wk4)vBUh#UFj$9cniO??WlI{s%Gx0K74!c!MFD= zl;tPvHeYjB+V76^m3O?E-`Rw}w+Ve`+fse_=H7#E?gf<PD-`A{>^AS&Vcv6B`p7%p z#P4hyst;e^d+_zW3#IuFcA4kgmEQ7>H}X5%n(D(>*DgH0w(<1Z#M5gXPp?%xy_WIx z+J~ps9z3;n;i<Kar`9H(TI+aft>US*jHlK<JQ@2r<?4ec2Ius)Pd@$jPLcK2JLhz^ z3!i?wr^tHkopV~-C!cydr^tHgoqN01mc~cSE%h$0jq$km%f-pg%Iw}R$PDl=87VO# zzI(qo*tR7wR=ocF{PFY4&o>`xe}64qU+B}tkJoNiSWD(VfAltF&)m-K!XGbenDF76 zC3w-{T4C_I?6sF)mRNnvn_Is9^1HHYcl~zVUiql(++Dw&w<XK1ALgZZ-R^u;w(qXr z_S-khtZ(K$zxCFp(E4g#`mMJnh1TA8{I=Y#EVDkFmwxlDN}=`1cigLskF0G|+s>x8 zy-jsHo9gy9mF;XQ+uM}4vng+HQ`*j^w7pGnJDcM6HihkM3ftS{x3kG_Z<E{3Cbzv! zb~~Hw_BNUAY%<&1q_?w4Z*P;@&L*|JO>#S%<o33+(aq1+Dt^A!P?_CPn7w0<X~aFL zgga7N`MguMx1EeW+#TKA9o_t7t>UL^43*gxdrU3vNlE4N_H1th&9EPi=55>Fb|{+p z;o8Ix*AyzUIrf-t*<re2hbhB7segIAb=%waMIY{pZf4_^GI?+}YsYTW8+WB%<?)tm zXA|Atwlli<&RWHH*BHvPJqoiO3bQMAo9@WWu<qHI7k`&+_utanugk9IuDd<+Ntx2l z+An$Y_uOVb|NPwXbIZ@Qzq{t%dVWs-9RE4|ch>rI$&1+K+vVBiZnw*^%eGUmxl(f} zuh!?sln;)dEk7IX{;K&|@uBlG<3s6huDPF4Kf`|J`RU`YuPr~_emecM`)T#p*Vs=# zUj^EdU<>M6B|Y7?9JHii(VcG&m5-KiPQE=4QYqa|UIJPgm{;Az@blqgL%-#hU;f!u zYBkqy`L(siucw7g44UXS(QBgH&Z$ll?Iv1HG@EF&bE@7%t%+(Al_tvVoGLX@Y@*Oa zzKL8rr?O3Cn)q+RuL<9FO#L+B-Go;Yo=tePW9q#Lw<cVhaB0H19aB$D$n!E?Iy2}@ zbSBTsrB{NuoTDnWmrn8XiuZ{1P|aUDG05ZP;wyo-DzsCl=y{1Q?F>2+{c_=zfG7>s zDSY?5SeG_l5(^RwIuQMG{uTdQ>aiascyqS5ZH+$M8Qpwqts*aY^{uhNPGg;&#u__~ zRdyOH>@)^1-korp2UOrZd~D_nT2J+4$JvI*ZM{+^dB$gU7@yc-oO4go<UP;Ka<((p z&OO$LpUyq_=^Vr3a{-m<3-%cM+>?yEBN=l?Qsq6*#B#P1)`uU@J^1n5g^KiqJ;pls zBt_oybe6Lnu|E88?!gb|0xHrK_89ZrlVo|%(^%dnR?a3?&UV21@cp?5-=Dj%y(!=S zy_xg9xs3166_lrM*lm2}uH*}&6(<a?Ki<~ve!fpm*4DDB;Lf)@FE(BbUfk|>zUQ`_ zr0s0mS++B6XKc4MtkSF2sZRJ3a_?KfH=l2NUKCzbuDmULllkW78;@^XuDE^p#^xJ~ zZ%n??TyeYjM)Hl|8_qY3D{d>_5WaDFQM)VugWU7+{qg?s=ey+g-JZ8sZtuK3a(m|O zzAd+V-Y&Uaa-z1y+ii<%3vCN*HLC*deB1MN$JZ@iH+)@l_uHHofv-JZ8(s{4w2gi3 z^EJoUEML=pB$q$(e8;?vavP6Zte^5eY}fMX?d9d#TURd*HZ0ek+THBk_$chxvunq; zm1)~9oR+re$XXHb@{6@oa*oW1xUp8`&FR(Mufuk!UY(TYxp7JO_KSL;W#dKCM~gtq z<F;Sa3|iz+StMNwS)zYY#iLI`vq)Oi$i+iIVf#gSyQ&X23Z<`3WIisn_v4KFJVk}u zB_Duh-*=zAaBN$d(_1rV(4xrn?UH9sc}(ctan=Al05SXE>Td1BVQgz}^F&H+Fp4RC zSaf>}<XF|&YeE(pxt_`m76DCz@61iw<l-r$vfUT5mV28gWSxuI*9RM&-TQ8<$@rSj z$}zc`v8eF2$zo5p%W0F-f}iCIrv>*cJAJ>h`cPi=fqi>+?Z~U%R<)&SQ`Ls5b$R*X zdeK`_cJ7T>7qQam={LWf>!<v7+o?b0x82V5lYg7-)SvuYZ|C|+ztwi?Px>vlbN$5M zVmtLG{^r}ce!_3Io%$1g|J$*?|M#~Y`u)G(?O5OU``HftzTfwDtndAOZHIpE?{hoW z_x#Sg7yfzQ%<o6{<oEoJyLbK5K7)7BmGP&3``!C~v^Mrm_@{jnzqjsr|F~B6{q2hQ zW54z8g@4@F`Q3HT`-io>@1rZ?5B-iixT5!VhKW>f-aWU)7c;(mGL!0cTReID#S9av z);+y;_X3Yw->PtzeE;H+Z0MfFo#i4Q`{eEg9=49EaOZsg;(@H_p2dyjTaL=67P>RO ze{o;->+Z$1<t0aDpYC2<T^{nj@7dkJJ=Rs_?r+~^+?8Frd$HEL7k6Z*?p|D2F7mE# z*^b2;?_S)NE#191w|vUmzIAsm3YUkx?OS#?P`G@{5n0#Wi_^=myy=^EH&Cd2%A3Bv z9gCC8L*DeY-3{De{j1b{@4JlavQKyEuerE(nVQ6QUl7pCn>}mRtXVSoU`hx=aX_eu zJ7$dceCx|6-@kjM+<fhh*+1Sb+q>KIecq|vvp>9BwtM%<cX_LJ&wlYvO|<;-ox3XK z=11@P7L*5f?dCjs_e+`i&bz+3<-)h`ZYeXLyJPm1cgwcyK6&JB$fG=`-LucVQ`@|I z<(oXELeL4w%I}tK-0k@$k8Ahr1Milt-+l6R-m6`+cf4D+cDLv2yi>boZ+N$C_3V|W z&mMW2cB{xZbLY%WcYGpdtdn`hc5nO9+Q>WNANJLM|GGQ>er@=j>F@Vdf4{mr|6c9K zch%+byMJH1JN?~0t#{Vt@jHJXyDR=~pXR&nNBi=>m+sELU3>H0?y~#B-$Qrj->UV! zv;9cz#dp<Z@tc27yF2|z?a6o6kM<>h7u}tIqxRst>tA=pPJjI^FLv$h(v9z~>iR<x z?bCyhd}#w&zBKJPXx;RbJD*e>i;j3qjFwY^EL$~ORqDR^oyIlUy}K61=4niq-u1LC zYv;nqJdJ76RZrWZb}kIf)0ir4^|Z}v=fc1|jVaQ<p0ru*T<DvpF<E-olQylL3qA8R zCP`O4X_MNy&^1qEqO{eMHm;ou9rH9MNdJ1=_G`yN+dPea>0OW8UhP<DnWxbwUG=!_ z){cb%8X~(Yxm%qUUN{}CA;Q(_ch3nV_DPJZ)oEe(_5ckLF4H}&P74Dv9_Wg2wL1OH z(_eF8U$mOGdGOTPou|&4JWZQaWbC?g=7Kvu{&{NZ=E0L^cb+`E<w;slk+JH|nG^2# zxaX-Un+H#v-Ff1y$&)mpB4gH_GaK&s*ypLqn+NyL?mT{W%j2|5g~m^J%q+O$W1gob zZ64e^yYtvtlgDY33XOB``OGp8es;E{()j3(nR@wZr)P5>I~!7I9C*)Xviari*(Z;l zRjD*~z2`H*{PN?oPb!Q}@A>qYUw(9UNrkcMJ)aKq%MZ_{R2Yli^J!^rYShh}8F9y_ z!Tj?5vrmp+IliT-vp@6wG|zs`e$M`veJ9^dTiMsy=Xv!1X>e0}yNwm7sr`7`a<*Pc z8>0%d{7REbqe_Fyqz^IoK1F;w@L=VWg->Sgd0Kh!ag+Nb^-1iXrahl{eB$zn?Gw{K zPII5AK9POm^9jd4Oj|yoeM0&K_X+ABrY&dX=azGrXD_!udY;`pQJWf@YMZL<HkCFN zHsv;DHl^EbN^G<$o>e@lc$8Q9pd#qQf(Mn43wJ-we4O|=^0DQ?#bu`_A4_gp&cZKs z{-$ofq`xFTr<~C|L7U_Yq5*a<<U-zy&0;^=e9!Uig3|2)Rx7w($b|4om2<Z?FKbxT zu+Q;s-oo4fvlmidSVG>4&0;fU)Ma#So_E*j?1Iz)p#Y;79nmi&LU^RuSextaI_+H$ z8=&_>EabJ=EY_n<aitH7q#GcE&(aLqptY|>(hVA*m77J<43IUB(hbUPT>_Ft(hQK3 znWY=#z`GHX{oYOM?Ck7(`4&PQ(G>=PTM+7|?&XfznQzjBcF#PNr?z4C%hze2cFo+C zr?zf(=IgXeyJl|6Q(H6p<*T??Mf!z1r*FIC9rbRh&Uc|{)h|!&O?eu(sz^U@=k#TF zyo25?)%Y$nrTXQ`y-%LRWfkcg?wmgDj<?slr7GWrCRM*Yu{Y&OoK}&(;Lho7cf6h6 zEmim~G@<(C@x4zT$Gs}l|F~m%*&T1IcS~iy3-wjMJhnIGaonmx{fj%Mr`_>3dbjj! zwdd!!Q+uWxOiz+|Hr>5Py@$Q$`Ri%NyO(#jcc*u|zn-Sv&E5@aN#33d38&r3kj1g( zi$E>OlW+MZgIbb1?^-5-T9VtXAq}mv<?Q{kRz-K-fqHgqy|?E|S{s#_m7A0s<(C_j zC%uVz7xC`Ej=L-GEW9)GPUXhOkILMO)Qi}Qo);efShl>dy)eDdy-@vQ8GGULg5w3t z3)&0PKY&|o%#eeya~TYhW1<f2;B`<1@0((r(9=>=vWM3}`SGHpN4X3F{Jh+p`OF63 zK1?nH!~Z|O!tSs^PQo^0C@(85(aK{sP#0&P{>Y30vip&FLz$EA*(13OUZ7=lci9?P zZgv=Myv@*ZcRwS;?@zCG?cKoE@Ve~fr?^dfrYGF-p88$r>0Xmc{k=P;$K3Ir^gZaw zUY1II+k4)9--90SJyM~sd(XS;d(fl3EfxB*_q^M_2R+>DQlZa#&%5b+(1X2K9>pbX zWZCg$`;+Zg%6D%`>deo~_srLPU&fjLGVf&G%Dm2ZWu8Yb-I;VJ=#J2xw?SR1BHsDl zdEU9(y>q;?z162(nRY2}x{uD(2&ZVPXrtY+TG2`yUACDdWxgr%%+bu@%z2r8@^#tD z?9S}WY|m`X*JYg9FTp(muc%E4HwEu--pSl}_)!^q!Snp%`OEXukG|!*f9_u2J->T= z_uihn+jrORF5lh0uG@2W%I=)ILw3hpk?qzRW%tVNl-(-3kyn1L%<s*Lw@cp6*l|~L zWAnD+ZOPk$%XT{_wdbTCId`M)##_G|d^gTr@4N1I{Vm`1bJzN=`Ca3?_VyfTfAy9- zZYP?ac1_jVF1P}`1t+%!vSCTu(Njppz2}Y_WIonm8`A>OhBXWcciF)EtE2L?q54EY zi>$e(+~xu;vQ9af%L-a#o%Cc|6KIh&XHhgGXp!}Y9cvT7i>&X2L3iJ1gBDr$6h=dL z-*7<&(bhtC-)w{KzTtxGzR~6Y@4oqVD5~+ksP=X*Q0XA_G>j9}&6sgVOBZx(`^0Ef z&;n?|qSYech4Fb)E8bj~$b5Y5CCK{h&`BFt&g`sQE%Nx1klWL>LZGxdX}cF>dA1j5 z`E$p1ulBaqmgenVO^vz{4EMDDYW~#xs<}4c(eBV&!Iy$h1s@9DwL5fE@T%ZNzw4F3 zyHayoWI^S4q4YsFUMb_0J8lqPNk6<K<fi&Gw*|DORXJbT!OqIe=$;#7cDfg|WLl#_ zT5$s__ksPlIqGV)e|*bRhHNQvTd_FUP`zAQkx!4cYx`}Eyxg2@^*rSjOJ`~{mPtQ^ z+_2GVdZ+`u4@vaJ)6j<SgR!h-+eNR0I)pcNaXr(TuzRh-I;I_5K_Lx`nzrBOx}%k` zx?ye8RxZCgVGF_>qgd6nGy)lK<+7%W&Ik<%W9;NQk*6&Ycrb!BNwg)Dp)C4fIBT3} zN$7#lgV%CdqeN3e8$u6;PLJl=0Uk%wWeo?l%%4tU0&UtYEb?}QY?xWfa1yix{Z5bp zs7qj<rwQ7%%O|^CJdCSIL-XuiElo{L&4oKcgMxyBqIQERt-{qUW!iJ^dZld-y1CZn zQJB*1scv_?lDAK~u~wu^yYa49{Ps!L*Ip^rF1+g%y?xTPwNpy96YqM3mj_L^?mRtr z%TvEgMe3<LCvUjp8U9X1yF6&Bb?2$MCQtn)6{)-KoV?(Ur~f+@_41&})}1HkZh7Jt zRHUxDbMk~cp6>5dl*@xAT6dn9Yx2ZTs7Rf4=j4Vvp7!rl<jaHltvip;-SXJ)Qla|O z9g_?0c$&XckuDGFweCDN*W|I^q(b%Fd!Dn(gVKbaCDtkTbhb9$b^Gz@)uXNrrP7Yt zPGKx-IKpG|N+H3u_M9lFHrsg>w7*SQeY=$}=$e5iVeF95dc`9s#B!oPS{5?JvU)Nz z=$3)J(hSgg{mRvoJ0%}q`V_|Qruy_rceE^I6{uAoXu1A&E6{Bht=q-JWSziUX_hvC zE<%~LT?Dpt6rzbM#>36Y?q1M?8z*+GsPJ|KZ%Wc-g)~_-6BvIyzh3TrkZYgq-aXM= zAKtxr^&(F*z))SBz1-UoGM6l}VeQ-i@7+rexNvSU-W<&Z>cC0tUh1IJ)3iSN`O*Wg zza8>&yq+7>WBu}z-=;m26Yh9UEf;z^*Q8Q??~chacRVMR2R)g~QmJlx&$F*Q=<(bm z73!?#l$Xy}dMKZ?NQvjc<fFB0+jjWbAN^X{vEz`67N3xq6xX`vyZ!bbD0RzUuje}N zo*a*i@15FnYm2VG+`irJ<EJm*Uc3sE*e&|ZuQmR5>94bEO>;7{#oO3s6)!1r6)gHS zcZZ+-R{iy_ZC*#sPY+LzPLE2Dyk6P1wNo=W__Nh!BYo}Un5ejPu^vy)Qzyl*&wR?X z$x!IiN2|>;;)0UmqU(*dFBW{bu;GK%W)5aXR_5rKbTMxGefInJy*?AQuzdUS>E&}H zD@u#YN=vTKT&l#^8Y6S3G;L`?baip|?sGb3TZPoGTv}&*`bcD0*tDCaX{o8H6Jyh_ zO}}0`t8}gZX6?<o#=4u=yf!&}>&TRiD=X(l%$z>eP)~QgF!!+~Do>wuzdlmLcvFJ& zXT{uzzV42m?yl>dQ!^|oG9oJGMzl0GG&Sn36Yg%6zdt|zereL$3%hS$KE2ydXU{In z-B!C^9|;m{chci~XSFeC$J)KI65F@EK9UryUFf9y)@q~AoQV#*4bs!DcZP1Wn;Vhn zr(^WhOl?kG#`TxsNlOFIU$b7A_WHEPkBL8fW0&9WnCf;$`wagZtH1mH?E16m&yGLa z)?M~L&F_8rz1OkQL%C`9qLYs8@Y~@np|7R6UO4a4(bmc-J$w8tJdRf%bvyRDB|2t) zL1#g0K}SLRb<Xr1cAVGw*BLZ5zMgwQ?DgD+>zv1vmIf}YfBpB@we=B+-_{BD<uAQ> zIy&(~*bM94qHXW29=^J^9JIY&lr68-v2bpIX4owA?O(H`Z&&RQzRtOQ+ocs*nQC4O zX2z=D0d0d9OxEt<5-*!ukW`RR5MK~i5L*ya5Otk%`_@YbQV%8`j9qK6s^_cUi)U5o z&q}9dt~2pF^VLxAdh|Mjuo*_DUhAxm$&9&koWuRqG!e!0nNLcmIrd5HKBu$s>q*vc zPfO=U#=b6Y-Fd4hEz@%Irgg^L*}}ocmOTqym=YHTTCJ@mA~AW=l*#(*xQ?=>B&$4m z9J<g#PsvD6D^ApF(ZdG|6CZ{y6kucGV%xSuOGK=$roOH=ymgb)`#gXB_p1Uvyn6QL z)r)mpuC6j%J1usscJh3<?c1|!WuY25Spf?iJa=n}Xap=+5G#7BW8>>1LecYM65`{o zcV69U7d~UPuKKiT!rHH`Hf}I8OwGxP`|9~DHMPh4^lH0CLru`$#8v(=4rXpnc5z=j zA1f(6Ui2t*zKRr|oRnDHSI$I*1qlh=tL+jW9{>LQdihm#?Jw`XefhNR7t8v*bL;xv zt?~~!vT#Co^X^xZMe?%q^K!#$4=oFO)Dm?obp8wr<-){&EWUetH|u<|I;{{cwe?Kl z-l%Ik;&hXBqGJ+I39aAkQ@GSo#l~dMxlM;0#hMzgM_ywpI6D7+>9nsOAHIDVn;v;@ zF4yi|uXPsB_Er=xpPO2sapT^db(`1Zo;||3^|g+-c3@js+1%7Ll_MwIV$yF$M2O$G zUOKI^aBAYz+^Lzd>5<od>FnM$(`Zik?CX_FciPRJ@p`VI-rR75b)QddD%$I1`7>$n zE2U3X>s7*~)Spy6e_SQ{YDZjdNt|xnbJ53Mk3)Q75_|gQgtuR>Y~5iu_rgNu=b;Zv z<0RLaG&X7TJgD0194hL*>Y9k+!L4kstuoTn!drv3*&RON))On*b>r6)rr)1-X-!%8 zmGddrDKQaUjmYrUCP+!M$^lx^h;l$mnsC-fkdkJV1GJ>!Qh}5-s~n&ujVK4Cq*=`r z2`Onp6(A)|IO`%vNfX+*gn@~LW5Tx8OpHuRj)XQYm^(A}tLM#MJj&9BWmnacUQgzJ zbNclv|6SLfPhPDa?Z0JSO6*t8Yii4Se9x}_cVf?)p2Ez^tMUeFs+#KYF<;xcPaN|& zaeVc^f*6;Sn2<fM`dtnmbUu7&^*;q377?DD!mIK+e}3qlnIC^Yw0;eww0Xr3DQ#B& z3xJe1tL%eztc{&vzJ1&3e+kj^wR_I=3%$x^<BZ($;r8xxtM@rN?YG<adQaBIOFzD@ zHORU(@pIPE(m26&#-#<Xuiu!baqpJi+1p%?%jSlc7HhqD6?EX}+Ud?4?;R<P+q>2{ zF5V<5VY-{n8>{tRYy3AGZxLN~gv+?8=g6;3U(YE&zj}k~@t#Y&&S`JldaSbbsmtZc z(x)!RLg(hJ^S|o3OxQ<#dU&Z&a_ylNOJej7PJH#`Xy{y@U7&tS&Yo4m?#GUP?dt4k z3ok8-@UYO3IPqweUlhZ?pKISoCx*R=y~=t2*Ok+UcSqMh^e7D~4^=NKc|AX1iLz;T z*Mu(NtjuuMq@>zO4%WuIwI*!(D(PmH^k$XAk!$MWH>O_?Z7f;quMqCAts#T)<Ss3N zHNHNQvuA~0Jp+k>P+w?yvFar>QOtsr_Tg83Ok`|KboQ)LgXYbwO&=cr{``9Ps%Kn# zciZmWvpVb1)w4G<FU5uigMje6RkLPghhMc>IIZleyxKK&P))u1pGNfj#1!RY>wY=s z)D|_?R>ppHzy9k_;n(EX?brI(tp0Z(YW@r(Gt>36X702DSBR#<$9_#&GN-2Ss*m{V z&7c}_)y%%v!V?PvKdzeD`MMZXC9ay;@>)2+V8hW+<H$AspgM7N+PaAO<<(V{;b)IT z&j(eC;b%iO+J#Gq6crYPpY_>jH-Bb!_}P-^tGCabJi2tpDq#!IBDEa#b+1x#Ypv$4 zu=I>xZ)Ny!NsF+FdRlmC(Kfre6YfgOt@Lw?xq9-3)WQ2K{UPc}-zC|;JuQ8|<TU5> z$-3%Mew*ebSnh;0>*WuF+S^aK#X+aIyjOo8e`mkLd$Xq=UmreNWv8dxA0l7X=)Q`7 z&vxaj?038ScW273w0pGf6?0Lq!qL#$1AAkyvUh!*`OxF*#j;TOyw{&I+uc|7Z(aQ> zAv)7YW~JSgb*~;D`Q;M5e%{pBtL-<v9yho23x<DnR1;%+RvIcFZ?~(a;OC7`6H7zo zqwF@+#GJfwW{=h~wX`1Z)2CJ^9a>OR7<%U9)MK45nT$WJnlWiYe_wBR_|X*5VpW|z zTFVq4{&GC{Rd-#N<O!yNzrs}|OOiqbe}UT)tJH4)(gD@nt7gGsHEY`1^9Gv)lf$oy zK<a?-t8b#_&kCOvJu7NfWcbx1kTyl=@iV7SNlc#>UfKe!0s8b5q?Hxr*S~tPqXX0y z3_T9b=2k+GN@<lcwB)f`cVYMK;xoHfEd~MQyP>kz@7=kzZq~_dcHVOWymx0U>-_4; z^!Ch~RWnmx8~5ZKxe;o76q@9XcVA0?7Wyn~Sy<Y&P~(l))WL<0S=zeuVAtffY_tQH zI$JLu{gu<xQnM#(@#A0MVkay4(JyeS&r*K)3sUa5iM^iu{`~s)t9rGsJ-<6I?p~<$ z$7|2IjMJ}2Ja^l*+H6(C)!PZ*Vnr|W7qhC#Ka*P~r*<#Y@Uy{tgSQ5+4PF{NU)Qyg zDVWcg&-iGl;X{MKgnfzIVnr{5Zhezl*10-q+0=;svNq30t7fF8C?7ktu1j;<B@4rY z*Q^cqU$ZvcYp`=&*Ui?Qtt(q+wsxvMUNs|p_G?q)>(++)>rY7>7IJ&~Bveu}T<UrE zS3mLBb0<v*PwlBG$w>*>qxBecaFF7|P)Tk{_UqP?tk+lf=&m~@apFYM-pPU!F1}yY zQxEF)eOh;FMSFRA`0_`qdP+bWYc<xLnvt}$vN-yEPW1bf=<^kiR`q0S_ew?GY7Lb1 zjhVXfh*xpiwm9AB^B!fPZbI6<T(TSMd~|vQb6OkaR`$e&r~XNLl5`~LPSTZKTFGLM zLznfOUHvOF=}<>uVo~1}ZEdGj_n(IT)wwRU=D9_Gh&u@ESZxIc+hVU?1OdahtNOqo zExfb@1Z1EIVe$1)SuohV?iB|Jtf&li0E5%3nZRJ*4lM-`c<?xs5e!7vbAc3cL{vaj z9uNy>WdVUVyCEw3MHRuNM6S<9J8&Yqbpn>i7Q+%*FD#Ks!xEYF?rZ6fLm!7N4okik zDh*3y|5Ble>~AwPk$pW6O=Pd;LlfDncxWPfB@atv_F}I;_rHJt{``982&Y%^_e1}O ztud`HudWh*c44}*w6@c$e6833zqqUKTbCrOJPG|PCoL=~zW&wn4v&hAiqQSwMoswF zY{ngr&c9z(S6fq2T^0WI^zPuq@bXamT{}gJ3d6rPJ0JY^tSof@HdA5sE9+iO4_n0Z z_RXrgG?hO`{+#&Z7IQWKjQ*MRr}@vkf4!<MPQNauE}|~FE-L)%=})^)P1#r(YT@f6 zVW_vBEBz*;&cYd9;!`Gvw^nbo%UodVZWz`QVsUcyA=_UbtY>y>Dct)tXY=aCyR{Z{ zeeDEw1XeX@UVDCPcJ%E~#+%pDJHeHf$woU*P_sdFLr!hm#9oQrS_@z;nS|@VSoSd8 zVY<R}W|x-2wO`;aO6blrUn4=C(N#qT*Ose1ebT-9)Xs?cy%Q(&hfghznGfougirO3 zncv*r)*3!lIc9!QLsO&pvZJ9-BYu4R8)><J^{KT#PVast4|UzE`7qbj!(8|O2Gn(b zjiIjlx*qDfSM#B+dli5E*Pq?LZvVRc>-4Ty@=(`Z-ErpY&O;%`cI+{j7T((oE$|AV z1)kJ%NP%~C2eiODCI~6;vShgJ_wBHke!l#k+a*JxPpb<0dpmo&!&`%0K<!nnn1{b) z_UJrd(eL3BuL#{C_8PJT<6h{)A78FLf4DaM{hMpnFV}H}FHhRbH8)ycoW1?gsshb> zcdl9AT*q~N+a-(Z*H&K;ejU2v;zX|LWuX?P!msD5URxco<!f4at95kdDUS(j4GNOO zTcsJ**~Hn{*}k(rXFXmTx<hY=?v6F94`m)qJh?;b&edgUYH2*DS5J#Qv|`De8pX=1 zM&jDNT)i>tojUujY&yPrnxmDOk)D=P+*X~!rJB|{#yZ+M$~w|I+<UTSCPa1{iKRBA z)`jc-)_$!W9e6B!Tlli@w(_f+_H5d1w0qMoqg|VJuAa71W3qO)cC)s3VB4ZIZ&#(} zzuvrNZO_`AwLWiGrK*R`G7(k{lMwCNb}zH+>ZZ+`zP5)IuoSy?9EoZ!mD#y(^{JB} z@H95m4+Q$&tttY8g7B%6K_GkgD$t_dIWf0FpFRPBGwZa}L4dt1)Y8(@a_3sG39+%E z@7}z5^Ty}%s&p`z7H(?|1KxXH-GqRdk3!F%0|Bx1Rb^#m$11LFMu0=B=gkEH&bY52 zqt?7%RS2s+9)Gy@d`_(F-O$G&Yy83GPOmq#+>tsCD|hB}ewB1r;?sSnbMDQm!qgPy zuo)Xg-FV)tDolKRne%Vd`>UecORrk2`}(rya@Xmo#Gbw@J65kgmYAD#>c*8lt0JHM zf;R7rC8kVxEi5{}w|n}s!q&t+t0b3pi%*`?KVjl)RoUy}aaY$A&dqeR(5MLAeBf*5 zwc|`54(*XSn!veoyQ1{QLsL3qx8~$5)#TP@*8Z*aS?jgd?Oj=t;WNV`!z8EmtezIT z)@1gq*P$8Ds?JDE3*Wn|CD7CI<)c;M`rw1hB-dA6-C=k2=+`c7AEifJ#XAnIXbs<M z6q6Zp$nl_9_+GA<%n*(4uUZf8b3NXE=*!30uUSXu#ogmt{Ag|Yt=O-x@|Fg^zGnS0 zWX=5O#E_j|FDQTIdbcXP@U=1P#fep4%dTpRX!l-T+v~g6s_^RSty7OxE{R*Y)*w?Y zOhSFjkt&nTtK;TQov09<=(Z+&p?KdF?TxGBde@qqTfISh!|L^-$Flcs&fY7`WgE19 z+q$ZwTQ6m#uHAnqO8@by@bK4Xo=GjvN=sU2*7lV3VNtDBVo1=svZCHXCw-a52alRQ zB-MJYGn|;+BmAjwYhO0c7Y>$V+)j^wfmaP3;%<8QONGVpLz4mcSkCzStOxUJ*FA_{ zyNliFUY6sI-3ko}+izLzy1FVN`PsD@>LOJs8#x*U${i>C-u-H(eEdDGeS25Wd%5<! zwbdT$-K*zaU3qZl+y#-lUrFBiRg#^&`_;^@uafHK+YikFC9$~+W52G+U8`tqtiAh{ zWan2-vm~E4tI`u+f6jUR=JlD^YhJHPj|tcAdG^a|jc8i%u_}r1vt5PLN|$XtloA<d zs;#a4`qQfPsMkJA7N@8@3EeCwDJ(51zJAuyiWyU<rdC{Cvu4ejgR9g2#$G+sub<C) z|6b_XFV~*S%HErMH}veQD-Z7YU04%)l_#%u*Vc`(SACBD>X{s!_$*|NL0W#A#+jfQ z2iF?t=e>?!@ao3IthTby&D*xNy*aby&8jq&Yw9;T3^%Tx)w<Syv+ibnWBtwRR?jMo zU28Dwwf4ra8NQM;4Aymt9@aVS!)@I5G<0Li8j~5Xlh+tz-I~}{S{Z7**2Ddz$BETR zwnl1ZMtX6g-XA9jd^{v06(_p7pmv>sPSOUp^<7t)Saw`p7Lhzh<6hY7V`YvR(bfyM zMTu%16y#9T<H&ry`G{0=*|sPF2aV{pT&;4A4rPuC8|~&QA9E03W_;5mu+47&o?X`@ z*69jt(&LDFEzF`Lu<n{f=&@yL&z>q6gU@F<d7AMlxA>%q6Z)n%9|xapdiXTsqhBUE zG0AfRo(r<KWb?2$%W$kS^wap#(JVH-`M}qcjO;Q6AIdEsG%)j7+}JHsaDb6r=0+@^ z1xVnD9y?ede>yWrpjj9~GjBN!(sh9G=2MWa2MlkD4mgUlN5;tH#PV&qCV#8=%Ouwh z<~7j`z6TGiPTRU*b@ij0u~K_>uTEoJcODdtV7y~>+QYTRns*)qE!dHDO?q~e^zB=r zoBh^+RS1QjJ#|W{@amdPUp>u~vU6G|KJYlpwL5FtjbCS&PX9Xf>C~%Jk4|0Mm38f! z*W}i%hf+ExY@Au?ngKc~pvAG$HN<aUfuiKk3Red!NM#_{37*hs3~x!`7Hg=l(_YtM zx6gL3jm>WDM|ri^F0KtbdME4YHHjVCN_n-*95&uE-+pz~g%^Q5(<XFm)n1evny)NT z5xPdKWPSB5uwRlG*PXZ9zh9KCp!MSI$I<_pVmJO%&EIQPwj}9jtSEQ>+(OVoqg4_4 zuY=e2=DuAOp?>W-SMjv6#1I`}@ne-wzD(>2eY7efBO*<k=i<aJ*GH=&QeRI7uRyvU zy754m)OtO=HETuPvTen#g>KvwCbeeu*K^7|paXe&3Jn`7GdUMe`gHW@fhC~hR*oO* zW^@Og#^Z9hyYVpSgiZ%Bab{ic1ml}no)2sN_uA~Sw%*m<XeA16TI;bHG%#+zDwmk> zHfcdGvyLy{x|BOiIcZTz$9AypX!*Ek(kHQmOGg){Jke!XvN%ckvF?GSB*jM$bsH2D zyB!ZkH#msZHq>utsMG%R<69o%mk%%Ay~$&I6>{M0$-7KPmS#AAEaQG~Y}&T6M`8|V zTOv8PL^o{SWGIxfok97?o<j%n829a3S1Ko}u$m!;^~NsN3t9}*(iqpJ+*y9s`TfML z9JZ<VmY?3L-IQBv)$cMVAbRa*50_h6sun_lkGc#t#<9%mFcwTxI^{A!!0=I*g0P{Q zlaI>`4g<k>rCsYd^aW#-wz*_<o)BtOecZL<7HHXSVx{#CP^IwG=~LMQCD8Fjg&)ff z?64CR7UbvU%x7<H22FJRxy#4!_gC15w@>f#eF2aEKg(m6JyFWAJ&Lo>%AwHOW8=TG zYkPBj-<B09fe$s^bh}ZKbCY0lQo{D;4f^Z(c3C}mm9V;b&F#i(zuqvcZq}BZJ!?jJ z^BIZh(+srK-?L582Teg(-Q$%5on9f#|DLS_G|$$g`;M(qhavp;_G2FA4|Yp?6tpyM z?PlEe6@1{4#cl})V{K)}wnjk-f!z`khaDu?4j6oBWz^>>NlbX1)WFRgC2`^qgBaVo zl#F`<vuDmQ)YH|@=a85(SwF_Z>7KyEe$X^Yr+Eu#!b5T4175=&cFfTm82-H8t@tPG z!`qin@7@(qeB*RsZCKM{fnxKPuCGOf1)2dn?h529-g4T|8o^zt)Dp!ZZ0-`(A*6W0 zX-=yFcc56)n>Gc(B$tkj0!fO8oElm`>{8t4RMPr@Yon->wo6#YYH22K@JUcluPPk- zwFMOZFCS%na5575baCRxtP49<%;>0ys4z89krCm^VXQE{@F0JyaK?dh(+|~~j`S4H z*Gt^9V#yx=$**H=yxi`od$=EUJNA3a4!gqMKtcZN5?4PQx+wgxR!gRaYma}^>(48X z3U{j?P~ZRi%XYipU&21zUA}jZ)t=qIQ@*==7x}*AQRX|llePzKciJwka4MXCZ{DqW zSLWTAckSKf&gEN{Z&nxfSA8dZYx2#@9m_YV3w`f=llkW5YtJ{DZ|oXvD{V7vOKl79 zE?>KR%~|y|%U5$xU06A@vvQ%Kj(TE9oW|2%;uG}N*@iqnvD0-$|NFcRNwrq}W;deO ze$HUtdsRv{x1rqpM09<6xchRacX<Zl?9-W!M&G`Bpf~qzOH@?n?nclcm64G0JGPYM zq{M`H>5{v=d(0l(O2}qj%e%?!z@$QRSVFdqfi`??cSOvWQ?hlas`&EY#lnk+AALQr zVn#)Ut%8)85LX<3bE7W9dUi2TQP*y_Z||Phj{NV}y{p==I9RdV_RP_P3wOu`?b*38 zmOnRJ{n9#iQ(@I}>)1^;8*O^sc%;K{<5jPMCyZ~ZIHKR%eERV8XsK;UZsmnf4W+gz zl{+RatXw*K=8Wm#Qip}uo=y$(+c#lPkod$2ec``uoLEt@w7WCNW#z-Ea#<HZ8OP+o zZmBg9`<G8|FApy_-2j@@6`%eni=q9|*|oiIk6cag)jiw7rfTAF`M{g3f@O{~*;Gsv zE+@3GB}=u$@*Xi^xctDIS)X^8$%9J{ud_C6wKF|&iNU*BTSGEncEC(=FJUfWEw(GF zQ&dHGG){4ca+-2}Wje(=MI}V#%H!6ilAM@50X<!vt4*z%to8(Sw6!*icLf+sINF-U z_~Ux{!ardjUdFBfRRr9n;qK<;3qwvEUAiNn05k|YMf&!vTdh_T)0o~mO|>v?)@oMT z9iXzn&7s$^HCDq=!(fH}ignyiS&y=AWu02OaLa-X3)Y$ChqR@o^++Gxvt`YmK#3`n z_42;7raXDv>tto7cTZz+(xZpHO6&Z&-3=q00uM@?#$3JrM8;87-{e!_#f=}GBt?0J z1@*sdFqlyA!HG5c$3})d7xvrj6|E89H9fq&ynJC<Nuky=y%4^0x~yH?wb{YR(Yr4Q zXQr!p#c15B+ANr=qBkXHZ?O-L)V5<53x#AH_v?w|)H>~55OqOM<9chJ<7Tsk5f@f# zt2lo)68_9}bi<sMz{*yQc!ymR_Bc)K@9ygj?+E;O%ek^IM9;~oE&N5n+JnDd*-Qc@ zsh0^4vO4>g=&akq`XK)P)L&~KT;6>N6mr^oS1+EuJ4m#sP;;FK-_hvXQ+I7#yE67= zr-^Vzc+{gaJx8Wao7gL``_e`OgO}5{y!P6=B<7NC=C!F=p!K!0r+a`x%)6yBGqSQX zA;53f4i|kDWqEzUCCQH;sV0T^*z9ou#oP1_P`s&TG46<3q^z{x`^)xwl`dDdC%qT? z`10x9SS6d?R-)58^8EPT2_0SP@UX1&UdOGD8y$Wzi%gYF7nv*)nttNQuM#=Ig>%<l zt8_`(7RA}u;SsZF(^r=i7v+v)9Y;D2b?nm<l$a<UC@v^|QEZ}^;5F4#O1nVgs*;Z% zCB%XD+1C^ns>On)k<H>{j(>f5#Bq;B&qb$0SG@{EME6`gctEVd{M4iK`A2)deSG`$ z?h3hkv3GlKU0gX~_r;wS79!@e9-Zxd>vsE^-;%p4W_1dsn|Gy!xs@$eaa%L(g@#ty z;^fzJ-7jv?nDI35%4@g%i(&)Ut+>{k=el`Ogi+p?tYG2Ke5uEFv=p}MXlusbS?DP~ zX+pUMs5Ip>)zebCxA2Iw^C9aH3k``KQJj5k5qB1fy`Jmt+)!Uzej=ZB{yo`Srw?!5 z?Oa}3Qe5uQ8WYoH&C>p8?OVQ`YyF>J6u6x=*WEdL^<U{{7bo13wVIf=h~-w~O<5yl zN3$d!o;Q50wUxZ3yoJ2Eys38;*D9`A2(I0}s}<KC+Yz%?wdd5yYUiDHbKTALW7b@& zEK%MW#o3pq@ZR^yFZbhDS6z4+$TRJN@1wn$F;{P^D8(&2e8}O@L2Z>Ahx%C`i2izg zIr?CE>$gv@KD=8uK{j?y*G_E}_UF@>j*3=+6S<T6rIvF(Q+7CIIHfr$x14J6h*30J zXt+>c(Qx4gZ5FjBs!LR_s2(Y8-PW?ntaU@nx_4UOB)-n@TD0Gx6??Rwa(jqRD(|g{ zDaqNRy?9Zg(xX`Z>(<<y*Jm6wbz{>y7|79b?Z9rXb<!0q*Hm_!<z@Gn9$)8sTPpeN zhU~-JjzxTaeQV8H!)tGLnW<ls$j*zE-fDWRZ`$=^N2HjGbG_DteLYx~d*PY{M*^qi zdfuB}&0i&-3!ZzFHP_vuYxUFz9PA$-sjRa%(NU4%*<;b93p#CW?GB6Dn(C^`iZb!% z>-zkT&XKuuw9~us(b=`RZy#-#3p&*N(VIsLKu70FE0z@=J9J>*o`PKk+w}Ov`^5aj z_{7dl<vIKMPRx8rg}+|-*sl^fOHK9g*EU7_BG$6F`<;AUve8bcXlsApIk)GJN{y^U z=XUzJJYRVEf@j6t)^m=_7Z_i-dFbS!pXGBK{Or@`7q?D6zw`N--F~*}^FE);e7>gm zlI8Pt!L7mPB%ikwFDqD7uq*cY?9FFSK8q=ySFp;`Hzs}7<`&_zmCsCyr&*p{H@T&_ zrTC2IGoIom%f0J9pT7C@Nl~8V);W=e5l-h;zdjOm^|nQSo<zP_zR>&LN4$?<=U?|e z*ekh*b5CaB;YVyAp1oi8{@#zfYj!-`eRKE4-6wbN+-+WAUS?iuUSh75_bl(xyWTsz zcYM2~Ma=Wf^UQO;FMFHz_Suo%TfVn?JEgZ&2S0lD=GdEMZ`zLV-aLC_uVkTenK1O+ z<-?`<yYjZ>ZOPk|w;^wx*?KK!W8u%ARvBK42Gyx0g;znnr~ba4@Z1>4gv2VvhYJ!C zxDTw}^yBmE=!474uYwxVyRAgSm(HHt-R%9bEK~%%F6q*`RiNxLQ?hgQw6*@51e3FS zK6{8pujMM0TbY>M!__LcGCrI&dj2`(tE;oOo>QKhy;VqNWmNdxsP{HOo5Q?IM4DBX z#KnqchsIw9Wr*1Szd$XRQvLOO@87Kh7y9qCw#%K<bv<{n>(RP=&|0Xl>W3M=yI0@J zO6m<<=;E$@E7p6B|MQDgpb{lqNcq^IcUs%-Rc>iod#!Ry)!nbzdxfn^wiMmnnq8Y0 z``RXI{kqt#>tZ**>)L9^AHcwzQ(&s#aFv0j!1%y}eHQ(C3uf&KdToD4{JG$j+Cn+Y zx$a_jrq5Zt;&tNQ&o44TB5U=}E#`aO{kh}tucC(@hwpAUWU=tvVjk@tE>)|fUB0j9 zx_iIw{_Fu#s|r&4(lsh^j{BvrM=M2Q<L9_D?zkX&tTN=_uSYhA3N#}U=UiB*%>DgP z)$@xNe?799l~7yRXw}~nHbZ>H=Z-^MpP5SKc-I=}2MEagj9K_qKj8Vr)7)1oj}#}) zaku<_wDL)b+}k_T#aDcO@sxE|vB<+$Yr+?vQ=TJwtg>YDJ_*^IcS>7--hLK%wm0GL zeY5^Fy|cge=gOVD^BhDSy|cdl*29T=4PMVLt5_2EeAT~|zc&0n-6H>;MgDt>-1qk^ za@&Q=?g*FM5iYtTTyRG??~ZWJ9pS7y!Wnmj)9whT+z~c=&pGRR%b9AXXSRyRY#)A_ zmr&{7Q0Tv6k9xp8VYT<1`tLaP-f>R)-g2Uv>51*bkMkZ>_-E`<H@GJ(_MWrrd&`k( zrbo69Kg>(0@YmR*E^tqn?LBAH_m%_IPTkc^-PKGFY#+X#_n_SW#cuUAJJdhi75?^) zv+8@xo@%Chwh!OUODOkWv0MGZUEz>B!q47u7JYBoQO$J6R`IAU<5Am(Z|6NI^Uv6= zzTvL$wRfEA?>Mu*w`{3y>a2F^tY*3eI$OiS`V7nFYNwmFjYn)7-^??3G|!;SUtqU- z%U$6E?>OVXv#hUnx^CO}dftXo{|md+Q|=0Hc*hxD9x&ay<FxFSr+k-+*i&~lZ@A+a z{!T%=JYcGI$0=Epr+kx&*j;xvFSz6A|4u=@JYceQ$4S{OPxyk0*j0BnPq^dg{!T%; zJYb@A#|c@JCwxLh?5sPR8}2yTzf+Je59qh<I4-;8G2f*^_NP0V3+_0Yzf+Jd59qb- zI3{cIm~T=cd+t5QS=&FerQTypyys~6Zo%o_<|jUxaU7Eksbmkl=Qz3iLbvsaqkpAU zDy2m#*&XjW_Lon1EPJJb-SD1cclm@zxr?{6smiHweB`s*)6DaJ!6EC84}4O4npxg2 zIAFcvsO*tPd`x?q|GiV#Z@uC@UsNIc$GeWz<rD77PAO-9c-OJKe8OGXkaG5mcOA9f zE!b)8@s6)+ck_dH3q;BVx~yNk<ty6VeCwUUHtUSHd{%|*EAKjHmQU!kUU5XWrHp;< zUB|TYfSa-|kNA{!H@n?&OfH{rLsq1Wz45MNeEEdyvR6vk3-3BcmruARJEfF8@vdXI zdBAk(j?=tbp0ZskVou%JxZ#dNc%Fi`dB9ZZj#IoQPuV6FF}v<;TyV$1KTkp3JYceP z$4TBTPuPNrm{oT+PPpUXo+rIm^u&q8hORpfE_n+S%_mHd);Ph-@`UYIA@k22ja7FX zZ1NV!nosDH);P}F@|bN`A@j{0jahdbO!5{;nosDF&iKrBX-}h3zQP%4jbpqemCTX% z91QXnoRaSN#5QYBW857Fy*!1J(i)%Gn)Wox<trSQUh$DFX-}hAzQR#yjgM?jdm8!j z6%I?U_`s&Lr;#mR;h?m}2ezz2=8YUHOIIvebpNtb@#;$*3=9pR>!TQoWf>lstWsRW zBGRC{IxlFxsG)$Xp#Y1cOy?9uKgA8v3{7&ZC%pB`CwQqJlxFDA_^f`lvVZ%Q%~}`M zud3VR5|~pV{^Y``h7Cp5EQY41g6+#EtWrBD&C;RqnSJ%=zU5ms-Fo<b@8cUkog1eI zuXK&AS<<6=_D@v2`24G{w>_V@E`8JW<c-0)+oGZ)S=<?B2xiIuF;R7AUr;Sl|4wa> z@2{=1g1IkLcI~ed-lzUI?(9z1itpUtcDqbyd2)Bo)VT*6^?8bxYx=TmFj}>==XvJ0 zpPZlOeJM9RFP89K<o_SFO8;NGXQgv*cyZ{*`@1I&9{UmeBKOEklejfa!WWC;UOv`; z^V&}E;IGUVS+o4Y71%Y7yQ#Y!lD@V4Tk4J1eP!n+@-xam`2MD(XN^(=XLzWD+N<sr zZ(piSyz2G)!+C9`Wm`kMqQcB{CcJi!_`Pw0ir;+EwO&55BAP3IN3UJCE@9=-jeISa zFLrqrUbNhC?MB-k<9Mmp>a&~f>rZ^{RB~10phW)@me|!H%a?0hDs9XYn*P4tE50t~ z^wAsZJY&CqO=wTw=Xj#psIWBr*QTedc+bR$_lRdJG70xAQTk+~RQ;@N56>@M-<wS@ z?6%eyE61_@ZF`d8(DU2nx7lOgAIk543Q2aKobp;$C3@Owb<1)_i})|c{f>QE(0@^6 z7Q-C<U&-o^t3I60^19n`Zu*}3$&$NIn=36=_?d5hXvVc}an>`eyIwA`trmQJ_Uuv5 zyeC>yH*ZNg($BHrbwI-p?%?W&SK>GP;1;icn5Dnx7q?hHQ-R^<$3n3x+x--GEn{Q9 zbijA3$9>grXCA+iIiuShdUesC_%DicysVCtSKEsnmJaV~KWVt;uGO^exf8Y4UhtG# ztxy_g>M*m^=-tnA9wL#eHtU^O(|>GrRyx<Do+V0;>$>X8H|_DQlk{EK{Gj$|y?x|8 zsXz5owyCDny7|xi^)W#>Ci0)$<i!1UpW3`;)wu`fxRvaCvVLyQT2KDfmS2>r;x~Qy zzwoM{x>HS(V?m5bc*urHDoYyfOtqNhv0ZzK3v)^K={-{>AGmGI$+hQ<`;?OTm)Jer zXRW^{9{b0)<aS`((pAfS|Eqrb^Q2m-x_)88k6Jd#QxaVMfgY1i`@8O7Z*24ZxFLOa zx7ZEecNYSsmo8c^dR#O^;Y``Iha0aKZ9MmI)4F|*4+HpG6VJS@(%yDAbxWsbg2}xi z1^ep53i3Nc0?*IUUy`{?d|K8qseqZcRuxFEG1I>F!L@h#oO=7a?;kP6pMK0-5T$)U zYv%R%qV0b6w^nlmE9+@XBq%6sk=v&Ju=G=Uc3)h_zv*voPyS%^bF+!NzRQ2lZ#N?k zeOWNQ^#wOu&3FIQ>z~&I>#x|~(s^f9!>bTZW_@vERauF7v+w@aob|kN_JKrW`L|wu zoz}uPDkrtOJLaUl=6f;YcfO|idCOVN3Bqxar{pFt{4ad`n5cm4@5q&A=C@Aie3_*q zvqVPaf`H<#SrMs@r;on;zwq^e{WD$|S20a^@MOk=H{C}$OcuPn@O{64eS7}gJ6<=s zdzhG_Z!3ywqy!i5JtG+W-0p`+WP_NyWo&5N_TDC?sFH_8f0oxXoBV%2Z{=;No#K+g zE;1=A^Zd@7`5w3YVrZmNjQ*p`LIGAAtB&8BD7e=CMbY9qv9pD@N*p4M){C&z%)R$T zUob6bg40UjB{c$de38nweI7Sm9?ZM8Z!YKS#(8c_a+C`EAK$;zvHjqGJ<p4sQv4bx z9VVQ#V=+JJvQ_Ep>zdo^y=P3j{mXyuYn@jChQeK5-G!TrvnNze;&+zJIkZ&pkKyj` z!6xTFn>8Lzv%57_Mz~yU!)F!#exanlyGz!eyXJT8=dT6x%~Eqc*6jYn6~686*{<9C zH;z{?4$l2|cyGw$#4|@(7>g<e{b$CXTG=p@ZPJ;;Jk!gjs}%n4d2jbDb;*w#4EFE0 zneEbS><V%W%<yD6@4|hsRij;@B4+Kv)1p4c>%z9H%sn^pL7bw|{y7&Xskq9@Ir}oc zm@}KjVTt>FM^4YPY8jV|4YZ6!byi&OYkX(0WO)LM22Zx>^PfV$-#v?z{v$JMk?6(0 zlWMD-*6`Kkd0y;(QFn6x-pqUK`?oE5!TMo6%PKRaEgDbK!WXq3`f}0a%d?!n6|%+u z)e1h(ao;VJb7(5(8^e!J0}JK_x92_hYP>4@bm8RO>Is$8xV<!U4sGXpU}*V2z{Fp8 z8RKEKd4Hx9t?7=IjdE+aWm9exB`CQ1@wwegqfd6EF22+GNig5NQf-C%-uO>`Q~E2c zPZ>MfKKeT;ebJi}w<qr5T%~Lr663V$#x<XbepMCC`&YyYKbbDRb$x!qo=Lkb_Rp#- zoTqx$taFE-npWqEh~<~%V-GDka3}v&$W56ORzFWgh0XA=*4S_+_>=;7aj~&<!{<3` z=PTu$+s=8%@OMtQk^d&6M)BA6jkaAg883fp+2wQWT<XOG2Pbw)C`#l#TBcbyZ~529 zt!IClHCm_5f9v(kGfZv6jTZj?rsFx{#ccdD0%N1C&S_77x9!>{-o_Mz*TI6*ZWRZx zsXSwUz2#D;L(AEJ4rV*f2HMUF+UH!oe%IovH@9RMwja91)A=*?;KeFI#hgsz^d(*2 zbW1ru?9y1<ApdjQj>zY(jfx!&W{ZMK%;)`d?kRNAuu=N_ZfdUN_G1TRZ%Uojx4O@B zBQ~{qX?FD7uiDd=<#TM5^i$T?pDu4b@%h=bnqPrF;TE}(duE?3x!=C!LEF;ZPxK9x zUv0kZ^m?(DeuR6>CzgU=H{O}NZ;aOt{-W?h{mc9GY2NE5Ow?pkUR_x?^?#l6HuoGw z<qb`W2Mq&e_fN`8*W2{7^17K%je*6LJfVLL{l}_S-;&7++J7#ko##Nxx_f>Xt^Vh7 zsh=s@q93Tfcu&>`J{Pu6eO3oH)HHvOD)oqKtxqg^tGt_K*UR?_nM+RT|DJPfiP5Ef zRk0--^A<U~S599w&+KjZ1!iMiv0TkJcQ-uy-dkO>!Xtc{knE!LY?YX^sSB2-8%%$< zcUAEP)k4O7%1m!O`_eXv%-%cc%uhqPaOK^~3Y(mQg3jzz=<L_1k@nS<d@a=@9<k%6 z#jS%;w>g;vceAW|-`sVm^_Jn9+T(9l3EV$&!0aD4>yc-?^BE$utY5#Dv5-jH75L}j zvRvgiGJ#?i4hub{cKH{?+(_6vV^irB=cN<4W*#w<7vk;qe#w|?5K;5fm{(omLSpsg z>;O?mYwKlNyN)Kzcw+1uCdX2t-}W(f`{aEEf~@xym5Y{@>FifpZ6g^fP$RJK+ob<C z)^{@m@(%rtn0e4n#^d0Io(#<u|HHN^*juWdO81$f9A@v$yHeCV{q3{Fc{#h*J(?5F zxF%YirADA{Z+rLcZ=8qp<0o(`Ic?{;d|toR>p}9{r`jvd_o&(C``+ww_|E+;Hfz%P zmeb8AHbh#UJ(iXFa&d00d&h-k`FrGl9pm^iD_s6X$EEqr3mVcy;t$-;4{<%UtLdqW z7UNW_{_Q%s3$8AZpJ4O<wwC{l#XE9CxQ;Ruec>yNKkj+`kYm#J=_}(e-3Th2^X6-9 zp=CGgJl9VTMHoz-G9PIOJxn{Z;bMx_#T$aWmCIr!{;(FCZ9IB=N@$^8(eZRGRTCxS zd58LUto{7|a?;tO&sP2TwP|wi-?g76?DYR*{@MG7uHpO&`6%A-yb9BjeFFO2OkbMP z)$9+gNKP+$v1p>*^NEUaPmZJnO_}3y(0yX<KJU3ps%j3!{12%p6JT1?@ZPpM{)<As z(EGkmTA?<%v%&)yjdhQ61;2mdUb@OIF*++Fcwg+kIaz`HpZ`@hPLB7!e&U;q59gM5 zX;bBA{GR!Ey5YIKsrTf0<nw!fRkNt7WZgOX(ztK8(}zW?c@GtvS9<8o4Ok%%c|+`N z-c%2H?y{h8vFN3zjxIlRxp~4Nt{;zXK6d5*qgGh*K}tuU^4a;A=X?&yo4BvqOgU<_ zY}NXEK94UP-K@#-fc0(tl9ORd8&0yUjDH!=8fq@MR{YJQQ@n8vXJ%+ibb05NHoWu+ zI{3;-ej`h&R&yIyPR}M0y_$~4-<5tjn7X_*==m=y<!@{@>u<D<a(#B0VeC@Bch)7W z^|78BKXe3+a8EO|aDDjAc5}CIZ^7K7tREH`u`4Uy@p1q3WYNXz(dTMqkAxk!5a_*G za;4POHT{Ob!tiOL^L;C)Zw*<pRHr3*x`Wxz3meY#?2y_r@h2zO(HCDWsuqhr$z@ul z!k2xUKi8`7K}_9VJ>7|{{09Hz+?OxV4bZQa>=k_QqpGvkf%nk_CRO>qUDYg0oA;M@ zzOa4s=6vuTzpJOYvR9V4C;eIyG|xcFDInSAvz_aVtIuaH;%nqy8L{R{=T?=Ye|BDR z67N}HUw&0!p=YCoxrbHDX7#JSo7I9V4NRYRWpBCBSGP+c_$^z6k+9sE4^`5}{gsP# zZM36~@a@>oy;dQ{&x)nSj4jF6R$9nX=V@x8vFiQ8W#(y)ueBltzv{?6U2~?K=e2|2 zf+_X2vNKL)RiAo&^r+@EuC~9LVym2MR|jeEJ4nyuep>r*>ld}miUT6+r=`e#ouT>0 z;ik#PBJs}ibyw<Fyg9by*^6qy<L*M!)siQ5KdGAW)yZK(OXid-#mj@X>2iC|t6J@G z;fN`h^Oh6m@3Cu4I;k^v&xg`IOn<pWq_pxw1H>d|9_OnGI^wYOScl@1)OH_z{lt5J z)<$0QzH7Cpa=Sv0*UU|FE;mCtJvm-%i8%9svv3|`uoBb0$;&)Nf9tE}C<ffqluF3Y z+N-nmk>-&yp&3Svt1aEdwI+wKe&}?Le^qYbQd#%fWS;zWAqlB|_7^Ts_}Bt>WFCI= z(luG`v7<sPSM4$vMXRMvwM~&L-b9xrzq9T9?pUv?(ERbk!Z;4giH7{=ZGu`w6;^zc z5q0JKt;ip_;>lXS)4Beo`aw@6Qopbja5PU1*vY?}EokMNC;f8I1#kFkNakpLXv~~s z8l%{A{c=*xj?X_Q%v|)}d+9Gl$;V&q8$Wp)oIT9ha67?bw>?jrho;1=dAHcOZI+#0 zddGX#dX<2M%kFWw?0NlacTR+m>OC#<8-Ff*&2aYF)T_;MHG7`J?RzW4+;=o~Fttoj zy=ce#og?2V`*wnN(n;o?eeG^9FSAQe&hD%{-Vh&kUm{ifs~4l#4TGLt|6{%#sGrNq zQXwYrfOYPiIZF$kyS0}8J-&CbhQ|3Faq110a~>SZSJ(S;dwL7Y@n#3B_>8j|>lX`q zoL~)d-lNDOuwX~`t#+$-+8fueGHZYOMp+{8)dY{XtL=VS6()Gwh`e33MA2)#zz!C! zPm^VP41-&REyAZ%7`zwS+H9P@YPZ5u)!P1zX-jrxCM_*uH+~jU=ya~PhO_OB>Ncgu zCGRFZ2}&r<OuPJc%0KT||4Y9`dnM=kUFQ2#p7C3A$GmIXH<ho7IwdSpl@fn<Q~8(p zWfPh=W+t@yuCaT#alJ;})-Aje$E_4jWLQl3<yyj#{>u8aH=mW1OyGA-=DUR&dK!)l zGAo|5N_tk_U9`Pu-M>3NM?||`dCwH)Y<^b~)RA-6C+(Qe<DPS&Ok69zd2zn@a7FFe z?cFE!+9qtgf7w<jNkr#j=eZ3RCkOxWGFy?_WK+(ice+?S@X3_N9!#m3tS@<FOLJxy z)Xe|1XHw{<Yr5aB-T!-P<uzG*&NC%xjx9$O<~`W4PU+6fgcS}l4|jP_z4+#P)^FYL zg#}IKDd7UA)`+g0KkM})JGVknyZb8TjB}I%WzM{G<5_RlndK(OWwd<q-{K7$)0VgV zvwAb#O<{cu+XO5A{Dmi0)^{s^e78@x*y;R}`Bkk2!7_~(=Cn%LdEDE4y8NX)pQen# zw$i-stxU1p(RX6Jh1@upgI@Dhab5WL>s6#O^T{{!YGvNJ)oE)V)NgZ;RyRp{%`&H_ z!s1|G#Pu4*U#}GoMQv^UvTSbEwCIX`@jvffiaHtYdos;rPFL;S`Q^;YS2vk)tTYu0 zQ*c-n|AeLSnb*uLt^L!A{5NfKDp~4hwN=+*uYP6h%2hYCmqr9{(YO$!8SMM^x{z4# zjYr(eFWkD{sAHo1^X!@X=gtZq7QS@ka@5P2LH*Ze9e>wzJm;ia!fWrGwb}K@i_NbZ z8-7zYzr9uN*53KQ=Ei)!G56yP-JcvewVi82Z_j(U`?*hHa>?(&+m3Huy6&B{@1k<d zhU=fcNF40?*CpsB(P(sC*=xq*3RlgTzS<=&S4t;rS(>#(yTSW{+{^F(cS+AVI_3Av z1%FQ*-qewE#qiOAE`yfP;=k*5+3B&n)udf``fzJ(;i~RS9^MBu8s{6kXkMCm`0%8z zNheRdxt?7UT{c0MWz7qxE$S~n$NyXI=J4=`N9HSqv|>)_g?|smYCCubUwk(sQNuM! zEk<u=%=GHF&TD!@N@v{<o%*-v`k^n!-&I|&t+=26GymnSm)U1Ci{B)E_>)+6_v!y< z@1oyroAGZ;^!u{oyWbxAbMD-}ce#81<^QdFnfEz6y|}o{_`^Qq;`O$2CEj;gPpmoo z_loi6tDH0bv|SRra!re)WJS7G?BmJ?rN2$fWmo01Tj%oaT%RY)DRpM)=9wD3duH}* znZ(yLO?=a=(0_hua@`ZOd0$k$`WIGM`r+TLm$w&gw0T{1`Fp0r_2B#Ev6Ht;NZ;9{ zdR#>3wwBNP7i(VMYJ2^A+WV>N%=U(vnYx=Zowqi+&iX~BVvE?U2zF_Qe%XlWF?Ia8 z>s)mgo#b1Ws&_ZR?*1dWn=<Pk<k`CR95m0kXDmLc>*p1Q^mz$^Gx$Hg(2wBS>-?ui zT#x<t%xC(4s=0NRt$+Qhe1-Ayee2oW{b%a$UDtgpzI&bh*KKLB#-ab~JLLpszAX;E z_d;&U9y`9hEW1Cn>M0dx%v;+txwF73WcT|im!~=&Te&y%d|}Kqzbp2)5)ZWhztBH@ z1?&C`Eapepn9nF1zgSpbacDj3tGE7t+1)Na+~w9C(H-3JWkJr%n=w;e+n3&K+}^R> z-?T60z)3AewN118w`g^B{W>4LSN6!ZxUX6Nx7>WHx2)l6(xlQ-lPzx+EYbM3CDhaT zb*AfF_M3|rZ*l$`K55UD?N5sMS7{_1m@)Uk!9BlD{#$cf@67WzYo6b0d;Wjg`|0mY z_kS}ne{RP7-rD#(-`r>Cmw+<)gHtVjIzKhStyT7k$kw0AJ!zG8>i?yJFH?SAdc8yA z?!VxjrEl)-t2-^rVYP5w&(#;csc%}mFRlMF`TFaqHO>pT=WSq?Z)lg_&>i=OJ^zlA z{*fd6carq0671_9%6~c}Tz*iv>;ZGkmjv^QCh4MP#%~iPe_gP*d&pn)km=6}zPcB@ zH9z`ouboxb5&f)e_m}%8$I?}+72oTg+kESZ;7^W|2L85P>h~VL-TiLwjJBSWZfExP z@m#81etG+13#;w+6}KyQU;h2$CdjMOd<JpzW}SA8liNF;X^Yv{n(JDIJL*fnehY{> zxApy=H+M3hsd4{NUct$mx%0;7)d{~hehW32Zdfn<YnID8=Lg3(pF9w8?|Fdeo0?DA zKR!ACx}CcBV*b2~Ywvtq@b}Z2eZTgf|1<qwjo|<9E!wdS&sSuuadC+H<H#IS9IQ~@ zvAa<9eW3Y5kM{-tt~GibuA8TQyN>hDqucj+H@J2QL@M`QXxw@`iPbXm`)p5Xj@y4M z^Up-2|J}W^cIs-erRHT<H@`dd`QNGX)7QN2XL&^@cRN1sy=j#$F8f;ArgYcbk5zlN zR8QL~y3D%t+Rog=KWk6__By{aX#d;tbd|nSF^&zF6Uuuo&U<X!%WC7x^Gjdzv#ajQ z?NTS~_nh7MCx7QM<*$olypO$#jr5&Ab@!&7J%!wR1%&Mjy7#_l{9AEw!pZKd)h*g< zw=krYJ=_wd9k6$I#rG}IAy>*{-u|Dx_3;6b3A1@uKT8ev_VHnfh*z}keaN$>r9)V6 zL-(5%jc+?z>rZg2-(gL^(WrjlF#8Qx@qf+Ic?-FJ&blS#6n3ya;r=4mmpm<X^U8ZK zH~kI|&*yD@>hpZ&Hh-pB^M36Mf8_nhPp{oUH1ovj;_!-h|I5~1Uid#HN{KyriEyrw zv37y6^2gbmE=@E)IWg>o<AoniVK-0Re{#nA&619qh28UC^hkM5oD(uluf#Q{#PO}o zNxx0r?ncRJLJ!P@lj~1--|2YQ|1OR(_M^+4#VvV%l<vx0*p#kixclzc`e)yCw<Wz1 z+9y9*QGT-Syp#9)4xZVS_Uiwwg0CUDt9q*sFI(`Ob)7q7?D?wst0!^XnQv8Tt)Z4~ z{r=0L{~`t**L`>&H(t$ZUY2xmb*OLeu5j_Ua;fY4Zt;DMm)yFr?!xy|*Q1Y@2F|Q; z%a>l~KV3@x-+b?@c4y|_KXu>x?v$IywrM=d%;_?mYV!HfOGo|bwYQ7+tz`%m;D2_4 zy@GqD@rUNP@(XVh!YlTy6}tS;p=!CrvQ;$|r}l6=ubS!nyzxcH_LlX_lbmNAOcN_V zk*2)$bNy__p!Isw-EU-H6x<>nwlVfv?mMn4H5xl_e9oTo{_&sli>BUhtNpg%Q)u>j zjq?we%Sv;*NnbGkmcPq7@0_TU&L+!G0xXAxH}7oEkzU06?>5hZs^IsFh3;GupT&5O zVT(q|!}VDW-M^c}INA6vaEmW+FT3EJ|KM=_i{su`n&LB>q_-C2X&rE1D`PobFmGad zO7yfnyeyZuJ@&B54;5<s9sK;{r0s2wiq?Ie)vaUDp>6ZQZD!Chi&<X;|8f|pTnKvT z)W_HSdvUM|Q=i<T-}_JK&p0K&a-ZoF)mgSrR^IH5UeA7UZM5zDZ{8|-^7r;{J*oU{ z|HS8y0>5u5TC%?B-?7Cr+yAa-5A6MGyZ#$j!?wza*WVuUzIN&<NAS|Six%zkF1$0t z!`^$+yHgunc1^H)*OGPa<)?XbJ>w5sKC-{KeD48kDUHT^T|bVy<l9Gt+Eyq>>syzl zmHq#?`QnUs?&~KU{us9C&h4jvf0rzu-*vJ0Z_byxuKLB_gKebu&Nt!~$}WC??ESJo z=5^2gE2n&T>o2)^euhr{>1YPkH225u=KF5PuX@oV5jgFJ<lWoK8?p`bdnJNCnQRw* zb$NZl0;eyt#n(Q2R`)M9N%QaJPcQ2WYdrW5goy?Iw2NYo+37Pe{{FjV*1ht(ttb0r z76tnn9bA@W<hFfg+APgw`Kt_0Du3DSv?TJ_fxiVCQgv=TsL18wGqchS<~82-<&^iF zgq@ki*?KO1ORtp+*zPq^@(q@C%CLIOb+XAPa=s|ru7$r9=O4RlvRb)iS?aCX+84yc zc~UN?%7*b=33iXzEGFyX-yM*Bf^#d!#Vym-w#q#@KIwkE>AO{r?3LR4WD0DovrkP_ zoBHR;n^T{Z4{Y1{aaBf_cF9H7h#vn$fumdu*;5w14tTIjW6wNS$GN*QGgw8!OXn#k zu{X~;IM@Bj!rPe_48(7;_DwJGn`YAF5!K`&)#xFmSaQPr$<~i7=U2o^^DCb}qx|Ve z+04q~c`IVM^PhBXNd6d;^)u}9ft?aF6;-l17;YMu6ddR|V<a1#)bg~fMN@|P^7;je z7rq`0+?r4rQ*<t_$U!$!LVV5!@i_t9(~ht{-!XTQj?DK<woKcFCg-{=v6YQ1II(oc z#nOAVD_gbwTY_D5+*dqebGZ^&<{YiyZo4Xh!%bpc>xK0k%2OugW~hb+ygDN)QhI#J z+B0=;&rRQ+b+l0YeZ1V$GC#hS)#CU0z4vCM{%10oll*ROeZj-GZXMH2kM;zLrB&@L z3uu<u(k%1gN^9{tSDtwy!DmkDIvx=1%Xo1?hw0OGUbbJ0eWnVBtK2`tzui?T^vN@y z%fVAWu5H;BxxJ?GbmW4fM%Rm6H<R9MV%e_Pc45`xoJmUJbMHK7&T_fF;i&2>^@0Y4 zMIGHO$u*}JJ4roAkBirMd~T-sXXDz#Ege6y{2uu-=9jKClK!nH@8w|RHl^kU)6cM= zSH<%My#wQGmG7k=4&3!*MSbE_-f)4`y*^VHD)=dCuh2gGXmff!`*fxLG`6gjOa5El zzG2WG(8c@llgtlgizQ1~zJ>GOdN6_UrlPX8%1OCZ$~@-NRC=>Ep8om3OE;iW!9<XU zg}v#Z;FgC9rzQ#Q)(>w;F2B7bD#K0Ua_NpwY)uCJYqd`=G-jV$WUzbE-4N+B7ai=l zln+Koa34D)z4K_+`K=$gkM8q}b;&rY+iegUCjI_p=@IEa^IRHzCKR&HyJT<W_wO&` z1+9|VoHFqbUmb7R{?ugtW(M8|3HxVFY<>Uj;KMcE6ILE_7Faj+M~AD)3a-iOM!DuJ zS7PK3Zb<DA*lT}lmwtNG+nZ{A8&a-+;1xUf=-Dm#vxYyDG?uJx`KOrE7hdu4ch+s4 zua^qeF-*}|<?g;#C5%%z?ZV-5J(njf5$^Z4x$OS?x6}5-^uIh`cKY7i(X#q}Z-?;W z&O@2fw@VlcT54?%*V;a*HGZ?tf@Pls*FK4+eF{98%#S@JUmX!z;^egM$=l6~H|~Gd zef?%z@$LmD-i74JT`iw&<@x?{b=B;9mv?`eckfyGe94zF+CSNYImApgenm&c3AUcw zQD{7sVVNwa3)kNr`HI5qa?BHBk7gYdDR?neO)Rf#yU%Rz(D;Lsr|7gUeW2gEXj|6C zqgQn!os?3}1RS@0^6AT&7X2pQ{H`tj?(YR0<P_U@oY-zUF*wTYn{Z^^s<JbD<<_g- z6mCB5x4}^_i2s<8zw)erb+7k+y>%g+O?_)(=*g~mOFNG~6bxbsShI50t;@EmcemYG zUcJjddAt6qm-8-NeqF|G8oMkz>iV;e$sHC-`q|G;ygxo|b&k!|2p8paOWoJUzAZ|O z+-xWHJ6l%$YO<EwuH$cKzF=Oz>Ch?_k&a@6it7_JubAIBwe0YT)F2C9-N1k*!HR{; zWBRrnd3NVCQ?p~yKF?L9u07`&)g5;Twf+5lVn5@ZjViD0*IR{}heqX_uSj3HaeI{R z)>Rv~R&R}Bt#{g&UVC<lz!JNA&ojB7_;N0B7ddfT?8I^<3#(5|uU5#U_FKN%SbR0e z@>G9O#XF|Iy5e28RKtHetdm+5W9r>k)*w?FV)<%{OfI|S>lLd%h;sbd?;UVg)!o{m z?^bA^mG?TcCYjPzGFzw1yk*?^YVE5jp6m7;R{x<s@o>!yW7%zouatH0Uw&LzHY2M4 zXkwL}Q~S=}j&n^;Yw8<)OFZM+wwb{<>c8=!Ld~`HJx?vXU)#GC-r=_Tdp})&V-EKO zcYT$Lu2sT9C0$d4XEAvRzAyUzKlI0b&)t$~5)!HYY3qc}ylY(+oj5tbS^3*!PaV#G z&Ej*CRi4YKJioalUGik5d}EwO0{dp|a|Z6~jqZ!h*xACnp1(KYd|CwOvlpz(B3l0N z$jj_X^z%#L-)h*Ne`B(Qrl!hc`Oho#H&-`mhTl-Rc0l8A%A?r{cA*(T;zoZ`5Bz0c zFEdBBuusF{zMAE2kMp}ZN**?*`$lB9U1WFLsBXNHW%GBY%WF1xUOn*Q_stgXruxUM z&kZIOv!Apye<|7fWRHu2nAgU|GrKNt_<h0Sdy3lBj%V+#KD)=XSwqqJdt;zpdi?}- zzodD_a`PtNyeB;Kc~5_bxYo`?XSxm>{5o)=+Gz6BjAL;Lr?_T*k&^JWpPk|9@_&9f zUsAiUcsuj^#|Lk2d~V(p{NqWa@EX&@Um7RAKY1o(QRLnOU$k>3nHp@WGwM`5xWDqC zW$zc6<|lJ}i^`NO^OXWxC%ws7b~JH@oxv{KnT4ve){D<dX!YI1c4AJ|)SKQ5?Vmaw z-@5ZN%gdc+CoA2m;@lT-$=f79iZVW9XL87Prl;zRz2Y;{T76fsx!pgn79;dWTHm65 z;v8#_b2~lHXQ(_E<_voCY0gyXUHgr-A1?lN=jZe<b6ktI`4#o4T9!|ov)MVSz(mO7 zWktZghXKA1U01d{|2lnjg4%Pdtyxy?>Xy}>=Qewszu|c<a#FGWq|YUnHcwL#`=Y}W z%B4Q5Iv^z9A|$XPVE1D-$x9k?8_nl#R(T$$^8DqJblsCb#b3^x`y|J^NRD+<(^-{( z)Q7H#{OwbYHa`>Pds!0Zcx}rm=C|7>uX%oE>hmLaCmZKJwCw&;Gxy0H@1l21nFmj) z1mr$+T-xrw>S*&euKq37s}-zuu3y~ya*lUZpKFnyy5;XlbNnaHyXtvv|B~lA6GA3z zFbL7xv*Pu`i3uMUZ9L@UH<$TD!s3c6_e$=mTKZ3%w|erN?Mt4=syu(8lCFQUQp|(f zO|4O@mHnx$%q5*TlTeE#|M~6~B?c^t$X&PWom1OJ=HPXcJ-@ja=QT!pS)5%RK6|Uk zuWY@VklEJ$6XxVko|C)ed2Qlhj&IJH(k=ZKYBg{CWGA}5e|*M5{Ewo|Y)jYh<1BVR zN>ZW{CM7Fg)Tw*zsXt4g*j({ej-F>pgMnGo8D^fFI*FBTJb@eS*KeB>=3CjFbt*ah z?5F&NNqMiI+KaJV3ifcV{ZKBt%U?d~)}4Y2=PZ}YSQTGb**9l_RrM^%J6pVRzs)wS zxV@lirs`?^*pib?OK+5KE4Z|4)~%a41y{Gdy7`NLk%xdnua1$*3FTf6&n1Fx9UP2D z1g(>QWUS@-Hcxa<uK(3<^Aztpu|8;J*DPvMY>m-=y1&$>OKZo2aE^5zniiVxt2BS! z;^_Kj`X%<*C0jM8*b6%<3QW{Y6@wo#t<!G3zC>fMn|)W-mt9Ae_~buQ%RIE>&$=Be zbGNm7r5sQ1ly85zVp{h-W|ka>3#C`g9sQQ?f2kUM=|t+1?>`l!3a9k1TR7k96DMN< zv*^m8Gs{G3k32T~_r6NwOX4Dd0K?Fo4Hnt4D_xGAd{O>nq2Zf)wR)C<|G^Dk{Woq7 zmp@n3by7(4OYv3PdzTx22-j==2~2*d-+V81qUD9%)|ZlAw){zGy<{7=ba%<m8II1f zS2_1Ji2sQ_@c+0AtNBu~=^}RTx~4WA58N2bwWxoGm)VDRvn%t3-|t`fRl_vTZo#~r zH-4K<I3(?4*LqU_iKp5W#hBz(e3|CO%^p58KXrzk%+Xww@#4nRr=P^0>Q3LTk-qWH zms@W%bWTO<<p-WCvg|GrI~_S~bH+60OeO=%RQ~&huH1s_U%nIZ?f9{5_wo$Iiaqlh zj&?*y<;_u#)h<}unzMGnEw)9Q+OqTSwJz@9{OnYzV7KR-)4lMAzc>rmJ7=>!+QoJC z?YyO*CkQ?}T&XCRao6e6^~X`tMQe|}=55&}bv0`5lE*VFAGLl?jLYb4ymI})FX=^b z?%Db&rL0%uY&n<DFnrek=}=9DZjxovJx(SM9y9kHod=(BxEtP(d8oxUTY1jWgyNRn z5;=VZ63u4?^^!MO9=aUyRQ6=9Pe|Vji)|gs)v~XzOq_ST;IYTM<jK~L8gt6r_e?nZ zu0ws(P1%obX1kT;PNtO~(Z2bnuR?A1UBUM$o2?%$1Sy(w_Fc!EQ)b02+c%xEo|HUu zh0MjRIfCxrVy>-J-aGx_v5D-P9W5RuiB-7IJCpSO#O%m7vXv&?dzIIxZ7hFsQ|DXX zp4mt5O>EzM!}?Q^ShahRmGD&MU2}CGosc_kRl4N5@g0jvpKm_tJMlNi*xh2m!Do9! zWG6O$HsF7lD0l9#O~{_Q<eR^GQzc)u{FwBUXKQf*%TZbJO}n~Y>7J-&UB7w4^^&<| z{`)VzdTFJ*@6O-X;=ZA0x_4gjF<n1H^z*8pS<BWfQGS<JwQkz&VsphCDFHJDZkVi? zA+VM~`S1kw{rAp%`ED%y_iMV6iiVL}oAP;&!Y3_9xDG$-y;c1C&b-^tzumDDH}swz zSiI$DlHcsL&%8HZnPcW1dO_(|<cs_DYQM?@q7yIJ1nxGtv1P?xfsA`AR&?nm*<1g8 zoW8JLUC(o;@Lp%@UF+5r%)U@r7v^8(zrK3<-b)wOE|2B<|EgGF-Ht8(M>T(jZ!F?G z!~S~ui=7dodtYkQHZu#hM81vRd}h_pxrq@Ha(oxccSZJ>xLDavJo>c4)$^nEGv(&8 z-;sv|4r};Dn>KFOX>m>yn8^{dQmRtxYxSA`U+sVEdB*<x{9ab?zwQJ@%`zQk$*PHS z)>jMcF&9s8{Fw8uaq6SZJDt5n>zOY8`+6>~YFd8SHe-WKzKquU+;(RrH|bTF>ScI7 zSoHP!oYJ5z|7LBr>P@kpymtS#2e)@7N^VoD&`MA6e3X4|L+Qbz*H&-T65o>i#!LO@ z8JFK{rFUPtusAk3T<T=H*{yuuqw9;9vj5Fn;8@{zLoll~OmU^HkLdwfedD=@cC7Av zHZS>}jiI65^Djm#)}8o!?cGba?Inw)cUdlozOq`gL?=D{eAx9rQpTzIsuuB#d@sE2 z@G&fBoL8o|+t<EM^iCvWdDy|bqB7eJ8j}A{=(9g`_wUPjjH@DkvOIKaD#-r2J&$|x zCojfxwqK6gSJV|vzM?W!L(|D>>4Q#jH}fT7oJFN|8p{e6nL7)sW@SiMKEIQp;U)HK z;(?n-b8i{7x;B`2nHyX&p229B%oZLMtv@*_<;|;qtn;tGQc~J<Tqd=jlgCKS`)gd# ztr)#Jk>gBqMyyx({U`LD@U!BQF5Wc5>NUf(ke#XXrp0wAF&X`9+B)f@_!`rbzJ^jO z|22gg)LzYCOK?*OQej{aP!?j;5c+UZ&q#UxcK2;F0$GCB?K(QUHE36CrvQt<4n95I zo8j7Nrdn+5Jk_CJ_g<=$JA3`J&H2ws`{q5boEQGP3Pha(3weQs+#y28!9qr0A@$!? zMl*fXZr7%QsL8)hr5MfhVSA`O`DBVw<fU-6$tP1bEne>fqMhPrg6OJ!AeEbIQ%$6$ z&Q1@z%%yK^WEd~yvp?#<`fp2je&KFSb$#mX{qp*WPS2Cm-7c*c5bXc9^z5&rjGrEU zTw40&b&|94WADQ+#ChE|F9^Mm5+AiDYs;!zwaL3QHCAb^%-1>9`SK*^A@gfXrbGvM z{W|8dL`mk-Me{Th78ethFTJckTkP#@?@RRSJ};Y|oxCkS=lkAEUthm^<@Kss^s0Vs z(W{ld_q93JF7UA}UAbKA>l9C=chys~TeX+0Uc7qI`>BE20=%4Gd9tpb|IW2Sw5F=+ z6#Kk+QunG(&3!-Bclq)&FEtDEs=TCUy$|)(jyd~fO1M$Dq3u)AGonBCR%NpO&C+{2 zDO@*PCtU0P>ZxH9G;N=r{LXcBNjhieiSJoQUVC!?jEjw}tXXdt<rr5QQ@AC2aqO&* zdoN|M?mhHkWw>~_Soo&*p+`g4EZ@`g^~%Ln%Vky{i}kncyB}yC)EjiaaFys6#Z}8& zs)}B%6qvCuIapgqbF22s*d+h6inZ=B+9#A3&)c+Oxr)%QKIgRpSM*q4{k*I<f1d5z zOCPL1-KkoYtN#3%^{p>!7D}eyQoS5}sOI<#aq$no`?iKjM7vEZObw9?+?VX7eL{Hh zq<QPvIoS6aFfw=MKG(kdQk?f(@7eIM%j>60&YZEHXIZ@NgYe&{Vt%zA+O+7?)TLjt zpB!28L|ge~ct?l(?^9`iA2Iy=@Z*%#uhNYRCw`oo_+@phLHE?qH9p^_9$n?Odez-h zZ*x{xQ`OSDT}4S%MNI{Fvw}|PYO2O=heT)T>5Po*Z0{Glwr<_}wfOF)w>8ODe-|Cx zx$)<*tY2S^l!ZU{9{jSJ^-tFQ8Q%K?BEmxUZJbiqJ7>m}nq-x~T!J4n?%!AwFQXtY zcR#{?zn+<i(LTeTx~<%48#i|Kp4_)F;%Y|d*4=Iwd>sRQJ!6$!EDdcf9e228K-{3* z;o)krWN|jjVnu~TyW3jU2{!!_RqXko{G4IWdkv#Y$NCG++G^Y<ga!2T_021CUi`e{ zqpb0<?s-L9BvS8hOzP>~FfS`~tMq;g*{kYTrd$yhzq)>TndR4(3wq1+*R2$tR^;Uw zKc_?6$*JM+qrQC>%8Pb4w6*Npv0(mgr}^{r`<BOVpO<y}<j+SXQH;-*E%)`0H2!?_ z;)x>%zJz?}t_CGC+p9}kmo5+a`6w*PaoLwC8n#b8{Ubjeow=py$ixS3RbF#A&b`(w zG>JJOZhpA&fz7@h{d_TO?0Jv7FTW7yV=liKTqtI7Q#|}4*Nz)}?3;hxT2&IHeD%7S zC@bTum)XUyrXM=YD0Afz3r}|TuUk`Us@6r@{5`evX4$%ENF?mcyZYsIs+-!gU96Ya zi+xxfyB(4citc(rvUO?ql6XZWxwpGaUyHM!Xg^-MdU3qCw3Os^-JZJKgWGhrX>Cin zz7`S-X)l*8Sg~x$y2wC3AAdiAb&<YaJ5`r1$)46RW$M(@lC!5yol@GB_VY`O@`o?l z&(m)2bh`jajiye%`{UA5lGX_({JP3iw>6t(dfmbeYgWW4FM%XSsYZw^q!O>`^G)FI z&u;0fi_OVMiBV4YqRph!<l553u_?O+;*2DyGa{hQh=4dlds;_Gi?h|PBuQSzyQUjE zp2#=^3thf+eVK~f(}H;ENfQGEFJ3njxx)PA^VXjq-n49Ae|A~&<mS&??_N1_;Y>}g zk-=8+581zCLZTw}ZJRnpXv*aEXIom#ls;{(H0~Feu>S30<>uta*_RJ&IH{K-79ZWJ zyKVEqHT$+|&AsCBb*-;!ty4qQuWNH=*-f4?ZJ+7Vy1S0r0S7jievEcjk`mgL#`;zJ z&&QWL(>_9iChg^yZno#gp3Mq{WYlaiA5gJdcDCrHIM?Z}Q>NNW<CWFbRIlqys%!12 z*pht>l1r|yfriXxgI`lwPj5Q0>cphj%}Jq)L$AKubs3UN%Ao<Xd)eK*?cTfImF-^i zx-Y}yMVIK6^&gHef8KTU^7=WmbDwuDy{s=aM|!q)7&Lk!4E|;@?!P5^dTG3dj<#0# z8c0r!+34|0RO!*hbrGBE3L9!FVm3lbi^8LaUWkkFoMu|h<Xv{OE3`K(boXjMNNI7^ z6_Qh5&6-kF4k|86llI?YWr&?PhuPxP!+oYIi@EQHYOfNk&369Fl_h?m-{1eo+K+c~ ztgS4~?@RgZ|8j6y`q?wv-7a$(MK}MvmK61n^-t9+=e5gs<@7#{j()jv(j~nqlgm!F zPs%^LMAXCWmg*(F^~={>%u>96YfbzwhD)ChFK%{z9DV!5io`2=Sz=qeqTWPLzM2pg zYAt)U+|18&tM;nc0Dpmf#>?wW4YzvBPpi^WeY($hWBjj%*PSIPAtqnu3V!uo5$ovi z_E+X~|MTqab3fLk+y3Rs-zF<N=e^GIcylw`?2M{&M{3fI{$7&w@t?c*%z=4Uzti;Y zN9JBLN}jp)Oh}sM=E?7Qmd2~urk*_Kw$E5-qJQa)$d2vCx5~}3S?4yMvDvq|KkUVX zr45PclJ{q_@x6Sp%lMVJz{Lp{O2r|Owd?WA@N;Lk72ky>m|d%1UO(OAbEcFXlA+7> z{yHT_2JU<p^vkp;DX8e~#4p+|M;6DHL*uGk_V2D67f$SWXYgxl^IM%VQR|zf;;W_B zt||);G-q{H^@?>36mb<i6e?02F4$gDa#ivxcSnZDi&fmO!hbxzTznOpU|*H|a&0b7 zF1`vaP*yd+4CnrFb)6M7E0=2im9jL{-1!QcR(3`1w{WntS+@(CUP~qZUOHGJwu@`- zn^oOkv$w3e6<fTk4qU1i2mZ|xzdTvEC41e<`1LXGW(8+ivLD#^ac*Y9nG|v3?)Phw z#BQHW2#b%H>X)_T*P&&L6dY`86a9QWeZ1pmO#0EP%k#Ze@vC=lRaT(C>Z_H`wx%z* zg_(YRe95`rVBYORW%~@GwO9E0pMANKpQ|9^##!;U%UoHPgR?WLR-F+yyUb;1pwIpL z*4GCc45sU^xwc+x+SDofKCDlVv^?R~mK6M$7B8_@)XBk4?ApiH$}LRp=Xra3T4QSx zCDtYcDn>{6oPNdKoEf?`d%5(=CF`Ps1K&1P6=m(pa!km&K50_LRj*T9xywtgI=z}6 zC>*<WOUt?_XGdEH`*7X)VIB?5$F@j>bU$B}dK#2TTsOvp>a*1uSM(JYDK1<s0Z9W| zpCE-s7qn3CGW}aMb7JStE=Yb2ErFC7p+1l@JyZo;x$o|RCV`{SC~)nm>omCNv_>E` z+%U{Es&#j^L|dYSSM1>x(~23uCAr_5a6!J8FJ?j0peZyB&Vr`Fv(Pj+3z`N^p=q!f zng*W*LDJw^Xc{brI-nSu2A>)Hn%ewSr%2TDrm6Vr>!6zbUdi7qagnRJS@}WNqo-W! z3tLgTJL~3_k}Ww~inbK2i!zTgjWUcfi82n?m7H;lyW;Avn2>juvMwg=%vzhVG-GPU z!i>2wTYa{8Y{`gHj#3KO_0L}RbfM@X)}pJwF1)&M>cXQ7w{~P@W+Y~u+@iNdXI+$N z)W*4DXI@o>E}gt9d&#aiUsuP5s$FrGrmBXzvFoQCSuFFesx?I=#HD1f6xS=pUpwQ# zmBs6+zR%bFOkiMdbxpXSJ^S@lUx~Ivj)zihi4tv|*9~|M8`$guu@_!2<vDD?Q@t)x zqAhVpG4Ejm9_QKMa6T4u*nsEohBC0wRIt!Au+RjEP(N5G4J;H;#_K4+;;Up}0BY^r zoAqmIbdcAsdq?U-A5Q37XTG?OQIMB2HsA3tSA9*@I`esTPw(VdU!U!_KcgToXWjN$ zb$id8I1-Z&NgiLHUD6ksCOY-CF0@LK_T2B}Vsq=O8KlbpdhO!+GH`91FY)(Mv@5fN z>=(A(k$1H6HoevjGmQ#8Ie~+xNbkvmnDu#CNf~MD!ogK#)z_UXwrq%59~R^l;I}SZ zO=XMV#Me{JKXWkdjH`_)jY*9ujLD5zZ?eu{T?(kVe0_D->KpI4(n@7lmjo#@$&@VF z)fXHnu;884{B?^j-}qvfnX)T8W>=rr&es}BvF>v&mf9%o>T`9vUdZvnifwDt`O-fR zclOzCe=X5x^>)+U2Nw>+9G@vGeb(np>5-S$J&e!w7+t?;)+o{3r*nPdQuYN!vf)vT zPpy{Ch&etvY{pKrb&rpCH=pS^;Bbkl!<T7IbJK3^6|cobu1>ik+Vcur{Ak~}vi{Db zpi816ze7PSi6*zdOrW~E2^!7{{`-saw$0UE0j)M8AXT?E2c#r+gqDN{r-0kHF^-U$ zTiXH>%%X2zh;uY091~@66j-yP>Ggv9?|!}f1#VjZUG(edmnSR_f0=&O-o9i?$zNzh z&kx++(9zbiE<RxYA^G|9ULS|l+rOaM@E5cw{sn1ZUq272wy*0$<GCNwsQLxzu@(Nc z`t>!oMtc6S>4kp{zO25$zifVMo#^%TM~;4c^&|U_gzdWIlNv^SJu1m3C5+Cw-Fnfh z@c3xy9|`Ssi;qg|?lW5bn&WnCVdz>0QPrnQN{%`%kSYxf^79UtX$gGJ()hA#a#7QT zlA|w|t#G?595Q8!@Vl<&f|8?(qOpZ;&fzkF7ev*saM?SUOJ6_B{k-e(%j<o9=S11B zte2ZFuW(!U$<2v7AC*`!7=*|8Xg7r4@qXL&J8GT9^stCT!<j4BY}k>pUhuvC9gp45 znso{%NJR5=3GaLq;c!#52h!|VKD%krtVz47piQ5+$w7IZnlE2<g8K)n)4^TNlCMoQ zpm1D$Joz-JjXduhFQ~6{b@jwbP-$9a5D%)*=AGsOHNdWV8%l!evnrLijt=+TRh#+w z&VSwk>WE8)zn>htAJP`RR1zEz5*!pBHANUyfoZSx)C5J~Qi#3UXEQiJb*UHkCr(gC zHIa)3Rpm=x-nsDL&W)H%QzKB1J6!6_b*8$l+GkT)K^<-{sKu8&_6P{}mn}VOXYp=P zV@*X&rmU2>botH<sUkiF*VI<l@FwGfa~}j0s95xC=PhAAP<XU7Bc@PVB0R=T`+?57 z9o=CKT~)myLE*EndYG0T4SkTXL&C3Z^@X>q)*M^5x_1@xhLWv@s<F9VJ6HSWuu5OL z+LqmF8-Moasx#rS`>H;L-U_$e7xi(f!m-Fl&$t(U%w13`-nWlGiKkn>KqU943jE z5`s4yT%IgTan+KMJ0fB6?Bq<wD{Dn(Oq(+4hz#G$6DvA0JTy379ADn9zUO9HNl`&w zPF6-*N|I250q2J4>SqqM+|pUN?PJR=4{itUYr#=pr-bgF_xPumyXn<n`6X3uj+S%R z&x>+&%6N5h%N4z)Wu4DgF9Dg`@uDWwL`8(<%jM#IpB{2nzdF2j`P$eb_2)H9FLMbk zUw-e#l`XHcp4GTs<}xz0O|06t#k<Ki^=-}9om)1nS+QWw`k*Msc{8SL@t*YM%EWoE z=B{^%a$KJgzPYS*i??GHsPLMz-X_X1>&q00=c{|dE^zN#Wf32_rD;!+T<P<|>s-nH zo~osC%cC0SR-J2Kd5EcftpFo)2)BY6x5B|2mP{|C4}NTYv6IF9SL2k-IZJiQmM!=d z<nSZt$vzS1c&>T+tmmdHJ@dZ!Y3YZ3BC_#Z-S3aQvu6A{H{h3_M6G(=K4I(ooU`5^ zIaS{D#G3Kb+!H_i4(t;Oj_+Kyp5>jk;+Lr$`-P0-J8y+EJ=K0#t7^4RNbG*cU2~>a z(hI-j{`g^*P$Rx(A9v7w)@APxT_|sSzPsUbY{yT%Ej8jk`?xp1KXk0z@!QpptG(h) zf4w>%UcS%k^VRpOHRDBpt~wsBzpp#Aq)+0PTTP9k#Xer8`>c1u=kF8xV&QG@SjX|^ z%tcRjM?8!?Wpz$iFP?Mu`=pyOO^UXv9C1z3wyGzJIHSHyd2mvd<F#hG!t{GLf)ABV zmkaTKc{QeL$Gl6IcYc{r<<<ZElJzUiy$ih0uUJ0iYD|@r?NYI`ORrxJK2)Z+ckVo) zu%eeYzf57cx>Wo!|B^LNE_uJywC(lgU%LE@o!;Kb^DceXvz<Ke(&^YLCEKOf%3n5q znG&Tr{hpiuO4Xv5kzeM#(oA)oZnSb<(6rrCT)$2+TIMYo;=l51iKcM1*V-lCGXwos zhL&h@ztY@VqnB!9yVSi@bMZ?}+tuDTef%|pcTPF^Wy+>oOLdklpAr~*YTvvd@8?0= zE(M><(@RyeRaGd~{QRr&OM+giqU}tx63xdiBo%j03H`#%u{!vL!YaRySBlscFbl7k z9CqU1&W$S<&YalUSjo75mN)n5E-$WAot~U0J38EFw>H#N9I<*A<$ts>Steboi#dtU zr`w7_>Odp&<!R@(Y-C;F>f-F=5Wi+Y*nwXMKfPQTVQVYe&8~f9Q|-pQwG)azA3c=G zy7lEsllV2W!X`u*MHogHMCeD{7w67tjq-na=+n`xOjePpQzz?(o$}&3CGNe{P(ssC z@MqG*850tEYc_gC`SC7Nm~(vj3iaimIhG!|GNnXr`mAYF&M5~cH%t-kKd0<>L~-u% zm}CX_#S>rHh%s{V{+)At!9s-vpEa&X6!@PvwCT55yC6CH`r6`ikI%g=Zg-#iIU}0~ z)bco&?5n-!%!wm&+-HPkFz&xqS(3>r7Z?#%5n|b|x%R@kiNeBi-shA%%jI0to=;>e zl5@5>_n7^7<(rJwlR-}pEIw9w=Y)i|f!?{rM>P(I_HGm`I~Mu2>Q(c)<ucW;u1LCH z<?5Pz$o1iZ0>AV-!TS|VzE1J+w!PX|==F9MKR=(gG$ZrlBgT3wSp{ZGIrJ>|$P#C{ zs@ZjmRj`z6ewm}gT&Lx+0s?-9m-RZ91z)+vy0C<6N|~YW3lZhN3`#FW<li<On9H;{ zR={KahAeTHi|R{mu{wU?Tvq1DQp>b5R={CCN0#`Jx5C@Y9B<eqUe@cF<G*K1cc$a~ zjF;>J7V`}<#a+&-r@d`j@bie<ZiOT}#cXkwGpfhR9BZZ*WQn`H6-wHz5TWOIMXzJ3 z_m?f)OizU@-zG_2P|f<qc;uw&filMlF-}Wk1(c^tWQw!A6_S73G$R(|9QpnYS>i3n zm6zONP5j8QtjsaQ2IQO#?gCr8Gwu6-ykzGM>*u(AbwPED-)^a6?l-oqc6=ph^fs%b zisi;Sw&m_ywyb76q#ShX>V_{JlgdmrY!79JJHHcDc$+lqyt3IZN9K2e;%~DIs$AB@ z^18O)*t**Bg+TDzEDoz9!Mmku_zqrK*EY|&<89Uj%OioirHc3rudHL6?fhp;ccyLo zgO}{QrtLQ}!<)}2=H0p~P~0)I%(S7sA~XDOImh%e(=9SiOJjL;+6^+polhyc+`8IO z)G?vVbc)QP#j!q*Em_>`GZZXa*2MZaS2~B<C)_$Iz2X<+WuJQtSNUgzeQH})cfe$l zxyo1OWxabCSIQgsKH+uw+mLxry5Se&<&69XFWG&#?<c%w_nEzyak;#K?h{^<zYUoR z`3*lSoY%@5oPErz{kI`gHs9hUyUgXemfNh0*StDoxZ3z~Li)0!=X@s5of%e`HtXoj zO!4$(iF0O7D@>bpY-Xl(`m(}3iA&@S&c5JP{Ht*21+UcGE{!VZHL-%W@e8uTS<Z_q zY|%dStu<!%jIb}Qi|Pb6erBCt=K7$<ae1tudHjsbaF(;;S#P^${ABXlt(36u!B*`@ zPq}5^cCDyAxF}Xo?|STRrLc7YZ<C}hPF*SQvLa^UEz!av-mL4*63#6rzg{b1Q)qeC zShF$hp3akQ*K8h@YwTgi#ZNP9CpR;5Kki<7uyJYQ)Q?ARW$<j!tJ0drI_*t&=tZtI zs}D78U0ohOH+27or1@dxE0-KdEDep<iAXl^dg-=meI6H=lgO3J;m?muxpHL7m#dmj z`;OePTEqAKs^ZqBKyFD<)&q&9F7bCK=y_aU%zWu@O{v}51&=Sb?wXzVeD&t7O?|$6 z=fcZ_g`JH*Up;x?O5B0<?}~SJt#dv8>FU}gTezPtiRCVch&>seeo24QJY^+Y+o%)a z=Gm;QKVQ7OSd=#5u;SM%?ThDST?y_yw_}mw!ZKFVfZcUfkE+gD%nv*8@xh_8yH$_M z&RNX%^Pky#Ir!d<3v&uhcSz3R(PLw8W<I}Tdf1PMEWPxY)2BH7Sf>{qTR5X*%7i(E z%5ogJWye};aw<yZ6v{|hbo2BWzgrY9x;<%5PvJ&mzH94O#rm(5u0F-SYF<$K?GSC3 zuUD3D<~{W+CEMz@!k5#>Qi82+Pm4O3CS3ijAjjJ3_Jp2Wp)MvWEG64m|86<Gy#0CE zw$^QBZ(lr^lWS@IcGE)3+gZO%g^RbH-0=2kPBUZlzFRk+UE<Pt8x@#2eapf*xtiN1 zm+h64c(B1x$Y6#`PfYGDQN^O#%<v;`c3oQ6F+tmNx02C1g|}S?cBF05Ui647`}*;6 z_Ddh{R_4@{%Shgzd+ca=`$ewZUGL8&soy@hZ+FDi@GU~+Zy&Xv3}S2-J-&WLHqWws zN}<|kgp}Gv7w=mXqTS&iA1LA)nDVP@kw#b2J8cl<3Kn942>lRs4HP*#XT3((B8^Km zpz)rMPr<5m!Kz$-f^<AO5ia5yDB`?tkw({|6CXv*qs}qDuqu(U>Rq4bJbmHe3z0RY z;=8zF->jbebj?1i2+^y4-_Q2$TzMzhPfPskS;wtTlZ9H;mgVPpx_Ai{-<LU;@#4ga z7qgYQJ_&L${d!hckz;G~(7gQe&YKrc{(M%Dm6DT{v2Um341Km|PiHg7hGpsL-k0(2 z>h$6|WnO+sUub<x%9Gi`FR#C8Hsk*J%)zQiN>0|YFF$YblI4?nww!)8H&<z`Lhj~y ziVEBM%WN$+tbLsG`Ni}nFM7*Pva?!j(0!b9IfGTY%{OiLhR8X&qH7myo57oQHF$NI zt-Q<*vw7LO>O5DioE5TL?7;!k6~(%%;{AR61kP<;7B<1#%XV^+t?H$nZP~1AWxq}_ zT|947SctUM^^PdV6|qJfE0+J;;=en~=6RIA_K6SIf^U@+oZC7lY{$!$rc&!p&dD}j zEAaVhLfkpi4We1vdb-c0y1P8NPVFoUdNi?TPPWpP{>T$M*&Z#tc(8C?Zpsxc1HE&m z-LuwoWgD(Lc+Plr-P^R_U9D3a8q*(Fx~X<8(uk{ZH573b{0160IQ&r>G|CWg-+<?^ zLCP->JMkS@$Q3Na0ulNFRy!vjG`@4G1~l&Q;VD>^E?AYzPmsokC(L;c8<=P^I<He= ze*9?m<`?0ie$%?2CfuJLx_?>Ou8-A=UrnDJB68*Xw=4Rp9KJsHZwKw4FzLvh?`^NI zUziwp@w?j<effn73*Nt79=}a)-iPYlUtg!Wt3R(k{4#uZN=}}s$^F|rXT+I5f7;uq zr(J$ECTgGgw7RX?`D*M9UR<ZXmtE2aMRK+9%kbTH8OFStjLmbngScMVbZ52R<Z<#( zwK_j*N|jpY=9t}S9?vE7%C6`wR$5ec^5zAd7dFCKtgOF2KD0bv<uvc+xy`rx{LY=N zY)cMyRxX}*c1u%FW983t#v4SpJiD~1Xx_#ZOBT$S<L%+L=d;fe(J9x0&snvk%RiUw zXm?{jUb$_e;pziBEY9nsZCVwX!)ASWP1*e5T_1TCPkObIKhRe7O0a)vmepYe16@t< zBqY!2txQ4Z7nfyDydVjlP$+Kuxy6a;ykCX&;T$V7ON;ayzP>zX&q%6W=K9=t(9ziI zzyX69#&4qAd7bwudh9o`v9j3r&~5*rE}ow5{EUn1R|SY2bGjkE<<<2oe&%(KtLiL0 zT^(x=F0a#c%t-px<o%b)QQ%Ciqkzt@CIyWztd1X^b2llR7iV$2EzWXqjy^||S-b#C z`aT7YLp6>9fA%SGY^!k;2>IEhQ1OY?al#YsrUl<w9SuPAadDP|z4{zY67d2o+8_=4 z7Ce8uZ{G93THEv8zpCav|MJzQ|K;n-xJ%bR+uXVS*=F(j=atU!=RY^>oA>-{jqUl} zwYKLk|E!u<{`u>*#C*m3(opYg@2$J5Wn`eY&%C=X^lr-b8@%8CuK8uU^>Xk1{#EgQ zL4g7H->;1K5|}k(-~FKdc1!eM3h%%3{qWb<D_;DT6y&Vkzi`3)_v}v&fBswLbo=}6 zw@+&9Wo2f+FAp~U{5K;dVPE{2)8GFdh&uhfI5F^Nowla>eg2~@PkvABskraoBKGNT znu6T@_luJs|1Qi(sM+7s-Ss~G<4L<4-@7B<?%Sdzdv&^*pKEPqP=H_jEn${r`fD>a zUwQ`%6~;&LoqXYKttIvAQb$X}zAX#p&(lBK{`}k0*0l+je_kq!Qfx2#y!7FgIg+3X z&-hJoU}mDkX~}JqJ_)rgG<frb$2gO9lHkO7mlhl9JmHz$xMlUh#zeXF8}9qJG$+dN zT(&rD7NyAi_)t^gM&q8u4P}v`Z;!2?*0(A)I4B@~?TY1PmZr5^6~1(PzFfU^OVp!q zZO!x+=U-W}qO5|vwOd=F7(1RHf4=(aWv)+(Zz?yx-QvBqU|*Ew+JfZE>t>Z*JW;bX z?U$;2Qq`e78MX1c8{#)z(|a4#a5!sY&DN-2OSdj6U9@!3)K6EPE*f3bdbu)6B}yrN z?ZmJPi@wg;s=Z{nSjV*E;jb5qn(3Js?TeE5duh{!rp!>A^s{T{n5Gw9n^S)6&hf}% zsr0j<bH0X^Ub}rIGT$$KujbsZZ6()kUx>`NOW%9*T-9#N*S^ozY=6II`_#?%e9l#U zK3DbI;<fMNHQT#3*M+A4U3Tu5<=n5qR<GMjuI0a2Gyi;~{q4y8vp3fnrT^WxxlTL% zug|$(mFa)&&i%@q^L4d__S#o#wyfNIY0f#XU@L9jmut2x+<d9#oY&^LQ_G6OW}S)5 zydRmVoxXJDoT<;|PW@KsW@)JT^GW+j{>k!c_C9qp{uumB{B#&5@WGvZ<3_`TmlxLN z2;5$K=g6wE)TeIecoqZ%Sp+ct`uOspXJt`>q?j|4PR*g5*092B5mzIVgVTF0=bA1n zxh8QbGTAx3_vakbH0$g)&(;{u+<a``=3`;!q>L@I&sb-_dA!E3ck?l=b4E^ABi&|L zo#yaMmvnroqpUDDwPoSvo(IL#1as0QA3oDjJ~1b?<-LybnmMT~lQ;J~n48+tzqw~Y z;WR<5b3&_M>1<qfPU!SYosCn@330#F+1PeY==5`)jXCL^rNz@CjM6*5&Q-m3Dl%#2 z9Mx&%(<0>4JC_ztix5p;x!TJ6?v=<_bIt`VFPUbwWb+l?xl7MI*D2k$`O4inOZ}ed zl-`eg6?QHty=a=%d!17G^p%$_ykn0>K3jFp$h>r#<?_vEH0P%Bz0@goPM`VN^7Ndy zI>qMcGbdY~zEeJJ=hV$-X3j}HXMOt4@yKT;=ZwM&r)^)gd5`bhr)OX4<a?!8I$NE- zeIfE*&ACr$)~9cujl7p~?$fuqPjjE@<g2Auem?i<Tj4bG-pzYL=R6HBop%39WSw97 zPtCbc+e@b1zYtkxm;UqSxlg+-Py0X9+5cW=|J2QYe9nFPd~Q-$>9j2?H!oqFtEy|` z9d$19QpUMSZr0wjjz?ZHI_F))<*>AQ##Am5A6M0FntB!!jhjWM@kYf&HD-Epx*lyz zj^yFoutfb#mf@YqV;$#=z7<b1oW8kd>73M_Cubg?oOyh<alcWz+<xPJ?f8$Jf4cPJ z1y`!q8^jl`P_H+Pzqnkz-YEX!waNQuuCKT}*?!h~jmwiWcNt$UOJ5c`cV=4Yv-6M6 z+&?<gzQ?#;GX0;)zDL(5@9$l|<I-gNzV#WGChzZC|KjTuxi@F#9}oZYLUZqpnfv?K zuedmQ|E%>97lW%d8P_Y^->_==7p-%DT5Ar^HLl;B|KdvUshsqG8TSKLE}wGu+@H$( z0xOqKX-)s<vhQJl|4Y-kKc#=R+1V~-e|Sdzr1_SwQ|4WsxqniA#pU2C|MY)8`xg56 z|I69;@Op67{h9kG=kK@_T=ih4{gnJ4MbGq~N>|w~?J0Za|5Uo}%alzwBdfL=*K6Mo zSgc;JbAQ7k^?Kd-4Xf1a_2LUwsn>7Se{p5<{`cHkU!S~x#;x=<M(~ih&a0hCm&8S0 z?R<1m{Ljmsi!O*idAT#`y!e){F@?{$pM8nB_>BA3ml(rm+~>Z;Z2ZQWSha4&C)WM* zw5Qb^oHkGU(!K>==S6MzJ@7m)YP?Uu^So$k{Ds#$AHL&m`*J7X6?fH_I|7Hq@4VQV zcuD-oi=7WIim!RGbKwQ?malg<Jb(SRDsRW<uPdtZGCqG@RFx<3`RkmjyoldbKDOo| zKdU@#&2@fO?U*NhY2UoS^SrkE?ggCZHQpx|aGp0ce*N<2O}p2Zzuvjv%Js`HcPg~3 zFTY%TaLIc2%ab2HdA;|`l7^pNflpp<{j%gh?NW|UQ&Z<G-SP3OTh*$g*STM=w2POF zs<rK#$89JXRJ*r(S}=pA%!!~5tspC%0J9wtQ<l#vW|O_*w@-Kj_5shCTMjfP$|ZO- z+?;1n9hp%1t7^kMZYS^fn>UP|zE4$rr``NYv+&bY#f#y`t^_N+&^|nWz0UREL$9<C z-wqGEQtb3p+j-7<o)ynsE{3-m$4B1S{P2;s^9SwIFFgxC#^yiMUi{Ki@pCNypHp#F zK^^zMM(o=(ZC=o}!npTOw4GnB)VlxGW#6WW^SHjm@;}k$ez`N?V{HCo?Z+>6KKK-S z{*m_X7dstNzD_+@<rWecyZzWaA^-5sh3`*ITPM8n{i%ZMoYUT)>R2c2et+uU7-^$@ z+Ph=bm+jL&yfbJ?O}JU{(jPz9@$3nDvrjvDXV!`GRQ2$^KiBy@SrvGHYGaJJ%RcSf zJM`Yvgr^nuX8d|J?P@5~lhx57;hb~V=h?=p&fB+ZYsuUNwWZwUb2;|!axIbFAL(GL zzGC05(%osTwwfXPcC{9tJ@wL)@ype<Gqc5f{kvAJ&uhHCX8Js<-><|@o338E{83Hm z>nF2XF9k2%w@bC?tklb$2A{6#PRI`PIL~=RLM*5J<+Wp`-HX#%TGr=9#%$KB@@lCu zJ^gAH>ub%8pRekydY$)1lkw}-HOpV~t$KdsQfbrk)sZ_^zuT?-%5vfFtZU~>*{@7) zdb&Dt`)j>7o{C?t>dbkqw|x1OgXd<M7T>-2CFK3;$Oon0F9nzGn{~A4?$$4J__H4| zvMrrd%%EW|p)Q-k@WAD9%CSUen*#?n3R!X<ILIyN!^2=UA(M5P>Qa@BM@}Xh+Z>p{ zaN0J}Y;tMQ-GyIbG=64HJ5g$WsaWw(me`3>@k_>!UapRmzAo$T&v`3+v(CER-SdRD zuYb2@olsEx-N0CNw|%>+clrIODcxSO_E7B6nDd>h*1zjmmlWvFHGTcNx^+qc{#=XJ zzsn0Ze50AVY4f{+bxD5yvNLyfnb=-si#s}f-m2uCUAng7etzqeyw11EO<Zkp$yn*t zYU>HBB`yU!Jz2fGclC*j!A;LsTX(OnxES1Y>Xp`^D!(ZKv4=N4XSs0MwB^-JhUi^w zKDO$!3a%SmpUl+qLQ3rGk{dsFwmH~pF37uX@Mfiy#dU+_%MYE2ah^4gD^A>IpVp=r z@r-?1^J0_>;zeE82}#C_I<6Daj~6vxC*&C~s=H2DCZ2cgI$`s8-j(b8;(6z<6BgJf zr5CH|v`^~MI+1Pb+g!rCR;+Kc55M~(t73Q7nQ-ShtDo(YIu~PqK>Np~;)QKbxwd`j zIWafJamqX)DW&j&CCgcOPKffn)LgiwkkzuvucXFw%Z?^-TlIo9>lD^JXS#Y-y4ugC zR<veURe-H#Mm+2Eb#lS}T_08}ynFSuD#)i+RApC{tL@gus~?=d%KU1j@%`IZxug9U z=d8$?QE(v9=}O|IhZ|LOXG}D1p2?Ttk@f8Gq!Si8$Jix=60H1Z+{_R<clGqkodQP1 zUo)!o?lgqIUz+YBboy%cOU;9YMPCD|yiRn)?43AI$VVYuf64PB$B$io{K9hK=g<p} zUd4Wi>G-uOz4U8>t)|hsO&jF{F2tk;{B3Z0sg?YSU26BKqQ4H2>ozT_Ti_Hf8DJl= zW5=mObsIj!rds|@aNKh$<5wfg8?EY>>_Xb%k>2(bG<KZ|_;t|bXsFpGezk;kn<ms5 zH10lS@yqe>vCy)M{2C@}))__kcS)@}y>_Ge(My+~iiP-3)s4+F{CY*~&eO1U%lQOj z^F+VK9Qd*G4%4fh4EOZ5ADYMQX)!(8(pELeczU#nt!l)9(`%>C6LQm;9<6JuuH&b- zJ)p|(NJmUw@0U3z8e{TWzvSH5ap%zs&x0RBXC8fe&DFn4di81D2>&j%Rj1c%Tpnc; zo96iS%B@SOV!{5phho#7R_SF3#HNXUjcNF~^9<9gof}GapW&+Z3V9Hd_Vmk?f|)zd zEPAQg$P$;f_sg6E2|LeZy|gqeE}GeTsaVPLiBa~FbmkMOVIKZm{p;`C+HvO53(tw4 zr$&oSj}*7noU_m9^eZFoYr%&5jJjVHnSTrUpuKsXUU`7+*B9EGr|FgZ*os^1GvY4a zdHQS21jSu<M8C#l{Mvbk@#~ZwJ7V7*nkQs2efOMsLOa)=nYHuI#V>O%{5Ylaq3HXi z&yGEbi=GvQZ#w9Hl9$7iUD4aAQP9$O!@~z{9O}!V)rDURD_=DuQyYuKCE+xyNS*1s zr_B@gR-bM?Wgf4B()8UE=ZS1se}-x2oz^c)e(W>Keq40?;^adwv^VSMm5bXhJ@ZJX zJZ!pkgum{>T_VTlN!i7A1=w!QdKr}RYUhNe-6F-d;&mN*M;FZ#47Q)H6yVP}>Go2I zZ&N-T+$mCA<@F*>&vn*3Vf6<~e_Sed>UbKI^YW&G^-ht%s-PqLiqwlV`@Sq;*k8n6 zq}ldmiN&u|caJSSaWVLm-npXmLe01@A;PP@1+N8HuFyNYae0(&Y+Hb>c*rz8=VkM_ z9d?{HxNh9k^}<N!m1f|lVo9d2Q-17>ZA<j;ls%U^<8m?6Q|+TOPfu9({K$pyt_P_< zE^S`;NZWPV=?zPtyNFy(?YK1A>B&nYnU|gyH<w7ZeOV$=I9<`*|F6O>9{sAUv*%Me zUa#ElUGQe7!|zq?#hgxGV@~{BWnRo_^tC7A*DCh7rk(SI<l?_Z=p9@%Psk+xtDm0Z zf_Xv$`(Aa%G$o$zoUp!1VS0k!dCuG6dwabnEPH<FO1N#e_lKqGE^lsc_-*D>D?M)? zug`t9kGBiH<VyT9`%@!bwvX5Re%s9a!>6|&e0qDqr(A=ddST}yPuZOlzAv8icz1;J z^Nt1iF2}_WJ-;oW)#ANj>C+H}nG;uBaI^T}*5Oj-qULsv$Lzw*mj}$`uWc@h=oT<g zIZ!aqVE0yrq|FRMPb`8b)JUh@XI<>QVX3@}Os#UwKEdtzF6Yb_J(Cvv-1}pnpw4}c zDfuiX&6~dUUije_QKOs?&v94W=@s|GFRc;3oSy6xaEs?ysPFQl^}<i58#Rh4`ve|{ zFM7&7@l&hDPp67_jtTllj!u8{WOsy1I_I4HmJ8;G9^ZaYD?VXg_tD~M3CAN(*_;#J zx}HV<oPgy0w%g&e^&F?vZHTZ-=d7Evl;?>JW8G4o$3BT)mrnQ<WKgR)VV{U&eAn&i zhn{(V{IoRUXV8oq&9wNgUj5cL)`oTJbM^^8DsOsWeev_$6F>b<)TjsT6IQy<+5i5? z(eOpDv<1ITjripyQ>)srPiWhEmowpuo@yt4nmVIa)oh>8ru-v6dJBHJb=+_3%Wpnf zp7=es;g_C9t$5Qu?(OfJ&XzxXx_iT?ScRW@4K?CM`?xo(_p817a`mI|&V5oU8?tyn zbIfrH%h@z#S2(Qw@#RB{(t^SnVxDHx1alaq5BlbGEntp3yR<dcRh9MW5f>IQjfug` zVti2@F-`&5JfMkdhX+jUkqc9PyD)?*GKqEz6ch+D^&C@Re|~IPTbr97ui1|Sd~r2Z z6^CZOWfm=%u}M|>43DEb8;9A2845dYCJ4lwSjp(a!*1kaEH|TYhM}7DS$3-jKOBy; z+t_@#DDmXMof}s!oH-HVz&wjLD@R90ZOzFtXBH#d3xYxoYa){;G#4iaI~ywtGk<2P zGo3CrO?0Zr6yeE2lLRN~$hEU8`trzpexl;QZXRI4c;M8|OPOFdgC^TwbTRSq8_kjs zN^tYv@HXY{g$-68HY{-2;3m~9Ge>&1)GW!F5)wMy;+Cd2Hs%(5e)*6yf1B)FnK`rh zTKM=46bw`j<jhOpUT>kWQ%P&*D}%^DPe)5bO+`t;j~TKDW}RH=$nM1Vp<qEfvt2La z<w-%4W*k<Ol@u*paOmN|R$*tRo)?_k=JVwgTxygS;VYSNh;b@3%+lP{*q*UIarkj^ zB_q4Ni-V(`t<A%;+ZIm-`E+rkc00S6KM$wDEcF{L77L1^Z!)m!NGoJ5JnQ5nBX?qD z<Anfr7ikewHXm;<&m_L~l9Uh^lPw3&K6GRiac1&)!D+Ub_fCvS!RpKh-%1(G*eor~ z%}h;<jSLO+AB5k2aLUz>x5r|Gtl-TF;3&&=R|iLAni{hLAAd?952wLQ^&17!4tL|s z0(KruX=si<(>}3%j?@DwW}X{G5*s>YRZ#6#5h-cpv#{y#G*mgzFfSo^*GUsL$sK1C z9=J6(M)R^cfE>*@B`7%|dJ_Y?*#xC_c7qw}S5jne_^g=C5aaN)Et!!|CPp!s$6r^S zF{PLBB%3OO!O8>e&DzObij2=bx7_Mv?dX$Y<}P`mwc%i&cEA#)bT-*JR{}I9BrUzf z^|^7a#e)Zj79@Ez7}_q}7St#mCCX~GATp4F%Z+iWMDPRV=YQ62$hq+7a<J=@2fHLB zg%WK1SL`fMQ2wQLW7Xr$4^{~+I5E?4>gjdcewQU^8|c2Zk%<wrYGavkNjOViIws_9 zLdl0zhgzw%2dtH(EsY;MXys5lw!B?k#`D30RW}S(4&?c-IJu)@`KR210}GX3CEV>i z`E&Bjg^L!hg0yW{DY8#r)1}C$X16eH@#_ya9{hgr@fq{W2i%ETLKo)POY`N$xLAOb zfLZFJ2VIedDhJBu1)N?u%W&!`_s0)DS9Eo{oZ~4nyD;%q=ccVp;FQ)a)!5A4R_*lG zOx4I#$@LN!L&D10>s?MAQB-VU;*ps=aWaE>I0pk?1vHM?vrZ~1HZ$>XZkVHfrOL{n z@t2>4*Hh=j6`~y{W;*tt4}SZca|N^(=6kvFh$7=+kmAMaSDGw56n}+Cta==M^Fi{% z<*E{v#xE2&R;h(Ze}ZKg84j%ij~yEroSEJ{<1}5geD*XxJ8|_DQr3+omc|bnI#!&> zXkHwa5EbMlRBW+Cd9j*mJ4-jWfy#ldc>&HvK|d`RI`@gUUHEvgU^173rLn`S1#QX8 zqWWGId#pIX;LNn<8K>#Sm))7WCcb<yJ8_wz%Q>DSQ9;^QrbInVU1^9gR%wy=c}2%* zjBPA80&>I{y4pOKwl$wWw$<g!-7QXh=X!m4&-VE6oZ<el_eoYduT?@*R?~tH2jaP8 zgp#BUR1T!ETxg7B*!O6nBUh3pr{(9VXJ@Woa4yLErOwu#b3w14>ufDM7qt4B&ej8) zuLN0ouU)mdW#$}JgU?ep&)htxb&e{}E1g91bWVrbRNW^!=@#jh(w5#c-s&VOq;vMz zbk&s0>n>-A%PTm*mtm<O;;YWG<f*eCZ<=54!Qf<ut&Ue}9!Q;2WL(DB#u9NsI4wxG z;f4-F|Caab7OThKJy%m&{7ies<||z1gS4ONY|T6ONvmwy<BDmIPeeW{J0}!gJk4U- z<~@fjP8+_^Io`WjM*Ex)`$L_=N0En`&IyGTPE)R!mV7$$QAv8IvX!^Yg~&%0=Y*CO zO$(P!x3ru4^hU|F<aavmi#E&Tr(52e^HidE+TxRueRAoY%~swqZ*{Uo(=B(;efs8! zPWpz;EDif?+G@(BZGURnd=5PmnRWME5ce}3_obU<`p*?zu{=HTnT~t+<|C?epN1Zf z?6XO??3$yR_f#j{Hr;Zy#p#bvbdL9LmN7jiBwjjg$Fj{wYSS&fEl+EAZ<bkou4szY z>5tEKj=$G&pSJl(Z+fS+mG_<Vk&g~-KJsvms^2r6Y_W7smRi*_&vg<t(m9*wR{r_% z&Zz3s)QdCMyPXT-E}s^q_MG#Ggvz>C{3_>O=&Vmjw+x#5bVkXv#TO&{EYmH|&3W2U zG)?(L<fA3$gq9aivzWE{NMm~C(ZXrr;^~%5bDv7gd-`Ios^3$c<2{>YlG8hrt-NL4 z>7+l5JQr}TNX**%#`(xYLFa_TtWQh5(Mk78m$TlNd@Ax$+_}$<z9t703au@rLl(ZR zk-3l~e{roL14F%RqAn|+%pyj%zO5%b8CLQwV>qA}Vq$Fc=Fp=9l2QpC4M%Mf%^r1~ zE81dpy6}~b`$wJQi#E#?o-3MSc{;Fc+KxMskBZI-nHNtpp0|0<$#X(%CDROUM)qyl zd}QgIRNb;^o0l5*OW%)mJ<k~OWnD(8T*I5)&0m@4mDU_xHIKRD>pG88IfggdeSaI$ zCE^QLJ?{#5zW0)4v2J<T?DA_;rz_JHo*(s`E55xj?Dnz9T(R`Ek#o1Ym0r7bIWpTj zeeJ_@QOZ`YeQdPfywKTNlHU2-!h6BDsiu9K=e#{v#8x_O^PR|ZZRd)%6;9i*cr#1i zKA{&sy%e5nCrYJrR>X7G)THJ<(Mi`!=k&JnzHvH|$@6~e>NQu-Z7M73JMivz!|Il; zb5sL9wjOw^?e?`<boM#zuIHk?=d{`8hCi`fzoIy9<Fh?VXDVH%f9}$JF6wzs``kJ0 zvN_>LEY?d{=dZBNmnglr;pLu%756T@*pqmn(s|zJHmm2n+2^DW%`q>r%%4$oZ^Dy3 z58v!bJYMPC^SN!~=cZksn*yJ+&OXQ8_55qfx!1MNzlNQAJ#)_W&*xs(&bfZsVtsgF zT;$ct)Zph+E$4<WD~Xf5RGI4heCp3R<_}ih{ZjJauwI~SQJO)~0_9twjE3A?G7`zm zJe(WmtDjkuV*Y&U$vNTQ=7w)8iko?|GWA|%s{He*nRCL!3a_nQwK>msZt3ZlYofi< z?>bq%zIGvUd(F9Bsn)NrosHa{a&Fhxxuw}p*F>wO-~DuM*Vn>py1kq8Lg$#Sezhht zIQ?vqRra(?k((!+n`K&ZO=te*w43K<l@?#qnYlTwHvMdrW%jg_k(>8yPOF_`>iu|4 zq-grx(7C1NrPt<Ojx6^|zpFU6)V$={-1Cv;+cv+;JGX1H<?DCWuk)U+v7Ws7-JZ?w z?9T1FZ1H;CqcuraBag1!+~t=pI`y2E(%euPtJN=x!!DMEeSEfN(V57j@7Fv!73n&8 zbJxAdqy3w^q|!yT&q;;NHJxLX{i5Vrz{@p?mm&`@+}viD&YPRgn}1H~)Ev`4=cLxn zF*UKwelgedk45&1!fP8Itx32Vd2r?CCckvnspq(q=8DQ#X}>5AyHFPP;n|u6XCe>2 zU-RHpq~qkxP4^-X_HS;IN@vwR_bPPm)!A07pO=LBzg#1CDRS?^%~f{kUvtvG=AC<W za?aJ?=U%OybJf^#_4Bz`e_L$kzS7^#CBW|J;&kWGCZ%+?Fw+R#Yg}C7G6@U7os3tZ zb3(&P!){)QO!Z5jsyR2btt9N`g~(L9^r<(`Y3;UL?elESruS<$P2GIT=bYB(b6USG zR{K0&v#D$IomEz+*RI@rr^xEG?$XV7oUBf-UAXzqC(F~iw<GiJ&UrfROk`ed`p&II z)1uYVcRro_^xE;ryv#XI!;VI#h0aaAb|o^+a&GFhOOa`cb5pNfh)nxACw1Ceoy~dY zjJ%)eY~Hu|OqAtmoynWed^%_J`mxStlXFI^AL*2brth3>b$agQ$ahoD6}6X4+r4n} zou6}_o-3QSd-mo#C+9qUXMOtasmOOe=Zc=sefsXPPPtV2&eay)8(--hUAb9g?zx~4 zD{sM<I!7077O6cKv}NwnisEUD&O~<IkL=P;*PJzH>5I8be-utzbS$z<G+i=mZmLD; zw1t-=+q}{xmFA{eluTQAKC*4wW}f_WMpG<Lf3QAX@Kncn@@AgBn|bWd8C|hBz2TA0 z!Bv}CmY)+UvGNXhspGh0GfVq9p)GS&D~hKrI1|})Ke9<XopaV4)faP@hL=vecO|mQ zA^l}*`pc(tmbw>DlY6UU+rRmX(K&BjS!d}B9W$OT>j<|p$YQN~ATU?P()7jwW<f;r z9_LzGH>u{I8+5e!axQ{u)APb<Dxli5VamgEL2f0}HqGBWW#^owI!|>{Ri0m!oEvUF zFPyzJPWEzT>CVrO3Z5SooGZS)B<{}n%5>T1a}(#3i&^XYl*dI}sZ8{J-rAYY@uo&G z;d86Q8F8kq`Ya*)1T=m+HGFGrI4;g48P9QIAOG(6%~!rN9{R5M@^`_PT7h47Uuxvb z_VJtDZ=dnr`R(ropK3LJ+FjVkFL|H+=JdqxsS|z~Is7nEsg>;8$Kw>=Hc$WXx#<U= zofi0<x}sJxWFL=eJln152OpoF@G*5lZT0uumHEy;$}Vm1-*@-(+qwC-YpkE$-unL8 ztaG!4&(Ait%GZ0b$8z51XC>!mueQwRd$z}N`sZgs=VmKg=I52&i#c9dEb;v8(R0<8 z=YAI}y%&ACGXGI!zT5M?taHB~E50}TTxGuL^SuY>e1BGSFZxtvzRL5xXU|o?ws_C` zc+d75mG*Zl_pkU|=llHck<XV>&Uybn=N)aSfA+~9llObJ^nbo&a?X3TMgE#sdp558 zd~D7+>0qmTo|k(zF8q9~=A5EzkhG7lz^Y>nut~{m(8}k8xC85-T{^UB5!0oKO3T?) zRMlkXoM{iWY-A3PF;qD)ZJvR1CgYJbMzgZwdo#{dCf~12)_&eQbB_75x#r&r@69+? znJk*#8&G<UBRIYHLCG}^uk_xAl4~5!>Aeq%uW@YK?6$))n`P!^w;$)E5}&RS^f@PW z&^o)Nf3w>Ki|m%J%}JqiMYCV6iSSE58f2v{b}4dW+qtf%#bG+PBRA%q>ryQaTl0QR zgm(H-BTMaR<zZ|3Hz#Gz5ncUg&8}6OUoAVgs@Q6^-^(>tOE$l1JGbhy<?3@~VRz3) zmKvpBtxdnWc1~z|QP|w_u)D`2OQq7UhR!h!FTHl>N@THL`dQ7nrtKxy?p%m0wo5;I z^W3c6mf3#K*6h@uQn2Dlvj*2;4@IdaW(F1p4h{weXBia%KE>1(A+F3K48oqTI&`)& zGEd}SU}9ikaAII#U{GXW;9yW-lUV$OIoUN-hmm*Qi3PhiD&!UIV=^yR-M4b?8TOi4 zUv>+dn|<$L;}TZYzIb|n-I<i^9nGJ=`qbR|`hU+)>y&;Dlc+^cBn;j+$c0QXaLkI5 zDSgOjv1Fcy`E$kxhS%)YZ~B&*m60~(@rt;@pl?Y+Z(p6f;B!v=<ed2ulP~sjmiFIx zAoou<{Y)ljin}e#-3h7d*~2p%SB7p`Q~obsDlX^3mFnsZ?ChJS1X&kcu(RlQv_3Y) z!2DRy!;lQM=&o(&_|ue{pM4jLb674`dSQ(k`$wL6<>8i#C&k?1Ogi{~+Op^E>?t}| z1KJ)jFjX9E_+`S|Yg2RiWpetbHq~>k%4vENyAOY!KeNGQ%L=2Oi4NKO=Pmp8MWtBY zZ_)M}#&=vypL|}=SH$^l+x2%68uOPe43v4}HbqrS|NPN!r!6K{_f^#`6h8Rt@vG!u zrYX-~%AamgDiRhrvz_(*Q@f@^6~-1RQinSfJaiL0gM2a;*)&hdQCj>`W|xB|%Y(l& z4jmEsHCe;u{Jl$YAIf&IPF&b~?nsYSbCsw*Tc7Kr_ZPobYH_UGsQKYR;YNdda+}tw zGCFKXIq-3Qbfv7*!IK-xW?yA_f9$UDL}p=CPcM#@a>rSW=bjY##<1o2h1CID^Rh%F zr7u_8Rq7r)kXjJqcPPyKVdRf_&o{}<c3#7`>3G@oxV+gq?FLo$PR1WM3jY6m-}8xF zT{8bK7U@MtE`~75u_XVK^ol$hX{ILA*xml9;mC4N`~K$jjk|s_s(I;6v0E;|e0AlT z`JK$kT$V{crk-<UUSP|AV^(NyE6=M-m2Rs`mno$@u-(q1!WGzGxP*U?cEB%_K$9<m zs&%O^I1{Z7p1pJ;v&SIBxbv7uVE=nx{#c<DiT^)uU3zoRcz1)*9Unf<JnyKRtMg-i zWgS=F?jQV@h3ndF+ZQU0no~VnKR*jNowekqp4DUxDTc0jJKotgsyuXWS#Wv}Pq07V z)Na?Ad=*Bilb`!7_vH@~`joh+=vtuDeB<v9Pk+RO#j+kqFA~ZKV%Ya>Q^c}M#r?NK z{gr2C>ul5$a60l)V~0g>fY|@rA+5Zh%FX9odOXQve@x>RUG;oMh9)^lW`|8DnM{_+ zom;SCs%}*2*M@a>-&iZZIH?>PrM7REA=96b>l*|Xw{^wI1n-bOzA!oPpNn9aMBYwT z-L$Bd#V2pOrsO8gdUegxB>K;RNaeC8&x6IE3;Erx@w$7Q>sEeSiC{pKu-_Zj*AG9x z_?Po^!u7>j2b^7v)6ZD=Xq4`pD(v6xe%C76e9fkXSH6cbukse@a=E!^vs+xL&yEGI z-yB!XFkZ=P96hc3?9SY6{hP#1Brp9m`|0}k=a<cviyST(w!8JqE<U^J@P@kSamV%S zUd%2&J;C;hxZe$Kp{lw`s<z3Yl>%>k%H7V-oVjtr&(oVy^gmd9+AeV@M{-l5+~UtX zPb|Z^=Ph*eEZZ}sd0+69KDJfn4xVmiYIVj3cg=cuM#aL9PtO0`2CcA6iQKSQr~Sf< zer{GVPd}xqd*vIGc-m<Z?G8;gUB%lPp_MPs9c+EXxMb7TP0tn@C`za5JFGYv5XQrq zP#gAi)}^(x4l9_<^w3CG>ufwY;bx(6|5Ux~&<zfnbC=IqYBz6T=^yF7o^LG@hvNb& z>{3$p`Q}{7eKMEft6tEoEn-p<4}-#U=I+07xo#m}0#lONi5sh_#{`-H08d%lhU zGo`=h=B{OBwO(nIc|z?~yQaeeoewc>iUB;E)-<YmWk^-oJa18#KOZNs+tGf8k7?$; zpXtWYe<zDPtv;RooYQ)8RE)vXXK8zHG-`i&SvcF?`{|qG$#plmU!8j?tae;9$@*0g zYlA!EK8DhHGaa{SWa)T+V~Y3vcS&)}th`*4ncn*(Ic{fUo!c1oBJ(Y)&Y681t4(Vk zZOgfFbn^T{xp$8b6_mxPPv?HIn4#j)&yLTfO{p7BOf$F~U_R|%<~b3rwcCR&H;K<V z`Er@>N{bsM=9>d&IapS42{(#=YvQnd+S&O#p7~MZpW823=KK#azCM4}h2tNBqksNc z(7MIjV@^eHRD<8{+5Oy2F=p?&_c+Y`I_JS9L&5qU<#L6^MQ^s9EaF()|EaHOzF*S< z#fak#SK>ajt?p-)jCX&u_=sSt7MIDLUELO+0ut4?RJc`5nZ4eB@@Bc`rG{4KjV{~^ zBd*-9;^R1D^~R6i_pN<ftbKA<)1O1%FP`Ah&oxq)n_Crr%<kf42f_41n;zVI`@(ca z#7(A2v9YF0b0#x?EWW!;q{Hm<W!DG%75{dKI&M;^Z&kIFYdzT!SEHKWBX&qZJjbXi z(Mj33d+m3Zg)L4$xO)xw&Lz(Nm6DcIAozH*+1INt5@t<WTydt@_Q<hsGAw8BOQ|g9 zE%9@7y0%EVV!q^7*?-k{cB}}P8oSJVz61aLuT0%r?y@a;5Gd`sT(a-ejTziJM#;B! zYQJGESpP?GqO8<L0kciYnqBp>z1usKYTS7=?4!2t-z0v*?%SNSm*-aI=lqe5USOPc zJ>Rl(!czy)YJ-B7Aca%ACZx3`na@*_VLf>HsQNL@C&z5}86Vo|arn~vq^AB^$@k+7 zKHO>7DSdD^Y02&WuU@wzg8wmI`n03q_GJ;{+4JW`hbi_MFuZe&vCn05shz-nxTa67 zo^#<w55?e5r=}^r{;}svYIttStMvKK?<0KuPUh>`e~4Y(log-eyUaNI;s$A!6kYEZ zMO}&owqZdxrhk;Vygou;CtKiJhKWyJZ=C$z?L|e$Li6C<kEIDyEW{iSzx6Da`F=f( zt7dV=mfo&c*EGaE7r!_sDe8Ml!`$6O#7jc-ZB3xwCD)7dqpxhZk+N<X&%NF4JgJYI zqr<Mdehuttin-gSA+UM!&W436Ck6hFnBAAWrO=v(jalH=l8}EVg;s3mJNsPi-D&fU zw_Vqt%#@t0@+fUv$^Q2fU%wBX)FC7I(~9LdbC|hEG@qEx6Q!Hn3-W#{cgZo`ak;i< z?zQfQtZPmurlfX#sgdys2(fFru-TyY#OHW3`K=GTr{6ahD%^j`^)5r_k%!_db~e=? zsNMGX`uuf#J7sOFUnJh&?{;PXx_{Z<Hwmn$Jm)<3pje7s=kxXaYHQ@SzIw#1&D+Uq zak=2*E6Zxp6&nt$)ijp>I{moU(UkTBC%5naSNr4B&+Y&9?f(9H_xyJM7vEEdc1Fzc zO|Pq%dC{X#Vqf9Ek6SVYzVis=)pxJ?ACj&*jXUloC(j1Pin?UY(Dv<07kS;7x9oLL zs=v4IN@~)v_(bz%^EA&G7`r$t)j!?iuYG66(q-FkT)t5F<bO@zj{~uDH`o9D%@A<< z;r)O6e|&kpd;a=xtt;Xam=`Vn_qXQvf?sVyEFT~BDeu%^J0r`kKWC@mpZ9hW6PDO} z_1(X&V>=}{<)NIYGxNi5Z)f~^d$sh4+m}TOeS3<MFMkl<ut{9u$_ZzQYi2Euww!v` zW6Km3ETq5i+x`5LSW+zW^T+M~;`8fjzkhzezFyw$>cT?%4^Q8(e{Wa!^UvGg{rz@J zN<AX1`f8?^UfMZ@^Q6m+g}*<9FMXjM^!EkVx`cBsLQ7t8S6uA5e0jt4mVEsc9!GAs zp7Bjd&2{|dC}B18SJyp`lOp~ltN$OF-v7V0=F`vH|K;Unu9m(#eSiJG+L}*{JGMQx zulx1&<Lj2GwRT!j`p!WQTa#RNmmc^aVOOWUtuC~*s_}^dYu~pgpS(1lil$U4_KE&T zi2GP`$4vkGA~PNSS<532FY<lzw&Uw{u5;X{5~s{wP=Af7uIQXprzp?F4UZPh*P6-T z?&#R;G%=L>$%@Q_{T@zoR(FGq_pw|L_~n?$Rm^jVp`2yWH3O}tz_TgddvAUFH?eA` z$xJ_op5Vj(Ze^|fz!Cj5J!{>Z2jUjjD%a`OEuU|;Kqb3CUtj%LL{L!5z6B<&M-4B| z`pm2l_5GmX!FQ`$4_jS*{A+uLd0|b+{~u*y(Ha)%{XayOtk}Nfz;R<iiJ+a2vdT`M zn98HI$K}tdjUMva>JHat&nn_d?O~F&KKP)pr&;c3UfY6gMyFG_RsVT^ILBAF%PU>= zIn$k>!m4P7nKxd~%h~u;um4!Vq>foRKYY$QmOabk@~%C*Z`+s052in~)ck&?tfSkx zMu&M)+L^Nktg{q*?t}*hNH4LS+J2u$Nq9~4B;$j7Up-jNR+sZTu(P{(^6aH6(>ts> zUponhojk^vClSs&*Z7d9`mCVrQ@>2qmCrY<iP!Ko;pT1PmEjAYu_*7){(Tmw{#sTA zi5Krb`hcY~TPb+Lgp-K|AGFtND;HGw7|vXoUS#S!;oNpkzhe$Myz%PGynMVGqu&c} zOuO?@Rz^ekt+UtET_>+Jn|mpV3;vO{>)q_IdfTf-+c~6X-wgFw^tCPFVD<`yoWGCC z!}i|PjucegxUuOxXaC$A-}rxs-7xxD78!owXUyJQYYEAX9xDTtl&T*u5||XU$IZy* zF5ApiPuKp~F>|RekM8PqDu&#Zm%7BR$3`_gU!9vTwSjT9>SPl?<}H2!cbkg-W_y31 zRl+_mF|PB#`p3ng)y}NEX1!BxOL3G`id<yYnPyclQgq%}u2@v+$am4O8P2`tXZGoq z@$bt0_jE<g?Rk~w8F|(!%x71NZNHrIh}rV&)b?ey?$Tagel@oI<Z+qdaO`snW64CL z%Pl2Ox88HAWT?FBVZGX{;)qvznC}IS0B0Y;3ds-iwq5B<KWbRxw{$VDK(B06LW`%L ziSD#FQddqs+S63PdSLI#qRFc)J6gEEMFyP7s6XFQ?bLC1+WuW-;e0)QpK@BOCk0ik zyp(>l%=pOBmtQsp+$sv&rWkniqt(?vHU&2283)2<?wP^3IHU8$ngfZOd4KXQZcmyo zHmAI+qvi9VEw>szbqgi`ce~6r>%#=q<{npV+XvUxJ&yP37P*xjIVU)YFI&7M@Ep@Y z>$OUEs*jnRoueS@)jyvxRL-u3dDWiRN%9{To(m|vKFw&e@xqSBJ1(|PTliVcdAY=- zee4Y<!)I^KTdKUw#9+1CLB4&`2|N>cj5CWDxo%}kOl&*;zcuRb880z4vFUx!emzbp zns?~dIlFvWf3tS!-)5nAex#ZOJm}jQJpW;&U-r4;+gGm6S+nH+`z^)^PZ}M*>A&Tc zYu3;!V^f@OJ%Q`&69&uRx*vjlXD*0L6o?VhTzB@!Gvh#x8S7YQ-OyJ{{Z>$T&qhmC zI!yZQMNQQu6HYz-Sf_HPa@X(EHQXQaWmd8)#r?Qt^QL!lR_RmM@N3GlZO%-skxbut zy!h{lbuIh(Xw4*(d8>~9%J<tEtLAzji)ohHmBQqj$!V`QcsxjbR#1Da#&yA$JCj5L z4op>*vzqdIDzm%Gj)r}gn*L9zNDIxpcJyka+Ame3`Og*i`pr*Bw4Z&np~x)$@6;_5 zMYc+8`y(OsF?*JS#yiVtW>s1J3npZUKU?#AmXcuKD!wIC|8Gg=QMk<>sJ(BM<lVC! zM*sev$XwR5yLs8yr%#Q~GiTkjd*Afj?C5O2+*K1-S09jlzPS8@@wY5jnfH9c%Ku%i zGN!!bPdjZHSj~8<%Q^K3zr@AMt@gJR1wLlA9^5)_ovvTgN|%3<5`Jrc`oG^BDD=O< zdG^JS{MS=HmF-GZ6j<G5Z73D~)qm=4D-rEilIM6gMAcOUY@6gDzbZ$&i!1K<V#AO& zoxNFW--pILOuGI#S#9}Vwaf83XD(R&oFH~5+e~}08vlCZK1O*yds)|$JC14ol`i@k znE6vJ<K5cZ+q%O1!>X?w@M}n^Il;JEn5(-nal#&UQLQu9>FiTe7adMn{Q5nYW6XYT zg+q*ej4x+yY<|wYv{a)j@$Bs*Q8g!jDqZ{)RyA>*MMIDCttC2}5|1<*JT)r}{&w}J z$<ObRzc{>&HFCJl*PIdY57y0_cYE38In|HUcPHMApOJf2IW<<0XD2U1%<K%~w{6cQ zl04g&2ZviRv^D+Rd~fY3CD9$<HwtpFTxO1slDC$9Q2Zq(f|c>BlTyq}*Ap!vO?w?u z_|N8uJUAJ_wZ%7N#($^x8zk!OH{SEsj#^+aeY2Y(D`(Dfi6;jxcJXh@Xm5GCx@?2X zSI4;v*9*kIahUo#<7Ut=qqaYP*&kJytUEPJvFMmt-2a1f=l$fVdy%)GG~71i<Q2w% z(o~=6m2!zo>t>(J3AwZ>d9}$rg&9Hhz9%ZxM78=Ky<q-wx^AD0#qE;Pc|~~}-u@Li z@PE1GJ<asbGKJUFuHN-|*2r;vhVYvg(r0yE35y-uEn2i^eTduiEXnB4MoS}~v?xAa zKBdSeEloZ0tb5PRxh|>3Hu)|w6-^O6m1U*hin1>J{cFQA=gbmK<2P@(e$M~&q`Y8J z;+!pKGBt|2d(#@~Yy??YZ!U}!wO{VQQr<Xwrse)gGxqAvKd$?^fN{2o<7%s7AH#Y^ zhZ)X`n%Yd(@W?vwZk<{1b)wUEoeN7J{Jy)fsBGVkmsP$uS^vG&{(qzXK-8nh2Mz{v zZ;y!Uc>JcBd9ycH>hIe^Ua}&#z1*j3cx!e?1hN}(F6(GGD6!`0#4qJl%xlZ1eZ1f! zu|d?KQz3^-?sN^;ncGw9jHgP9n46}}JJz{;<+Pd4bA$8!Qf|FJ@6hwp<YCiuGv<r3 zjFYVn#D$1&3T9Zdd9At!i%i84VYx@MvYcaW&x<TK3%*xrBzxe;F`mT<k2SBGKECN% zHO<)Z{$Jx8@25Qb_swI`w7)@z^D@Q4f|RQ~zwur%o22OD+juHN=wD>y3kieCjSn`c zDDf*MaKyA7I&83mhqYg^K+;Rj`9Vs=Bhv;3DSL)TDUFGLjRdT=+x_<lt7m?<=-q{| z#OE1Pb^OyFW%{L@`8>bj(mRu<bNkHNyLRVzy{<?#OF7hU89)1|@Vu;3!AEVv%)6Fm zNJY4`GHOp*_+jE3^@Yb4sU=-GdA{*R<g8B)>1O9eVsnG;`5MW0d^pCkxbku2wN8jX zIP3of^ZqfqA}v&sIImV!{e)Rl52IAVGTs+yd)5hj$$us#nxQW4_e>(tD8<?)qg|tC zxqZ-mH(U92IlB{<n=x&T6Jd?-j(_%EFDjj5;s#TuSz8{kf33^cxmavsvi+r7Ku%uQ zPm9Xt_o2#%99VeDI(FWRTzhz}O7U5_$is(atSf$AFjy_KLvK~I5BJ7R59LnJHhNUY zC?#mwx|2bu;I~c^$0DuZP`iZ%7p^wX{&FQ`^3CZjR$uq5-k-0=aa-T`;4cQoL^G97 zk1OMjf&)WX`lF5dqAkCdZ@6uEcH<+x*^e~hXY0=XVAP(ScKpb4zmFk~{9$*D6fd>) z+Z<B#zQ&<HW63X1hNNj~JP)_{?tC`$Y*)12Ovb9uGamo7v6)*~Q~6Bfd68Y?oWCrK z=J*9)znQw3MQlQ)x&GVI2Q1ycKiG7{y*1G~)%v~MH2?6!$vtvY>(6hITUE3<fyZ;E z$J@tBWj6y~>eR(FEuW~r^J{mI_$k@{&wp&l+h1T@+0~Y|I_Q<c+3%tOmg^1g6rHYi z4m~$3wr1VKjVDgu{`M$FtNAN`;Fjgy=~5+&eNxVx;ZQxUDXkwQeV;GTpf%>K*SGbt z0kInvbu6<snzZcauM?@pw=2%=Jh=4#<i=;_XJ<c}bGGPG@$Adyn~e^xnv;Ae^IQen z)K8U{%zi(c({b+COjdKtn}UMI$$A>%uWb{8;*+hEXWzT>eD0S>^((2LeG0RTpUzdk z%-EBE#(>LgUQw*^yx1k_mcn1|+Bg68%iI_I<(5m=v6I_pdtZ-yvFc`7`ZBed8=PJn z^3IrRw_aP}#MUdKefdXUc`h*Kc^SDeYm=>p+hV5E`$f3|uU%gCVe;xphZ>DeO?<sV z^~>7Rj~u=mwaqzv=A^Eqxa{QY-&xr=OKK$2*cTg}vGHGXR$NwJY3=)E>KD1#PgJNx zA7Pra$Jld1ONjY9*N-Qz{cE*KJsl)wXA^kqir<{4DFQElaVA*$ZC<xIJ-GAD`DYzw zacKqfZ_fBWBhtq6ZH$dQi=U-(#IAawum3LDT;bEXaz^gJG<nN!E4@q^7&&L1ZF+KK zYWCErx?cnBeqX+{Kj&Nf)?e+P7Je_^EZiqxx!key`;MtBUY;ie4jwzeDX+YLjrf#C z@7m^kYrfh-_JSF;4x!DzlybB0+ikk~Bv|gYWLy|eLu<>WyG6k_iuN5$cPpt(+|Fhw z*1FFjq^QPq)eMPc$+n)qecrvuQuY+Cy^`>G*^23th3>q6uI!dKtK_Ni>~w~7Gex~Q zsa;jR$?G<L{UCDa`{y}tr=0%TWBGgXin?jftS4`2om=>DO3VMWE|bOWLOJ(X{uYHb zo%(BYY>w~bbv0?9T^5{HJ90E4El@w-$8?wcJm(t~^KQnbTh2F3uNS(t`_i1e_P9IZ zx2Hs$l`~HI_4wFdWy7~O`aE^^Fg9vFm0ZDc<n5K++wV>8y>?Mw!A@K+_uoP7%afEp zU9xMEZc4k;+I5qIM`Ps#hU%8zb*f$c=@OwD<#E^Jwrtq<WUB9W#zf7hhU-|4Ja_Ta zR5e_Ge6r8AT`jM!3A$c3<-O@7dus0G_@hNrR{hx9;J@mWWOOk@j9s<&1dg1(<q3MT zRo*PF2!Hn@e4?mKC5MOhOw)p($G0atS_Q}_AIW;%TX!+e@ZzluS?<u!6N^8$Oc&9T zew@GQ+;r2fPz9r7oflt8|6TM~Y0<8WR?|*qbV#`=?Rfa;Nw-u$;e~v`;!mfxWzW5! z%q4bKGk)VQC7Bvd^+j55_*B-*id1)e_~Q_vA-sK#oeh`u!IrCuK?3@X!KZ51o_OK; zbb|beQ(KZYxb$^QWzSq28hKmMsfYQ9({v`;=}dn9$1Vu^IYc#>zHD9*6MyT$U)|$M z1xlO$GcS$KeD-k8Y_W%F$67CYEx9fo5Ou~iLZVOA{@kybj)v1TR`7Gknf<subLl>h z8@r{-UT(NL`<CnNNg>a)gzW?u3+T=YDst8B6mUOL?z&a!$U>(lU0Onk>o|&BIXexi z*R0bBYgzfY>y}_*H@9^7m0phMkZ_S+j`SHdrmBy-W(g+xcCB9)7ZYfpwC3Q)t5>?T zyIfmL{rAoCDs)}h@uBVcYE9wrE1ewqH8rNHkGiA;6TPRdU$iPFFsjAE`ubbZ$bzz% z?dlU*m!B++7K*%dq%_-gn#is^S0(Rl>t;_lajEIiu8m6Gr!SP=7L1IV6SG}<;!?LG zrHch4vv$2UQ{HrC%R1?v6Gg#~c5Up?F?YW1>zcANu;{Lz%QW#TrP6|t1py&D^P*ZT zY?j$fjuU)jQTWNHN+@w&z$c$5p~P!H=6SB=n0&%`rALddW|Ph27MB~Fs?;_r<y0<u zJadckp;>}v^E}O!)_AU*=V_v}rm(hj@<*Rpf)9H;*XQZa^E6P}bMWKU+@r>wt}W)? z`*tm@P+QsYq4oLd*`4W^IynkMYD$Ga`bY^X_Ju#4xx}@DIrUWVw=RS7IX0>ON@t|K z=510HeD;0HR;8SW9X6@1N;#aLrf_y{P(5WnIm};D`;e5-eV<Dor@Zah(00sxa*j<Z z$SI%Bs$30rQ$8d;H(%{!#i=xxip7s-d+N_Kk`TNnQ)`@gH29d(A#t($K3*TEtn3hA z|9VzM*#BlHM`6T$AEA#^dOHN%PnoBN&NI?I<YV}hv)Xlr#T=VNe<dGP{~MDyqAT{P zuMth;o~XXYqeVA(pW{3OMZ<>-mnIi2zy8Ee|K!|z6Rr0umRC8v`?AM+uS|KB>ANpM z<yD67zQo*l`SH%ng*z^57cLinbgu8z+__V%cdM0`dA@tocjqSW&OpJm<)7b_Df#%H z+$=4acy5NsT}$gjDkt7XOiVBDGDtUmH%E7;?C;8=P$i%D)kT3yKK&;qM++sM>&QDE zaHo&4vdBZpNB_iRZK1@f)#~gjhn}>&ds1LysekB6h<~2Op(nf4-IWi0DY4lpA*goz z^AkTC%e*6#H-CKM;xZ#@vbCwE|5~q>mAaK#fl5BQCxerP6024%|K>VlUeEF{k;E+L z@+_NqxB5APSAV=x<}xGdLhxq6#47!HQR0bN+Ia#d^Jev&m~`u0aJ67!mijy?twUGb zY)t2JT#o*D#l?kV(Mj=^Da|W{d=;k2xJ=1ln)pOvhD&gjCf}oOotHB$Iu@OnWGq>J zt}5?%P2TaaJAH3uTqc~DG_Rxig<#~S)kOm4O57ci>=V0|y;&yH;-A8}@R354OK_PV zpOS0J$-dI`>5sR~{Iu2b*R3u4%$)fSxtOFrzk8GSu36wdGw*$7?)%JK*bBRsy(znM z^XiV=IW@TxYI3`3avSbE6ZO5Rx$|tfba=|iz7x3}F4NvL-FfDoGBNT~YvQL;#$CSs z8JiMo6L;jB6iLfmOxgU{%;{oE@nf@ClbaWIob@-kd1i;Nzsb!5J9xEbr4;Scv&-8o z>}#gA&*=8`*5kPzE|Yn?Z_inD^4Sq_HnXOV?$Z}CCuI4onP(8zV(MfyZ-cDhHJyzg zTkdvzU~7HFxmfTaZ-4%&6q`h2r9Fk8d~bHE^SHI>+uonDw&GBPONa5J*_%ZCXLNBC zX4M3zJ>s+$RP1{_+epy=Mh8c+aiRK}Q^Lz!XBaQDiC)if`Q+xWDu<@YsGh$zi6c7X z{^qM)8(L3n4pliMxkTaov<V#932Wx*hP9YFm)JyGEA6?NV-szzv}fW+-x;rNE)sm$ zd(k}6Xx>^$!F_XnsJ%J5nN`_o&Yb*1VK&h^N=G(+^o{6B_jYZu@Yu&`{4r;4hrxE? z@<T2*(aK6b3$)Hp6HD}~To)&}Z9>7P7~9Sbt!<N@XL+{h-Y>q|RnT_IJlbX6Y9+yI zKWa9MKG`WGl*l_Ve_PmjQSC#sFPleO&08%ic<;=QwA06mOO+4JR=%IJa-O!7;Jz0% zn^hn0oF({>_s!}Rn&(A(I11<3gzG3B(fp<5b+UM=(xE$Bq66<2mnt2~aeT5<N-%L< zlTCP3%g(B0ae~_pT=?i?+qvQBG2^W&hi0n9yRMwKGOWe)PI#cvyp>9V*IxY4dUe!T zRprnujd<6!70V(7?``;@rFGPJtMVafg?QJQ70dEmer&20Redybkzk_FIrC><Y8QU0 zo%yM@@>6Z%r&`BPwU(c16+hJ`*2Y)V#23`WXVt`q+@CIaUwnSP&Z%Msvs>b9r>?8M zFbQ1L`Rr?JVQp-UU$Ka<iT^$Ww|#oLe$6VgGN#oecI?x;li9PVbJ^F{j~`1fTKWc> zTon0v=);d)Pv$&xH@V31^AJyM?6%8aI@F}DiL1SkPF#La(AUIzpMk@Dj;AJ07gLNM znZ%l0yz}GGj~eC4m;bm3pY752>CP{iyG(snMnX+$MNMLnUvrn5lvw=Ch5Bd8X3fo5 z6!>u}=dWD{{G63$W%$*kHtf?2@GI_8n<W@8Ie$I(<kJeS!oA<7W_~O!j8*e8Ik{(_ z(Vgo@Po(xO@_cqO-0k^mrxHn(i!RQOjodD>B>g`1=f|NpHOUvnkDL=f(ve?gKV7j) z$87cb-oEv{z3Y2lWh+0<{`@F=^P}v^kFt{=Wh+1O4u0xw{M7sL6Ys@Oyg%1wcGP4x z)ntanOUcGdNykfFo$hvQ`m>|c(~eG8J39T?k?C$n!k3*2PdgQU>}0sx$?#{pc_w6R z`uK6{!;f1ff8AoK&289cW*0wOEMEFu_TtZ5PyW1>6EAgRI@_t~Z6~K6`?}Qd(^AD> zmwx=X^x?-Pp4!Z&eI^d^QuFnFyY+d$FTMEl(vzCZZTc;Y4IN5)MjK97Ok|MOVesq8 z@BLoO`Kh$$l<nc#<c@uY8v86C&0e0r%<qo5<9#vJ`*S||obAvtUibdYg!euZ-t)Y+ zKK$6a`LT8IW9!Ag&Yk&j?ng~})jng5ea340jFtBp-!^A^DXsie`tcL#%}=Bs|2ig7 zo7_;79Cm+(?EM)F^Ls9st9|XA__4R~V{hiiUdP&Gznc7<8rjuF=Ot>>1MbgQl+Qgm z|IofZ$DPJYiy!}~$*!s~Hhon0^P{ie{X6qCB_<v<)GS{XaL2-EpXuuSJgf3Nr97D> z`sXI=`%TjCo2c(MLEo=m|6H%W->dG+kGdy6>UMtAy|^}iNlpHYn*4q5eJ_4*`?~k! z$Gs~*?q&RSZ_kf=3-+0-?=x52XSyN3cXB@Oq<r6r`MeYI&tBN>_I2&gpVv10xVGTO zwI?;{t7_60)ud0Wi4UuZFWaZDyiYe|pKicD-TT{@zPNqp*S04=wz1Skr_@AO?bFrT zr>nY8_qO@m8sU@X-8I4|%qwdyA2Z+GGBJR`C}5wF&pxAdrz<W_(VuIbuB$g$c~b+& zuV?HfGo>c79_c>4WYI~sQ>jTVlY1wf-qE2Zz4-J8m&v^oPA}+K_NFRknM=w^$tl*M zUV6exo1S>@E?)M;AVkT#&hOnENm)0ql#}mI%r#bQ&lHN>w7YPI%0$)uSLYhPH*i<- zE^=y@6pAzod*qk*+`vJ}J1c<ivFo%N-JQj4j}0u9ytCF=$2;={D{oqI?9n;#35S;` zadRxm-`B(^ti0)o_OZFfQtgF;k)N&>Ki4^ySfS)yw(9VL4jm)weMaW{j7;_!8SgVP z*k`1(&q!;Zk@`L(<$XrC#Gid_t^Cv)`KdLtHnFQFv85(4B7TN!{0!-M390xQlJOD} z@e*S35~A&zg1$db?Ub!9oFOn#)m~zI@`~L7ojM9(HI85IxO$n~wAtxBIb)M&(R7uI zDalXt+!vixI}zLF5?p5Uj!9)xO8cX8Qzq@Mn5ep6b9=IT`ANaZPr*gj*LHdLo|qJW z@$HQXyO$_=mzlj2Qr`50{YlxH<FPR=)BY6QImvprReh7n^<wq!#pyanVgp>J)hOOw zDSLOROUlXc$+rz<%Q*!jKYhKs@@~Zvp~#@e@2(`>`T0q1smrvQhdUf)Y|T2C)s${e zUUgh$qH6q|w-Of~PdqUx_QK-{Czy;MaWAfojf<bI9zR_*e)_fROHW*PJ#oGDYw698 zr8_^CF8o+J^J8h_$I`@)rGXz?4H!5Qj~sn^M0tWo1Fx7u<-V1l&(6tTR`gC%`M$Wq z{b{QAYiebkcNuTJYpk`;&}pBc^?8x*6O*L7thdjRUVchk{gin6N%7|=#M@tVZ~pb@ z!H-85emvUo<55ApjBxy%%io`U-JAJoZ((hIM@@cRO@3KTe$ajC`R~tmy+3>Id)krh zZKt-go!ahpa{I9t(aKMvCqIrB{<3!B$F(niUR&|w+LRyHa_-O6ygzgCd++Y|-d*px zJKuMI%boZsx3D(4qb9npCc3O9I;$qSVV|!4KHU}hr#th#JMx`=E}N7uRU3T5d~ZHq zZSdE#^Yb_TQ0rQse#`do=U&NAwSu2=Eq|$9GWV=8-dddAb!6_!%eBg1O5dF9ef)Xt z!;f<{Kb7VjF`gP^8>}gLKIGL=<E@W6n?AWLY@4Sc(yz|-^l_(?pl#so3&Noy3zN;~ zX~_DA^m7C|e{^}+A;2<4-AiNBlWU({e!9$9A>=R8!y(-IsIy8iaZ$xQ4RJxWRbO4! zc3jwaMR=-`)A1ymKsBY3HAjV2l@8r$u`$;ad=~a(r)#Id_G`t>LWz0a^KMV(*!+0j zY;C9G6Ku?t1+CJ)-04s%@i|faSuk<YGMnwvf@-Ty6>k<y%(Fkg)~!Wnws+p$V&N`M z_6J6fUN5SB{91Z`&ErY=Ikm|X-4!lQxqnVK`H6I_P1Qw_`h7;O`+lz6vAFBF%*844 z=VdGR8M*BHc+~ur&9#nYe*(%|KK8E2zw^^gIDY1$;~^%l^YdLkp6%bA+E%0dy;pUg z;d4Vb6Ic0u+lwNR_jf#&&aYAK&7bpehte#i{^KGSMcU>3U4nV4R0MqmUlh8Sr0N{) zZF1M0ma<MVxUl(w$wloQZ4YJ{Ug7;L<<kFP)<)5Cu62gtd@^howRUtpc-5GFtl}MS zjPZw=FC-qRcP!O-bh<g4Z=K1GeGgPR?j5uj=xr`nsA9YBu!Xy?F+<>%xXU^7{levC zhVR~l-BBpnXS7EDOlQ8xr`(;VSbn;R-4~j}cu~QlCU;fM=E;SpfBZP~qDJ|mxxMiA zo(cImAJ6tZXDfUpz5WAxN7w;wfmjA1c?TU)h3AYNOPP8s7?M^pD4i4XHd;G9$#duX zu(zeFm)R~}`NUE2fRL)^gdI`$1(lUuJ9spego-p6W(e`hi7^~Fd1C1j*2<H?f}9%; zvOF<+x^t;gNzd`(Zo$MN^LewoIb^**-l<UX3As=lEclS8^Ld!krZXKCdC@5|ISc1# zUNl)O`&?sI(khwdqP~V39`kfAn{mCc#wFNi%HtOu%Oo_83wAA=p>}+xps#A*slo)8 zX)_i-{@@baGwJaQmtdbsk2^Y+&A667VUgyu-)ARhbQyk{t#VN$_=&mOBF$&V^P60P zd;0Hx=~yQ5-rRiA$|H(@+uTw*Uw)h|aZzOPV{_d_nrg@MgIt2o^xmJ)u}ngG|HVa` zYA;NK7HPH}%bwv9?DKt={;Z^ixL^@qL&LbkUCV^ntIzhG5c>RimefU&&yP$UE{Z&U zWU9YNv+Zbhz(tYGk4)7UX|`4Sc4Tw~ew2(bIXdG<&*Y3Q&5x2Q7e$O88M`l9d1SAh zv&yC;9Y1;|WOUuMd#pSwY0LR99m~X*oPW}>O#DWAj?3llDd#VAESs(|pRsG1xcdB` zoy(@H%`X)6)%UjBtTfB(&Xn^@I&}2C?246U#l_4&*|}``wRFFWUy{B^XkGl0^u#cD z(aY2m$@5$;dtXeR;d1%(D?^V(FOOEAnV3;^^P_~)#gIo!Y}7nbDldMN2s7EsW83C# zviD8}PhUorV3kjAMipmOkJhZXp#GVHzWR5QYZkq9J(gUx=%wnh<cdWvS&t<LxdeB1 zf0CGc;?t)`hAN9z9@!`-th8y$j;ryjT&4*<|NB%l(krHazeA^vYTxnrJ|*wY+aIT^ zOk8^8<&V?ikzO%<`$al+RQZm_cPM#xHh&VApSbi0@86~FDVl}m|0XGKnqnbczfmyK zE2ek<g$^B6zZd!r6PF%g{rgmX)07=z<(;p0de7<JePr(rZ<(ImM}FQ>efM0i(D0p* z`eVI9<99;rCDV7j(<@xL`^d_7Lhg_C^^{Kgyw;B~Ia^b2DCleKT5sukndf43+(i@S zC%V3iR$j@?_Y{gutJ`zb!{qFmdqOI+()Mis(y?r&Z2nF`Ut_C#le(78T)6$mnL8Dy zyJi?L%;W0+9N<v*BVp|xIh(Q<CmNGqwDn3kIcmvrGbC6)I%KT;W!l_~S)5g;J2GZ@ z+OBj7UU_+5kkV<N?<qpQ#zynvP0k*v5OclE)1$6ED=p5Z*yXZMcY1)y*$ow^I+o3x zo9=IN_JvKT%VnR=^v(>e!q9n&O5UB-pROo%CwiIeOJJOw=w-4ifpLA!ofYD}^VPLX z9TX>Z32`ROn56ZPagV4R_j=dmhnW~I*qwLroH|LUL4V1zDM6M&`iuvr1X+nSXxWM9 z+i?meB&jpVaS2XjXbWuS;dT&;H&5c*5cGZV5|PI%zFbxjN@(ZP;N&-G7xdpXM~`KK ze8z-k)&#%PuLGU=u1s&UTo9F6(Nx6AdNE+B)dESQq(46nNO)B+n0#4qkX0&f4x^jO z0U_1&iX=vhLr;G$dB|SM!kguxxUkWq%PSx-&sO$sl~d8{lP|6XUtGIfe*3(tJ5`4Y zUwgi|7F_=N<@0O7=X-x0>WOhE-&OOjWb2O0NAJG8wDa=R;^o=9C$sLJ%=*+%Y_fIa zI_pTccPWB*Pkt&??>;_v%|h!)$MQ`L?^1r=Ihj?c?)}^^?A+WnZ~a8y_=!%o4qay* z>h>-~@b1b-h0C*E_=R4WtF^#7)UJG0)SZ=&-aTo&dy@I7pPWMZr;74VPj*aRer&Fd z*1IQ`h3e-!to=RS*+|~4)Y|n~<?*@8-PZm=?^;cFicfnIcVl98qVv04&7Ir7-o33< zl&^ha@7k+-b!ESA%eiwq=<e-Fh54_K?p-VTeOu6-+eU@?-bePX*809~*`3`*Men^% z?p^)<p6JBtaL0GCYv0Ab+)<wT_}<i4_ont#hwHzKUAv=v>Z5y8JFCN$-uZ^^G&g;E zZ_4EAV6S(+wci&ty>n%}+x=;$_|->oBIWvC&*MVQ?OpNq9&g!uwx{=cPwt)l`rg?S zd!;Y#o!wt;F84jp`Foz@_dJL1d3N9Pbl=_GQEfiAdi$K}?QgHC+*s=wkms9Jls)P7 zH5KLUYJziHc4SQAw|#r3N~!Sm%aZ4!ORU$s?EHM{;@t2FcPfv*IH&De9{02G`Bb^` zxPyhyMHgDHFDZ}vx%0Ev`MKc%<#9K6e&*`5UO(sEmZp;BQ#Hz?EO%Yznq<9J;%?^B z7k=9I@3vfe?5Az<Zi`aM@~Q9qwC&5IUhcfi^~z7%x;#p;aQW2Bb3HuDm0WiUzkK1R zqEfCTdABp9MEz2~^~9<>oh^_3R2EuK++{tn?w!l4ox+@@>X**V^-y`|Vs-bW)2_>+ zPyMc6oU5l&zN_b5iRj&zzY3SHK0a4ZrhHe+yOPrKU3KqDzTSE1bob@0otH~@O>Qkw z*FH6O&0_0Fk9R4OcTZ~Vn*8;#Us%6&q{X`w&budHy-WFf=j5xMlUsL9-de2QePr&M z%X77sSce9_3z5CM(y3_qsTY2s7w4{$FJD!4XXT;7<z6qijk^j`9lxI{dpEW9$DuBz z7|9EJjYPhma=BCIyYsJ6(eJO1xqk~jJk(N?XsZ-6qrW=Q?)#y#cddo-5`7%v$99Rc zJ&v1kZm)sR_d@~kGXh$;qYL%l9NoJ?;QOIH)ro5FT6=#S+QlJ$tXMy%RR0Z&&U5bH zot*3oJZ<uPrFNNWzPP5cAbN65o^MuRworNYq;qRMEw`)XZa<Z<{nUaxTFFJL&y=n{ zb7HO0qUcn+yr~~|gqoGC)_D;od10;5{ODBOys3rTPnG0NeR@ahc3$hjyjI7ZqQ{<u z&3GL)V`6lo%l1PxceoxGYUjKNi@31XU_o@E-S$IKceozswZ6Q=b$X}hw!62Q?%rxD z%I-e7R`>c^UFGfDI`VRV?$~<t@wICg)~;O;9qq7vTTNc>)*V}q-o15c=hmsk+1a~G zS$CJRKD{P7IXZk@bhukytl-^Up9)`h9bdb8VRX3T_H_+;u|MzZ$|`)_wYzj_@oTN; z*RGajbCqRtom#7@wtZR5_GLYHW-0A5efs#CNPl#&^Y&#;dA^KyXMNgfdiBvYk@9S> z=hs5ctzG#xOyo_N$du?npFG!{d9IQ>MO{m@PaR*YA-8=|Tb?WH-7cnGqECypR~=oe z@h)u2xwR|iL<inpEB)pg@2R!YlcUYAubn+H+I&&8x%~D#o4mVid3T%g?l$Dzt;xF^ zb!S(hyqS{t-I945=eO?MAzrpid|7Rxy`Wysaq(-y4-b{x;oe-R?^C+Eqae}dT`TWh zZth*;Y+qWZb`&I<?=uh-)ayAWzRh*V2Fd$jQ#r(sJ&Kd)%Fp&{;r1@f=YDy+NGNfo z@~2$Y&J9;Ye{Sn@iFnmh6YZ>|Q|fkKYyyY(-HG|9yEj~^y&u-c;T`+>c2?o^UGsKd z*}22}Zujmh2X}bSJs<n3?p=`hW4%(NcR}Wl^-3MeSH6B1H2v4MAi-%?SGS8Q9}04R ze7i_6aV7V!Z52vBQ_7}WEzIY3ZRxy~RTI4~U)!an)9UWhbH~bERS&te?(n|b``*i| zW#`u&-ghsQUsXADXV2~{4}Ywi<TB&-E4@<7eXErPpB>xfJ-6?DmPboxQqlBXviC(N za0r){Ot+G|KUF+&(X2a5*BvjPs(i@Ba;Nv)@3B`qHe6Bsxh_ahO{;YJuB+vu%7<K5 zz6(13Yh8@X3=OIBm7hPxesq~3{YtOYexJ68;InIYm-3w`4^=u8B>rSKmtf*b;ZMGS zog1zQ)&>VD>6E(O51Gv2eRo2>mS@XSy_4ppDu;4qYlB^t?ubpwU!`#<SF$$PQRz<D zMf0c1hjRb^Shj~_`_;#@ZwWr!R!|dcp>${31@lzpL%F5*LjqcE|N6NsNHA~p^Vzcm zA8re{f5pG$_N|}G;#_v<3f&Ls=Gb2PYgvWT9nnkXOO+1Ay8c{NrgZ1(Me|gpL$QiK zmlY}9nR?M&ROwKxXl-y*%kEzvT@_t-td@(vGKHhO^>KHT(8F~t`&Ot4zFYNs(KMGG ztLN);dA96+^>fiaj`G%Di+Wskte&f%<<YWR>7y%WXF>RK{a5ZSvz>k|3KPuJIwgKo z`H-({ZJ?#n9g#`;uiRQJRQKJi`sjMGLm>Ud?6sotS9nz8MS5lgFzkAIXIE~y+QD}| zO-1QKldUJml&eYZH12$HPDQ?4?c)yP%opdDEU=#3@XqJcPUDqF=X&0m>uFW4_Vmuo zEq67W?`k#|E$=xw*Xa6OBjxf_9q*?6+!1{2vER)Lb2lxpPIV|hRr7Aj)*Zpe?rL7% z89c3cdCqQOw%x*PPyHk&TPLowPIP<MB6yecbD?_A@wpooS|>V|A8L5l^79U7cA<LD zZsBFc>N?N;Zj_~Sm!)%`nyaf;zAdJFThE=FO1m~ceSA)=-#Xg4d|T7I9LBphKkeLn z_0c)8@^tU#=fcj-UHkT&=$mt*Q>?>%-o@;E7bCf|*tO*OspE6C<;vH!y^CSJyOU{G z@zdhxtB%gqes^x_xw)(7Scl)9t9fItrds*3nDS*kcV;T>GJg8_oJhZQuygscrguJ! zcV~XuX?*q3IgxU8t}=D5Q*$*G$`|di4zzsda&?FB(no$*I;{hh-`$yTXQ$-douYSl z{@qbLx$yb7N9S^nzP*0oZTN+^;uqddKmRtoJ9?+e_9EBqMfQ15tL_LqYYuy|NTzRE z&e9)?5?p57J~vlaI9{Zi!}#mjX&w4rt}U5QX0O(YztYJO?Nd{1`m|e0FtPiBdAQ#` z4c$Z2u9=5>?YpggNb2qE)$e!Pc5YBRW*+Xc@Af2)?4Fup(I>mND(w;cc=qb6-J+cz zmi>O_b-Y|y=%MfH+1k?gdqp2|cjd1Oxu5IaVxhjTd(+3ec`hB3AMe(<TE19Nk#F+* zMJYAgB?X^NdlYx2`#qcTp(P(<uXMdXrgX^WQ*21r`(;Xp#OA(V<WsX<Sa92oA9`L# z%B2MpxhK8P3*9$cEb;8i-M6P?U(!A_OY;8RIWd#xxkMB{**$ww_N7T2`9=G7*Ir)| z)?&WueV)rYPgkWqj-TcpdbTNgLiVHy5)I;__wR;XR|#t|581c-<qoyC9RlnxcF*q3 zzSPT6*ilm+96LF~WybB7_k53;X1cY!e6;&^ceYRu$K~VY+eNRdhzX`meV?bf&QnV% z=jYFNX^&==C>;`0ynojvX0n@$#pfrhJww-dMzxsQ#QV-Fnz~nM&Bu>dRn)_8PUgs7 zR%7aUGIX2Dq1p4+tJQ`_Y9EqTjQ3S4nkw4)LG?)Z<ffQZC#5|rKV4mNHS}1Q!1CW& zlloTYxVKoi?wghJSZlXnVxD52Z%}NiiP9PIc;88dQ_pr7)Yq84JQ~WTd`Rr=YESKT zMq+~7j{L|9>RRpN+M??c?`u>zb#6xi+o|x$E-|UfN^2rNhDJ_Z?bE&C+41ny;B_0q zTTIulKQ$*N(O+p#;m6RKleBX@Tg+YJr`Co?Xde<6jGrpF^H7&d$L88lu_s)@LW+H_ zxDy!`EIQ$ux>~zORM_olOWxC6(L3iy@0=HHd1dXLb8BTfqjz3jD|KnEu~vDV&bvQ; z<y9W#Re|rm^u7Bs;m%9RyDvrWzWlr6^6SFo?T`KZU-+%xefjs!%ddA{cE0=a?VXns zcU-o<dsFf5P1l{9t#@s9E=o@?Ne?ec|NeN}$9-m&`^-%DnXO#!+q1s6dp+;@>ug7_ zFFSHw?a1`DQ`6l}O<#6$y4uO<&rVEFJ29Q@#PnmoFFpBj>B<kk#NvgXcU^=Zdp~~U zefaZI$B#=jKQ86`ICbHtsS`g<&HOag^V3wzPg5^`oVxMj)WF)*l$zAIn$)nG)S#MF zpPE#cn#5H#iOXsd=hP%lsY%?Y&ofcqXM+BjSKNyqb0<IMUi|COi64jd{5Z7c$Dt)Z z4t4z474mbJ&(B>JKX+;T+*K1Vt`t9AA%6PR>#oPIA3b*6^=s+GkEN9#OCvv)dVVZ5 z{8%dZu{U&I;VE;gpKhx6f7B{Z%#W#E+?T)QWACc`DIa@pZ-186oXxXNXNQvn!vu{Y z#{<USnv=hT&g|39ac|k#yCXF1G1qRv#9u4c8Au5(^EoDJrhI7H<?vMfbp{fG+s^!0 zm2*_|n9?D!$S!Rk*B0ZTeOktat#dmzEIS&Ws<du{px`x@l|`+Yg4Yy$cW#Rlym#P- z)|r!Azp5OXtr*{`xpUhzmmiZphu$d9-Kuni^JA#Q#O&1`Ef$XPt*kq@wYYSAt`*(3 zYg>fiGq*=!Gy1Z#-CHCNAKO~0d?+L~+C(Ww@MGwVp6qP57TvqyiTdkwB?Pw}2#bl< zQJPa(D?07btsud~-tP5>d}5;2mDVW!((*a7)l})wEUnOWy1IvE&)2+uRr}CvCBJp6 z)dlxW2`Gxy?fk%Ysyue9(ve8VC%d$S6wmc5T%S6DqcCpu>n_p6BCB<)Wd)b<NngL( z%Mn}}d$D6f>(TINqjjq#1-Dhi=kDFHF2TiO@{`qTMX!r?b7Yt7yX93FyRu`$v18%U zYU@nh<8wQA+*|SE)v8lpkNtj?bxQQvlUY?l4}C>cuV0zOQ5aEkJw)3{M!{v>N+rQZ z2byAnos@j8yqcBdI>WjoCfHiZNBTNwIYv}X>C#=xGF)zK`t{1|n5n7qAxYKv*u6WJ zCAdUv{(SXnS2k!xhWWl-UWL9ZJ2osk86K{-Zl$o`y@L4I&K=9#Tq-vI%35{GbgAo& zrY~2oOwoQN`ta<O^~>hO1o{_L32`MPI=pLrx<fqdaa_c!xQL$W==Ign{_{4@=P;i3 zM6y{h@z?~LWId%l2aJ!oPG+5FC~|R%Ou4%EF=IESLop{Sj`;~bdv)|PYqvq9{=D_- zg3q#!el8VB+!SUL@1=AmwCnk6kCu~OA8SNiXUyt+e(K2QtICIBIxF_IDfvw6c;4;S zGIQ0Z8b;R{tF+Ev_itG_>r>6v4ujy}dHU+-r%Yb{s=6*z>CBbyf0nq+&{8_T-m_(< z(x*R@TxP6NIDcN_kPmBRor_>v*4ICEE;CkL{rp+!%#^<8?aGHjURKmO3N{7Q2B$v$ zdA7qK*lb=*zqP5nIfquffa<=JOW$3Ya%UyyU4<z%!OeGXF3pqHy8p)G&Pm^$ldX46 zHr_Q^xJZ3^@p88(e!9=u9G`F}ePwNY%&l}xyrnz8PBl+L_`bvw?S|Le7TN3<6@0e* z^PeD>8S&>oD+@04z4-aIl6Tqa<?P)C)-wM5UM=$T<R)jFI(PJPvFnVvoy*fz4n0Zm z@7Fl=WR8ukyr9~5TU$9-|NLW@xw{N@3i<PE9J&(Ye_s92l^&bDVuH(-RaM2btejX; zWhK~DV7qtD38q6QF5gz-=8!+nCl=}OqM|H7iJN0V`f-&_4Gy-};VBy@etOg6A||bN zzE5M*jhgf4+*3~aAK83aFtI9h-rRtem%f!{#!5bCkIaqjwzifiFI#WDQ?0zn{oRv} zcTYU-tQ6hpDDtx@q1N%qkERJ9Sr683X5KY<a^do8yMn#%Dny+>r@m>)^CvgIy3C00 zkUqqqklx{46~eLMpkUtjUqx0*cix?xF5FeHTQ#0f<Ivlv_;;=?w?%&z=_r+`KPfZ+ z@-$?J_OBvq!94cIach4UsVLpiJGM8ryLz|C_oDlIW2RQ`yt;QzPqn4$_af)-WlHbf zX5Bf>xHH`Q?(f3yWp?l0mfX30cgObGh5FZ@#I4&see$QLeu8<+@18c?IX(HV_3xeA zlMD0PAH}^pv3EZIgzBBr-?LU#TdRLBT3NmO^4>fB(dq8-yqbsJcD#EV_Ps3d-PNXd zZ_D02-BWFOW3OzF%J(8I_3vd4-|N;_TPl4o^4K>^MDcr>hu!xw?RRh8ICh3d7v*2S zd;8^`(_eQ?|Go2jb)i1{?&;1&`r%LF&K+C3S#A5dzM8FzIi^P!>ARQcw?DqucWST9 zlxoYx)#?84{#LwuTXE<1!yVI$3tz7(&Hw)B-n%1vV>_xX<-QkrzI)s9?rK+!sfu8p z`=hvZFXHr$gS76J`(9@Ay~yKxk^j4=J$I~vi{7{I+WxyR-+W8V`MtMZUAs9YI{p4y zW99E<dhg!)+&R7T-P5u=*3zG@=GB-k;t1dT@oI!%p8MlC-xsUDc3hCX_?GW@_-Vm~ zyA`(YSJ=K=dVAH1^<m0~p8D08F5n2a{&=-UDaQ9mc(&lfEVq49;t#W&_RaEZS^e-w zma<Yz?hC8cLJy0S*KO7i)LR~#?xPg*wsh`JrI@!TOSe6-QWkocwe~g7v9<Hht&N-d zI%oG);i9<<cU)E8buQ`7D^<;1=R)qh(%pGgx@fN8-B+Bk>3Vs!ygRS1E}Xk@-DZ*P z`|9reI#oDVbm!I0g>$Fw_$vD3+VsBHZ=PSf-W?sUwa##Ubo?FDXOFLi_e969$X;_| z?Rv@W`zqEMstB%Adwi|`)Y|!zv(IQ8x;if=*;Q~|*{-k6MZJGJ3gVUW{w|71wpF@w ze)q8gmmT_xqxVW*pApcq`s<xvYu9bmJ9KqRw7v57x;5Ev)DK<tx${eQ=hx4Ly<c5+ z+&Z^b{=(Y$^QLWG1zQ(I@4vEkes^|``k|{HcYa;m@wK|JcVb6@{laK_iR(8s5B+t! z^XlL_{UsdVl?zw5m(;p;7MLn+58An}Pbuc@7p9yEuJQNR#$EW@s^T>FY?{^fW80$R zH$=w=<joblYsI~*l&$3TndjFcyQ9<ZtTmn+oo>1P+_vcC4bjN~dA)`^O`q*DO?z@p z;^JCE`R&Il?np`RG8HSy=6P{##`(1y#kL>Y5uI$gecz0j__Z8gw?6r0CA6^0YMs8a z;Hv45e<=wqtg=|Qe&K6xkCtDb)~%PjKE0pg>(R$n(uK8G0}5+7H}2Tiq;w_x{8v?F zr`oMM_C>_R8z^15-u3#lYfJEr7?W*Je%<P1yl`XkYj1U@-o5MA3k$yT*}X3(CSF<T z3V&H`Ye&HT>tDCJuDCztb-1e2-z720YhH^B7yX^)vSOpsb$`zmsqEPODuS=LkAD5F zbjAO~SL3dL{R-FDd$jyw+*#+Wbmjf=ugYBk$p-80_q<-N?9_X4N1dh8l^cDp+m)UE z_N|NW<@h?e@NZI#eQ3+CzcKdnUz;mC_4e+l(^k52qx1D~;f2RSV(dd&q>>B&?%??P zxbSb8(iQz9U#$ff)-8V>uH^K0+w1AV3+rxu6&750t|i7+Q}EU6lUKWi7Ve9?KBMgG zJeL*n-K&qQIDPF|H*XThRpX+s6I@orO<aAwE5KGM{Jlqu)#AdhbuKG5ONXC#Z?U>v z_%%ZCRZr>HFu_&kPhY+52uL<xH&4rH?%W+!D>+Kp9=|FTe3f(TDsxwWtwQ*Fb*I{$ zd0zu!Y~Q_F+Yw+Z5uUH^RP4A;u9M^J#~oG6InMINS})-^%Nn~|LvR-Jt}=JQS)X^7 zc_^7&e{@UIHKT8LnXBNc@<+EeDqYb#zV));!Ybu;a|2plWnRy7Yk8Hsy(}Q_?TkCO zBzKnSD_wb4`Zho?>-&>ib2|cdt6tY@DO;<}-W{-8Dg2yg%PC3ILcyOK54)8==Q%dl zc;5PqHg3Ppa%;E8WjQa{4nCSK+iSg3w!CPy^;WU+EUi3&4f-4l^*JQ&KgqhYa__q< zbMCBsxWm!nXWH~fetbuHosL{*D|<S@MNC<6m0QW`Z@Y?I1XsB|4&yyJ^|Ro@EXVCx z{_AA=IYNbZg=QB$ZBq(adu(mgq}6S^LW^T97jT3=-Wl3m^t47PB=_jrTNkHh3ogvk z$-BBF#!}DeYQvpXmOG2A1XqbY3Cle-^>k-|sp9r5?{#;?7H0Y6U2VIw>TQf^M9ZqJ z>!hY|g#KPPYZAv)>mqIQCt-Xi*3K!{p8hy&-LbVXufp_>t)0^yZ8<O6Qe=CPYTi?) zJ24ZZEfu#Ht%=?#yS>Of?`g)JQ)hQfZ7$S4{wU1v=-NA-(K`jV7u^b7U39feDWvbj zRN=y>J~5`Uf?Crbt$NxKU@0B0>(+AW<&G?SL9Ok(vg`!4#GkA>*b%T*E_|(P%c-?H ziuBjb>g1R@x$vr&%ZfR#R-N3DrKl9Lu5@+SlXEwxJm=AL(iBXa{&|k9>x?;5p07Fj z#8Nuxi6!@^IWId5wkn>F?B#IesXW)9#Le;EvRW|GfyXv|#feE9-$~B?*u#62DaU|8 zvioDt-il*Eg1=NBeG7m3&HV8<_Q&6jKl--((YNwP-^?F<TYmRjLteFFUb#<R`KsG< zdv4$DzJ0grc5K(}xt+J?cHEAcdi&0l+jBZ^&*``wHTCwbDYvC2+@4h)eY!Aubz!vk z-D^pAuEpH3Hr`bpR`UMM@x8_p-_I4jo16RnSi<*X3-0`W^{&=&=l9~fza@8mSKjsg zbK!gUr*ZzL_Qp-F-mCJx%KhEfwmYvGcV7Se?rYJV*H?F3_rCl3=#J~fh3k(OuWx@8 zxBkf9xQ=RTt?y+)@7~V3b6a)icI%>i^CxkAyQgax>We>$>piu1)|Be4YTvUw-(Bsy zW5rzb`poXqX^*c(_C}|#j7~Sqo4a#I>9OM1JV)1V7TSK!>5kOmqHLd2Yd5NGKQ`%( zlyy;d&WW{#3fqtEiB7i6>%F?eblNUcvB%eDbVn!KY(ExoM@qXeTj$7HeXZ^LmfZQ3 zRrFf><l1@N(R+oqS1IPbU2*4@=gzI!McLDzTzhw7?cE9KJQ_|+HpxbdGGo2omDYaW z)zS0g;ih#8@;Q<77FORDeE7*?r@5@{_i2ys6}arslKXBZ@^Dqy_cG-}p?`OnOAD^^ zI<fa^M?t9bJ70}Mp^`hdFW{Kðr6j)KanJF~S8Jx#nDt##-rV^Mx=%W3D5d<Q{2 z{)yGI1s{HrxI4RtWBT&ad_$#}c`Dz(2|xT~u+w}#$8_~mdk=OLRK|V(rgZ4(!lHaV zrI@Q_`L=@VypHVM+EEay@~+plWwljdzP3_~Xjk>)&VtZ8dlxFjT<xlUtP~^KQynb$ za8=Ts=q`?M){=Y;rI@L&?v*LUOuex8rOS?0(%;LJ4o%(q<5Y{w4x@M4pOs>+PpXbx zTOI5Au9S1<^`pD4Z+#qhy|+5n<X!369oJbO$BB1W$7;PRox9_@>7%&mW%{p6^<SUd zyGHf<rl{|my6&77D$?&R)z3b@ca8M-O&L1b$HJ#6tH~VL7505s$(`4m3fFs=uJ=B+ zcb)k6U14`#dljxfePpkm;P*|d?wp=gq<{M4ULDo%oAy;ly1Ywe-8p^huIWo3$4%?4 zj$Bn8Y4k32?~dtPAH{`rRY$75OYPhd9{M!y>XhnGzjvXUJHx-;JuO_K&wpXBjotT8 zTXsxu-#y*^dEC4?)s@?-{Wnzm2fVX2-1+^<uJ0*N?g?Mqt1kb2Ud5eisa@YiO5St6 zxHtLy-sNK7=k2KWxB5P>=$&ou_PEBoRjjAhhR?WDb+q)gsPgtW#a&;!`lHu>*zxt$ zg|*>Ld3%{kUW?9;UcY6>SFZBcqI09yKe<zty89~En=tKwysd|xglVsfUMsQlD%Zue zVKsNMT92;{Ys=dzRI*xhLG;=mce0iqT^qI|dhLrlS*p*&v~REV*bqH&!JV!nPr_8L zuk~=tb5+_Udh+;M51Tw!sa>L)FTzw7L{F^AbImH$7An`CbZ)JO<#wgq?Yk1T?^<x@ zRZ`LF)1|9VpIEE6C_2_Iuk_=NtEMHZwO@pZUs$U*KRQ-7ue5Ocu9Cdcr*~f6%1b@y z!cZ6}CL-2T9jWs!b>)ufrH|sSbyP>*+Pmud-c{<~S53RKI_vJrSMNgp-dXu-XK?GU zQ$;Q_R*A;n?BxjmR=j@A^Ek;@aWgxsQ*VV&>ncdKi=WxUA<p*uRGiBW1C98bT^!=a zo@jduK0M`BlWHZXcjoKVr!G4-XvN>uJk%=s^UwsPn3)&$Zh9AYvqN9Ut3^BbuJ-Ig zb^c3pZ35pt;Ve==|H@BJw*1qL9h2Rk`^}qU?eF={Mt0|ClPBkdCs_L%zq2{G<MWY6 z+}2$MsiyCyzTFWn_9Ra7qIjFip{W;lgfDv>ck{yBRU+l9yzZ>zDqMf+MV#ow>QI;O zs~YaCPP)7LRpENC<9k;vtPVAO7kY6=_|?0sCl#(g^*nBBcXg=FyU>O2LYM9cUs}9= z)selc1i!EH`~E3p=XCZm{r)M{mGkbLE-umUpHyAhcjt8Qlel?{sw<oBoPPW`PVRl& zJlXG`9_$F;wrjfki@163;^xh-uB>|Z)bZ}=&Bglsoz<0o-{(zuXY0E2dr8TA&Xar9 z7gzhcexFx&r}|ald(Pu~)fZO#-`%@>ezm{t_jv)|=as#)ow(yW*QK@Li|$lCeQ{0O zBX4g~$x;qJhe)5i)SY>$k~^ommS~?ozE($W`=++MRMxwvn08HlTCBbL=vtk3Vbjj7 zT{9;-^7dM-8*8;Rwy&CTXI0VNRYpatyIzD%?T-$%$qVJZyNYX9C~L{;Q_sUfyQ4$z ztks$u9csCK)wbx$gzcXkc1~?Sxz=V~bY;(-Q_dyY{r%CE6?aY<muUN6SZm|3{nL*- zr!GGVlY18?H#fSnDDUabJllhLwvIc$9(i(Y^6P7pCr10bY@b(ir|MDR>&zF|f-kI9 zUl8qYw|!pJovKH9wlD8go!a?z%iT)Wvge{2<#CBc&qc4#4ez;A+4|y~w%xluhaR8P zb}o<O-1V93!rbthcY7{9I;VYSZg|PNJ(G%`PkrmBy}^2|!_LcGldae8c(*0#iJ!Jh zdDO?k<)U)sQIfkZdtI0t*6?o2qvGXL#mb`|zT2{>c=^;h)@yUhl@7jhX)00|nruBW zrd&yKr*P*BKNb0MrH?y=Ghg^ESztY};hoE;ox&@R&h@x6*Tbq@>FJ%$Eq7lw-F?|q zw7mP|T)pda^_0tZb-XM2x#RND$9~rXIu!I?a_#!`_?otD-rlCi*R-9s$Gyzk`>FW# z)OpeC7v$~TRQy_0XnUOH-7MCZVcLOtTZ>9oi>hpoGTe35>*Ct5x;t4*kFO0YxRd4j zB24@4+OWF3t(S^di(Xk9R+hKb=~0-r$95&xouV&agsG@(SCYKj6;h&osXuyR)t#=E z$6+c9qbKf)o>-UX`f8^rXQ}q3b89_R@?5R%zH-`iRrG1t^^0ruRJQNx$txAT`|4NW z>ea{B>d9>1)sk0Qx_wt&Ug_66ubl3_y0!DF>!YyiFT=zxtzDzBeN$gvs^ZS6sU_O0 zUxZ!jkB+p>OMSRws%nY$>fKYn7HhA59wzoG?Ap1tIzrnw`E6e{AurT*XJ~23YOa%O zwH8N*x^7=pcW2eB!qr^I*J>?{4!yf})%@sC+wH3Ywy!G73!S(lv~<^0_LpJvG`4?| zxqGVlNtoQ?=*q6Vr#}m|{rjUU3+|j!F46Wc*FJxKt&Q#WPYd#%-rPC0{m5FI<<b5g z+vhPY%V_!+(53o<Ut0WEvs>%G4~mQHCNyaJMt*vrcs<2vrq8kn#>pqaj7jYLJC_En z4ADBuwM5f<^=@xQE|o71X?bfJPKIbbO-ox=^<jdX&V-d4nbd+;Zkau6rkqX1hY2&~ zbRHa3t^ROo=1Pz$8=017XifE68kG5vwah&1KuDAL4}rr@EEg^`Ff>F|H1c!lFW|or z!DzgPky|z35L?7Dvl9Xii_(~*GI;`9cn-R`CI=_9GFi!QaK3boDTtv>+|glHgM^pv zs*qU?SD3GIbJ=`Ie3r_{sri6$Ys0P=#)oQtJYeNe`Pmn8p_z5V0ne01i3CQQ2M3QH zj%Ikk%)H@2v*V9~$#Opqusb9OtMb<<EaNb6ec;Gnbbv`>Pt6L$20^<e+{{S@2F)B2 z514IUIPzsBG>eriVD>Ly5}t6OafX75Bd2r0Plsd%0g;EzzEf-}J~;R=*fliphZ$6M zykweYq*lVU&tM5FqrAujxp__M;T+E5+Y**|cpqYrSa6h?=Kwpq%j^Yp8jgQA1lU(J z{Bm4a=dnUQZ&$N)(xK<eYxyoR?_t;6^T1D${aw4}lny4Y9f3OpStSeXT@sIT9bmZ5 zx_n8b^reP2;~5KiQY4xs*5o*H>z-iZUUlHF!)MkV@<Qz_Aqq_IICsd01Tej07oFj8 zknfI&MdU;#(E|G=4_Lo5v-oIuFvp0Tc~IxzC>@~C#;>7pm^0vjEhE<sdA|jZSd<^k zd(hH3S6HpYCxLy3x<#&%pZbjlbry~sJLGj3d<`CKs#(Y#bE8RYQ$Q=1&>K@%FA+7b zNeYEq_bI!%bqPoYv9vsG@8@K@!>{A}pzead8+**<?s;N5VILM)h-wDBdGVx!qwto` zE!j5#>{e$S`3f5jOP5V4Iq)|@i}8E&D~Cqz9jcOsUU%AsxOd3gRd6td2s!*!U|J!+ zK<$bSLkPc|8T-3-RmSg!^9t<WaK2+_*})xOA!Iz$XIW5AhFY-JRIg=|#O`fi<lnuU zO?67rGD+?iK|#(kE(<i@9A{3jms?<^%p_tnW1R*AceqGHYml_Z49B$VADX44dpT<- z=*`SzUh-fntAsdPiqDH?)`|}Yn67pT^XE)h$RaJw8S<e?uEC+Kgyp-lW-zN?z(Ij3 zorj+v96VfnA}eo`jmpdi9CBVN0gi$V3|CwkIUMe?r+7|U)5w^0!R#4lK!%e*#D{}_ z15TaM+~B~Y@QGt4o6M4j!7~&>7&(JDoP?$rv?$mxa`_542~JwjqA2m8xlYc`!q(yI z0(ZGDpBK2uUGdiJ5j`U^HR@1vR^f>`l_!iVPDH4Q+dMh#ELVBryz{*e6J&Y#Pgb4~ zk_$R*?Q@X1!={OSwvVX;*Ajt=PGI8C0tWdP2b$UW<#HJK_2hLNnx}XQtx#YI@euqh zV7s76JV4R)Ofx&b_=g9N9?C7^PbpxQm@z}@#sXG`9}C>Q0wNU{#Z13U+NN^I)w0qv zSm@!hs<=y&Tz0IK(O+7zXUR^bJ6B$*t`&W_tZvVeTERT86W+Hba+sSwQ9Y}1$XBw` z(^%-?qLMvJL^}%tZR0L=xa`ol=6!1dhdApK)wLRjTm|<o5p><5p`@Sbq!csdqIc8; z4)Lp1p3FiI7fsr;<ZnkopikVT8kZd_H1rq7>1VFy5O;m5dbYbD__lXcKZm*MBh_B^ z&golZ)#EOeEU55&9CxX}WyeZU{Y+;~3H?lSr8^-#(@mrW^SE9to$^Z6SL2W`=iVi( zt~*vr>t~uMeepgiTkZPddin8LE;D4NT0iSDu+%8;@n|`@Gq3N&TG^e+W=brDPx?wH zva(FDW>enO@SyN`g%WqieCuVxk=wRDxfk~OUf9IyXs36%8{g%=-Lbv&@jbEL>S*J4 zxd(S_Kl<q2w65xC^>?|wJGL*qySwV{?y92qSts|dzP5L@()V>OcXlV;-F>U@{i|bp zwWYqVOS`kXsqlT*(Y@ND-`Dxw*)3K0-fOq{*InjcpWM4LsXBPAb@1J}E9YAW+m<g2 zDA!Rx<g<21vZB(L*C*dzpLBcuwYT9H-%c;zpCI__>61Fw&I|go-(PDu?a#TRuOj$s z>8^M;!C$T=`#W4-Oz-(Es^YZ2>W+R`OWn>L@zzQuZ%Ti&KB>FgaY28{ZH*<?fr0N_ zSa%9<-6g#AvEP(l>%cp6HRf6eT9)T|zPr<RXD9E@V&<afW{=PD9-AxO{o7U9>G-zW z8-=zf2j?A6_@3?7QWv;WR=Lnx?a{fAF6&^`cRsB<%u`G9yH4!YTvQ$G@XmMRJKwK6 z%$GjCcjdy~l~UiAW!#zl>YeY&9p+Dq^G_Y!t10$<S-|&YL3d_f+G!s8=-!o%>fq(o zfuZkQ559Bt+$sJwCD8r*qA7Q}i|%$?73r@!v3JFy>OjYLu0MB(KfT+1t5Bco_}dwi zs{@_BFWOZdX#agt)jQXP?_A&B=~gP#e^v6nt>nGf(|d1U+~YmDclO1-(HHmL?yuf1 z_dU<z-QAXVcXw59pI^OwUbXp^y|>TpmF}$GetE5@$96T>ou)5eTvJimt|obRR!B+q zrT*y2Rd;5!Jiex~FnaQ?=*e|?zOQzga+YRaI=9wSCC}IDu9owzP_d_BH!rR=QrUj0 zCvU3gU9I1RtJfS~Yb3M%R7>8}((R|}@}_>hqvd>8>-Nr2w?|<&UxrCsTDw7G`=P$P zR>hs7X(ifgUWDD~k507BYkjywRINmN&2G_e#oBA0he^B&yK!#qhRbVpmqbSg=H<%X zz2#Jtefq_<u#0Qg%5UFRb?4Th!ffvs*TTxPUq8PVc7Cnym9=Z<Mn|jU<<8BERlK{a ztLXKs7uQ55MTfg>U)Plv`*%m_)yLOF`=Z00wy)b29d5sUT~%J}!o1kGcXlZizJ67b z&GqtH$du?{_wCCj+?i$MC3<((ufptA$Jc7gY+u%r=UcjcSzVs**E_SE?#{Zk)716R zwJR^fL@upep|O2YU!JStPSMm7?Nu+ruJlI-+UB`F+##x3qP=Rj=+|QHRnNmjUWHvb zw^l=F`y#*Xc^=#I0`u<n<=vfdXP4yNU7~k){oPUey6|<|<7>VzuC3i&`g>>T>x;al zVt32(?)sIhDc+qa^7P!2#nzK2+?knDk{)z%uBZJwpI3$HLVebgTi*G+dgt?Nr}4_? z=TxrD^|UTmo4CXHWyx}$mwu5`tW({~PffU^X}l{qtYrC`<8zH<%1^btn^IbSs_xyC zuXi+^?`q!O8SM7R@8(NCiA!@gXp|r7d)K14Q#h?eea#EM8~xUaw(nXV?hsZhQD3uL z_*=31n&*BJul#PDn`<Cce#ozU+k|&Ht~)oEmZWo^oU6OoI@+~-Tiu<TuL{$-kI&Uz zXdQib?%Mg*(YEE=0?M~}+_`CWcc;^?V$rAPu3nt0tx~?O=Ut5G-JQP*pRYPTS6ilh zUCX<e((-k6?_$0_;VONe_57S@r*-&p>)^n5KC*XbIu)g#dT}n~;@p+;<;$w>%sf<> z?)9SXV8?~^THE7Y^Y+)=(H9dGV>tTvQKt^;jh*o^DVh!E{(1>UauqzPd+RcdX~vFt zbtP{`uRH67CLX<4cH4QSz^?D9CHZHb$Ek0(*7&aN(qjF1<;7y_vL|u;N8jpk^;E|z zzRN%UR{zvr&&~_$=lsqVS{Q%(?@pyV`X~Pib{5#He6Mr+Ug!G#Uq``y<?q*(5B+Vs zbDvjX`}HT=zCZbw;*xRxM6Um-zkxg73f}z|@qN3JQ?+YewPRlOz1;j$f0uS#kh_$t ze>`{ni*3&zZ`=O(pN~sM{)yc8$8-CS=kg!VJ%8+PXU7G(iMQn@+`e}qcm1(k{TJU> zxn%I4%6<RhTg3MCJA2owZ{NS5I$kHQ+U;F$<@V<)PW5-+8g^cofBvm%=Y{#*x8={j z<v;p%{gJo&N8aj}{_ZZUb`$*dd*|MHx1(KO@RwFwDSg>qTy3uO#r@H@7NsxcyKJTI zajJh;nwyvJ*7B_^kKe83o6j9N|CVoFcjo!Ed<)v{uH;niQLg^<-5$a6^pa@n!uPLV zlzqQ@t>n(#NgQ`gckWge+{Ii}?yMBk_xxSaow<D+(}fGyhdqtUJ+*h%<Z4sZ?^*Zn zUhTLrYvOIGNxMG>lpp`Q!`koo-J3hCMFeLB-;M3$ID2x(ZUMnr)rG|&N_Qg8<>npT ztFLCRuIf~*p7+kP<yp&}XH|Ee72J8&l2?2;cinTd>5raGbIIU4k=s`~`*z{%-G#Fk z7tWqtIJ>!Uc5>nD;KE{er8_r!tJ6*1&1Jm%EX>?pWTEwf*`Ea$?v~tcEw){Jq4oUP z*@eZXN+rkd#%MW}TbYY1JC$3SKUZ=pzkT+jO9o$Av4+x?XOFfmD~`@CdOuz1#j|;L zu65nH7PH+}`1`TGJUy3|YejdYrgE&6-D%$T_}UVe4BwNvXJ1^K;gXTJd#k=v_J(Nf zt_x9<Z%1`S{}x<mDzx47-L<GY*Zj7(DLZ9bZip7{x**kEo$i;Ht@v)P<Ib&mPTAUd z*-G!`2F`TdS$^%wy_=Jwe|KKE)p1+u{9a?t@8|m7%~jmFbsooB*}K;Q?#$}qSj)L{ z>s*etf_Jag+__e9=UQ7{w%vBq1<~4q3r(-B%@vHg{c-MIr7dNTt*eC=no8Z5oXoNI z?2mJkTrzl%nQwb?PvZPuec|uta%$4ul<v%!RGn_|uJ&xm_hUQW_h=mI<-Kdoz0169 zSGn6G>(7D@&lTOV7T#5Utv20C>CVmXbFX$3q`U0f9MWRV{b}yWj)HiNcfGAY&c(Ux zFc$s3FYM0mgZnm%3ch>u-1>Ish5HwC-yhA*e|G5jUPJNk`>J+y#e6^4ap(7{!rPyB z-aVLC9FSM+lXpDnj<j~+`_sqw>d$|l<I*y3?YmyVo#x*jf7||KoBCt3=TFLbUfi2; zZm<50-1X1zMV#NeUg`V3iaWnI74CN4^*8J8?_WFLuKjUt3&&l}oquobG{1KD_osKY zym$Xi$UDEMI@$SM?a3W~HFv%}y5p?rPFd^1+rRUwHQ&|x?)-lA(LIUo>UigU8?W!{ z$lE{Z#f}Mge(x&0on5$lanbuV$M>$6*uMXI?)&Fv<t4MT?^-MGGFN+iFQU6TSq*d` z!tY6+dTl!k;w|3w-rVus^zpqLJ=O6J?`muBOHAf4-&UI(-y(f^-$o_DcdJjCi>Vx{ z4g9%p!oH2tg73J$^{!O96WN*nM&0T7gge%^KlbkJxFA=azvgT2+Kz%`r+tQ^f_XeA z%-tSKd)KC`yqi1sU9aNZ_2DhQn?BWQ{@gdsWyfZL``0IOd{=$)TdV8CQK4V^id=RW zYTgeIXn7a0-ClaTz5Mq53vbI#e9x_MsCMs;@1|dB4|Wv9uX^9D?o{rYSMRV-UqtYo z_wRj0N_W<u`d->q5P#=;wd)Q;+3)*$?)=Uw%J(_4cfIWW_ns}kJ%84DD}8Y<`MtXE zxACsO8}rJ|-u<0(=l9b)w^r`>>iqF<l;AGoU3V+D+beFjUwHfetFrHpujL=vd;j9z z_^#@$vfHaP^WN6vy{)-(O0jmolHf1tqTj#s>aFt1ZSu+;^WJWWwier7rjhryDDUZ_ zJFm9x_?lew-u>}C{bPIQ_pLj&R<`H8x{6c1>-PQ1+xN?C-z^6!5BH00FLTO!yQkV- zZhM*0yT2}XZr$9m^>yCcusgTj-nr$t^J?<PzY&7(mOuXew&Ozl>$2^S@AV)3zFF{L zo$<c;T2A$DdG+_cM}PcVrSxU{<KHVgF2r6~JGVR9`rWm2N7mkX{rj!Uj`dgf>Z{-H zcWL=O_eb4wj;X6ZeVw2b;&<wLx6s2f+kN)}TW(+cQDytR&UD|rz?NGpcYJ65Rply} zRsQ())E(cse^uEkUFkc1{jlJ}I@`RrWi_@7Ilk{M%x3@cbr;9?=)1Q**6f|n@%?mR z_VUkPtCa5Kzqsai<ooA+_xxIZKio03`qNhrmld)T)|Yn$?7q6)xhuf>>h^A-g=M<? z?gqBpTKc2RL+MK2k?qD^1^eHH<(=BD-Bn=!_Vz}lnD<}b7P;)WH@SMR>i4R()q9n` zSFOoUS3dN$rDpd6j_a$7)|)?#JAZQTyh+u2-^cZz*c&&YdhgY}^ZKf7rN39Ls<xH< zUZwx;YuKIFOLts1E?gh}^j_Yny>lm3?|y%;@5J`Wf)A^#-+j%i**%Zr`r?moLtJ*; z>&XvSI`lQ6X1DI4uMssnH3io#e{@>bb;rF<{p(!?dj;e3JX&67#-DR<GgxtWnRkzh zW?Pb^3y;we2_t8t>z2Wi3KB<>Wdt5=QBi)uEX>g1$J)42p}l~kS%JqXP{fI)ML|V@ z$wkG5!*kLWW{0l~(rX2k7Z%+(H0d(S?_U~oYo9E><ye33-o~SwW;U^X4>R2U<;-!v zc*$)g<uB(n<_2a4-~Q#d`{UGI)1S>(S-|nKfZ^@O9)^TTON?2MJBB($Ty*-f<if7V z#pb_aL@)d5tnqnwiLJBW(%{KT6Jh?tA7hR^`{Vt>v%T@R&cD-NE+4DgbM<|RvG=;| z%Uu81ZuuZLr@2h}_4$Q*Pu|=+!8f<C`>6ltYcp?&^=HMIRQDygdNe8~Ezf6an7G_b z)Uy4mq^GmkgwIZ|)6VWaVQe}x@6iV%Yj3GZeD@AaP+RIF;nV+2V)obQCbq<bCf)ru zJugaU$=zX^=g0C{Ytywmvp-naZgV=&u<Ej@Rswg#tS!&CzQ`;8FXug3_qm{byM9T( zGV9K#hk|AaG9|pSjY+vPeU&+*`!zM6{*NZSmfGIGW%sogY+JMN_s&xtPX0=-Z>N_A zD+_IHDT=$5q<YL^g3A@(x5?j2s^%$rUwNlp5VY~Ukz}UGyR_xc#P*y~T76isdatHH zkImKvCoC<z=bd@DZ9zlhrQ?PS4Zgx^-7)RY&u(YT(-tvM_-)0;x~<7qxH_);-rINU zPZsCLx7|<|PFg(utnE>SuNKW+%_f>(w*3wa-!l0gPlKA-gKH@d_N_hq>W<)jsi0pg z#EPz*&*r@L{pv+$f0sRWQ+wafW82(vv#j!4K!9OY*oxdWcjfJu&v~gdXR8v6gGA{P z^=GZu&YJI?@O-LKY)houtk9M~ciY0S6*=o}O4~vd23acgSS`&tHScuW-riqbD%?4z zE@j;}KRu^3i*Nh6*P8F19db~&jPPw=^m|QReM#WTm+SYmO=}fopKiHwjZNW!mFHSh zTfe@&r(+s?ZC~`6S7xiSc1;XZIO;f?=kVTHMcgxZ7r$JlmsmD6^@Be9?CSEsqNBTa z<gAKlF*tH&=htU(<;i}L3^5*8)s8OoIJdyjnu)3Vnf94=qFSc{<-8^M&Ykf8vT@Ge zXz|St7Dp^vI5(kT=bYd-9ZU(Vx-4I}ovB=XX3o@`E7a?Lhv{wpnO&{b5bShRXtHN+ z-a7TEF{b9TPxyBEiq8EwPie0Cl3>or;-#E37X(MyZ&l@<KlRl5f<uO8ua-C{ukV)m z_GI6&gElJ7-w*fv74;3WC_Z3(bIWg*`zb7zakU|t^^0Yf{3x@{%n0Bxo7pF`f6E88 z<_6)XG8~g;ac=9k`1po{C%n~liCEXm6${>eP%#VHA;Glz{K_PG?!_nehzEQAI5*{! zsArYjV%Gz4YFP)f?_4+;*<31F?X;@k)ZbZphjOoQ+-h!Pz5UZKb79xTg{NMxI8mH= z;l=YqAqMN01`63{FIJE<kM?{p-}&3l6-%#ZT{wRzfMfpFl>+?Ht2a~yN=d!iIiqV* zZ(U~kk)q4L#b)ZIaDI*aoL6UB_^<hk?8K=bUOw1bl69hCtFGL=q>VjnH(72ikIGbD zn0j<3^VN<s(<G8kd0Ck~&5K^N?s16Wl?%*wB3QG&a4p;v8{nOsn01xQ;jH^a*$?xJ zZtZ-g!m7cwLWs9i;6l>Io<kn~XP5#u2iHWjW<AQ%bo%;2N~-Os)>55YwpF%EyC*jB z`F!4XBzTMcW|k{&M5G-)?_Ioi2DieTwPy;{CWo}{Guxk4^ea0`%Aw0adw1FUb>Uu# zJc`W88LATvTwBccXHDYYP`*Swn6c&aR*AKfuW!*U`=B*fD(QoG^QUuOQLM7z5`y0h zo>a|nf6wq!lyBu1pOZg#Zn`n^qtFHxJ+W;<7I`;Po&~)*&>*v$_5R)LqsI#s{9k-} z@={hbzpBpqlUk2M{BDLfx-M$6ih|pg_J+TdIJE27k9q%k&0RefUshhobh_-4P!s!- z^XofoqqGCqcCtKKxN!fK&H6hyHyXT|GC65=P)XWV&$xSuQOo{qkaz#_s$sd^p1c!# zr=BV4_}#=j;j~hMn~eSjrinW{el}Hof0?zbdQUjZ!KqE#o^Hu`eo1!2&xnxrAL}a@ zEKQkG?ZB~V!6U<t6XrHozJ2=hRkhFNl>z&vkpIocv-?x0xpDJP|Hygw4a20rE?2Fj zKOUa&dtrT8@edaDKbhIWhbH~5wtX{2<jCJcTOuV^gk)J32$ecyzHwN;i}?y4|GL?V zpAXDG9Wm*)-1Et6wriBlP?Ou9WzZo$Sv}ItDt<Y4v)`+anx_x5o>j3w@b8=N`s;^g zl&&dyxAXNp)uk*64zjHewfLJC2k?A3DY0dBHP4IAx1|Qp59~GgZsTp!xy<_GWdRl5 zyFCYIP7IG?jBS5iVBzT&vRbRq<9fG1?<;eU=j@C%iXBNTe?GHIC9ufK7&3JUi`)&q z(b?iA>LAL;?D=$U=97-Pdr>h56-#xpT{R6@vN#xYcFdUgSVSkbwDabw0Oh`4Hy4Wj zxpe44?H1F{dwV9l>1^7Z;r($(<<}dtT>oXW*6;Y0Z`xzLdgr3;leyM!nj0U<wDf`6 zCcZ@hbH3E4Ch$(__5ED6^eN++<q@hU1sehjKbq!#U16@Ge(_K0@uO|~qhp?2==jYg z{<iMnJo{xIpLc(|lew$l$x9oRHKIOG=5NW;P*PN%B+mYl-H6?NVe$Tym7={-#`6uU zX5U{w&G_)+mFG7o{`b$U-VzcVxPb50{Vz)Uc9k)(AKWx!iYW7WscF^qCR=;e&rO@L z<#e{ji@iS%OFrIl?rm=2rL5B@m=retU=4U&#=q#b>}?s5S1Tv{)`;JqS(~7%Atd#$ zUOW5tR<$N(`)fHV=X_>A-1ky7Ic9MLv%SlX<4KzW=dJucUq42B&5iYw3m%DVe<*PE za+0ceLXi5KYQ=w!AJeSAY85M3u&)a~eOC3K<Kn05E-J4&*s<h;Y!CNz!HfF(dZ!sq zznEKlS?XBfgKEk4#JMYr9$qYs_V{tJ(EYlC#zC&T;RpURl~1f@Tq(x;*+XN|j@Fmj z$=uGh))z0%WcFTrtHCeoM%|7X;V=2~N?6n86xLndvQ_bCMAM@S&wAS4@t1DqseNyI zMRuov>do4-7w7Kz^h^GY!}{|Ia;Fwuycxdy%E6v<Q+s=VN}Jx9Z+7A3^Jv#?;^7V5 zPMbdN<qL||R@65rnj9%!H)UlT-zFocgSl<Y-}iSM*mm=+_eYtO$eY_*pT9D%t;kRl z7qI#p^W>EGi)mLlx0|V)Xm+0&X7JULLvWeW!;=%5brnM!W^@>MpIcLP^=iaP<4a7g zu7~*ovr8Mfw)H&tdt%3_TXCx&KDyai&L_&2adDOrL+G9roH07bc`sPMaaUy9CHQQw z>otD0^FEiaIh#f*J7fr01kJvi{^8}bqK6N!ZLn)D;ukJ;kvM;F`SOkFcVEXTbsIRE z%<1TokL+n$F!?};yKwb~756#+{jn2Hp2uV1{p5pep3$GlY5^gZNu{5C(j@x)ELY8A z`Wey_Y^Bz<=gq@Ciw^Mbyj&2m>cWW!N?j+vL~gC*e6ieT=?sJElhk}?IldOVuyVt? z_D7T7YaTAO*FGFrG1dK}!k#6~6&a1*Zk@a4aq-^i4BOT=`QGWy$G`9LB*#cFzI*?@ zet)?BLj{|wiwi{b7IZ4j(JMc}FY+_yyf(+z7dES3OqehE{hs8TW;dHc^NDv<7JJ?~ z;Co=@?%f7L79X8LCg?V@df(}uBrJFHU(ZxOHEXwej?;g-t}9O2{JLuL|CJNkXK`!{ z4gIvlDly7p?v}y{Qu)<3=jT@FiUgnOxh%)(f02uCDYI+tkDL#sY75@1c(#1znKT#S z@1LK%++gHyq-&O*_~qfe+|+e5<CGU$?#|f$ll#=ofSsHy^VROE6u#mL*`UuTth(mw z%JuU&orU8M&+T++%#q>rVE-<&ZT^pWzmL7*b7DOuE|grsCjL|7m4jyTyS!(yLLPr~ zo8{L32{2ned+x&H)d87*m$nC1sa?N3@9cG-MbCDfIa@W)Q|wfxZb93mKgZIf4bJSi z-w_hv&CDXg0J=h~J!NZ@r?c4)<(mu){<j$zco{eu5=&Du^8<?Vll4+l(n3R68JOp< z(ap%&Ra~GR|3OdY_O{&H*N$z=y}fO2&YIr2HA%N`+}Jkv&fj}g?|-k3F8$r_cGlAN z^4;^s%a>)D<l0`1v^?tC(a{kx;~(e6dOq%M!%*(W;uF-Qj5d7xs4N;>`{ahDL2!V} zx;5GvG7l#k@+~;ZvoUMiVza)BPsMc93Uy3xzFj*v$K;3gliB|#&w8kTLZO4NW09=1 z;1XlOl&fYoXFL@|uW<3#%;1&w`@>**Z?TNbrxh8Ye;DddTE;U?xpB{2FG{KB)}2Mm z(_BU4QchTG3UmFxI4$WY%celrRa{Hg*hc?bv^U9`w_7U3EGf~h!eygXj)43o3;Ruy zcK5jqW_;h7At)G?VGyn$cHMHN=ZOQiI)&vfeM(mn6K^zHxm`wS$3!L7lou-;gbbI* z96F%SdSCo^)TE=wR~d$W+{9s!s3clgCL|j4_%2sPlW?D+YmQEjlIDep9dBi03-?@M zT-us+oK-Z_C1awLgx@E<NLNGug!7J}CBIkAWYkoPoLu%|g;A!?k-E2^N>8jxU0}$# zw0+LH3_Y%uQWZUaE;3$xqP1dDhGj@3gQ!gU@%5f!J0`zcF-b``ru^9*`;f<8hdB4g ze6dJ~4G6pNTXx}9spk$`Wv`y?P4;+j{HsKm)AtXTzM38SazX#uONHeMKDQPt=}qpv zv^Z);aqK!~_eHm(6JytGs+scQjcbsOpb=k7(`=8ddeO|(qpvpK;5F+z?iCx#+pBNi zblqWl-{XtED=sJ=Hh8h*;mU6>{+9kWNS`s!;H=PHX0``~OX4%N+0JcrIK*(;aKXv8 zCKsRSrFRT0yk5Kcb$#W3k=G#|eejO~tE{?^>BQ};7oFI<xFA4l+iRsofp@pv_{Td{ zfNx{+WEH+ivrBl?1UaQRjKfZCIm32%>5B!YW==EQa?4_slJw?Q&q|TgdjpPyEHg_z zDEEn>@nECxMJMOoY-}d~rAk~%rg;SkW?eZX#mOYiSN86KL&L(`JiLbcen*8n^Ju2= z*sxA3zQ0La^2SmxeopC>%*(6)s_r^&Xqs?CLsq8e-R;%qrg#VGxCU`E3QtVwoH13d zB$CBIe($Z)mF5fwJWaF`W!5L3eegZ>Hsg&2hby_S<xH;UEmF1W^EO;~ae2x$VZ#;e za-NlO<vX%>MQ^+)W~qI#b+<!MierzlkH?$K34GGc2U9toKU~NxpH=0h+jwD<nMUa8 zz#IeDqgI<wPr4DKb-#*fGV_fL=Q6|6<q9sp|1}E*riCuyHjCbuD)8IJ{o2M38A+yF zDWVhAb(k$~W~omXxqLKk>cd+Xn3&rOu1Lh53jK6ve_u0Wl=_)xyn(^`868`=wwT>H zDLXsq%Z8k;w+a8X#S264%(TnX*r|MX&&qs<RdNX}6Z4L35#!=1`z+JO6I$lAhjn+@ zP2*qU`>fp+c(lt+`cAM<?h%jI+L)7+a^5a|)rWt-pFevw>*2c6IfvpGUw;36g$J+A z#Vcz%1wXcwgo!0a=bwsy*~06@wNZGRXM(V@PRULCSr&(xx0W1uxT&ka#c82HB160D z;jPXSs@D3%YkkVvbn%~o;VkY@11n8~*a*`jTz_9Atl|iH*t6)({exnyp(hRAo>;a; zn90T9+!etGtNv@~-Rcy$In(OO@)qr>2~L}&ExeYseGu_rSuS*1hiU8g&kH89yq&9k z`0o|w2VXu4{IND&oF*m1AH0HpDciGHri~Ah8s>fVt8rh(`gG5>1>S9Pb{^ZD>^j>; z<=0eu>GVaqOw!^i@sPEQZ*G_Qr^uqox}0YoYpA2az5fp)>J=Ayw4J<_AMv1DXM@Jp ztKYg0J-1wbx-a$5!S!7!Y@xb#LZKDcRNklfm%g0HvT%=m&h*s}T$D8Ln4JH8{>9^< zmaZ>F0@s5R7YAE6b3U7JbKgQH(_Km#sYYUwIRb(~SKAkE)na#&?g+j%#koaai_3Rc zmGkE(1>3alu5a|4l$FtCx-I928s9D%HLV^+qlVmHCk|~p-=_J@t~;<T_;r%$lN<Y) z_AGs5U-sRmT+`xTVTg4N|M^(`BBL*C3%Fh9KhoU#XNHHGZled&@o=6_8M(V1d2ZGV z=S4X${XD<$@u}XtDdO?m4NS?2%Z+#*JZY{B<Td`e-CK4q*N>`ft<ttzI$|%SA29BC za_{5H+ufTlO!{2#-Cw@0$K3sQXx<XlXYU{Wlj+;GVcXWD84As@H3}@QGF@N(D*yO- zyOmiz@9o#)%=wd^?AvaxaPHRO!&hu<YiAgLd6?d9FQuQb?V?fA>#!J3--?{e`u(dW z_B~18xMv;vCAQw%ylr2$@=vlm_BVgCXhiv;?eY@#p$3f-Tb=5DeeiC0xnna+cF9G} zYQdJ2J(J?=T3aTq@{O5#Q`Wd&dzyiW=9GiV;U=Eia~oVNWZv!H!pLZE=X>IjuUpi< zjq~T*+k38)WBfURDf&N`%AZLgPM@p)%zXcM`)x@Ph7UjF10QPor;1hXy*Y25m*oT> z@#m$bGw!fI;8G~vwk9LJ#{T-0U8jO)x7>S|!@yu^DerG)q`p!|D8N3tVNKtHUEU{* z@83Lj;{57wH{<4So8&&X@%85Le(BFf|BUbdddBapU3t&D+P7!!{ECoYGd9l7-sqW} z-=DT?-kPn)E^~AG|Cqk^lfT@<Lrm^ha=O;|&e!EX_K<a({lO~zL%T!@85S@9$Lc(_ zNG-Rgz5aOMomaY-cNFa^-<jq%Q|+0=^8<%HH*Ji2e)h1ZlHvL!-6JWh?=nvET(gO1 z`}EE2?mC-!z6P%2_SVl6XumtNu&<?8UA@Eq#DQtY{k}hKt4#m2{`Wp^5t$b|E*Kr) zW!T9r{<iA%q5cW)PW@XSz4L7E3Rez;9@qGu=WCVcD_#G>AbHw;+2*f|vYi~JmRF5a zI-5Hf%oew`8K?b!cx9z*sFcX#jK<z+EDe@?6O%fQOl5fI*HfH%r|$2k9c(Llth`;{ zJ&akR_O*1y-|JzsXZ;V{{9l;!#I)o0{=J{NSO34RaZuy0s@t#LT`RJ)F|4mmO%wh4 zeg0e4H$QS}|K-%@NB#Y8T=FsFw|&=TzqU^uzcr?&GMzpl+Vx?#Im3l#4-aXrP;!oa zWpp@p-YT8uT%pr8hifOT*7@9a)W~Yusx!rtthM9iRzEtERrPD<%<uN+U;T?Ol6<vC z#EUg+?Xifo&i@JepXMhS*8ctZ^X9K#zkcrgcfY7|=T=i|TjQ_(|1@{lJo5j)cJ1uh zb8Y5bdM1-PbMoOr(U~PylW$ZeUFTp)y1eoBy?gWT@2~&2REp!nhYHJ`_omr@yy;U> z{F{Hynl+QJq^&%|98lfN$f$q*+qY*6t}~pi*S~r2^@Je(i`{cqzka)Rt?qTs6?1$4 zub!!^{ccXB4zvEV>-P8m$?0GJ_UyQR>>Pc&J-+T!_RsqzS*EvZFI#r4)sn8bzSOC9 z#ZNbWuaV2CIOCMREdH9?{!j5XaUtjALo57hWYRCiZ@Uz!vVqSfe&QY5xSF(=$>-MX zzqdO)-M&7re%n9i&)=rmte+e9dBI;#?O4gx?CIi{8_vdj)fcZjEBf^4e~*tA^Zg>; z9Q*P=u*cxpGNq}E3bk#aQ&@ReSXh#pSX<a!-%X8wmb#d|lZ~a-v9+na-L0j$y``y@ zg}Jf0wSlp{xuLDSg~9HMyhba#xZRZnU3Q#O9s7SiVKb9_ux3e+`ODP`&t7Z~31xqo z@Xy@J=wOaVU%y_#&2v}UIQ})wa&cEY`aUV{kARx!x3WUD!lWy_`@NSiX03QuveLg< zisk>q%pA?c3aJA&wp-SIEU&wpRXEeA(z9|`^1XLwyj;&Jv}h}C{MyR!>wWD9m*Wvz z6!xCFTsUQ>Wd57Ri^7?FE+I>wJ-#7n7823(_{x?~&5Jf|5-&Q{%bd23g<0Xx9MwMQ zsev10R{OlW_#>-AG0V>Fq^9-GC517TB^o>`Iy2QG#Yz=b7n&W6aXR$FE-3o;Prc)( z_O<J|ty4_4ImA0Z@3f9p(~6+ZwkdC>t1%ymxSy0{Sf+S;?I}KkZ%mtb4y~Sh_K=R8 zNPXLp#7Fz~$*m9DA#UJg5zwkt#D2S3xz;;F_O~nZfshM-%MYK_k>=dG$Z2j@TdHrn z*c^knbYbDY4XsD(?k!!vTS&Jy^sG$0|Eb5@zx%#4Rrn*V6nS6x>amGAd#>JI#`R;_ zI|ave!P#dD7ghao5e=4hy}Cuu_|Ae$nmx?S4qH-won61Me7VxYSm8^(2{E@exLk1Y z-XpX*Q;hLc=iWk5s~@{_0!p+4Dsy!X9>_Wx@om?+vsKgf7GJh}b(z5`F>D)GXH(9; zH)Vf!HFdRaY=}wVSv&VxpaW~-I)w+U!G84(3-+y@Hu*{me@^sFTl1xYCs$2-_BwH5 zYO;LrbOrk-N}??K*Uh_EyfF-#kTK6bH$zUPxW#Fju3pyWHYH1;(wa{OtGrA+kH2}B zn>ugvj3ejOM6(5wo-FeS5mB2uZ&ibrv$u$wX0LKM#{`*KCsqCYubj}DBH81_-sRry zw&dz_Rh~I@+q3I9raeCP)1b*MK6Cb)RsSw&GAXZV)bwBS-TTYO3H);>e4OiY_V-lR zi8?(&yBvM(_dN;v_FEx&>sFI9&o0IMT@XLfamAFgrjzzP%4|E6Hc#ZqxmgxB8TTbH zY+In+vu4fOzM0R``a>3T#>9AYHu^CyHaxORhIgl<spX^%ufmtUoM2@6dGn&VK0+&U zq;9BwnKu12TTSoAtv~nOKKpsomSxZ7<z8`2+~vEcHR9kyjW@eE;xwN~rU%Qtx;EoR z{{M_$yUwuRXf@8)tJvwJlv=xOv%yB~@9#DUYW@9?X8QBmiZ}O{Y`S&f^dG*H{Q`^m zJWg7KN4}qA^P}Q^vKMn+m|6Sob;(YjwLYx=p1={Ga5GgeVt1O_-;#v%2|g}fykCB# z?{@RM(X~J5d4z@N^_=jSqIG=X?rjD;7qve+B3=+~;38<+64m`%qBC^k`nxd|hc7i+ zPTZ}>UVARlQlNar1o1GNjSuJd8z&t~Uo9?j`B&Iv4`$`P!VQ~F8f<%iMEpW1kIgn# z1^36Tx3o`u3}jvR^{=YnKIQCu&DEKI)5LFn%WA)P@|DBAFAl$=gBgU@t$)2@!jDbm z?cSg3HzfNs&bVi9A<^l&|94Mmvj5>wt4$pq*`0}Io)yi{8?JL}^)%eQu)(3|=(OVu z<=3u1lbgp9<+_sPcmbDP)Sl_}A70K#nOKo2{f@to>7YpFoL`qZJbDi>pWCNDV_tB( z)Ptpm<X*m=EF>U(bJI<w2hWy>%3qsiqf;yp_&iXfL~Z7rh@A80K?_at)GwJQq<nkB zzqeC0H2n+f)XcSwJsu00Zr|9pnxj$V;Odz>)QXOkO8we>qEaq8NqzBqXT^*|<$u^7 z-REjv>b>f=kIOc>{rc0Ynig%%bCzB){qfXQ4FbO&&Oaa|<?F9lKAHD>EK`!&z1edP z@7?^{cjn*6tLpbREt@1b_3gF(DTii!Z;=dCi<9Y9kPBn<o?RUkT2}34RC>E}!;BkG zXH4u>ytMq}C1#uHma{8Pr@Z-;w7i-7z$wKGj8@DC&c^TJdVbG0oS!32e}#6S#>t?` zhu_MXGIJ)0l{9bU>thcW-=kN#vHsZYCV7co!IRBi&5es+bJ~5nw`1XEj=6oN^Lea0 zPNuw7U6kg(H)hg|JHZy3XAWt&$(UaBR^>eQ$xJ&SY^RVP_d0I7E1G@^QRii(=T@1r zDL>e_#;s_o&eQY{FU!q-v)?U>lr^k*y?#s5hgD*kMN`bO(&xT#bDb+Kvgtwj>7-eE zCta(XIKy$XNbjN@_boacFK;e>7_xAl?kc;K;AhSU4%wB@<e6pkZoyQiAnVcvJ?FP| ze~wRae6+zQ@4{QLX#QXJ8_k0IjZaS&m@FPLTPuV8baH4i@1{i$RLe{5EH2Xjy{e`< zr+faVS$kq%B)+fOS5Ws=b?J$&WyezZwtT$A;I-0FvRYnDE~O$UxRyi3aLV_TL;E|P zy(p5qrLtPQ=u3g3s;mp2#)bQ_2ag_f?OC(N?%lnH#oy0q+4IFXIM3j`!`{mqT&WrQ z#qvqiV<zPii|O%(v3YmiZ#S6U<^9;rXzr7(A2!ZoGq|8uEd8X?aEGs|(Th9kNwQm} z8Cf?Ju2;X=byZaQ`}=S64*fdF{(TMuQ~vdX0Yzd3XE(82FFj+wA(bchmPWqzmD9zm z?sXrDJSs3XS$pA;1D!5YYW}k42fUoQIN@h}tM*c^4ZYTr+3T-Yb=-Q&KWn8z)@zIG zW$~^STUOj^^?4X`q)ImZ1~1#LPt6v7n|B=y6fysOzC6Q`>29%#%;T$W^Ngo?voy*_ zK3E?g@z2e8))&K0PIvZ$ElNL**04S5`Ss=av88d&>h)`?pRe@4cdF6)zmR_SM$Z?# zA+r0V`1q3oOd1#dF5Ynepq_BmhV?h*zVLPZxIO6M8is^+H7jFx10Ub44L{~SKP$8O zm+93Y&&6qB5pmU;Ec_;qYK-pHcfDEuccrDGNJwGa)00_`cj{hHvd?x}|HZc8^F~GH zm3cq+${Nj9a842VbGDez=XK_O>r&1%zO#GI+b%oday#{Jdc(A9TTK|37IUo@<5<U* z<tQC~W39i>%$+SICp`r>-uQnZZ14JgiMcPU65aos=UmxvZ+`d5@`BfE4zKB82q}`B zxYp`q+^)^1(^O+zA6N_d`Oge;NqTs-?Aql?{quUS$-G&;Dyq3wUw_T<4Hf4dgB5-x zwmvLoJXo33_5R1J*7Amp@8V}I^orW#(l+yzSKb|q{({f~ofZ@0iGod=L!x=_x$OR7 z&A0NNeTqVSM&Hh}4!;X7u4&qJWv0~bwcBMnVjSNbX<}AgX8O*>>Z4`Wf_>4G+$CAl z7BPujJNM|t{T+KxeaY**ck<|xYjV5`{mmUdsX1oox|lCf6@IYUwzlr!?}U!~iXI;_ zW*u8#$I+Ji+eM^?r*o>G`?m?rk`tJpom9Ev`{VS2Z|06Gj$U>7<I3>WxF?BK{#DVW zcnjl8=fVPZ-ZeS<@C%RI!rc$z%4@fMd^XKG!*oSwWpQa>-JLe8nMb`k9$6l5>|cF# zNBh5Ht-Axx>QvkB%>B1};el_L8!ylMbf!`wQ^Hs|-(iJ?&Xy0Yx7Ho7`H<4<@gwoc z`tmM`J**1q@7&9j{2uZj-QRRr(1UONg+|7^5pNw<evNwlYvBpj$>+U)o-j0?Ke2wY zo1}1lkp7>IkM7upa~Bn?%#myN(s=fN(Fq1KImUo%8nug_uS`rVwTfM#rTD_p`hld_ zjQ5*;#d|h}udeHLYMT6#E$mS4OPO6|7wli2wutV%J@d$8A=$)~+|#8Eg8M_a-p+|@ z77OR?d;UH2@80v=GpY*H_Dl&AWZx;UU9iEfB=+~-sGfT6$!-Tk&vnM}+Dw+uyym|2 zY11iDRj26>^W3IAidogAP&k)i`kaJJQHyT2#Y^TXG8yl-_${B@a-;Lkie~HLZDl*R zBu#a?-IHj<lr^*T7{{9H6Z<zlw6F1vTUi&P6XwZM|6`TWCBCWe_BHXJTe4(B0JHb9 z=gNQQ{<-~W{#@pbxz)jcAD=dy<Y#DCe)~u1?%edI_a(gcWy>1cBj&_7h-NL{^Gj!0 zu}-s2k^FSiw-NFk7s7Ad+5K@gM~%|bV}EV$+UJC;zIoLgulmnUPit}4)9PnWyi4;A zZGE%c{lLZit1tiL#MW8Q*miog=b2U86pn9n4t=Y)+|1*NP10!>qnOWc51w^AGHuC{ z2jQM?+}b&9?x?T*ZTyR6@w3M=4PD;0-`hK^bJk1!{d6MigGj->-Z}Euua)Me==|Pv z+HQVgotJ8BliaQ~bv|3>FfJ97+hke1f`3cSuaj}hWLvw9!(W&Bvp!zL{o7k+@dxIA zN9Xu1vemJzz9}{F4WEr`8ShHL!bp___if&srfLP5sUMaxncDxJ+4OH+=8Q91uXjaX zTKMtmpY7|t-+ewTnyC1-yK-&?+wV<3bHryGO4+Gfa81-Vc-Qw}YDMzw4-@P=+V}*T z=3l7Td~P1Ul-;&n4HaJ|Wd4}*YW7P}4YfsLA9?@iX6xo;&oPM7;=SU(<5@Y&^ke+L zb+w+X=iKyeQsDw|$yUad`;}adb6&Myc~a@V(Dw!Zo-cTE$a9gSOWlkwD*m-GRfRIu zK5f;JxolglY?oFERcp<%&QaQ~^LF3boGq`ITx9ns=I)5vXq9`w(Pr7PTd(}Rq`Rh9 zeU6`@#X09|2FLQ0%)FS)mZ^WON@{d@SBrYFfBG$@HQC;xEbsfPWAaz*mwv8meYWex zb^dQWGi}6_&mU_2Ru?w?y4vcaSt?vL!G`O8zcv4uD_s7w>gn2h(;3*Tul{^Kweg(I z{9v_nCk6LPXs`vfuRpTs$hDrzjq*#@xRwQMYff9D@;S}bNoeva#wUe(Rg+pHIzkTa zf0CY&`0Q*@TXGRgvRH7}vdF_fB!62rh6jD`54z!}Ipw9}>hPE~P5<32f^MBZb12&L zbzM(^Q&yMT@rNFY_qW-9yQxsNVeY+i+HPT=rnGf0lCM4&#MLaQzcpaq;^0@pzdyWA zT`iMXyz<D$n7w}lJ@%>H`Rx?osyF?5?B<+Hf6w1M*tznn3(Ijq-&D)RCiQ6tFUjos z%BS>u70aHimz(2Vt#@ZlShbZ=&FZ;#`}w&Rhj#b#sXDbeb6+-I)jgr=sQIbKM;Ep| z;w*aT@!id*aYI~LCi6tY+NLK;*Ec-5wpjb})vdRd2B{sn_R`9u<JBeWvKuYal4jXg zL@oQ9Q`Kngb|$YmhhuwmqZ+T$w58d17laB#FV5d2uv&M&K}zRsK}~}{NzaXA9({CS z>PUTl>ZYf|X2<hK-+Or$9NfP060@v!!L4ai9_4OL`!!wf&e4*}lWsnFlQ*5-_2|1^ zYmw^pQ#!N5zm*<8>o4<v$3%<k_X?Q9pXvJ9`>5{T&wupx!HnmO|Fi@RGGhI2%!u#e zQ)>C-ePpj(F7w}dZ_g!b1A<@h`0kFD^4a^Ar|oLdv5>zR(>`qZ=%j3Mj^&<Cw|Bb) zzuGKIlTSVqY@XiQ;xa$@tD4)2!V4Qrx}z@DuUX->@4|u$4)F))y_a;z@cY2)ox|bD zy}-p`TA{Pftl%F@`|4wls70iSbnQ7KaN<qa9FxY1|7vU>uI}Eu`@2)4Ytp=>y8|{n zN^TESizqsle8ggZE0e{Cqwn-YEpj(I$8XMQUf{}ojpN#r<u}~6q~Fo&R&(9&6@5uy z*7kQ7Zp9?c5p~&~@!!De;o)=sY_a}pM8dyBUtB0SZFc8&Y2NaQTCQ$&@1|TSXtsUd zdD!JwYu@`CE?XW6Z7;sua*Jixww$>8Hw=3V%Yzp;>hOQQon6BFe}QG-X?^#_Mvb#t z#d%WaH0%p^2@T&oOKr2(6i2RkVNWNU8s)wew>pu2)pygDufjJ9yJJnH7k*tf_tImV zhsz$AXq79guKwJ?wlDHoM~T+M?@kvl=dsQZek)PLzr8r%M6c$*jqi_Vto&elbk4D- zKaAsc&MtZTn?dB*{6DgL?N6?&3DLit@^kgcUI(Yy@@2X#i;_G)I2k|V2>X1rdavI? zTkFgM2gRG$FFSGE*;p#+;yU}4^MfS}2c=(@w1~@HaQ>^D@&8}MedVf4GWCBunSNO+ z-Prm)Rol|6hdrpvdG+aIT8x{{FI0;Ri{E@*^OpSKBPpl0#5sNYwkzAU=9*c$rA<_d z&|8Pr1ur%RtW{jg-}cPErD?g?ME{2eqcTrOcP4jQ-@kQHHPz}j-$#*~(U167P4BCS zUwUzC(>sT^g0~cHSfzg~@wgGBcr<LE@w9iUk!Md${<(VMLX{L-<3yny>-G7qU(U$k zvu-S{IeQ}J)hTwVqlqs!?qXr%Ir`+zfywXNUb~pbG=I8g&vbVSL-?ULH<kFb%vv72 z;hz)J<|TW!tU4e;C-UCeCkb*BIUeRT9@~(&YG-=guU(uj9Ge8c3h>=y;@$UR*PFf5 zqfUq}Pq@8pX@IxXvqb&mGLf)ZPY$WaH+O8j9CrSUy4&%eUQ4%%$?u(|llw%hs3hdE zT1wHpHm^w{wsIRiGH$lq$~iRUsf4rS_WF(o%Emq%JnxPQ&z|%{Y)U@U*GlK=W}y|< zHfznqWt$Fp%zkm<QnGoL?OC&h_n(zLoP2v9x21L6yQ>=u&L;_R^Tf$LI&nFktJS*v z>YfVGwHJP*&CKT6xN5=8-x2fwvi>b&nKW;*_gB;9c>*`DPK}m)tW%VqeP-=_+5Nod zr}_OzHF5If2)v;E=e|_<S--^@ZPT(pGkli#%CVaxB2-QMNw(m6pSx;NYHV#g&K^_J zOLyvNuKOOdGJD63j^mndC(o|6%AQ$UesRm04+qjeSp>*2KdO6q`)tzZ*&DZ(vt_)p z@H^NZyUvX}*y0Pje@t2!%kga$-m@0`ZaouN5`En9WC7d3{C$DK{gdlbqbDY<N_U?Y z`zAo*zJSuKZ;k;cyR>%uuazln-)7DvFhBPA#DxzvRvl<dS9D?d;jg^0x%#xyi@Se$ zo3+<}d31k4X~fRV^IVPhbS-^0b$V<+<u*-6sfhQw!k;Cp_&zTe6#b@mO5nBH;WH;r z$CY1I&Z-hKcE3DnTc_R1wT$YFY{J`rNKT!V@ix>rHt9}6i`m&v-WvRC7wwnjYUa5R z*ClB6<}<5=W~9N_EzS1~PA!;XV<Z~rY_vL6@8Q#D8UY`T^S18h+576?x^>N89M|<M z_h){6K-)NA!rV_asT1t%dDnkAy0VTvziigRmAxx`ba$-ETd>*qM%I<e<;&$I`?GKG zC+xnx|5dNVjU(mrmla&}+~>GDpz7)_U(Z83PE7H5zTo$Rl7=@Xn|hw7{k!v3rmgf? zi@Ma}eHU%ceOqgEXz9D==HtSR&rK5;!qc7kcF7qSG@jo1h1FCl{a}36rRs$<Cip%U z|K1uoeW9J3kw)A6`nGAYJJwWQ^_ZsWrC(8%TA9c%ZfWs4+WlXrRSJ8jukT^=^yhz; z#4<gc_ew_G*iE<Yo%{aNZK;pk{Gz^mQJcMe%J#|`)x`!!OPh*0GXMSPHn$Jy+49Zf zb2LxNKBa5#m=0=ASbkQG=aBPP)9g6T+r_mu`+fUY-O>q=OI)z+qweOwqR_vSWUog! zTq*x3IweSS*PIIepXZAWmrR+>pi=NR{7U4POq&|x-~~%IOnK7ixL|TX;l{=LSLk?4 z2-)s*J8G+Q=GGLA^Vgac&i{T=c{AC~;Izq<EuWLa8rB=!$_<!)`Go)Si<Up?^!oQ| zd{@e3|LG*?<M=6EWY0B0;Yfu{`)gBVOBNK(?VRw1Q9l3R-iz;dZ4#;6aiZv$Qjw<r zqnMM$Y+q`^s@-Z{+n#MaZhs@x;DlpIjW8#_+Fafq=f%aJk|d&9RM{tH`DqHS)zgr= zx@z7twbmCGR`)DU%C(o)Gg`vyuh6$NRKsMyYrNKHZoO@nYm6uBPW^l1+N|t#my2=_ zcIJvbd?EFH@_dIouQ?YSZ|j|yBzo-m(|>lKg5T-tE{#03!gj0C{<}ZFloZT0W>>fy zq2em$ChF6ZpB5q>o3V@E&1U(=KT8}Jm<Fi-3up*>{P(Hr-mh%7yOb6Q%$$1L-n6vl z?w;mNy~Rte@yj_2e!RlHxBrXcmb=%kx{79Z25tSi#7kq*=2f~M7MJh3#IjQ=`3&Fm z0HL?-Zzq3rX#Y8-xolO;S=KNUx2t#0hV51FzHc_~Max;%?`vl5Fj;tW`@9XSRAyv8 zPn^8r<mxkSTUhk^s`K{bdJF3~?OiD({rlX?|9@UxTCTOap8xX>wF$E#m#*f$8+=Vk zUOPILDgIN(_9Zjh&E5()DEAmhN5lu1<^R8{^J>SlY#!auQv(~Wa)@eN-yYl;t~pO? z)2Yk49Lh=Ewih%~Cw%#`F68l%2~6qh?c%(S$$g(@vM*wJ{+iD_)+{J&6A8a#xutl4 z_|dqkoh>VV{{Pk5GWB!^Kfi?fQ-R*D6Iw-#6;sUe3x)q$$yjpE;xBYwdd7T1>*Tt; z=yavfoqQquf*jffjSLZ7Hza553VCL-dF73iXTRn-x~k0ZdG%7oF!4)5+L?Vl3W|>& zo!dOq_S4D9>$?;ZO+22gd$20TdusCTg?_KImp@spThk-d&&9qk<YVW{2kCcflLPxq zO1gftoPM&a?9{e5>5EI1=QjpjWqijoq4)36%t@OIC(d~pv0z4}cmn&5sMYNPlBY{2 zTJM-Qqv~z$-72-!TT%`;_A&En7rpv<^VQba()qscuX*mf6SbbN_T^uX%(+|gGN=6& zUmo7x)W*9&)hIP-^8t^@+1^}5-|X&Kyt(nx<j{lNJJJ>0WluJ3*uGWg`r4JpBhR1t zSK_z%=F=^&Y*x?oTDxC0y!=>h?Ozq$+1o>3%ZT2a=smlJbKZ|{H*yOi@|Solxv;W+ z>e;dwh8KNv0|h*FcQTp%Gvx@dT@sNt^@7*r&67fm{z}*zHTPb1Qn#D6yVONY<~;9& zRt8-j&owd6q!wqYY(IJb@}k2Ej+@RU8mDY}#jI7*`8MJ24zK?{9zPDg%{>&qQ1Nl^ zqhi;Ox9-X_HZhAGTFl2XwKVN;r=|Mt@IQ~91ut1F&b364i-qyA(8k*l^Ao3iEMAqE z)^_;v6RERLa&K*ve|{j@c#g8@|C^b2J=BFojm?tO)G~L>%`d$lvGI1sQt`%9#hvML zH}9M}_3PEv>`l&f#ypm4Tysws&CE=YJ$dKGsbYih|C}36Z|qi7_#C9BzKH3$N@j!? z+ow)(v)Q|D{|TCAX`LA$d^K#_w3SQrbiL31v-exK>C6kB&*eg!kN^Ctb?ir1^X`KN zPomg9bH1OywSl*=%0*jartOX@L!;lHmgrW#x)hQ+v!2UEMzTv#n$5RQOS0*We}A>} zUt_l|oAs1=jjm*LiD<awOp)mD=01I-Ray3!ps=vPhk1$7GgMU#I!YuYrpZX&wVx~H zCC=v6(7uJcr=x+#t&!;ppNde-sVPrqXnxi22y1q9YFPN=d1&aug(oKlE=ctfJlcG$ zL2cT*NF&38g^3LUuFBybgPPv_{d=2F`PPQ1+s!U~$huszH7fnzONS<r{Hvb&x;u4V zFUej$FJ;%k4Jwxk-!bM32Y<JdOIvK2d}qPT*G^4O@)KXGHw(1Q-~1p&->v1k*~0iP z^Bw1}n7gMw&oMbNM?}*#B#}*qk@wgAx0`nTZenk5@ch-@;3`$#+Wh;$*NHb)80~y= zLO{vE`Do9vuo&L0d7WCZO3CM~W?g$D@$~7!tN%K?j&TZoTh!fp^7HYM^Gbag{WpWx zdFy=E(qC_x{(jBhlpUAcR_DfCyLElJrF4JC?Va6EL(=xmXLVTp`?XH;>%G6`{?nD5 zxb>-g+C#T%UoJf~dZ6Ica#{0uw~@~d2CL4sPaa>3`cw5~QHh>aZ(=d8UU1<Z>!{2B ztk+InYsO%9?fdz8ZnLL;4O=}&;`{3QZv`<LH{bR1yzQHr+A*uOJ<Q5Hq3Gb6Q&EX~ z4>~I^R(SDY&t@*?G|LFivcnJCH#i&KvXD&pwI`7~dyUl06IBJDFKk*ByxzH8aC6@I z2Qy#nSU>Srgbkx{XVyYR#}@Th8jDIY`*^NaH@=O^`jgMYw%s9e+UJ8@CtqGla=on< zY-wFD`{Ytr$jZgivbJaLmOMG(5pZLIo7v`lcg$m?rsThgF28;F@Ei*Z8I!fkKV6f# zm5|84bw`|lqr)7p?M;dck6dsLT`HArGAT>&^QLVAb9CpKJI%hlWZO!|os4&XnpcP& z|GKv#_s9PNj`<FO6RUQwwBTiFOX4hP;C$lIA|AhPs&=5{w;nN$zE(!}V+}`}oZQ<R z*;$#JnVMS|+Z$S1oLhtx<z!S`S%f){W>_Ee)meJvSo4Jm#TGud+~=G+-t<+}{EoPi z;;DOe3*WQEnIC?l8?x&_y9wi}b;UPV=ww-Yzshz@;{9mkWS1HI^Z6!b*0i5hvqj=g z7M2?1oOpHES8}gk5jWS{zQ<3KYJRNUr?~6Q*&{PMBQH1K{}%N+)NT7$d1KbuXAD-K zcX$vXv0u*cM`X#(k2~hP+jHg}ciml$2}~=sqJHX@UT&<B>Mbccv--sTvZcO@-bQX% z!t8k{&BAsTSEM*Y`w2hWi6`&Mn&-!TRK4i4XxG(mdmi|o-*s2ZVpXg5y@TAzb8Qwi z?9+49;a@K*@u}WCVQcM$&z-_Y7Ea=uQkAmoX@*?L=ZPI&zB}*iouRy8#<2^9rRgn9 z#~<9T?{;DQf6ZZUrD6Y>oqtaic3d{(*;l{4?pDHK?`F^6Ok!NCE(Xms4_7F6$UX4; z+3vze;@nxW$)^M7L{1Ra+)`{}#((jA^RM1$ZHrldeKz<_4nFlhXx@^Qr>4D%e_Zg_ zjDMqc`}?cil2$XN@BDMuzZH{u<hQAf$gRN5SLSXwZ<ydXoAIdD`KbO9eZHf=UY(nD zIsSyt#+3W_7X>=A-#Mw{?X~fIt4_(s^?TQu{fP9+Z4*@KIOVZ6uUY6{)v>3qeox=A zUT2@1otx&jZpO*8H>~nrGhJ@`s@Eb{nlyIgopSAPmr%?$ow35VKK^oEox8+d@eA`O zy;+_l@WZ=eh3UTg9|9YiHTCzXq`xhbj=fwWezVPG|20|9Q_T5Ho|B(E+*-^&r|GJH zQB@d=y$AC;ew!zMzjw{J%v<76pCf%dS@Pv6_Gf9g?=-#JE`L4tqK9L7Nt8V29|O0k zla^f;dO2;{jxNDi3$MmxlbUe92@5+`y(tVW=1|XE@*`I$`jGLAePwNHCvjDMU1`dh z$Eq0h^g{mRgxuD2<B)y!)xl|OFE=WzJuLLnz0&c^MVC}3F}CMl;+DEj-K%(H-ikGz zyxx1d?Cbqz*=+RSS!)>RTA@{?u#t7qgUUPKQcO1%xmj%DG~-M7-*Kr@Wu1?jLT(QK zmhe5RrWwU7^Zc(Tm@dm)=~Z&!=8KoP`D->_jq3KeXky5f&DP|*z4pGub{6UPA1rO{ zk3FlMX!_o)=Pu)<tgo`Z?t0t)Rjx8hV43S%cUIJ6PW<$%o?<s1Ou7)cDe}7Dfe%s( zm+qXc9k9YAyDK>B=i9D%3~8K2lX?uRgM=SV@S9%H@zU_Cy6J?(mwY+S=ig16yK&p( z1_iHPAs$(Gfg5kvNQUHYsgIqmWXos8R=E1R%g(lpGwiut?Y-AywfPskf4j`(nq~Su z7Ma9<Ed`Q_GiROCRo-p($+o^>b~A(1v9JRvZ@<ZiDJNCy1n_b)we~PsZhoPdvdzMm z+i$5u%;dcSXFW2v*O+aTw@=^lqHS^S{+oLu9d@{fXMWS3_F%(_4d<6^5ZE7nTt`Ec zJBf{3rbFqjM19i6$LD>8uO+^na&hIkeCAMhw@~@l`}j*&pMJQ~>eAA4yW6BR=c!4} zoOdZ|?x`IsyE(F7HLlW4U1hw3<A<@fZ+Uop)p{qP(^k2Mtkis?o~iJ=|5^D(KB%|Q z@Q1H#*bE=GowGbyk2Hode!h9ug#RLA+L>=^8|I`)?wMBdV%M#TCC}OJ%<%s;r%gR9 z_i5Op73O!-m&F}F^w8|*pHK_&_xGIV?yQq7XHA^@o#DxvUs6ll?B0H2T|9a6i}tVg z?-hovOSX01Y+d}%XSvnGpUbxFeinQ4K%ZBXa^4cDxJM5k*<ZXUU?96dN%`{io-3vg z4f$`fDc|L}yV?2J-IJp6S0}cA4sE-}srv7-E92gaYUxJp3+^p>8!!LDYj5jDE1vZQ z0)PJocKpcgkxM)=Tk^T=Jb{m={*}*vcX;|5!Ptf88PDI>KP@!F`&y5r-*!>kv<wfK z-MO*FYo|Qv4CSi4|2+GJ@&k!iUsulvTxTnH#IdX~_Rs?9uWz1adgi=yzm%DAMrvVV z(ZzV@g8PCh^ELKN-LdGf>vwN)35|*s)vpy!9S>QatmsyG(?<4c%t_yWo*^D$y!p8d zQ|Bu@E$|LYw%q3X<ItIW$2FSsTh(4|clwkca(?!{sWWew8nlW%k8f2-W7#@mMo#pU z;|C7^y4oi@ao>r@Yu8?XX(cgvgAC*J2P^ESJ6&Jqx;U{yK1)qLMa7Ko*sdOC(RrJ# zg!8g8=8L{aWc1$o{MOOKA2Uwv`u5|-1@~`!g$fxD8g>b}Th4RwF_1g4bn(%o*3I!n z4JVIsuXF7W-If|C&AKk?$+RDf@+aT;)a|xd!n6O+BH0_K!Y8heRFlrCzqf_ky1RdI z_m2M4Q7s>x<+WDslK=lz!B0btyWhqBwG6-6uK20G%iXtL39EcPv2Ru8v(S6dldp(O zG3b-x)3@Bsl(_#zM*O5Rv4+mm-23FNr{3_~zb)JDM$WFyD|?E4x^wQ>_=HUU^w-aA zhe43svNjdVIg5NcWKR81>&m<p=EQT9TTpe5`HPRD4ADH7-`hOr&A6C%a-Vl^z2X&h z`KcPGEFu+zAH0)f{U!c%vv^PC!O5ae4R0Mgwer%ERgrR{iIMa71Yf_Ce!d{>^`Tt8 ztl*`q)b_aDX08oPo!)J{=j^2|%*$NUSlV9Li>MhqVlWIne)ag|tJ+c>QAxT5R;L@6 z+bp%#fAr@22FZ?}^5#iP*cQwD3d!NWI{WN%sr%pBCCj4kUQ~2bjAu-!zxp=5^O}qA z;d7ZCGoEPf^?Fyh?Wy3k@+7{nh@8@gaTi&Yul>~9v+NM(g7u1Gr*{_k)qJrn;^Qjm zu-`uasE2y--Osr>%dMraavT;e{=9A0o4Xe?rL><{nOwdjdvz15>T#E(LYuE&a;$^C z2w85}Jv$&<z=GHBSGwe6jr?M_CFK{*T~q_vcK>*6Zuv)`tl;9@Tl{|OA8e6L_?zEY z{>-eTw{f~Z*LPO$ub;kVugo*eF@CeM>Q^$O;8Npr_R$AJwkCdObmnZGaMo~N(5ut; zPk$|D{P9X}j!F6xf4-~l_Z@JG+c+odU&!nNwbiZycdabeRYx+i*;^>wx2#&@TpQHs z+Y%SwJTq(l^2Y8|`M2&$s}wGu+*`Q!QeDS`YttDScy|6#f4ObpuIsE#_s{!i=`FYV z=QH2K<t;a7S#+GpgjtiKdA(#V&-GsN&|FGIWL9jh&Xe!)CG`gi);P#?n%bH^<bUcO zJN*UQ)Qwkrul@Y8@YoLX%hKgv7<BmfWE{ji_lmDF*^{gP{JC?>ZKe&!<jsp-d_3)z zFy&Xu@56EytCBx0{<i<GL8$)jP~Qy)udV2_TYKDUsoV9?Z#K4^3@-f#{;dh|H$EEe z`~2z;;{@rF*u)LBLX+MH-8Z}U()YWQ=<)c_09`NbId6?#r_`P5bSd9+`?_JYefxD^ zi3lCP%x(>h3E|WI_4p3ou=((`nY-LnU{P^p!IPH(pPYpMvFA*yx$|#TVt8nA|No-* zD~^5mu5Mf*`!(qibMo4t@B56B6qZEW%M^Zju(f|{@n`>#$q8KdYpZj^xfi57>pt<T zDe||3OK;DY6rV?7-S^v=Zd9C@C*^;C_Ulc&d(sU~S={lzVDPh!J>rNK%gU8+%u1Q> zh^^c?<JeJIL*cuLb9sI$O+UMIO-dS1`8gAv5C3JqZr{B9V#CxkftqU_i^J;_%XxnM zoa-i%dde%7>1EI+=QTG9{yo&&EdNM0b7Rz&@;yI~?qJ9`wd=*F@4gYTVab;Yy;zdU z<3B#SaNz9IU3$!$Pv7cnZWhtL)_XK~`MYC*v!`A!x&Lo5FIUx%lU1sFmSsQreC2oX zsgJpm{nMUU$e1quqwl;{&@}f-=4at8msa1YUKuG=9C`EBLbsINGv`gQ<Udh*n)UKr z`*mv`Z8y$SxaL+N^D03l)M~QylKg_ltpO}|+)l4-;aZuUCb~R&Tlt%Fw*C>TMbCZS zP_SuQ#PqP~N7J~LC_O6u`Mm#<&J><Wo`00u*GyXL?E75x*~!al?Pe2KPPaZg-Q;7& z@w<j|>bk|Ma_+M6#;;oRDEL>kO3d+NAyOT#D~|0oO<$;K&S|7IzdB-)m=c>jpTUmo z?nnRjAKSKfjgtHQ6O&(Ys1~U0IZ~2T*cGDt?pyhUL;6dzJD3z!%+=yvbT(xo`++}~ zzt$(H@A_~s=kuZu>knLBS6L;nVfFJ9b-Ii69|m%UpZ5t<mfBM@IqZSiifotY#XJ)? z$Zp90dG7a7_D+X+nNO;-PA<PACvp8jRmRFk+_yS--8wp*?E+6%WmyUudMx@-v?|0e zHk%{xTDGy~oGuA5nG-KQoXt~O@}~S^rNiQ$(*AXq3|e%>au#1}*Saw)g6-`468Yc- zj<(x^UKXD_?>N!mWE9)t1}%G6<-6}=*1njVaxLqIT+OfIev@DIEqm><ISP6?G_GF^ znJ-ozUL%zuqAa%d;-Zr$MV~m#Xpwo>6#3ZWRc78zb4#m$hVMOrTvv5Imf!HpX7lpg z@p@IfUK!)_AOBSug8zKE>@k0F%*iVXT0iE=eEJo2nr)e;(@75_tprB#-n9wlb?F}s zTY4HMKbmuDrRYXu<MlEBvbB!eJhs~5UKTJz(y3kR^(v!~my8!{vi|NUJW&7P-rd*p z8TWr*RIGaI&z;%s)wK!BCa=43bR+Aj-O45{zcVf`)C#@&V%y<v&Df`Z&M&yV@k`a0 zH4bu%`XBv&XE68H!oR;PEi-#|Y_43LZ-0KqAM1Zr8-xsGq>^rI`t1~MSEb>QKVLay zNu12ujlJPtWQ-@Qdvh&wUY^pnI@9j~M`m?vPu4v@ebsND54OJ&joY`d*SqN6F22{Y zd!AB&hrDCRgP(bMAEz?C7vEuI{CkaphV0b%!rzU5wl94*E&SA;RUI<v|7UtX^-q{S z+u44`TK$a4PZb=etP4=kYK>51QSX=|IaPVZ8HFW3C$9dx+%qrQb~&41CHvFo)mt>H zoW9jYr#{=gL%fN7om2_O;+)#F?wHASzgUzqPw}le&tSLdly&ag*H(!;Rs5v)_WiUA zx_I5d=#R&V{u%7=HW;3CI%I4RJ8g!c+4M!Xl?sxN{@z)r$r5+hb>9Du#_o0PTNt|T zh+jDn!z6HNQCN;keC>-HzZG>}cbl;H<t9rlf0Vm9iBD^9!pSvHXDg;2;YwLKJ!sdm zETiAmS~UVkC$OYBoDnf%NdHv6(Uqyum!)#u#0Kebo88Z*&M!Afx)SdA>V4@(wI6+7 zjvlt!|Fk7of3{-Sg7@FH2WmZ@7?HE|@5B{d5i&<Cw3n=(Gh=>y<l&NBX(fY~UB+8y z+lE{0d+i}5?#OHYM<Hy^=@lyXboDwGa{p>6UJ~}CU}E{|O?9ghTiFG7|KQ>Med)j5 zZTbDbbLT$Ht>w3VrWWZNBzU!g-}-)>{Au2TX>!b4Jr7r=JU;xUL`ODrqg6P!wxrgY zPkpMv{=Awp@(Tm@@N8&hQEm)eX^^2i?Y_bil`Gcw-?b%dIeVZw(&p~*(tqb#68z0u zL-?=D^Y4m$QRA5rv^rsy)3IF%VKRKT_s+fa<&5Gb1AkS4DRIlo<ZnHBGOy!OP?_Pu z2LIVbR?BzXj;)IP@Vc$^Pwt+Ve`9Rxc?>uEHt*pn=-NLgyGYveg<aUfLp|IEvlL^V z6wNV+x%PbH1!0Eb;}=t>?%jRu^b@AVex<KJ$FYgk9Y5R~tficuwy<<sndR~4E2W?A zWO#V9^5os9GoK%RZ`BC@z1z_Eg5wEIh7%zYu{LvFYH$9%g;V1AgZYmid1-81Z>=9@ z*lF6ikT*UkTX)4;{*P;Gc9mFGz3rV<<&r){glpGqfuA=Yew%sDM0kEsyYa={?td@! zhV0~wSScQu{>t~NWKaLg(ECPi+_#_ISkb-u(diE#3eE^#IJ<DiJU;tfd4HP2S&j8( zxvAI(P2m*P?VR3r*-!t?tP8?+P8+t#?c&kgr`<GVQo!b^C%tw_v6X8WXRZ1Dan@rc z)@bkP=8xw-E8CV)v$0`SldH|64`Saf*>nDUHkNRE;JxZf+1a%6o{Js_cPv>f`$uQD zNQ;7-?d!vz#Tq6mODG=pZm(@jsF7k3w|$*$Hb1q=$82`kLM72>7M5;FaXO8jfA*HY z*|R;+XLpWP<wnM$qZ5{!-0^JRrIDAk;@p~*Qy%5AONPEVWqMyfJlI9x#QNT_?GuA{ z7%Z<;ELf*>#8TndiYMM$Gdy=`7*1l+ebheFFW}3af>v+wo>#&bdeVBT;sma}TJ=2i z{53AE4=dC(mb5Z&PEg~qT<z*TS@Yh-U1A3hatd||&DfL6v#ErqbjGAhGottDl-vD% zdg)csn#r*{{JzX}Uba~xb=?n(Jz{-(6MWZ8r}+8&dsX%9re5sCZF*YA-dlcqy>yfN z4gc3Ee+3ISPbpqk-I;vo`li(vVtIvcGkQ94tYfa-?eIa8De`oZp3hmyM%lf0A_Q!5 zX5VaSU!^_M<A@#eq|Y)1W<MFu%}X~s@nT|F@%vc|)XyxDiZiNty<kQ{?dMahk0$%_ zE4|~DRTNthc}e#g^JETreMRqEnWtNs<BM-a6r8%IW480tuG6QltZ(@m^6^FR-uJiS z7Z!%3H^uP@FPi_%{fGK=#@8!fU0$Dk|7wokwlB{V*2;Xl-+GT_YYm&l`!4tWw$i&5 z?%r>#U%`Dk<<2aQNX{3Bbsau)|B0^?SQ2K{+h8?$%dD=;k7f5Pv-Qj3S-N21+(53) zGbb??Jo%G%zW@5nZNZPbV~_QonZm`M_}F;mzMC^d_UQG7{hB$wNONhF^o%=peJ86n za<2_yVPmeG>K<f0zx~Uzwv%VL0?byPex%%2zd3U9O4T2wKH{NA61}=ilQg@(|9Y#m zF!6Us)@!Y+zSj=Cnb1_BIVo=GGR2y0oqGPK?<{XHUc8u7zSTj%VCL_R$}3Ip&dW+W zH#ZAy;y7fXn_`eM;c?uQSv>Ll&c4U`UkMg9IXnomwfC?&To~}vP1&(daxHgsR@1D! zoC8WnA`b^ggbL5OI)x>5?+)cHKBYT3+zr15uladnMnSrjk?Q?$*I=_va-~%Z9Ew-H zoXa<t`+L@R9<4g%no}*e=Da=Dm@8tcuC{i|bs0&ctdHLM-keeocI-_(kujyID2~<p zuh<t6|3ji*G-ur?U3zUZ`+4@4PR@;|m3{XbFXXu$(ybZIa;R;`ezlWaQlfvm-)!=I zUlsf6LSm&<fRg3cJQk}{TTaIwaaol5Hs;28ez|K?PqI3lD_Owa_hR>7VZKBA9|WhK zp2Wg)B&O}eD`_4Z1E&(HYxzMOkL5~qDvq&nHE-g(nY!=J(JhXl`bp~7?p{7Rn=#A( zrOdHlT|cgviTwiiA~??Xy|)qAx}xW4?~jQ}OG|fl>sfcTrf*@s#Co;FUD0ZP`&N_o zrv^SJY9?k}be!4~P{H$ivea#_=qaBLKX9+VCU>{fD6e+j*}2oI?>z9GwqnbU4F-3g zUu4^`%(_ndVs`B`=kL;$7p`3DEA(1t^Ot$^QN<nNv7Kukc2=8zZZBgyX#G|7cia1W z6}RSgKdaHJ<34@s{p{5@+LqmH{js-h3iq)M#>+qL;wjKzfA_Zb-?F)Gxz5GARxK1- zP%!JJ++w}2*)dw5Z(61QS#6(iP5Z~KLvx(U?7qEhH#O60TDIYkL%8F%!;-dL>#UyZ zBwjTs)ctvi!-zd#W(emLx$oLHRFo#kdmXqUd}g<N`*e+0h9O@+->8rbpI7v5<HHr@ zpYO)4E3!McnKjv~{9t~f@$8cIH&p({?A)-=?aMWtMQ`-(ove;+*%tDC-J8P=TVJ;M zAIRI#Tw-}U@7>=oDs#1*>TLyQiW}|`tu*dDHp5%)qQJC=yF-(lE%ihW9q$!7zxCdh z=IQA>9vw)X(BhOGcjERrKcV#GcH3$v_DR}*&TVGZd$w~HUlN~T#zGFqt^0R*NZPv2 z_-Whv;S;~4Px|{SBKec=7;n+h+<y5_cl5Gr@kbB;zR%dLGWW#cQhvMmqO!NwH!aqG zANhX!d&!UX?!5Wp^G@*dKjeSSU$xo$_X6*p-6<==f1R^5UpH|B3+vv#w!*jX`p$f2 z@0q3`Fa106y4Py!H7EA%o2RytC9{KX(XMpcBXKf?=NE6jV?FigqL25}Bm2DcwPs1y zsZEbNyX(tWzj+zI?&W>*u1@Y@>+5AIn)-Z`%b``G5$+EQPRE^?&-bVApL=a+xB+v| z&bj$Qi>Fz8U3r-N;=5i%fD-Sm2G!D^!BtJkt2D2C$hpsb`AY4U`+25ITJDwq&bIud zR1_-R@lDV~{ZFgfqPZC_gIlvxi@BF(7tDO4I(c?N_ASqMbEelgdA4j;5q-&P%2Z*s z|5&Q*(x`83%!g!Gc-N_)YQFrwcy7uami<ll*wTKM75GOyT*eZmvG&Rr#;t9W{+F3G zd^WbW%Z=b)yTqkEov~c%O8>E+wRgB)U3A^JJVY*f!LP#UD_>30?VO**Y3JbUr?zGF zdA_w;U!+S_41VbTDBrnTy~E_yjztrHEw*<MD?c1~(`bE{*OAsWU#<uj)#mHIZRF@p zdTF$A+gnBx%|j1dBh(k^y*%09;IFdJp|SszjA-%3rdwNftznMYoG^E>LZw5K<t?LE z8a!_zZU=@hj_=}cliIoD=z_pUo42cSCO8OK3VHSDZLdGtQ`6mMw0Oa)V*jACR{cUc zEJ9c3pETmCU-sJn^!aI<4(f^fEclvOu-s{1p2Fgd9?TMlj~uW0`($IJz2>e(zq8FH z7CV2~|D)ph3!ho<a=-K$Z_EW9O0Z3B+vLu*ow+_a>cZ0HJ)ODrpKad%p8NIpxu4f| zR;`cQTUHuc9=rSBi+!ug3iY*?GMfpTGsj;Oy5M=`;yy#(!n9-Af%Y*MC$se5Pc1Aw zf9{}=M8SlE%U88eJrFE*K%wwp!<LeaXKSaQ{j^fJc$utKx7?bKcS_1Gb5+g~`>?!7 zWwn&lMHbba312Q;H?5d{RV)9$QCG^X%gb3s+%LRky~=Of5Y&-zG17q5)2`BI!^0^} z=IiDi>kd2<)ctnft{ZvTyX8D}>vB16vA$p}*&}WkaG+XVy6ItK|HQ;Yvgv9zd)W4{ z+x(bwQPQ~i?&6I<#AXyr?mMu^Gts!{-iccm0#heQ*nh5jdpzNe_Y5`5`&;TxlwFy4 zMI&-TNRez&%9csF=MyhXZw$XUOYA`Xy_Z7l;Wu+kJ6<FjPs*EZB&1o<6g9Q%l#0y! zO*tLY*Nbp+J3L>s#yFyJ0plf?yzO@mEBsc^>RWNH^VDSZqg`L8gu8~kH_YpeKIP4G zv_8tacS4fo#WVgqkBwI7&(z#jG-cAMq-7;%J#VnK*EGDg@QT~Z%Xj1ZrtDeFr*2LT zQ!MD+vj5CC<z39TM74cIo}LV<Qmm3miz%t-nSR^%;3B0T|7N()6nyh+{yC+>p4^op z4u!#|`nT>rFrR0$^EBV8&O2O+e^}bL{kwbbs@{V;XN%gC-fpRUkaOWibN<xdkA6C` z@7*k!qr>fO6Vg{0aB0Eb_vgfufBaB>YbEq*)2^niXE%#V^982=yt=l5XY1cbfz15L zN1yjzwqKacx^8BK*#9Nh5_UwjPTs1T>DXa3)#sP#d6Ce&ZiTsx^VZkCzAGNaxY2C( z=`Ky@JgqW*^UE0@gyt^gS4n%lJ^kA0C=>ZN>Bq11N39FuNqWwBYwNpMJ}ci#0R<s{ z*e`FJvX_JNLX!ql+4dVN!UDMqZlu}o?zpr^yJN*qfsO0@=3dd-vi3?0&&I;CSqlVi zeX{zl)~XRDRbN?L`t_e~sdRC%b?l>xi!<FbeplF;%n5w{M)pMAFQ?dz*F4%i*q`uD z;!7`BE#}!|wzl=@&zB!=JKwlple75Wp^veZ8|xaje7UaPS*zjJ(wIHR?x0Y~%*DG7 zGd%pG!aqwSvT)0rj}<x3{#f5`4)k7if~o2CN!8<rR#y}-l>T(uBdBZ|bVY_K^Y<rP zIkmX3Jk!I^+TK0i()@0+Vwvf}#>|~Z`K{#_xR^~`GF^x(m8DI1W+hi@h|)fn&4sBy zCWS<7d!V%}I4Z*K&52Znh1X|>)pWKkyP>!0%8fYz53;sh)ZM(f$nn!$KD%jL@y=nE zteU<aLegu$TOBs(Jfh@uLi@}Vm1|k+c^Z9dLUMW-HN5@T>r9NC!Fl|Z*pE2D*DQ0| z&udLgyHq#zy@2;A4lcdwBQ8#Lde5ag`@}?pRwk}~y4O4I1?P-w(lG+7?HG=I*v<Ik z{o(XO<!XJMC5Pn~xqVlwD9e<LkmwP<*B9kKCGyBDvzjTde}o>J^-a*p(&@pXi3xgA zyE$fE37T&4@QT)wrUybdxuirQ9V<6(&+>XatN&W0=*ik;0%i#l<*Xkj_^(o&-QDPE zWOMzP>W{{~+XeRpt+!){U3}>C+dCNwU))vnFC{c&v*`5h6n&UC<-7h8dwZp*N-OV% zgavsw{sxOZZ~nUf<*_$P>C-kGJiXeZbkC3Ld!n2?dXrBET}{?7uqj%ypzm&~6UYAZ zkG>nItnYr!wN7nedQ8fR^+A(^1Kx`_<$GNG^mba^<0(gDrlwu8%4)gI&#%J3ESE5m z(=79m%o$<nwe@T<9agW|J>PZhj9h(JXWCl3ox$JuxBb5P_kP$5gXkHXo9Y?;nx{<g zn7SnRj+3;}ub4l*E^|8zdY7J4O1tiO@%Xj~uOOFCGrnG#?h?;<p20_8&k=#icWZR@ zw0K%Fc)#{DButud>-?(oQ&-z`yWL*?%;cDLo6G#}(`LW7^PVmI@=IjusZ;YBO+S`$ z25M;?6I$!l9Cuu_%6F-q?$`G9)6R++<to~}e966f(UQMU6Brl-R&4Fld&}kWn_Hx} zg+<Z&o{Ft`|3|mui@4bps=R$to)of+a2{LQQ~j~mB2p>x`<zSXo|!o}<WxWV?#y;( zmG^YT$)@GP*MD9p6p@@EZhP;@W3%JVnuc-S-<z6`w^U6}kYR1&tjZ7)_;8^y$$zC? zj<TlCOn>*AOP21P7QAbfTzluO-{+?A-QMN;_q4+Hvgr%2*f+Wyi^-CE8&KeJf5Fx_ zjc1-FJn(te806%X)VwGm^xxbkyZHV!=bkB1<#%9@?g~32#jg4_b#;8&V-2O(nJJe% z|3_#<u8_Es(B`H3#rs#*i}lUZ6m?7&+>7UndK>+A>Ewp`m<fUlmqzIpy=Hb?py0Cd zYPI>~2Z>i7BtCrDx9+Oy#q@&9`zD!BKC-DZG*<ojtKTg*RDZc@omm>~qaNe2*QOz; zyEuI96W*Xg2W^{_l9VSAbNTKsfA8?!dESz3rFzq~4?i*7%Q<_i#rb8tb9EL}*Sz(b z;}dlKg-C!qdvh0yA5U(`-@_jlAOCpL@p|Ifr>skD#rKzb?N}IkS9%HCjU@gY#mVQF zX8&2P{#b(Vz{LH0)v?ZN)5Nd7>E5_MvBOJkavQU_+plbAAJvC07bafFGP?CjzUkZA zFPBWpc3s)Br9>ih>cuAGy-6B-|69m5+;O*D_kYRb|Ie3fs625-)bFqC_L9K;Wrf8X zJg*2D%(!HDabB{{=1Ps`*npcejTIRcIGJ3VIystK6lTu(Va@l#_~3*@*OHh;ekpsm zMK3tJwf^Tr$Hp#`{};8G*}9sGBvje*7R|5_O+I!qVX?MbL&D?UWAE+1t8+OXoWwq1 z#jZsQ6mpU$-Z45Uvh$gU@Au;3kFCc1MxDJ<(we;wo+_j){MNCfbJjuEV;4HQ7>`Sz z`@e6s<oQ58wq2${I}ERJOk2gXb=R-y$`|6yIK@p09hQ99er(cX%aeh<5fv&vZ=T<t zZabmoj@_MqCl@o$IA&&LE4{Vu_lo!@3fB1-ZCr2Nlek^8etrMsEs2Tl+4n7tgS}-| zZ(6(Aa#~_f>*`<D6-g^6eUgo^HlM%nx>j)fzn||ftqS|(vBS!6o0GlaW`=NM`RH8h zY}q-hmV95qd@$PRa5R@V-`h7?k>x?+-+12$JrnvRw9-rNdE84s>zg|apIkdq6ty{G zwpvZ;SFO2Ay@bEcy1d@_*uCkS*Y7X*BYov<ebQdb`CD^X#ll1C<lpBra`31yi6k;! zI1qC~?b*&1#;JlA8SXz&ICyd61H;6Gj}HYC7AP!OxbR}a!vzUS-=}Q(kf7x9`?t}% zNE`8kTwlLmXx%G(%xOV(@`S|ohkQ(qPFbY<bxr2QikYq}UC;3S6b@UwK|hs+&$43M zYU49}o8BpHntt-N@`YQi(OjLJW|Nep(@rK{59+kkd2z2dt5ZauJze|ft9kouQ@5JV zF_`-N(4NiRWvh5+eKjgv$T?YgZ^r3V1<k1R4YPeFIAvdrTXS?%<h(1nkIuZF=Tqx4 zN!~h3-Rs~^{q(GDx8qYMDL(F3{Cnz7<zx+GS$&Ok9TpXZvr6|5Cse;yNLp04|Hkv> z3qSLwTZdistJywTYW2grRr4ooPRrBZ$iHmMoO0>+>kQ&1S)VNPidm(0J=*<m(G%~j zZr*MCuh+$ew;lCc%&_p<sq$m$A&G}>cgeIr_b@zqZ&q7VE9b-u+a53QJt%p3m2R<w zXY-WlC-*GZU*okUKTO_KNr>&jg7mN`h0EUpjDOv|@o!UN%`^+cS(TCQF1@$5J1^QF z9bmJyL~F&}kLN<B<XsGD<7Mo97$#}@J+dib&)e=M<L8$5OdR4=CS5T9KX*^g5{Gk> z*<Rb-R;*q5tM5WfaHNLn@;wJNYVDi0rzEefUHG+htwCPQ$7K!`N9<ooT0AtI6t32) zJ>^ZxO1sPFwgh*beAv|6^wzuU30GD}%YSWs=b*M8LHFH>d)=q0uwGfR?q<)N7<s$S zC#~sXvXZ~1Ipru?9yVR+csboQSKvj=o4Y@lS!2}hEOU|T5G`g;PH5@>weV5iF3q{g z$yHm<{49{x<vo(`x=8!5|CtntYZ3j`lXy)33%lEt>SgXPT)Y36j^fnTX<DwOEUIh& z%Q8tva_<Sfv-yzD@rfskmuly3@?Yuedg0iw+gbmnSSYu~ZkeEQNvXR=(EP%V1`)T* zoAue>NKalUpB8M}!uxx5{IzI3BVqO*Vfy>)_c_@t{H@WvZNpcYdi^Vp+KipNTyhP! zo;~@i+92NJ^TeZ5CY_u(>D<X<!58m!NImuF+f$OnB)I3>Ma%2b`)-M@SQ!4jJSJKp z@q5}6my3Pt51yHNe{1L^`KIFI7fZI@?Y2{KKAvZh8)j%^XP?fT*%>TyC1;jc*NM-+ zO*iTouITwwx!ELTD}TXq2EjE8pMB`LtzGe|=YWFJ)R4H3HOIT;yA<qJFET&S({aN+ zsPCRa_G(7+D}U!CakEVhFLQ`kIp_Lx!(G$8O0ORKx#>W+`xg1zyKiXb{s|A|{^z>N zCO1xg*NR)!eyh5+`>M`je^OPVVqlf%uH0Gvs+gDUV}$aR_GxMH;s5Iv&)vRK?x1Il z(N1HxjqXZuJqLBqnjhQAV(e-a_p(f}`^3S?QQXGq`=(!c-l@IvIhSg|(rlYVUp_W| z*@7pnYMzVvZ%OH0-^Y}zx_4qb&)%s`Eh3zKOG^19<0=AQi+y~%ZSTb0CKD@--#n2l z?DhHNtY!U3dU{-=zLT`v%GcgkQu*J%TdHN)B{1uD#H$uV*(q~3U32f~TWNi*PNDYB zk#xt#Dyz+PD}FK>YRz^R?Nq*#z%XmeiSMs{gQCoWWqm^=U({Vc_h9i>_pa9|+Y(ge zcQb6RiBDgbSaMQ)z0dR(PZK+PV>fQmlXH)rn8>sDwc*|pqxL_2X6pl97I1b48&!+g z3)P*RsxW6+@`PSPN&W{J=X%^kO$2`Swg~hty6v>FPx_^IM4aKTLgAbzhFluC5nQvF zmRUUHJfI;y)%>&^@7l1pAw|(=?*7YMWBu}Qdkug2!^ncQ3v3^_Nf<Rv*&C`X{@+Vi z%GT(Jv5DK$**|-Azc?vWq=@~xBICZzDuZdmA_oo4MM<jjU+uNq#(ODg>Z6c0)h)}H zeft!1=fLy(OX6%AqI~x`USFYdu(NzapKw5*V)4a$-p}VqF1EIE`FXFSGwPFX>V4B0 z-@=Pl3E77IpUHK!au(<Rbqh?ZZAFjuUP@$?oczvX;dxupr#ea*PV${M{H`qsE4Nyo z6?|GsN^W5gPu|Vuho(tMOZlu@4zx{l(ms5*lDlPLuy*BR&a?{mh}lP{<+1n8RBC95 z;lB4jOT=$h-r2Y34{&X`rm@k~dTRFHRX?(K+^CkD_2gi$N5u_W-$kofYPnJmHrC%h z8@9RD_{Zv*{O8ZUnfTpwgK9?f4@S;7zKM^nezq_;KHpPCT7@lVlc2rtI<FaDjveo_ z*{hQ{Ydvpm>56Y0nNbdRUwU~*1y9WRy!p!)&RA0i)^}4BvtF#ctJz{6IG-!)&$r;G z3xuzA>mFSn7P{KV<($>D_IrXSUOcX9Fj~6I_KA{-yo<les%Mv@zy4Wh_vPej{%2fa z44L091>QNeQufulC6i9{tF2Nw(Nu6ods*qESiQ|Q-KT}N+n#<Cw#%mduCV#Co@dH! z+mv@{m#JS9&i^uZ;gQ`-WtQhz<ZjA2*f{%uhwzE`3tm0t<!LED_T+Dy|9OqdU#mv9 zt;a-^&Od*kR3FbUeL-5A#L+z&J67k+_;Yfa)1wc|cSJ9JHm6MUCENa=njd7|sK<n! zkhP2UW83*PprJ~omHCp|QfAdBvVY!tNM5_KLtegh@zY;-6Ym|l^<(ekvsL9YSJ`Xo zD9pPsG0V}HZDQWUo3fdKy1yCyBjdehhW?eme^GvtssBD6W6rfNJiRwOZ|Q9Ok+o-P zj#-hA(9-V~Uw)lTV?Y10Yer(?2}_HUGyd+?54c_?eEhAvtV&d#wC;YFuQTS&lxaS3 z=SzohcJAb8&U3fKLK8opdA#Y-op)Y-?&r!DIo9@7tvC}gXJg1a|GoFao`o8m*mp%; z+-#ltoam_akG5w;H_KN4w0e84QNQxA8vCP(pHk1OZP(d*?Zlf8M|oIQ2K`#`*N@LQ z<67zZuX}%)@1FW~_H;ufF1_w<-XCInR&HpTRxz*Ot^17MU$YYLU(-k_>U6og_2P|g z?O#*QYbR*jcU5x?Z47ZyJ$T^a6vqNCC-yUoS4ywZ*eI1b{U%e+8k4(|9bU0GM_HK8 zJ-#<z#AHTze#E=5jvXhfgd{$fwJs0Yr8#wWjQ_!N-<KL+@rZF#lt~wt`Jnf1`--jZ zPV3CLvWspklHym6F+IY1XW^OaM-{%sI`SSrpK8d^X*;d__HyOeZ%?X?4$S|#?8JH} z-^G>N4v02RpRD^=hNY8PvSsCtxl>$%lCM}VVOjk=ef3TU?l3`-kdP^V5B^LMzV_s> zJCEPXt4YZRzW&k<uRi|f&-(^Gj(tbsS0;q4l9?eAQLtmdZrvO8S(Sn}&fl}`X<NR- zUO2xc#_H#rSvwx(eiQGi$U8UXVQuXcjr|<c+}u?6zn2w#XtV#@!2>Bp21XZNTb-<A zzZ~9g<j}r*W<XLw)Gzr5&6}?YO<uhC((JP1dOrgbgg-UDlS=6{=y~mse8=_Zm(y`~ z`JNccYP5=<i=TclnO~gc(;i_J7S1~pPF=b(*?Ct`mFS}S1%bc$IWA3a&f%GCbUSty zgTfh`IjZmZzy5T&U|J(^<YBv}p;>VJ>O?#CQ+X}>4!i7s_ji%q<b^3)b2J`pyv$Rw zyEJ!BzGSqpuFyhdHuJoz$+4R{rRFzJ{a+W6X*y#`j$FuTQ-`x1J3IS?%TlVU4MIaD zzQ{^OcivPz@rc8dSyXy?-M;+it}EwTuRPJ(qROeWXF=W1@aJ(A9-ExDItAxWGVJl5 z<Gk3B?VI?C9V)VWpKWqUFTUG%`L?mz&o<^))oG34iMo4*(rwnQ__In>a7%#6tbgr~ zg>oZK`o;ZWi1@s4_5SBEAGb?f`=}(A@X6pv=~*dWn-fo#@c*yWTr>aq$*_t0ot}4g z1?FAmX!A-BU*>pJ>dnoN*^AFCJXm-7f_C5GC&l8evfcBh%1wEeU-@RmJXQNu`N_rm z@~*2*X7i90b2|IPJtrW<(CXwqaqGu7nbU8)U%?~a9n`z>uI-z0Gxe;APlc5eF5k(V zQn&qVM1^{L!N;vjcJOs_JY3ZD<dlW}(%LC5`#krr3=UcwT%;<&5HEZ~B=3H%)Vs`3 z*Tik?hLYzD!Wg*EJqxVr-)Yl#&sf;b;Lid1=v}X;@l6$0f5*D?<~erlrwYr=-`q`G zf9knP^@K>CKo{0vRpC1e+|=wP)h2{keR<WYouC|Z@m5krlUJ(ek~J~@F^`Oj=L-kj zdgC=sZLw*)?u)R#g;D|MA1J@uy{bOz-vYlKZS!*z7FgdGw)nNd!u;IX?!=oeK^9I1 zuP*C|hgh4&h6vs<=K0fL(s9^hIY;py(@mR}c1|rkp>X!{<QYr#+vfG#c_07hocjK? zbL)!rk_@b~3?$}#-PE4QF4%9g+OT?pVMn&G@pr$}`ZKKGFHhexzmBJ|!Q{V<z2wRs zht%YtiR#f0!&iS=Ah$>*bLzAi<%KaP3bQ2FEjjb~*yZU<pZ>P_pR-QBYe(oczt@#_ z#9dGM-{f+>su(FQyFSV)so4IOMW^4sTkczKCQ1D4jf&NO+VGvFaBk^Lk%b3&PqVk% zcHY=^@6$5n)A}2a>}*<n!12kfqHDT>Q~K7`-E=-#UBoZX)#P#Ykk1;{E7u;_w(!Mt z?g%>U*}b$=?o50c$K;n0oVOFAlJ>`PMO_L#+?gA?j&niFUXfNGpG%cX>JDdb(c|5_ zJ#Xi>r-p2Dmir!wesqsKeZ?xcC9+j>;rcBJ7apy8wr<t!!yk{xv~|ugG`%b3p~TyH zD*sUZ^P7(!@T6E))L%H%8JJ=!T3Y&GUc$Lc1qH2h=k<pDblJoC+pB)9@;ascO{q+6 zJj+wVbH1<TtvK=N_3MxYd&Sc#m#>fvt9l+CzsvW!x<xrha(9ICM$4XWQ`U3O3Q4|e zd4lVzRlrjzRz2xQk1TU$)rFP#sKriTTc+r@|M1HCcRtg~x~(H!+zvT8=k_VETT5ET z$~Y-JTq?G*>Ads)J=gRUH7y<=P<ZdxSFNrzJ??!;)fe8Ae^*sX6!YdBIIh#{xWm}h zM0aNnllr6=PGX^dI5TybpSug@Da0S~v%S>2@BOl0hb{g8RCM}tUj0&Tw0Xyapkr%G zH~xRw_2>BCnmC8!)~A=PesnwZ=rsRn@)^5lmV3Ik-ZbAHQW(2lX;14M;a>iyW<M0p zb9Ns0S{jtSCF0WQ$^N%bEx&X5?WOIfji254s8xI?;lG`@<>lyk5yH#bQ`(O2y!m@a z#&Y?on)4jrWeB@X+#SB`_a}F?Ni6ltZrH|umr`=7@v8RNtzSCbrcKK_^Xt#MmdA7= z!lqnios-e>Cqu<e<JpS&MUyUj*Q-VTuY7oQ?#<I{j+_V%s>!Ol9S|NWv!JH-W7*1@ z%{5oQO%b>gGCz+?<E-qalIk51pLd-R7796cf3;8Wh2^`f>p4wqKbD=0&ClX-ur!wA zRpj?sZma#NG|p^$dwcu`d&7>IQ_D|??!OsVVi<gK>aMBM+ZXfKFTY>$_JmjAg2yM) z8D(+~HrH;@zIs5nR@3nypSOim+2jbOl+V`BGjg<c)kN=WJ=!dGG5WH`SKBVZoWR?+ zpY_@`@;Wcw`Gbuu-~RKJoO|;x?)|(yCFJa~eFbgL>~C(1x}9)(P4_MF$q%&oRNZ1% z&CC)A;5@tBMS550pOBNS^&6K2uj_BLKPsueH?HJ-HH%$n+8M2VOgmnMCw1R==({~h zxAWxb?CskPk59?@v*o9m_x#JHHxK+xh*ij6Qgvc;Xaa}P<z-x3t+|voXuFsQv@X1S zR_xz}d#m1XO*xPot#AA};bit9!wmgbF7^Dr5n1~WTx-jaYFcIF>-@J@`SQ_=PArcV zjaua=%~^TnUX9PAy^YtW&swEYm%l?@!FlO{zX2Czq!i~#9b-ye@omG(Q#-^&m!9~s z`aOTX5Yu1deT$Mj>(7OWMnt-vKPz%t_<rTX(7#<P%QNmJEq(8Qt4SzyXJVOVgy0uX zPPZ)U+B?7Igv#1D2}UIsy?4v!U|leMe`eM;gHk2MixMRwvVYE}Ic4>>edWyFu<@7{ z>-t%@KExlM{7R-&wZyNJg~M6sqWGP?X2mu7z038CJ%kk+bvH<w?q<A@mUz$n!FyR_ zi(W;Q*`Kalx6(FbWxOR}JFV7wf^hX--+O9Wx(fxLNrmrvp3Z4duJWoxvS1JQQe{hd z(-tSWSzjK$Xx-&{uJY!&rAdY?ml*!7IhQl<#Q~kf-0oGXcQ+nN4)KmXQ)Ks3?(rmt z%a-~lrf__@T060!l2yiRm)5(CQyw|{Jq1t8AC{f|>O#6)OpMaSm)9rN%1ap8W;$P$ zKf8KE-qwUg{yLLdcck{Mnfklx$;GdJGo$zKOPK87QJ@x=$-ezmy$k!v>E9<EF%(*o zlvJ}?`0}(P9RFs%YEzZv6Ky<qK4-(p31YHV$5!vytXTS(kLB;An#xP7)f%<dZMmf` z{^4S?$Hv9#<*kQ;Z^Vb%#s9fdvBWyH!X+TP@`)BhA}h0?caxw`U&6XI%l<Cdu>H8` z<LRDFm3EUZF7-Ml)GPLu-_mB&D)T<3*$*>y{vCd6p*E$|Kqz*rN2>Rq%TMPzes)th zST?zO(M{n;lb`+jx#RU?DgLs5ip%UD*?#ai)cK=bf00&ciA=W>bIjE94O@S_Q;Bq4 z8?VXF6>ct~buY|toxzl;{7Wu%+SR=Nv3-K5sk^P6ZT&IBCp$idI8L0Zry5jKo9DkS zpnS%nYpfzJpQgTJa$LIWLt=@fp54M@5?gNg^(e5fHRX`@QqEni`=O>=g=xX6AG~ER z)6zB^oi~doH0ei-d2;9JUrERA6?V<o`$qOz|2IW_gW3!4mo;24_tRtO>y_H)a3<`@ zZzlgk+dNxSp0~ZN;8MNLc}ZyArnXD^#>QuYlrLlir0G35HFI|0^6D9lo6bJjp15|_ ztf^+FY(2QXho)T3y|CD&OpM(<VOd|GWAQwnH7pm;t53ak<aO($u2+-2?LK|qkSn{e z+g_)3$L2d)zVVyathscpE7(T$1OJ2cN|&P(PxJiTKkZACoT$~B3caEOzv?V`?~96F zTBPUG&#&{pgOw|Lu5$Y_TZdJP>%=W(HorWavmq~{Bt$;wP>zW8AH~~i_U?Y4&e@Uw zkncu7r(cRhn@i{2oi{6;L_fIoe4XUV`E9oBJ^rK5ZXNn`j7dZHs!)vcq2)K$a)dfJ z{pC66n#bz+-mb~SNR0o=vA2_T!V9jI$!s`t{O9Co3zqlAy=rM(Q99p_%bbkcZu;%f zJFoO^pZOX8_w+QrzB9c^zxb*fTi+Cg6>VLc7Rs6IRO(Skt5)rZip^_vD>S~cs)FTt z^`CjoyOmBbeES-pRuo#T`Sg6*?%ZQr&nKCA>~DU2^4+6P%VWIlLVh{6TlfTuvU`Nh zXg<0$MmIR%?2hPjS{v^AryYsc`#i5BK<c1Ic+@(#r~Z@A&pONJS}2+yz<D|2-e<1o zeA{PCiF6FHznURYaZ<Qhoo9)6KtpWkAD*&S&9KQ5Sq>&v6J5Nbj=RkMz9YDEZn)hm z6&2$+cN^oc??sZjpPeq-7I~>e=<4}(wNq4@0;lEA{qmUq8vmcgyKEX$D(VHMd90Xy z{L6!XZ6~*AL<`m~PBz?_@%?blPbIE5V!YcGrykn9y=8UI>MKdlYSPo~HR}{K7AZ}c zes9*7Muz=I-U#wf+%I#HH$S50P`cS`m!*oS$(IvE`=oAH6?SF@|F7NkDn*%_eW9x3 zg2uJ(lN?^mUcN8(a7d!{^X~<RzZ@@p_4{P{)Nc0zPsTj8z<Tzg^-@RjH06_7B|gnd z{OtI^&TBqH){l<wzs@UUO?zRp;Zb_kt^4IsNB6!6k?RSuE<8Bt%=tz0c^5Kj>6)jO z*zR|hTElp_ywx^SU;c<M*Zet$cE|2LZU6C-@{#8I*RSV=c(0$aN+DUjpf>Za!<67h zhxVM0+&pbX`+goNvGkI-evz*M=WLrTraynlQe&rE^sD5}(_h;>_DZ~vap+s@Q_Qx{ z>V-e=_L$WAr(C-i?$-M)`<lJz!>(&BTV?W3)fBvtHS$mI*xs9;>o#Asqt(?hb;tCM zMK59wTX0|47<^~Kxy#o*yLKmM6|q^}T<F|Y_4NFz;?vHW!7`r4eT!?h&-nT2%Sx+L zyS|?|b?N!J9m}Tj^{2;i?o~RVy~6R-U!&rahi6;Okk+16bgt9GJ}C09OXJzRlEj*q z&yN&cG@rO<e(UAkGtT|IaAa=?!}^H4l}EVl3g5R~acSoDqwKBGd~q9oPOQy*X`R<t zAeAUO^SUsP%I%P;k6vjN9Glg}Qnac=K;onN%`ZE8Z%*+jIPvqYzH*lq_tMq-cGe2k ztd`fFDmgLs@5ka>#Z$B1Da)BGtDbNvWWLwERi?#!-4T9m<*%4~x#pW5*l2Jg=SqsA z`ir?%`bRdstx$f?wvN4$x$xTkFXiS!*DH&?w;nUD-K6q&8KcPFm(1Tb{atM^)&Ek^ z>PGiEo}{<=%dAens?%?aGI?{s|H`f^>qOV*A4QkQni;Q{H=VtkZNr|T)9;^OFw!xN z);&D4!*>6kT&_25#`|m;)mvE|CcnurIbZd-Tzu0CnU+t>O3t3TT(8UJcSTt|%x{i; z-4V;;<BO&}cUW~Rt=v}bR!hj9>8h*F{{A#qVDk0twT=R>ro>kk*cB_?wlE4{6_2`C zbhfomyELcloA<<z^CK>LW~8h+FC%KzufNfxz}G2RVV%svzf6L2bq;PgVpY^99uP10 zr!zD!N>0`A`m88z*1QiXCqBQ?iTJ9^QJ>zo+W391&(n;I4I9=AS;$ImQC<A*b`Y2P zincEo=DKI<=diNq#=LwN(9^Kv%;Ng~&Reg_SQFcgOTB+Y&E&}BKVO$DShMe+v4p!- zx$rSx`!AwdUT4~rH0`r181i58htAfK{r^?^<6(Af+v(P2jBPIA1>tFS^BgV3+;=3a z6ds;=;l{fOC9B?sFR3zPX}Q{})Hr?Z&Mk}bz65O1nJ(TJbnoQE;Gb{L>ZD~`<f|N9 zzht}XvMV>Wc|;ezpS-a%m^JI--5RY}OYt1Gxl?xc^WFaaO0lD0!Ldun)_3=nCvLp+ z;s$4M>?G}?EA5V{PyFJGE}ShqdaClXvd{7rFK=Id*0o(q+w9U+p{UK0Us_!x_Y^Ws zQ(;xu$d**c-r=TpXA<X=yQf_z<Vx)_cr9V5`a|&Jo%pD}NBUVG!xb-CPjOv!Y_@9o z;oR?r?k?LkW!yDg?mpYF>R}o4Q}3hQ2S3%`Ovn{0wYFQLC$s5-)Y*@T6HoXr+cagx zQKk>Ko$NO6W|g0R+)r}JCgT;JU#f~C{U0~~ZAfnW%5wga)$c`3yZtg&er}yJ*Yd)5 zo7lpV=GUBjKbJTj`*tI9P2aDbx!Q74>ML4Tn#F|lbF5E@b-ABWvsia6cZ|H#m8WZa z9)Dc0>Fv#^Mp?6>^8E*eJMIN751Id}t|8t2d*NaZ;XKuUmp@)O$+l>ZNQ~h^i|S8d z>2gUu`3m<h%0B99c(SMd<Ag}f9|9|$|LLzfYaelQ;SW)xz#MTVl|2>jo+>@wlPdId zON4>((i0!*wofbCp!AK~`J4T;M9ICMpDmvKdZLP=^>OFA51*oD1ih-bd%)t+rTsG^ zrZnxYw9EhO<ee+Fx^ZXu-6J1Px;Ixe23<|M96tHSiWjCAtGhqMsV%jf6|-H+XxoM) zp9P9v%QW(Tz7La$>lggNHEr4n7vET=zCR3?zRQcx*uOz6Jo~~L5iQrA%%|y%|KgYb zySX#cc{StIsL3C$G*syQxzH(pbKd#yig8QkyEP@K)=ue|n55LIlC5Pl^RtZRRoPAP zvKwmdHbftgWRTuyGV5%F=b4_SE~QroG@l>um*6;2tIYOBUR33mkI%7N^)4P=-(=FR z`)^uy^G4e}y|+41f339tG}yXTeb)K*$eB%l`sB%x@olBOzV%kSdcVwYOK?~Cmg+X! zDz~D@{OfY-#8=B^$4|U5qt(Cqewa?w+u2d~wndp~XL?_m)-~}<)SZQW*TSPs<hGxe z-@i{JU#5{SK6U-`tuN=jd%1vh<Gg8dVsrB4Z<lVY&En1pZne&4oO|Ha!e!?^e7`p9 z!u`VIck)kN_LZ{fHh-!ziB;F*L&k##XHQR1(RjPf?SilFw>6A&wL=#^j!N@MNpX@i z`JD38QO|4n*4}N~9HKr1t88?5=`h`csW7$R;eEcv=PE*H*RjmapT{DQF*m>A^{;a` znUlMZub<}Iv%u#qclVpEuRfo)*3vW8=QG@Ru+Q{&*bKGodgsU1;h&=Q7N(p$@c3@F zodnaK!Vl4EnNnLg%+jTL@{ex!IWy04QpQY)B9{}%3pUKWKWm$*i1p00FG@*A6b`Ai zIU65;vLQg?!ot(Z2}utU9JHI8U40hK>?r^4@s5d|tC?B)v+Y!gwi%ic1_lmBmoAIw zMy9`6mhz**m+R=wRo~73Gwm<aH{SdteujR>(z7xPpV|JkI`z4}CaU6#QT!H#^IMP4 zz5O>`??(^I^17e;_4!kuR($+@s<1gQ%*R>Cb@D}_9IG7GAQz2iI%g)<IF)oh@C-8Y zVy$snmGVSVr8Cb-FJ)DVCrjofodQmm2_*?W59V!%_*xVZ6cOSfz`e>*WI~70!Ud-a zqEsF)vB><vS#gQ=e%JFgKc*-;JY9a&XsgGuZ$F+~TCynfLW<+n<9m(-sm5}scz*K? z`Q0la=An3NTlw!j+K0N!*6uJ73o}lPNESZuATr`Vzrz3h1z+dO{{AojXLI`h|3{wN zPk!+Ks6DsLzwLkfPye6Q|5W*cT*NBh?keNjdt6&g-@f&`UGs8f2T%Qv*C~IGTi3n| zocenALACuK`VY!KjsCMc*uJ)snLGQ*_97$oeMdAH7&sXWj<RqhE>v{kmwqhs<>(sc zg{(~n4=YZXkT_8>AwVHf@u1`30}2ZcDkvmm7#wu$5NF{^RCMW^{obf>Z`0c846?iK z`mMQKeAh3k)Yj^*pSN1-@$FM~8`kcV-*7s!sw80A%}p=V&(AE*xflE7+LgZD{wLS| zsM4~VC8L+RL_7a$+3WbXN6WO23D2pr_55%-M24|azE8X8bCmzo*?V}mty0-|F0N)4 z$ByhiJ+r;yw~MQz&p(^D$A0@O6~^ar|C(9&)r|A^^oKpMn}54^lJj%@`8)q;gc--r z5kIxcZs+Z@lO)CC;vAS>Ut4T!FTZK-9iwyJPeta>TU&PWi?{xIW2T4a7I){#f0%uz z<ec`CNcrZqc_$BO>#sLwns9INVSf1nhkIPlO^xmv$LD+4oP2keeXqV`&Asba^8$Yu z$NPuux%}Z`^S*q;$qo&V>n^_AoF86ebM4^W#Vrm&mv|<8clB~@xcG6xl^;u2YunCy zbN=q)RtB$YXI!3lPnq1X__5&2llPnURBU>@`+Py;l0!4C`+vJ|?O!`@(vMG*cYlv* z<a@eD{apKzuIu0Dt*Y4gba8C8y~*D_UzW2!;jm{u{&^9Lee=6zKeo89zn#h`{ovxP z^RuTG&s+F7_2Qm*|MK*jbCa(>Ud(Xv+r=Zdqbn2QW<D+z{AnG3KEdYjy^G9y_e)ND zaQ!N;=BMuI^+pSSJiHkFe78t<!hvh@Cl7zu>o0Nqv3t4m@AC^^zJ0S?JoF*M;kC)G z$NKx<wc5;UU+&(^F!AYI$@%?-j~U#LAK!GVzh5t5-htv>_wADY{eJe1JLksp#gC77 zUA=zs^74Cf539=<9>xBde*SqyV8gD*uf6))Wt5%5-<AH*{3)Y5(J^xR2JsWNF7;LW z-XFRAjCaja#WTUz{$1%WQFhB@-ele5{L3^_{Md>-!~OE?n|ON`wDG+E_I~X|wi9vZ zp1<(6ODR=mTXr|6{ag0Uv$Gbr?b!E=|66X4g6+ZWk8HxHM~B9p@Hg9k{*i5t<XfJi zy=(s0)I5}v2xB|&{=}x-_rDA8Z^${pW%(>uu!_rm!I?`*v5dS-yF23?%WciJN$>Yt zf0#?6eeWan`THitbF??ctLS%ZpMG0)e&SvIIf3!dqVxBDZCbl_zKeSJ_RDQS`ZwqO z-uvMF-q89xC$+a8;%2qaI$t7R<#s>tUZwZJ-4%|PHdoraZrJ!}|0|9?k!pp1@0M}A zH1>~KCo5&M$Zhw#-K&(va?{&tPwbk%Q%$vfwaD?mGpz1Q9ouHhd;Xz%g0x}suGU2- z=Wh76x$NWIuGhLp_7x}|6kqr)U_JL@>G>CZS2t~UdiTxVu3}-Z&0U!V58~&zdlXKT zSN|g`=$tphnfsiNru-@0i!m+sItv8$U9YKpU9DZ?t84PL`&rD+Er+$rW<J}$-dV5G zdZ&l{`)OCM$-fs4jW{FaC4V62z~1^3tY+U{*PF95EZ9H&@OJlYf1W(Az36&dF~3zV zPxFq>8U@*u{RNjeZtOn1F=R){2JY<CoToW^c5XP3yZ?Fl)LzBD{$s($Z#l~kH6PX~ zSoD;yAdK~Mhh~PnUiF{JXI@79*!9rv-cf<#>fFUGEl0~f7oU8<#k#uu=|#;YZ5&&- zmq(v++q>2@o<m0b`hxNU8@?3ray|L&mFxU~W&Yl?$4+Z}oB8Bo@4=7JKPx{-W&Qr5 z|6!JD{jaHfJkpW3;(tEMUf31#jr-v{vsYWJOdnZsZF`;96VJQf<3;a@Y0HDn{#&l7 z517AFFW>W5SIz(5mjC`~yu7_>?;Pi*)r)<1?@Mvc|N6=BgH7UXQUBLBZdPBuugAq& zbc1($>yh^iN<Az}{URMEDm>*1Ej8yI)sDA_1nHhp=g8RQ9Q*b2uLU~4cNOyo{IIHd z;Ppo=yuCCy{ett;JvGeMg)_q2GBXx@tdg#r?b9juK>l*a%n}#H)%zL#@|p%+`o}GD zP1R8*(=FKJNrgc0K3_KlaR#;dCAABzIb-(*H#Tb~sV|tQ)6g-A|Kr(Hx!=QGFQq0v zo9S?2OZvraHe2@epK$Wt{`XaK@8ghC)!UZrRm*F;RoCR5KivBG-KM!eS(FaXzqGRG z>w4?i?nlk<_KN*Fc3A3xGW*U+bGqJCxBONwyU(rB*_q1K5`UWchDe`J=;AY`iZ?{P z|CYEZ%-`_FuPI8+yI_6V<FgEFCpxOX{E^an{^XZk$F4bvcTYJkTqknkyvU==2^PJ7 znpRxZJ{9BE+7Xg}J?P#6C2d)+`_i-c-qhA?oApFc@?Fo}1A&>GJN}jK31<6mDd(c? z!*a<)T;SB%^25<BOa1NsUG!Kt!J2EzQC6?kOIz4xgy*i^dE}JSF6*B432gQ;HizDR zk6v}OVQy`6lLJRn^CN})AEJC7mOG|xzZ)|1W8Tj{ebMd7y0!LGzMj@w{r=RhNWqId zof2HvoKxLiD-|z%`EAA7vPC<8gdCYW`R&XQi-`}EZ{?-VdhqyGz`vDJk6h+)FIZyz zMAAF+(23AfyO`duQd_h0OOSGCz{?MrMe}zlE_}A9>ja;#Mosvvck}noocJJUrIWkN zZ6k^11(N0R^Rq<b{n<YTe0r0=_)NyzYQ=_^R=+0Hc0Z7b@nL=?D;j-tqwx+u&GUi! zW(9q3ojN}0eyO{uQsAe1;7QWYKjOD+Yj$7#yGT*vc%jbe%9U!Dt-rjHUmw9Fy4hcc z`(@zmiofR<yqA1-^yQX^A8q%j-kotF^+CvXjnuhbFJorUyi{D>Q_*wEIY(y3ZnMyv zix+*GA@eVJqQ#?9Iq8ta+~RVob#oU^U!t}o_VUYPQWd<@B(6=r^E={27w27tgur`6 zwYydKpPrSnB;UMsSDNO9jRIP|%QPk|x3}Xu%eIGO(Vj?+Y{q(%MMo}OQ!QH75!>|6 zYU;(M7iQJ=o(yuUSzMp+iDyM~;Je!;u@z10lV|(gcAxWjouI{SD~C0k+gqB#g<SKM z%Vh6uU^q0PYu<^Lz)9(|XXfU=aW%T}ox_4-(e6JVZYI3*`NP1UwbsognORe^TfHf< z!89nM?1kZuBoQr-Q+Glwgvu`JR5UF(ZD_YTK4i&@3+@M1W_avvw14q7yiHTLxGZl^ zD9iQ?i41m8)&u)x-16S+V74n+Y{e4yXJWYjS<5wYS~paKM1NW<&Q4?TiZ2vPi?n#P z>zk6Ub4#S^wzsTR)}aqReR;C~^6o2jEVpX1R|`&fwCDUfkLOk#e@=dB{;%UVeQv}H zLAw>fIY$NT+v>KTpWb_+;FL;CWm;RnnWS|OPZ~6@I-|h)XvvDA`YD#`lZ`Wj-Hzn! z=ZJs9zvBM~Q+L5fbC+oNnQ*+hCjDK$@zNK?%efvC`|UQ^NOr|v+FZTk)f>JDgQDib zjJfl-l`h$(A?AGR`-*(mcd4xb5f_i0o3_LHiY$}pCBLfsLS8*ioNsO!{Q9@y;l<7J zieFzZxWc6_d6HGD?f&0&4&V3R;K)eZaqhx@Hq8LeN&I}<0<{b#uZn)GX{h48*vpwG z*<}0Mq+9GtM*psMK7Jii@k6)ux6U0dC%(j-=;_NnH#@BOdw$XGF5~!@tUFwmoBxfx zul_D%zNe(st>x8TH5*HWBA<$gZR*X6zcT0fL(`Wn0{+)maqvwwSRp*^m<ZRM?N?h{ z<d@yt$?@{Vt;uIYzj!Y$y?y^NTi?muVu5w*E^~IvU*s&`ophb`b#lo+wo|4trrHnQ zAG?>lP{nG>>US%xma=a(zu&sc@xsjD&-2)$TQ1%|zkrihM<PrnnvLb$2En)Uu4r97 z$Fcpp$!8fA%lR5Vt!J;)Imuq)Vx=4xvY6}b9I>?5Rv8UZ9BWkHS0z=>xA?A;_UG^3 zo3|orGW0$gZapPwYLK^A`s9fp%u<bpjKAVGBs5;#bk=$OOCyHs-&eYAtNB*C+WET5 z*R}SyR>k`(RSMi!*?)hdl0?_v%F8z<{}X0=>Eu!8S9(%Hv(A~vBJ04qqMZ)m2UIxc zJ+2OBJ$*IRYW>H@86s!Rrl~Vne~r{Cs=q(|Q2(>pmQe-&^cd%GD`><sbMEO~EPq?Q zU?Nxb{YaMt7h$Eo{s&D_zgsK6@wrXQU%zQ`a)13BcagTktmlp5wj@sZG3AWGidCE! zGYy<yEl{z19rYt->ds5%3!hDy6f3$g)-#t$`Sk7ShcsrU@BJ*lru6X7f2(i&ecRB( zx@_AFVcU#On~arQs#0Cs_4Ifa8Lr<Y(k<jXLE>q?*TMF-)ZA|@=lV)#g;sA-yYq78 z-PfmmqxVjE!pPby;FD;*?MzhNxA@R!33>mPZ+_{ND{Q=D8S9$I*F^WXgqoc`{O)U{ z&DSmSU%krhDwWvHzw5cvuBhE-v+93o&N=;0u;t^=34I|OpI!-%-_AR04wDdjtcgHf z?Z$Wdc0mc5w-R}hoHrzg{=ZkS@Tb%aslzENx-WOXm6`or#>-7a%1v?h-!I4O4;DPU zrgTbsTEDrF5#Qy$BaH$358dcY`P+A0Ankqo;{{hk-mZ8b8^ZQBxzTLO&)VfV6TQwc z+xvttta^HNosisO{-?A5zcj5o^?2UgACd=(l1ol)nXqWi1b)5{#l3eq)_hl3&Q`Dd z+|fek{_V6|i8aO-<d}MTa<>)=3Lj~?JojzRmG}ks_DCL=n_V%L-E5y;+LLOwlZrQ3 zylX8&Dy&NsdAjdjyOil1aZ~Q~?mu%{rz-Q#-FDokVpCbaq|V1(R^7k#SyBsS=4Va( z*;lU2owEOF$)3)ad{sxb`zGi5uly^uq=zHp#sZaQUX2C(t0X$&tY)wZhl+SCwA)wv z-ET|owS=ONKU}Mi$XYkcYws1A+5BwFZYNn^KmARCVFeTQzxFUNzprv$cbs`=c-Mp} z+KdV^w_kQ}JQmzExs&hg6t)yI)@=t*zmVS2vhGirL5#UfTKezTx4%Ct_%xrvKlk9? z4L-8#Or+gDiEmhRDEfMOeRXJ=<$v7>mfE<bN7=-VTgGPIPUUL3?;fyKc3<nJ(u=&$ z5(Kng9AD74cEXvD9>-4^?){_NyU%WVwy9T#c(rc-#X0=ujshL4yIq4erk+{HqPN`X zu)T5cHrcQc=hsai9R9CRI8Y_{t|&jvSlcc2>7qpnYrpRCkowa2@jjQQ{_^$jb=Cyh z2WdD|${ydK)t`I&_3jzrLXnAaxzqik4eY|@x$pMfe=}RQ;9<?Sle-kMS?f814j*2= zWQv{VjZ=@8cbX{Q&`B|$#cTC^u801PyuIw7v;GOLZw@Tg@7?7$$*Nbwa!084!pef5 ze@=9(ZBS8Tx$xfqz%jk%w!*(D$GV<yec*q&$w5;5?;|O8#l;@lfxE*c&I!%=SyTSA z)w})m@#18ul;cj@vx;tS-64ErUM-_-te5PmtrM@w2du7F*LVB!+2o60-+D#KO0nMW zvsoqvEN0gEHC6Zsr|aHLAN4P(*Ln0UW11f%+Ln5&lXw67{Tl-t+NQ)D@U6alfBI%Q zds_!1$G5v%O*wbf{f_re(tdHEnqflEvQz`<16@%aDiJ3XRRTQ|4|$gDUirwu<>ZIW z%uClFR9TaMwAp8F{C3-&f(~V_f5VsBO?uI1HJ?qQHS<&Gl*w-&?0nq8clA}0c;Y{n z`pZJf4<;~#*yY-Et-P(U^yG=t(v!|f=eIxV*N)s|@aFOo=PiDM>T#Y;Yu?GnJKIm0 z|GZjcuax@DtEz`W)@2@GzaqQsYR=<Kk-{U(PIm~%bfg*{{9G?Q;lX-_|JzP1ZEpXX zxm1F;XsNqoN5$#Ye`Hr~xe&2y%bj*RzE`^qUzTV(b+d*oP0O1Z`ChlkHCK0a$4)L0 znQw7Htp}IZX*^zg;e_+y(}(^%<Xdxz!B$ntQexgoru+sTUcP4sG*?ddmuQV!_J6}a z@kjFWUY>7Sm02~f_{&~~n~L9Kvu2ms6hGowJ8QGm%%92=LW=y97Da0PxNrNNVW!UI z#snFQGquw{K7MIDqlx=$h0bqz1I3*ewG>K?+}Ynp&V4a6=tF`}s$7e@#e3zsTYfL^ zU=9pqz8(L7#bCek;mZnAh2<Ce*0ZmByma@!75ndo?as+kJ)OX?#g4gX%Bd1QhPB~$ zwiYUFxTXJ?!?v&DnDF`YjtAze8a}CeIlF28l${D;B{FO7J!SaETySpMflF}-4~-LT zSSDuKoo?A3R{fuE-r<EC$`&sS7Z2L{@=M|h=T?p<8+5-qe~=4XbHa<O%D&mp_aVcV z_1C`bPU7<YoL0nhY(=tB!SqnA+uwR(t~b`{3K;b^PFc81{@4D4*1g~Ecv@9{Tm9dq zP^~VlruN<8%boL<{So@&yyj)x;fLoA`6;zN>QM3ix!-GR&M}?$M;rKFOIYYEHr=l8 zWP590)aARfBA->JUYZi>IiW^rqpE}T1Mvl#A8+@@P4Vu_oZ2F<`eX{rvAEquF;}$? z?yuPQk6Xz_fGJ?#e}~M;wnbO>Ror?q@66^^toKFkPnkExp}=Lih?xF1MoCGHkF(dv zv+y`2w@Vy05fEW=)8V+Q!EsnKBO;s0SG&z;R;r77jnrwe-=$yge_8N<iKS<DO~=Mb zJ5F2Osgmz>Iq-k+)RX^~E4*BAX}^H<&dGBwKiIJ^e(u`rYY`?QLX8L4?)mip$m{<B z4>k5q{O~{Uols@c?Jsp+FOFW^-hF%nWAwkB??1nO-III%sdeAx5}|;_JeG5}$j-iO z*;c2tQX<5q<IL{5EX_)tFw1|TjJ>?(!kKDQv!+Nd-gzhO;^CBQ=Xxx}zi-g3lGyBb zsb5G<J52ABhgzyUf0%pc-zn2?b}bgnRJ-PyFX8<4{|Z0G=#Ta@uYS7oHSUYXnRsdL zuWLjf&&!)pu6yWmPJ>18y*@5BQQ_p@>-jvrKFQDcqb-;BZ?(cHx7Yt_pZ*t{@W(y; zPydbz0n3dkwkiL%Z$J0;f5~p!`j}tokF&mHyuN*<iu<p<WW@g+|8GwFu0QSHQ_m0k zxt`ytpL%A-iT{u8tKI#d`+feb`o$mKFE07N?cImzJHOSxSe*92$LRmU<0l;%`u!G$ zzf-wmuDR^#zPGwlJ=UlHagkTurlIM*X%pMan@c`6DqU-d)z~UIZvt-@H)H#uWoFal zC4|}<cD~8m|7pGFu0QW5epnwmmrdbpWXt3g46+%y^R?nRkJhD%x#(?UNIZ5us)NDl z!UC-c$NtCHevQ{oWLPGf#btb0^q2u_n7)hOpVMwG-GBe{vkF{X^7Ol~?TO6|DKcgb zD~#`D1T6Hd6cUkQ5E0_*<KXS(;_g1#!NJML&Ckop&&}Q6)7Qnz>Br64$KB7-?d?57 zbe4dL!o2Eq!5HQy5uHsoLf#7}i1+tO=}AmreE#s*G#{Qm$<E9~XS49VpCl$;@{#%z z%b6OzxMch6jg}KuSKj@7;>yv-sWF#AI7&iK+sFE<`+qa2I(O=vY2iHKJCWN9dlsk3 zmZWTbZF9P-EZ6Kq%K!E?MO8k{Uyr`xn{<u;+-uXUGHajxvlQRN1!h>L+gnVS#`C-O z0CN|Mp4_25l0WLKDvBj9^E-T0V&mZ1A9C%i!LNihxfhK@Q*S<fu41NG`BuU9$E6b+ z)D9(RmLzStv0U*=snMhLd#^ZOX?QQ7t+aT)+UK>$zcKaBzIdIzN09f~)L$$IN~b0S z`EUCveWLh$pVUs#HU%je@1=7TleMjHHWZkyN|BoOGxycens4*|n(6P?nas2%wrZOE z)2T&PZ+hF;>n7TtesPdzdiDzOPuDi_-zwVlzMOwL_q?EL!Qwlzkq;*%F*NV%b6UKD z<8knY+tF_ywfIJUu)nhC!SWyWvuifB7VOUZC7WgRVOND!EB`N>qieU8^vP7~=?l(3 z_i3*FJ%M7gnR~fUE!{ZlbbCzMg2&4w0z^gc&6p*k;<nJJmhI_}!p|I`GLO{d&#?U9 z-z_k6`kK47llN4pi%UO`Tft|qyfyXzosLr_lcKjhQE#Z5=J$Z5TfQeRR9$TSpEFaQ zpJeqlom71OOVG`(19n@#iT{Y5v$je2XWP2w$$P|H#WnUXluik`w0*Ybl1J_Rhg`p( zUBU1ysP9NqfQgAk0MCgiuBV;6>b2EJY7SSkM`^I=i=Wx_v+vH8x4rYfPW66RdxiBt zH18e%jlcGUDsMS>dSlqxgynuM-&eD%+`FZ#_4JQfSOcfW1;JgXe%l`C-<9gw<)f1% zsc<yhZ7WY|yLznDw=?CrU)3+K56u5nQ?uja@<%5MN=~RwPHzwNi8+7c^vMlgE-_EU z*Gf!KXgPX(;%_FooI_{j`xcg7KB@6pEQ-nKu23s$zr&XGKcx6f-k7U)NvF=^P&{{_ zr@DWM@dTee!I!QCR7*v1-iwP<t$BTBcl4(Gmr-jvonNlzpP4A+b2xQR)*88Yf{8tI z&z1%FR_@k#!t?2z%tzhlGd9Rga{M+`#BSnJi;jI~{T;(rdrB;@%Ug46+mk75SKVY7 zFI%n-@Kz0aXC)-DFFMu#<;07}*Z#7J5$!yvtIKt(VG;iZXR|knMmg&m9g0sGIafb_ zwr}r*yd8fFO8e9D?=l4k%&U%UZV@y~yk}xC=kT>(@j11n0k>nX=N7a-IH<@{t{Hbn z^WD0m3wH$HS-NAlc=_~4ej6XXdpG6L_Vb_X-k;f9zRy^D@|^gvbCK5dZzJ8ed38MT zzY)7zRXx5x^V-^m%*IRp#sW20oS62=ysRtocqO2l^{laH;)0r{iS=&(LcjE!$xlgo zvS6Q)OXG>{(QBNQpT#NPPw%_*xVdG0r2M`69>SC4yF@PTO*Sa~wRgs$N9@%VzU93q zrCT<$cYSJlJlWymCY$*ySM*N2C*54%v0Njl`EqT;#fR*S3R_uxq~3At{4&c-_0kWa z<^RHFsHX3=l)9Sc6)QdYcGfP|*KORlr{tbr_i59{Z(Cn|y}9f8yU(vgrtUmC;hWaI z3rEAYbJ@mLUfq0|*E;scRpFf{dmoowv^;j@Y0s*xTb1kep7lMtZ2!r5cKLp;l5@8u zw|e`;{*%!0>t!z4ziIUw=Sxo~9P@s+?nM>nBz3<_S*(1y6aG7>TOEk#%M1Rw@8qra zAWc`vIlE4?&gF6Vs^t1bB;}vMk!Y^EK+VNwN$2E6pNbS3y!q|*H>fkkTJh&+H-#(J zk1vH^Sl9ISWcg*!-ygn&8ov3XnSIQq?oZz1PmT{(R!=ugQ4Ki%_fT@-@s}3_Qd^Gn zcYb7ITl)6y?x~jZKFqm4ZMW23ulvjPXZG*Ty{zS=F+WGA<y`cCgB3!a56ex&trx9a zVs|{^s^Y@GAGW<~`H?QN?dQy+$Me2K9olFztwn9|QT~)#=Q!j2r*fxi&YZL1hGfOw zwQD@qWZ9>&RXpY1K4C%IPv@@>x3BZ#{{Lg6+50|M)}VKHYj?29IQbu3s+e+6!|=J_ z;f&sn&Vv(<3wzde7Ay$KOg(+*O4sCrf!P|@lf{<BISQ;Sj55w)+@clMw9Q{NIr)5q zX^Q6G$H!;vtxWQH<vyz`!%(!-?5p)n@k?8`|JomD^(LxscIJ=wZ`V!O-@d3y;i-Gt zVqcZW1sfmFaR241UFWU(>Q2h~b~UEIVk=(0s5Ll#zq@j0SlNod&p55F9Lv~nwBmQy zLNBjtnjUUzy`NqFn_KqKto)9_^TmzRzs;WaeC7Fv|5%OM|LgwoeZDyR^SiT2f6b*< zt&;oTQg<ezKleAo8J(L?^c!FOYq%8cG3DFAB@Eu1W6dW7>TEorwYp?a>1W1bqj?kO z@w}aBYg{s=LehO*U)c1!+;*K)0vMI6<8uDABpvg<^;o%&)9t{f8OJL3b$`Ej<G8Ik z&*OC)T2I$3I4|fCpew|jW#RLsZP7LNS(ABQr$>C4IOAmSoVN8_>>^Wa-R|y;c>4Lv z-1VsjGtUXQ9qEm@pImq7`UfwgHKIKe*bR4zbD7y6DO$LjyJwmB#+}>$RN5a&SCq*} zV3<<DsW2~Gf=ThX6Q_TZ`2EHpHnx^}CG&&4`i_F>4Kp+OCX}iA3$Um$AHDuSsKKB_ z_>k4LCxR}Q4!v;YHMsx2<!8Y5u7&5PEs#lJbgcMy#^dR(#xEO0SbG*e>9-5MDmrO@ zjO4*~z9Sz+^hCcrekBx9ziG*<D?)GPeGkpC32s@rLFLuyS!)aS6#J$IFwe0Oo@VlO zcACcqUG}uiQb(7poU<l9#I9pf^W7TpwYsWxJ@t2G+g$zYxqjbV6SJV>RXRUUJO8b~ zHK~8L3CDR(Ic|4@la*U(zTu+P?oE+ai|;0j&aeBs?L*D|9s8668C0(ym~ES>8f42> znBtfG!T(MWgVn+y_kdi@4!i$nR^OO<{M%v9Ih==eA{`f8tD1CO*XG;xtKo02ADzk^ z@>sob_3ONYv$ouu)BWu!<JD#d)3YCi7Q4Ey=W8>3v^HgR?K@%f#nU_|bM@a}r7F5& zj_WZ7`@UaoJ73-uh+=<}lkL{4wfk+w(ND4Bu4{9;{kco@=S?|3&EGnGPLzoG>5f~U zPF^ovvu$xn$W5=Gg&men0=NDo$6Vwn%!_cbX=(}E`tnNkq4)dlDDnTCV89@K_2>CL zN<W@PwnzsW3MN)xh?rzs^+5ObmiC3IZ0CJ$-uYG|CC@Fc&OK{_`1I>*{`s$c$@Hdd zHusv_Wp<CBGxi!7{=Qi1@$>5TPA-{8(+(egp<p0>JL$i3^uG;D9F||oQ`)Zk<=d+T zFYP$zKEBgd8M{>J`;I`ByE<n5OHT)#J-li4;iDE`zDzp7$h2>9|F_i80~ymUDSJ2X z-G0E8+x>uMrGE32e-$gt9tCB)#Q$9@oVnof1PgI>X5#~Ho=w65cRvU>_Qw7?B;S<b ze@V}?S$K}>>lp%XE(R&T;)&M#{$cjcl}q+~eV{Pw=U?{XO&ccfP%Cl@t36ce_DwF- zUcvE5;LXCWMJo1gXWnd8oO)i*JaEzJ4qru)#<MGn1C3jyH5%T^uy?G_an<86=Van| zEKsmjn78!V5f7t<O1n)hzC;*SE2g&IyXyJdB~4FMTkHGx#u>X7S%)l%x_9Vds>zi7 zA_dzIY%F^Ccy>*@JU8#p73*Y!XNXm8&g<9SvaDsh<dq2@pP4>*^<dA<%A)Yirj}>5 zenmdnBVM&9-%HUq`)+ffckd5jOD8YoALer3i!Yp5z1S;NNJihoimfR=LP>;)^>O#> zXExzXlZCmR=I;A`J2rXK1L?I-=C<x&c$q&VmTi^zug4`%Eu-HoJ7HZIFYWuR%KF^W zKJL(OQ!K6s&+|E<{ClI)+!G(4J=*6Vdg$VuZ#_G!)kMPHM*iEjTA=^S!3}3Gmpj~6 zb(DJ<@>z0K|F0>N9hS3C$gpzHIvguv{yO{1k<;wQ6XM<Ym+)#^#a?vmK38kez4QDJ z%Spc{mHKg9Ua{<^YWdc-kkvur*JtvFJ1H%TX;rmztX(>JPr;1XJT1M01`&<F)^cq) z;ab)6sb^Wfx~($rg>Xwv9aqy$N*OVx4<Z-amxWn8J*geAJ@~!$6rKx?(>6`Ju&(-( z&^G63=G<rAUDj9oyRywqGyGqz?3>b0TMwHrJ#qV-v+w6{={+AKGw#bMf9@<kE}#*~ z`(4C4KzP@!wv8PJjh1D4tehy-y`aANnVyEo!VgsmHX&M)0p}#G`xkAFbzN9kvu47q zicQ4}RbsiiZ!WvUrCa|#qLOKqw}yS<yZh6>hWB&cY);!1$KV>(J$d$;vO9YJw_Saa zB9r*Vt=a7KdPV<x*M%=W&os(hp?%bUY4bH9lfyB+?Y-}Q|2q3ISE_TeciE+Pw|W%x zFNLHXVm#V#n#b5&?vVMdjqg^bGnbdS1gIB!KE2&zsF^Ld`Q17G$Saj)mL6`Y*{`^l zGfp`9P;{|8U*yaerX6Lwrqv#;bB>$eaL7YUjyKeQNq^b&DH$*1cl3s@eb@KT#_sv< zN1Z1w&)+$x=COFh?gJC%eb%;Lmp;YLV4{|a;qOT6d37Bj+P|3lZF458uCYHK*5ong z+Xb)R&Kr-JzpId(csEJw>dqgQC0?i1SMTM>SDN+iM2*<F_TL}Y&*X4<**AUb4+9Uq zDQ#W}pEksQ-IugBZA(}S_x|a-blW-WD?G~W1zB?sUT(5`S~Vkj!B6QM`!fIfr2DV8 zZ7)ttUc^=x*Tf~>nfKzS>%n=CZ&{|lF08bk-WM@l@|d{d(PdvxxQkv*{rqepOSl6+ z<C_yQ93rM-{SzOakPF*<?UjiAT$`H*-%p=)f&Wz0=_^`-x_S+rkDe#oH+7V3cfQ>6 zOj0%Vuh*<)Tf#l=gssTj`7qC!?~y@Jf!l|D7jHk!u<woV30S&yuhuulHwBR_TQ4=t zNR)oKx?J$^S8d;K4b{<yyy{ZYB{z7#5D?dr%UEXInRfR-TlK_GlUA<(pON>;aT+UQ zvgpjCty?|`3;KoH+z=G1_g&Qf*nQ&0%?-0n4zn9K^X*Hw`?vH9JIk*wZ<%`$TaVt~ zTA9pQ`$<@TVac6E8BvG0tNERUZdD%%zH9Zee@E%hSgpdEy#W&y1t0(3sKaa-@O^?? zz;Vsoz5HQ!PS{!8wR$0a)a!zG(1Msgd+YT<sV&Yg<D{$76{>u_G9&UbSDrIDS&;VH zr}?4hy|~($hPl7r{;m`WJ^iGwa=}55DcZA^-M0RA*URs*h#1>-`HgP7oZ35eUrn2F z@ALBe9veTnXYhP$y1lTBuYa1|h3O*d4<fg>*Dct$luiA?0o}IZv%M^PefIWe>bxqR zw(`<^zZ|Ea#S6~z$_t+>dAR+6lF_P#eFxUuyY0YLnR>Ei=eyUH9Xo=zOEEV5dtrR~ zk#hW-4^f7%KP7Y6_;&f+`@kI6{B-+)+B;!grpfhYDKF3e6E7&Vww<zm<<}IW_~m}L zd9t>hw5&VgFg<QYT7$d9(}T%uiJebA*|lB`SaKx$`8-`mgYMe61K;+hxLYKwkGr^W zzQij_2BWeJwW-z9mie6aw@=fLt68@2)iK52JHJ%hZZmQ|?y<@4T7vEVZP8JYr+yXf zee~zBPhk(|kzKnt*j$q8vkM90NMWBfbLy9r=hn+Z^-B~F=+0IA^=-HOUH2~qyVo+t z?{5tY{4`<74Xe&w8w8GDt#}q@bX#qG*Wo|c>sBpT=lV8nN4vJw;a}S86)WE8$r}33 zY)dRJ(TobQ4y`=sm#gC(XuZ)P*U|K+-0GEai<f;8&-DsEm%hwtZmZQYpF*La_~v85 zoxyv~3#R7&JekuH(ApjNmaTWrsf!mSc{bgjy8BT3nrGFY^L~qDoSNS+vSiM|n%Sv~ zgyc6Acuzg7vT5&8{!+p1b1WVdx_vE^FJ7ARShD;`fpUGgi!`gm)F+YM8MbyB%av<) z-7eUBwSP^lX!iNF>ln7#&(xJGQ(@hzQ?+xO?xu<*dN0~+YfLjw771SRK3cT1f5ke> zrTkmPqxS9J{(sLFzniLC&R$9S@bQt{$pt^^%Ig1~US#!ZRbwT?ng6e1>V6yuj^z7r z$=&7P`NfkQC%k$X@Aj!z+#^KNJ80tE%JB7v^q8J7WiiZ8eG`7#q|h*3ZP8(OIkV_% zvnAb=d+Hia<Yv!sGo9R6KY#xNbNyuwRu`uEXhmwY&yzd%R6^<vSIT1D%{{7qX3-I= z0xB&Ra_M}Zu~hF`yOG`bo)?dgu6g_adhnn1vT6V9egD+o+V6L{e$BD}x0`<VS5Doz zO<uF|p^D?ndu`u1x1A5I|0v&5!xL-Zn<TM5{SUkEGPlFg$$du-*C)1Ty=wBxd6mEG zncCun-)b(JyRNUDKY8mBk1PLgmaKjJY3FbGAG56HYzzP2t@iFhZ~1P%Xv>LmrKN?1 ze0&>z+`BFQnD6$-6E{!Gdu-fo^PizQf5o?j$Isn8XPoF4TdQ{GQcTVJullFcYzo)j zoTriTvUclEK`n0f_Gx8S0#Qz}b1u6~y7h8x)PC27a!U&ZfnS&ML*vcU{hec*HYq5} zYX3W!|1;?+Thik01ER0K?t8UuhSTBdpujxMb0-<gcHR54e7)^@NnwWgb7`F2-|mY! z``zf5Ivghde}3D~T&asm9dquA6z+GKdUIv2e9)@x&rS3e3!HzpIrZ14PpP8U&uKM2 z-aAL`_4^%D9yss6n&rLo$kvnPm!lR2D4n|c?8}s`nKp}${l8WFe|qMR_5Ex5IxQ^) z<^Fw|e|nMDse=!CN_@_^?+>lM+p@Lsd+O(_R~9XOR#bJS<I9&1tH1wD^ZwUjs=!k- zp+kV<tEbBgjs7dCQqA*tL>qpoF)=V43YDF_Q)a>cy^CJS|M|@RvU;;4yT^*n32q5R zDsCw|Z)t9SbLt4!<x5=Bo|D_frzD?i5tcdpOE>KGGY8l9sRxsOHXiA)WIWXI;Gmb) zFKL;27bQKNkPrn^9U~(f8yh7h8yg0>nW8L?N0}NoUJ#r(F>qr8$44H!JDwfOQ`C%) zPAXaVr~g6m-Yc!EFPb!;wDw;qm?FMy-R7k`rfholSNOPI?5q4Q9PMW(X*hmKd&#?$ zgV$$n`1agud-ztLd(d=ezTc#?41&3Lt$*5IXj!^C`>@XH#eT;xu$5d2o%S>7Y0G1u zqlz2ex!gLnHOen_(Zlj<mDirku>EmL^HB7Gd9F)E7TYBBUlHu97oS>RZa8J1%#>?S zJr<pI$i4OB?<Z-$$0h5QNEWRL>F1PKGwX=tj7PE&@q%|bBCqXd>#3WVAbEc4`g;x9 zWhOkUt5$7FS#x>qHl80BDy&zpJ`=DhazXO<t*P@Kuqyp~<!pQ5Y328Y&(Bv0&yV&s ztY5vOWV&*=NAr7G+3$~cEnOX5&U##4{AIZN6@mQcjBMrS)35)X?&Z8TsLxva=I^)u z6M5IWmpJvm4qKPcRU}p~^?065prp>6=caELFS}^;)pbpJ!O~mK{0FbeTwLvUCw>2Q zKJ|k80ZMK9CoXuju@x>oah+pnPwxEA@LtQ<u)OEz>|DB4Yy<=pW_<eeZjQ8z`B5eP z{=HERC94klzg@7*QoG-8quaD-pZb0#rK{f;HRe9(+EuY~v%*@hw%gOcnr!&Xzf`Kz zrg3Wq<1~{M7DqNNcwXqGsBY%spgiICdAH5e%H%Y*T;;R&h$*&FJ^z9;%Gq*VG?yj+ zi-J&hiQR_}ZC(34i2bC}PnG~jm6x8}p>rkA6&`pQS=4Sn^<q{&-vLJ1(^i*1aDRO# zFTOxxXaC!!5iisPzPAN^kEweYBmGb5*qP5uUq?ju8-07)__KZa>64X>4;pf2+)~n? zv+vj3Kbrd|zUJ(#W7Pg2Jttw=jELD^E}G5lNz+~S%;fj1$Zdx^o*i;nedhJikm5tL zesrJiUo~rj+05f#-<+InYTRG^f0k7K^dnt&u72QqUv#pxE9&<0TZJvt{j)_k7_QB9 zdp|ermW=<of2-tg*_<-c*<Ja*GWBFx#cX?#^RnN%6IV~F%KvivnryM{`zuV+|1Z>( zJ-#eZJa6fRb<eJw#^0?BdVBm>;wpdk{)>I4OTM@9R~T>oc$mqBds|w=niD6zH=jS5 zB(*K)ckn#U*on_Q;;zZO3|%dDd*8FgO!q}uqUK-r(x?sXe)=ceu6er3^6Xo)W`BIq z_bjqoGqU~TA(aD5WK1{CZ}fa^nQr(noKaE!L&C!gpMwR>iwf_53!n3??ZL!%lbUb7 z5I!{H5EHxPgC#c6wYztooUnJ<uNz&lC+4o1zU5!T4<7#?GumhNPu=ob#AoW}Bhf`S z1ax0I%=(bsGh6;pLR@NZ^xSQ2aTkv*n{;>P+vn>S%@MVpbm45)=2ZKguY&n{qP;Cb z%kQTheDN|PQSOTOEWPOXbIs3ZnzVVz9yiNkG(UU$fcHb2<L_J5Oa)$Sbm8@gf3G_A z&&89ebANqz4&Hy7r_<&4(fE|*Uo4eoKRjs5EUj~L))}@vrPh((PKf5t^fNoBlX<ez zKy|5KhONy-3rY73F27aNvt@4atd6|Wr#+MZ#k8Z@C)5(_UZ_qjU8A?!?yiyZ<NMA6 zZzuPbJ`J<rzWeHW>7@H{@0^ma^lS;tKJ-52$%CMy>o{y}(|1Z-UF>n@oa?dIS7z*s z{jyoRWvTbUB8fd5u~8bfW>-9o*9P>rCf`2G6H`A&Gw3!?iTc5}LWWh2OX}~eTi>_M zcH*;sjdtM!o^xfsPMCcC+tcigYwx@W{%k#6OxA?=z0*4X#ZOH8PtW`7d-(U-@Pzz- zmW8WUhnbtVMtC=tI0gA@nM<9VrP^`UIp<68&*hU2WG&-A^f5Dhlkm2^S}vZ60XNic zy2=@_&Mf7fz}XQbd-nU$B_T~7i&`v{Z8NS}Jp8rhbmV-`kff(gXLPUizOkI|WAMlG z%}bG)5=`%3WEP&exTAWy0XOq|t9!FPnus1No~rRZUw!$S8yx;lRwt)27@lFRIKBCW z&z#y*0$n0mr#><TuhES4EzGXj#K6B_bVu$Q@rygxhc~Yf<lJ|&_HAW#%#KM{F8;W& zI9x5gbo#X~iJvd#=j{Hr-Lq=*b-9Nhf0WpLQ|%LQzJ8{N>&eHH?jc#13s;q13Cwwa zyfZ^P?`&^#>O+B5weuHDV|uqCpDQ%7^Q%;&cG~{CKVt80QxCtIv}KFljiNj6Cp-4f z$o{y6aT#MtkKT!cSNuiHQnR*Cxvgz+d9LPF5vgoJ4LSRZX;vGL-_ZMXGxn0_Opk}c zGw!k72>zMEExGNi)1R;z_icW^iVWZO$f5Q#bH~)czw>)eEvme`zRkSe^nKjf=wpps z-5nR~wwpV=cKWLKp!4d#1Cl~7o?rZXXLnzuF|V5Dxq_c_<T%f1J#g$uwq_GP#x6JY ziaFP^rK$e{m!50CEA{Y3=K~c^iEpa@2BMr(JXM`KGa~Q1%S%i!TitwZ+o@Y|XW6+Q zX+{eB9+|-y669#MEi0`sc$=Ebf#P<Vvd<?~10Pu!-uW;q!zbdf^~#KC0!+R|S6W~E z;48YcHtWu=;uZ0(CzHA~*POU}Bg)w%{?D7U+g;Ch+g<Pw_+Gixbt3O}PN^kYDbK3C zR7ICR+O#!$xsknydmHbSom&<6Ow7+eRj0LY`_DriXQ#%jb~|Q1Yl_#hPkHrX3Rh>< zH)p82h5X98sUQC}@Z=8R<98TNg}iqE>M*mB+x^MXfDh4SRy@D2cYNWOXsL1S-63zY zG^yEe<~A0M)xnk<CKW7KyRywlEOe(ww(9cz!Sd~^c5U0=s644Q`AF@u8%Mg?SM+m- zF3;bWvNr4Ql0$En+RFWq=~31)3jf3&lfLSd%g%DK$)&wBB({~R1c^;Qp&_v^Pi1-1 zhuXB+-G9Emh@SFi+ll;^fA(sdmaSrLyIA;RhoA7$=r8Am`P}#KI9s|%{K@y?TkQpt zqHFDh|D9{_75(0xu=&+ggWuafMlM!eUooRrwV6weYib{#*CWq$Z<w~mdwu#g@dBSg z^vTdK{8x@|(2#r6@l|eRa%R4^-go5>Q#VS^nwHz1t9R|YTx1bb<14k~@>M?*^eVQx zE4X>ucjzfxn7u7af8y4JO4b#Oug+U3{8^)Q{?y*)+Zwv2b7W3etnG`Cy3;Nwe1yk4 zQf}=6B~!Wi=QXc>Z&>@}<R`-=X1vCwtGoAqxG1-2b$iW>>mMWE`$YV^tYGJyyGbQO zB74nMHuF^r&AA2V&FMQ(84#rOrJ`xIWL{sTS82w+Ngvr8+1HBuGHdwAsIs^SPD)W> zi2dQAdM@+ELZ#<Y|FiX56U~2csXl#pZnu1V)TU6Il$RGyPYU;5u6Xvabkwe0HzH>} z>=wRqT5rY&qtyIGhg}yKnpMdtTP$07mBnwp{;ush_J1AkeVOoEYnk|gd2cN21Lvts zGPSy}X3LhRN}ab_w2v`22RzM_zM7pn+uk=;rFqY^d`YpKJx8y_ajv%5dqL&gg`+R} zOkW=<_$*R&X|2ASp6OLnuHdP~agFX45~q(Zsa(Jv>0G7aTO6;q)^KL`?D^rduYb&V zS$#2P>f&k@)yZ1<Q&rEbj!sp7aAB6R-QLa*qF3j7^_HKh4G4YYcJ|bnBM%?)AN?3R z_txCB2QLiErgmgL_Wrvz`?1%Vc~87*m~^U&*Iv8Nu{~P+q@IP=-48!fW$RYTnk_#& z_l4k{Cni_#Gv*3fOx4Uhol%=x{&D4R|I;(xs9!nZzv&Iz&f3n@O{!M$RV#TvCROCH zE1lfapeGRfRe$fypo&R0s~=>_>EE+*au)vh;qY&5P5xh-YZ>OQQI%NSINx(t9{XRH zpqI`CMYng!h?q*x-SMc;d~x;D`TiH4C0GBAbl6ui|AC>awp~?azpa4hk}noZtTt8u z`sd7j{pQ@fur*z`{I2&~$nLtWF35lJeVVBHD?9T|je^UP?D|>7j9#Vj_*OhK7dWmI z9DIXk<>?iF-=4qt&zx<^yMm>Ej}$yvb&%app?sD=QWtk(+M1+`(rbM4Ws*fgf7&PP z&Hp{=>9>S=7yMJaZ!Eg8(&TC0N%o(cI|`4qw%W(EeLsDA!ZqG?!MFJL?KTW#TQ=pa z<Tu;@mYpv%TVo~9|EUX;ReLJb@Jd4|@c(K~cU5zvp9<@JC6@0IU-x^S@`b|6XU#9_ zynk+6xO+;Ml@Md;oiCM!?(s*P9^Q?3d1=>1`#oHR(VRc>Cc57Gb!Tr$W4+wgb!Qeu z-N^i?eLLAHXoHW?nS`B-#V0IvRh5$MO7`(TelGX_qS>OM1?MD|?Km-O?{Bj$9JAQ( zA91-+e8B14apO7(zY;seD#7~S(IJe_!XhoW&Zwx~G`?!GYJ1{R%e|aB=MG;MRpr0M ztjoZ8=Iai<tIK$cLfLjcShPFFohQxogHzb!b4@)>6KVr%CrVBH{UlD0o8hFwlO!qk zNg{6oZ)Q~g*!iX75K~tB8O^(Mc{Jb3u5?gKy*lrKeChYMb$uSaEAOm2{cEM={k0QQ zHUw_|HmOH%y>_Db{NDK1Ndhk?&YZKDN4&$bpN*d{eV@#_>&uj#*DNc^%JjQtQRA$; zfsK8uI7eFDeA`P_&1v-yy!=)#RNpP_?4EMsQy^O)%ej~9_?LcNI-TcUrq)gawO!gy zbz9dxom*7b%xuEJV!UVG1Kn_wZME8(`A_ZkI6l?bA!qKWUUfz8$G=NIZSH>V*w#Ep z&D#4==)QoRoKM%WmkPTlFG-LHDKhWS`ed3mr_+HyTT=M_Gq<YQ@)twTY%}Z(ec}9Y z<DN{OkfrlhFV>mlvwO!OyC=s|9voeM_n@&y5J%E`k<dNUMIAqUJT34@fj#ci@|FgL zBNyM^3x0cHk@%ImwK0<dJ-ts>8Fg9lxCINPuAakwW5c}+mltieoD<srcR!C<vrzAS zjLXa{zZ`};MoO!UzqEf_@qTVj+umClB`Xrv=<>d5IX1syRo|wL0Q+kDouaV`63f5% zZRU&ItT%Ic>`BYO*IU{Pe2y!x`YbW4l$GPR@%Qih#D6l28RoG({li$Z!)%tbdBsJc zmycX#_NX-e5S%*Of1#>XTB)Yw0s$xATWYHp%NJKwzSmZs<C)1LuI{z?!u3nWR(_e= zR;lbOoNvY_pBy{urd*fmjYP*wLH7Gkxuu=%w3A#~^zTJUs`J}-KK04Z{<Fmwx6KOr zv*7y4WnoSlGudK&*IwgY+Iwrp^wi&$hjz*>`qTZ|@j!(^kE3VJ^mY3(itd|R@8Wkj zy6V_xsSi6&83;B0ygAou%Bl^|Ubp%@y1pQBjnNzVFQ-;8WH@Qwz5P5wbKNA-@4023 z;!y$_C*6wXUR&z3|F6Qvuj`gbg{5Boto72IHRz4{*36|=oTmlD?znMss=doo+#&G5 zq2hvu>MGV#O`?TLXHOg~H~C&%nN>IU2b;|%UT^!l`H^X{ms*b{m%J;kEU7zW^kgB6 z*U8m8jh}omz4iFEJM)<hIdf9xv%V4Snzx{M#qnb@tMk+CmWuv-?_u6P^~$EWtv(kt zFYc+iQ?_h|U3%-|nNhRl<82eBt}<FQdx54#M21_;iSSCxA}_YK^~Yl>e682*^Ygt? z+Ev4I?`Uhd(0=KKytck3?G0=1_|^prr7C4)F8!IL`nKU%;0x!6x9&IkzE~FfA!FZ* zl*bO@hSQTngYGTW<xktU)KA00#DY<0-z;ynNApEqg-ux$G4E`C@baAtE(-HU-!yA- zJQR|*X!Dl+@(hu8?sx~EyV2}kdFM{1ncj_wKa1jbOcR(qz3Wdqt5raQ(jkU=1_p<| zFQ2yX-q<zuy4H(VpN>BBXg&I}L`~r_$JEdhvlpM8XQXj;_6dIXOJ<%6CZ=!e^@%&~ z#5KXxGC)#5Km6jgrH9wgbx86P_g{SA%?b^JjUN4Oiw!17WG?l|YAv`Hv@%q&*2ZyC zr-<l;<pyg5j8@KMGtuBRx*PdO{m#Oe$E|L{U9K0p4}R!e87-@M+v9pM?-{|3mlp>| zE?%73dNKbAzkI+68;0x}_h~JYww&Oce&Y1iL!NgJD{gwk<dbk&BI;@Wv_l_LwFUH} zSG8ELdCmDz@plvBcBU2US+D(c?`yrJRbqYIf@S5z2;YexK2Q7-%X(PtV;b+n!&Yh4 zKAY0cv_zkInl5xdPG!RbE={4v)U*o{%~jbk-!D&!*?nizmm{Z=cAZi8d|dFV^Z4pF zF)_`7l5gi$mi&l&{VYi|*ZY3qN8^J+@piZ68;y9o=Dy;()+e?|$y8{1`;CupZ>Qfp zJoDj-W7{{BE#UYP7Iio9?c#+Q5BFzyeTn?=nM>f&xvE7PH*SBLV_Eg;->fMt@5L?} zUda8|ViempkzIYwxqGqaSDf!Y_bg+>tQ4+!><v!tUpx=oVqNT`b@kMN{7Thd(`pyZ zRWLtg*7{7b!@WRpv8SYH`&q9IM^aRKSMXeGa6j>f=}~f@HgEsMXr?2s(Ul8)tQYc3 zpYg2AnTKiN-}`^UijqI`d(L9e6V{wCv4)99Da6lT&A@)bgTCUBIJLhF{)?u2F?|qJ zV7YO@bHmeT{p?YJmTUWT4BVG(-uqLxarKe6oi83`C@!wt8*Tf1ljC0dd&1wvW+~<x zEwbT@axg3ud>D7|>60aq>M1G<#2@Xik^Xa#^TO^4xqH}ls4h#t8&__cxIXfj&vd<T zk*WnMPg6ra8b>rKEqhn`e)8E@maiU*2P-JlI7!(uDmiyt;ZXASog$|&O+BiYqs(K% zdW}tD=QdxPdN8SX_4TJ|d*ixJObVN3wpH}e+~~r`><yMeyJjhz{>CMc5%jO<)`_P2 zgJvv7y=jwc_J7-5QL*xz?4Qf04j#Oivi;Vcf9vo5y5sxvbJzp<m^EgXd3o2HUuMmo zX1ZkRBJ0QPlP_PobV|j@%e?KgP4e&G-Ca*M3O#P&W8t{W^f0liH{xXDhYx!z53N~Y zVUX0A$`rip$VylFA0m4uuHur<|MTJo<NN6>Y>VEz|G8N=`Ds!8iJFs7Z%%s3dgzOb zYR&$Sb7Q`lh$eoy&abAhH8<PeSpNQ+@*7*Uvvz6Q=>+L6I642mS#XbdsC@AD*S0%u z>aJV=dVSh=fm9Qph_Cjtn|IeNVOip}Ro0@``o*%+>-%S1RKC4@|6ctcMvsozWN!YV zwe--<i%FN|qH8a$yFd5c<L6SXhQE&QdH?*ejltVX=R?Gw?5cChvzm10<>kx>&7G3| zm)u_7(=au0Dv{rB7`##^j%yL0;xUmW^{x}|@%-QXYf|;ER~zTO{x4#w)RK2;gMf@) zL&V%}FYPCFx>L1HzX)8p{PEpAwUEu;kt$36NQhoE{%JQoUpb=l*8XpClcf2)`ox7q zWugyi@o#T-sNL7c?$_VAG%MP2l45!7Z`QZfneMAuCtrGNzuU32by@kw&mFpztnC{% zMbt!GOnV+8?fRu!Kk4|&>Z8(!MPv2u-8!dJ_DqiF@A-MT{QE<*%yM>#1s)2rUt=NJ z)~2ae`y|Y+b^Y&@=K1;$%BSx%eDc;e>~r+<qT)TP8{c$)TYq%^k#>m}S1y}BQ4HLg zdMWvzj<DlmVL_{d?At3pKKUrSqtWZ=+f@0xPp(*B5k6)q{dwYy&XRXq`d*#B+FfES z^vd^FsYm*X4Q*Sc_8n+gAH-pQxa3H{MYHNh9Sn=DR9-z;c#yX(TkD*Sx<*FVWWPX< zed%u{t}}N&NP2(0W%=AS&mEJ`&V4*FeBCmpKfEbMj@OiBg^x+Q)Ct<n?7puuyYTBW zi?46K-F&dQOk?eVKP-nWdCiJWcTQApa@jc3hxY*grKsOrS5&xa9`PIJ`;`hkX?Apy zJh8DT>ff>cn>`|tD{rw*WMIlqfBaSRbgP)#@mah04$rzi`9h%1e)-Q|qSmkEEt5#u zbM4CCRhz04AMLzvD;acY(Ts>&M+G-;HokCZ#jf(*n-V^D)^9y{%DT7a^Z$K;_CI&_ z)n+_y*=K*&cOmzD##hYCvOlV9D@~C(!~g$x{4PO{8#$+XR8PpQ`!_W<h39(R{p@F< zGfFm2YEZThIh&}OkRp`+S2!u#W%>TaYeav447D-JNjv|9J5;Y@>T2;nGuN2w$ZdXO zxI5}ogQc5?p8Su)&5c36c`s%MweKvKS$*(F<0tEO%MHs~SN}UC*LCxQuxe#dg@60R zA9L8)f{LUvYv$P2ax7}s`B*%oZ*t#JJ25$t%R>A7{H2(>*Jd$tm07Z?Us==egmG;~ z^u65@S87!HZyj)qogb$;W3y7v>wq%FPqAzKb{17Qt&Cmx_3SC>pHi`(Hypn1Z~pcC z-m2{X{@=A6?VU^iEp6*Ne6-DVS9$s>DVKx4E=;JkT=6<$iFMP{d%-ts^8e13INTOI z^A6)_)}x{yyIta$W|o>s{YgEztxx~H^@4MM7X*48zP{XHv6qIqWORzP=Q>TVKDMbp z{pa3Vv^?`!$NoHa&ea?BHP09QHhIWq@`yKW%e1{5-*$+YaW3e5Y$WH;RJXn`IiPie z`~Ej?uD_Ij{3j|cwbQHg-J1SWANKB?bL5ibGZAg&w|{mjHe1f&J#MPKMaDHMabb(t zJu82y`lXL_O+)^ub^d5wzp+?%hWuOiDBo>{_UH1Y7dT2hD^OX`|KGx{Wkq}NBIExS z(qE3Tv3JTj9GbvZ!t`12$4ZyZZIc9+F5RHc)HTI3)030!>%>PAOE<PZG%h_~xju!x zd+{w(!Iy?}S3X#9%OLYzyNY+!y$9>+7VkOnZQgxLBc}7qrsPlb$qQULt@wY2MHlA> zBeP@8cLV#*7R-14BzoI!0?Yr#b1VB^sha%#v>;mYZuVsDpUbtf<hEa0bNE50=b=Oy z_xS}z&I-@m)2w%e{89B^^?uu;z3;b01#=cf%`4q#+g0z@+$yY<e#b_!O}0Z+iEZWN z%Vw)?e0KjY{B_~?C2c{bp&Tkf%stWl$G?~-TD`E^@ojfh>%#!m<lME{8$NFT`(g9@ zee;c`f4+U~-Vz5k^V_$*nId27Ym0mFs;Agoj(Acv`<3gJJ*DlZT0+$eJ?@=6uEZ#q zuB37(Y|)kn-|k0xOy0$($$4mJw%#A<a+%L>Za-(3cfjXF&*?<Pd1*(FYz}z&SG<(l zVDVeV%TdPPUw(S8yKS=0*Hyc09bP;?t2uY!sn!iatJVY+PK%n8|N5SZ<+<*YT+GI$ zEB`%|XWG=#(x-oCA*1Y%hmw_UR~CG|ef#O|o;49aXFU~{@1NhE(^_n|#A&YB_I|xN zd+v+yO3T$glXklkK4)*tl@F)yJyq%XJVA)n@cI59JaboGGnrgocw*)e^>$u&7cG+n zmRK!;le4+<>bAUJHub7vT>j?5*pBk(n6>52+Al;NcsETu++3-ct@_&Tv9al@PSYbl zU#*xq(f4m(S%iG_?agPkUp2lJmz)-PG}660&7JRX&2o90_wVmjns|C{az8e8?w%?C zj{bdAS>pO(jhUg~=jyfIfBLsAxc#^9&6+(QvRA~fkz>`hPn!KxN26imy}2n@3<LX~ zI?9Vga?Xq2EI#FU-Jx~Y?aoBrDmxa(Fkyx^%UNNKy=)xTKV&|;wN-lFnDp|7^-Q(T zw{9h!h<$A=cuVV5CQIAg&gZVN&!0CXw)2+1Kg<6iJShHNTT$pW!R0J-@*d4Oc1g!{ z$LYE4{+VqLUX-v(^S+oGR{4K{iPL@FwXp&<O&{ZTP1Mg>vc2}}B;N=<F+p4FXNRBr zg$q@M&ooTfv-XtIpGt)l$2uN`ZTM=w)b8gu%l)5xCVW#4x~)04Ea=Uu$rrLKmL6-6 zzUgXaV6=`~=tSL_;w@WiMSGTKPno(R%5nR1op-vs(hS$noX~l61847XuEmF6?$wO= zb$5QC^XA!Hr7Lb<u1n~dKl8wU^PV4Gn_ls8Yt53o-+eAT-FN<~+h!X-=%^pLu<uJe z$2<MgfqwgvT`PON|7JS9%%8JV<BNKl&Godm?|rr|lv}5ud-R0p9^RLa*rM6ug+mTW z$R0Iwp1t{f)|-vq+FWM>&uq5riQd1%Wz7xC`z^)X(^d$-`<lYu?7b<}wd-rqEdIiT ziXXF%+;n;WcEzHXSBq+QEETSw*x3-W>jb0cMLQNBhHV!<nY~^Wc>Fy3qT2H-y5DrZ zRuVCrw)xfEV`j{L9}m4g`q3xKC0yQe^{Vv;m|A8;{N83zJmnnE^SeO?+Fx(K^bv2} zmM3Ci6uNAV<MB=MeLsFHzujQ9G(G<KzcrbYSF>avG@br$P3Af6%Z6qG5A?1HIJE{| zJfOtmTG<uB@%$Wz8t?IK-9mGwJJf$E+83$6+-U2nM-i-Tn-+%$3myvQR@F`9HPlQw zy_sq9_6ZwT{=Y80?u74|9h-O<J}_;Yv2}+4%M}~$8)`GVJznO_W7hF*uspzYf!mEs zQ~Gz}RL6O8*V6h0SQ|T5d*>C_Er>1rJ=-qL{Qf4rdD2JO*{Am^rcFI?e0|XRS?jrc zc6`cq)X+F}bD{VC?lYVGc5KRU{M7i0g|T>p!wGxu>)HQ{t5j=+^$!(=$j#&^yl!?- z^<Jc2W*%?${LQX&%+vO19O-jOdo{n=>iz$)yO#Njg~a7IZ|W~Nb-`57(J|6gTR`<m zZ>;HMQzhFB^+cbJ-{ovh=Wc($zJGtk$-nhq`X;n(i#@>V;P!v!kM}k=mj2f%VE+Bj zul@6VUjF|(Z@sWTrSdQR^I=CW-GBAxdH-tvvRB`F>tAZ%X%&%_zo}EU1@^lBSuC^s zrk<b><JNZelU8c4k9L1Juw^QD?4iS3uKKQ++-_5Jhf8;9i*eKRS&GYMUNRSBuiNS~ z<JP0CWk$|1#{d2KU+&lb_uu|PkVfU(+wBXS_)e95Z~et-nb^3YalwWP&s~z2_TSvO z|3AN=V4{Fl(T0wVM%qd`B@XipEcwK88ZPoH@cC`v2+s7<R%X(jD&z6q?Lc^l_t%ZL zj`F1Ko1+oL+wd}#qdRtIRQjix_xcv<yO-{0`O!Gt$8?6-mRoVWb31P>zJ9%yMMcW! z<i8e$zI%=VuLaikhcp}z)BF14=;fp9BvvOrc=DW^d4|iuSPAEY_xFguxw*aVO8bMj zi}qY48ts=u)qg6#Js^H%o6Py#TBg$qFJ|mm()W{XN}gNr*Zcb-Klqn!30PCQNiIw_ zY-#JxU2E^nRnb&sKlXFdLFLy6-yXX@@$|KXLX$_AUxY->b~)%7<L&g;{-N&XJMSL! z=zHJ3Z_>A~CrP}z&-R1zBhC!R+<@eDo(Da|>t)*2B}$9D1D+gCH7=1laMIKN%gn!W zAEg|Fi);f=*f+jj)UtD#!+k4u`R_C9WqS2Ke15;ghtW#-ux#NjnQxc2+Ri-Uo-=Jx z(!cNOK9h>KIEb+uev}SppWAvWPmuS>-dgEX(^)n?n#rq~;Cr~tr*-ZnHubj2v$>SM zaiy2E*lns%P?l-+O44}N<1^vQ#hTtw;lF`7L5?Ll*5$R83+&$h@w&dI=KHeP*?&H8 zMrrc#7oVOUdVgA>o^J2_ZRaO*XU_@USFEeczWrRhxqRIIXL(h1=WpF{6b^d&T4dKn zyXWsJcHezlbF1Kb?_959_1Hao?BCvgV*gR|%A8YcO*<;w>eDrLd3SB)+EV_PacW6o z{(aB;mi>3sb`~dJ7uMA&Ir+hK&Wt#xXltcijPFa<T|4IV{llTR-Vdy&HEg&S)mr;t z!Tht~Yn`56=h&(fx2<TY_!-ATDgP5^`bU|~zOp6Re*4tk{>LmHzZV?xKXN4fq~XUE zr|mB}x${dlU&}~fZ=1q;q51FLYfBgO7CiVZ8?lgiFKdU%&4tm17Z`(QtGTJ@whOJh zJD*2y*3y|qrITtZUMvpyIk!Bs|FBDjt=ih)hCeCu{!G1m@AgJU!()xj%el|({<&aE zMry9A&cR~VZyq};+nD!cY*&)7Pg=Wn)vL&3{GX0_f4XY?`K(Z>j&HM;nY@bm_YaHS z%r2N0I?KY^)JNL1{;GVY%T?)&)8F-LA}k-DywErGOUF_@%_R|Yem*?5^VPYNZe7Rz z>y~W%@bO^LgNvWsCTy6?^VWA2qpy^7;x@5!uRK(gjr}&6_RU(|(UkMe-Qsx`OS-hg zS$(@Ji(X7Tk=1bIm0Q;Y*(Nug%Li1N*IGn-r<bbC-Z)F)r+Q=6ijG4`W!lZ3EhkT& z&bC(F@XNv%fhV*gj%2xaZkQ+L>#)r+PRzP=TiCr<9;VG2k(1PCMs2p(pH{Yd(&wFB zn|m4G+FF`y*^%V>>GyXP$D$+hvgKXNoSNbj_c>k2VSj$#KqL0Zqt5Kfmfvo^7m2Ok z_pP94<F~qxf3EC*AhEad{mjL?PgMEs&B)xn@3(sS;tlIMH+<+0K69Zt{LSwbrVAZe zZ*yH<x!nKkhEVU+&W*iZnhU<3`tbc-c-Ld`qr93)GxC-@&zs5oWc$+i`Qd&>2WNZe zcX8R@j;^VDdF9hy&OK*sFD$$j!(J*G&Z6Npd4i~U9Y;_3!^Pdc-@Z$gsv5>V)ZX$? z@`~{z{{^qQ?>xG?r&2BMtl?Yxq@{nNE>8M;^~qwrJ<HO({8oNeH(I&f`b|OAkH;mS zN*<+fY@EISP5zbdr8g{E<`f@3adW+5YTCT~fQg%wKby3dT}Z#}e7o0-+n7Jmr_x~O zjQT0|M%=gdZpxRsIx{WCAg{Pu@^+1}bkyEnH4pX1QjRy1R8Fpa(i3^VWb=96mg&nj zFRO}aum5{2=uqVQ#m)*l#b@4~=^x%B_w3#;4NddRt%<g4A8<8#Wz1{%e(7$P8;hV6 zk9EEGwLsZSj`KlUD<!Y)VXdB;xo9D`!<Aq!1w$2$M=MWCiJp14Z?%#03LDX<`z7Y> z_UGB~Iq34LTc_WjH{Hb$^VgfnJZa<N<&g|>hSip{ckI*OHj6Dj{P5wHrbBA`7J6@* z%u{%AmYYGFUTWyngX%@=+TYh)n*1d#>-PQs&lVpK>+F!yYWk`=Nn`E@i9655b!@+7 zmi@aTQ1i5<MQTUm=i|437@e=(SQ9wGYTy6jW%=iKrb(T!c&PXC*~Y~?4_~~z`=R&g zB|q;-CSKpJQoL2FQiSjF>{+wiKWnHj`r18fK7VKW`c&<6Y~AbYc8a$?$rs+ap2=2r z*?L*8%=ww38~mo2h)X<B<xDJz%zXUQ?<&iiq!adUAImP&H#%N%p7Xr-H?Gi*g(u%n z4`lmbd#rGU^u2YyMqw#iBB#B%otm)l-MNWLI=#Ce?dIg>v}v3E=#YU@@2eTtdkngz zSN#i=3N)4z{ieeCHT&q7?-lcM)LI-@u>LDMQ8Dqtai<u+-y+tti<VAh>%MhwqP!6Q zo~-rD!)89bmTefE`lGS&)JfaLCH>DfsmwV4UEAT{;`cWz-g%{;I42eHMVqNR(%!hM z{eshZ>ksE1t~eo46*Bd6VG@(7>JKTyR<*4R?Y|k-xR@_C^eg*zxg2@a_V<>(;PS8E zC-JYz(4QwHJVpIo%kxi9dMYM$2JZX3J2hZ$o?ED{sJy<Su;%Arp}2Hs)759fPtUkj zvw$y9`d{L~e&<#1WppGO8AMIGc0|=L=iS11Uf})|hKuW*Rl;tp-6$>jVA5l@TMHU0 z+wDp|<o`MNr{F~3?SDrverlecl;7E3A1veGrO2>!zCyZGWg+kEDv{>S<oCgP!G;?T z+-*@}UXt%-y53)N%Ce2`4?Ax-(>tSl>67hVdJb$e*WK`N2&-PhAFA!XI?uQ-qV@%s zl3A!-knikQ%USAVUvb`gFzH&e%(^d^!zV{ODZKIdG}Gr^d}9IgEk*g`e~<nb%}8SQ zJA3)lU58FJkBDoFnCDzN5ER65{!UTuIg9=FpAV!|IqdXI;7nO;bhx-$<igs_%}Z1Z z&8PVYN7VNIyjtlgmz8+>l9i=ME@RUnwdE|zC6}9|W@wrHUA(&?xqRZ{N3q-1J$l}- zbKSxv#$}7@igQ;V>|fr(TYW!F;AiS*`#<YqdSo|e7KsU{ORoI4qu45V_gSkmi?*G9 zI@j^LhUvcQ{;<AdKP0Y{%-6r*+BEah;myvW9PX#||0LH%X<YG+^5C*{&zBAOI{A>m z%Oe+VK3=l_Tj}|>OLPA&*_&7UhdE=y{rmjhXN2}7zB7zU%RlANmVU&nYi+;3m0yE} z-HH<ZY?*l7!{*GfqSN~p{yi@LbGgjyx}UTD2);JenLN+o{vnMoB4vJkYia{7y}I_D zdEH#I%E(T!w~InEO~V&W{pNY{yl#o^Y6sKrXJ+%6%$y*$>+TGjG?j2c(HM`(B{N+X z@LikJVi4LnE5@^NZmEl)+!D_{K4uGT{MHuAMeO&v{eM>}V?sSg*V*Gj6DKrX>ihlY zX39LTHqOgdw*35y^ghe$P1O*-ve7#vr0}=R|DCt5PULp|XZ&>2j-`r|6XkzgKhwXW z|LOUg75}rh<)2Dc%40LwfAQFv#Zs+SHC?YZ?djo}URSlf=^DcdrVxhJ78_Q7l@FJ0 zwa_>)<GPc#)asAbXE*tEyl7b-bU8})+dlJB#Vx`c1gFi|Z66-vFTCH7QMG)A_fyZG zZxzM;ru3~`wKeqYl*MJQ!e4sK5PbN4?^*4QD>|<IPg(c>O2yF<p9Y)Tsmqf8{XO^i z{8O*e0^^S>k{|7_j+`AoyU@+nxcd_4qr>cfp4~Z8qWs<JY4EvdyFRP5Lz|unBphpR z-@pBa-Yr3o<4%cx{r&3x=AZhP@9S3{`=cdz-P8N4-xOBqhCQ3qSl|Bh<+BGH{>|UJ z>07<!k;5O4=>9J;@>2R1_4MuWw|R>y%eLLUKG$r)f6dLe)24qoZx*v=|KWe@WBK?D zB!oZPPxT2u8~*11)F0m!(~dlzK6TFiUl)&j_;*qNpLxUmX^$=}e3d$-e#g-cg^tEU zPYje4k~6#XByt`ytY8RJ;Ik@eFwhqGn%|}8^Y6QDKuI*`7xTpSwxrc_%Y|*d?y>E$ zR|${)KIfFV=gd{^`xMWH?JM(=led^y`RlCF-IdEq{wK|xFL!j}?5X-z^Y|AZHWT07 z&QfVN-A;3{tEZNJz09AjtLDzTEx^^!?cH)K^uwM7#gD`Slm8tqDfq4=wfK|xtfG}s z%lmaRbQa58e*Bo*KdUz=dTq(NQo9`nop((W?$5aQ*Qvz*%inA+uK4XQ_PO;I#I{8I z{2|GH<#wk;PsF>j*1xl-n}%=ppLu;#{HfWF@5(3Eo&7nzHpcIB_R{uW*H77}+<aEK zS#0f7N!huL&J_}`Ojp~d7mMq@S-!qt=Uv-}Ni#e)PPjdDx#)wJ>D~68<ufH^4J-a~ z9ec^*t@N)}Q92>$(AAb?wk$q{Z$>lN^HufNXC9fz!x@}7E%=0~q+<Q43$A;mOH!Lp z`#*d-A$m#w(jdF{|K4T%W#U<QG&OLc_NBj1&a`P>in?<*d8#Y}mrA;bufcq)03EAo zSu%64JN7qbD4pN<mGz2SI_F9)5C7!bFScwKN|N@?EYvC%7w>XBt#m9#eZ_>|1r6$t zqSVe$JN3l1E-Bx$lGSr!b>yWB8~5&2Tj@XT?Bk}4>8uAN-zb=PDU>$6C|7E7VZNB$ z&i`wk-U~}j*3|6D4~=w6-T8cf-O@W@ZFF%-hYah#@Zb=UM~9U2&%9*Un;$P`8hL1r zkF?|DDgFl*+&VNxBS=eVqV=NmVo$?AQ)b$>=q0VWAt`)rgK}tqL1%LGcHM{9x12oZ zzi8v+2<8U!#@XMBb#$y21lMuQzoC4r%Zcfz@uBoAmk%uh91qpXy4Os;6#hykS$W^= zPjU}~s*0Z(p4j`s=+DyW_19#d=XO7kc>3&h=oi^Jb0@Sv2~N<R$*^?&3H_cqKk~Yg zTjpPyeR;d1m}~qY`D)9wos;AKUFy8?e_=FhzOu!%oTNC`k7xEyv;C4LyIa(Dvj5Hx z+kZ3Z-ttUiIc#|?+4n@#<+rY@41d{eee&1IK<^^!JeG~;45!}hTq0ZSIPZjdOvk*M zhG%ax`kFdqUN3y}|H9Frf0uTuUapC__};^X#dgWOB+mc5il62SaQ*(z$yoe*zCgzM ze_4m_SN~9N5q)3pbmQL(#hF_jLMJ&mX1v}oQ%yR{N93U2Sw`*Blvb@t)30P?rLFAD zw=cT(`;d_3yr38^8KvI3Emuv$-}OlrRiy6IG5@a^dgD^#WVv3kt{GpPb@oT_rQL83 z;yoO9IWgw!4znFRimMcB<xS)Sntj7R$;LJ2oS7t2tt#MlWp$bNp^stzN;WTf5~kAe zpxM8t((;|%mx;@h7wMf_{{Es{dRlMZ^edY=y&~eauD(>Hr>wZpyyG;}w0lYnkC_FJ zt8m}AZSyafFZ=w<H`Pt=ncRc@FLEu>6WcdQpywOg!9x};Ik}s5JY2BSi|2H#U^i>a zgV#2F!83~bUw)}pk!)PtxjZ2#NW3^cFob6x+rd8%_J6sv<KF%fSFR97m+#jz7%~fv zw?5JSHN(z)ss5p|(q}&56AnL0`O)U_dZpz#)t{5JdnQ|dSR=ctOa5Z+-TGN9)8==~ zw20aGxO}>1eayS}OWSX}KNMe@v*rkAkf+pvQ1Rf0Q>uP3iI{P;J5_$$q?tJ>GtZ%0 zT}bd>!Sk;(cqVn4Ri8BcB5V83DVXK>E1xsF6y_cEyrnvEOYo1q!Jjz8XNZPBaJ0T+ zCcnsdA*125^Us?56734!{k)`_nYhD$^Vh7JDbCXE@BSRJ>=57O#CPva%Ims|yEmnz z@GTUO{dLFAmwRE-#-$=}mRrx68~skVcEQ~9@qF4BQf6=awP(?haI=C7rVH~u>~B{` zExvx<G=NJXvOsNFr_=wnsmWDrfp+V66+WF5uygHvt8G4Ky5{WL$7aIZbxp<i`+nt9 zv+a&P`m8K+>)zqT;kq5OdRu%yD?JJKKlOIsn>&%e?uAP`bO*-H+p+UtLr&52&d&E+ zM0GAqoIfM;hTwym;LyuWb9M!~9e1rTcb5A8d6S;&?>SSJ-FbPXGLzqWQdh_1ysxRE z7mv%$T)9H<TWwIN(7#Jh-Q53OQa$0^nHaxMi(|2KeW|{xYs2f4K0Umj?$5E26Z%<L zsj$y`-_v(8FFE$SSdj7Co^h|3(@P7E?{_DJ$+TGKKQ>TSjMzIvEn2Ja!+CSfPK%1? z%~R}T_I!@?lHt4eQ*S8``}>;dlUke0_pNsHvFO-z--tu;g-Tx8W4Fx~S@#Y+eOGzt z<$+(R<_Q9h7d(#DFw11m<&)vr7U{>rC-!q)8v}c{lUTdfht_JA)1oUw7k`?0I`7+8 zbE*AaYVW<(x9-`qATaBXNQ;8(dNIwVmr7G#a#-2%Dot5-TsSJ|PKL_S$7?d5Y{)yg z=V1NptBS{@S?<*NKj3A``@Z}g8|(JllgpYLZohrG%kjY5Z!UKg9+Xvg<q72NR<$(e z*nW4?H0hSxd7dYD59MxO@`&x>w%d~mnhS2{zTED(<L$O1w-xS`MW4zQ$lI-Z)68SC zr1}Ku363TKvmDNt&G^#z|Az0<ja)NlWlBtWSW&=yf1AnXoySFb*Zc|gQl9Oa_QU4n zO0B80IS%vgoLg)3)a=P^wNj4U59hA5X`5K+UOJ;J>3np$BTJCP`HF`#c+dT3cUhn` z?})N&@YU@eN3NB$UUYso>Bp>pZ?~wfUE8G*rn_wAEFNhY?`I66k-len<P2{tkhzhv zVpaJu2H&~eYJx>s2dx%QH#4z%k&|Ol8Yg0-^i^m|{-=uRi)L><DYS5ML-H1f>j_3P z+~(i-V{wFKW#2sE(mNC8sx7rmJm|BuT&{4H3+KX+jZreJg}hpNXOsh0->@{YS?JTw zRcZOSt#HxPS&z6M754F}3bQN^E_R4Jx8q@zi8Jq<eSH^Y4vF92@M@amt;8=TZ3-)v z=ml&GZB{Mma$h3uJt^2W(Zb(U;?weotSG$+Jw-}AmY;I_iZ>kf;bMEz<9V>5NSCeC zdrsDd@T^q<x0fGGS+Q~|i}A5`aW2maRSSWIzx39=X|3TX-&(h&q^NGC%W<7~6WKd= zZl5IMU8z)HZYHu(TAJ%dFE8u6V{J@tj<p4;znmVw;e?j)yu+W)7&MuD_>;QxWwMoL z@4Q7T_>`qwj-6P^s$hFp<m=t!IS0KOCzx-H_e{wDH?^t7IXQu2)3*PgYtFCUs9*Ws zXJVljcSFSTXi>hbuTKL%e?1ub`7a1R4E=n!PUErQ3;n}uEZ0n4!lIXHS9`jCv(Eh| zjeo+!o-y;gO!b|y{By#kYepM0r0X}|oK(3X_w>w&EoblNY)O&Jy;by#GkMRNvbY0_ zPMmeoe&}Xab@#yRq{-<m#p35SsdZ{SI6Cp!yX^fu+E3Kp)(JNkU(wY1`KiG_vEbW( z#^n}~*IQnBJ~^~w&We`|xyOH2>^idi^-&YkS?Z2+{<sx*u_Xx~;P@sh;oINnEZ>&y z5c5(<BG|yf)Kv3BufdGXAyt0MH?Ek`$gp+g%Snm-huf<ruNKz05$069Y*WZVzioW} zWxM}P?BRH{X~PZ1DGiUb|1Wuczi`!qbjQ0<7q&&%8e~MTX_wrhbFG{=N^G?#dv5pD z?akAUR(*23om9%QFm>a-c7g3qjMvn*UH93>ljeI+=WlU!f@<uHPx*1@9Rp@Zd{~yO zR<X)OYR1`w(u4C3y1Ho1SmMvD7P_R_`<BUp=oxVtC4Q@pa<%RC%KdEOA=+`K?AgSU zWxQ%Rj(a5UvAC%{G(5$l^DSDfP$V?)f@sI%iL0iHhgw_^?MU9FlIStbgzw~}4(3wX zu85q9Fk^F9Cj|ush166tV-Rg<m}<h?&yeM$!matCC&$X^>6+gk!udFA;$pehh6OAz z;tpu2DR{qksn5v=nH!A?BWhUMgk~`8WSGEkHFcHL<s|{)T&0;l>Z>}nHm@|{;j_7R zh=seit&Mr2ff&z)#S0S{l@c>_rrsq0LeKumJ@S1{cCX>pGxyy>dY5jRrj@kp@BgxA ztMroJZ{4<g_vsr^t2$<W`~UvkyLS-Q?U}23_FkV=+_!P7%-Jnp|6e^9;u31Q&PV*j z!|WF`W?N13J%7nvK0n7qrFVzVn!Q)Pg`C;`db4Pt;d{9x0|}mEjdJW7Q34ai9JnVn zvbC{HG>{VDR8Dg5&8%DW>BwiBkPi0lW9j<!)|=v=eEOgJ&6%ZO`&)@^tLM6?KQhV` znR_hAaKlQIWk0W6VL6>zw13(Z<G;<FpBj{yC$M*^WLWT-C}fxgJo)Is<L|7}sqj$2 z)n{^d^OT7Jn!y}G5+@R!I&;*fc+Juf&Ya~Eqt;{Mt2V`3@a^}y=SGsjp{+49Up%Px zzPf$>jF<n-tiaw}XL{^fkn5Q(|L>o3DUn&e^_WEP)iWVB!c*1Nrf^Min7*<}i$ip# z@6t*MlXL(7zy994t#->rd!>6Xq%ZzZUibC?_uhT-u7Y1~n<?p~>n<1k{4(~@%-Oxu zW3tz7y%v?+V|XkiG&I!I*x1<k|Nk!cwF?3mxma799&2tm@KIyJ5;m5JGTc2(0_{%{ zY@`%~SgItH+L<_`Dl8^ku<4L<cVcjz$oTTp!G*WhJoTKd<ie`db*6dgA`K=69WznZ zj|r9=RycH@Zq3rs;5g~zv}wUIo}~-5LY4$9SQnhMw9&Cis*h7ZGHdg-`j~BkANxMN z&s=5XnxoSt<9boKK>zrToGtm*|K}~!3O>?y&eHus9z#On?1t;$(8zz4^kd%K%h%4` z@Cv=+v-$toZ(MDMS4=*!Ov*DTKx2#aXEBEa2^E2<?U7<GQ=Ph!_=OKJ98Nrtp(4Z9 zd}xA!2p3D!Lk9_gmL>_7CIyZ~0RpVmAIlEh<hCx*T)-W8vbgZv&k&3AmM1Rjg|Nmr zE;r_tnRDDQH}0E-5ARCfQwwy8m#PS!<~hAcH?O5=6365Bh6mV`HYgui^($psY}gB? z8?MU*H%RBG?zAnR!+qo>`|8Ptg3igMd3TxK+!46I&-+)gk0I4r`ml!xSLQy^FLudK za_cT#Sm)^ad&bt<kLGV$%XjhKdUpG}<Mf4}*2nGTKJh_2pTX+qCrgLM|3&;KX3Ng3 zoX8#7`Xkow!l7B*v-50^)`pr*aNh5j;MWtp@8O1oH68~)oT~Wm#hxm{x%|`7MH=>( z&E)4^+Hv*m{Qr;BFZRd(KR>HH`@_2_yUVrzpRCM(I<fZuw3?Ggm9_5Q_b}ajP*nHW zntcb0zy1&KJSpEL$i2$-$_&+&pVl<iwR)&=oV?-H`^59Z^J|uk(jxEH9Gc7ZxN=Qo zr;2R$F{AqxC-3y{KYZh}?8C>Bs~*pC_f<KX?lWmh6<dn?a#5?|qtQ21k{1f}^~+eM z$84*&*v>IIC3nhK)~vSF8iV_`JUJ^<_&-l~-jVy_Xmq;jGq;=epWej_yy+1)o>ji( z=B_Ot)i?7SrzKz2?|jqjAL{VE$Zg$?CU#46_H`YsKi)A-QEv0Ow(*9Re9{!|6PD^* znese~_ni{8kt-8U+bOaB-{J*~C-tMcB<&W(-P`GPUU`o5rg!@SyE|5<Z@Z`z;SjW$ zr{&J(9>bJHLB9mMqA#q-+aal2U%PqN(>urC)Rp?PdoqPueGUvyYW8?BOHSuerD9z4 z+Q_xbW4o?Bs_&bd6QdOzI_<bq9!r{r+@ZPk@dp(zX!xJoV#v(RvT|h>$AZq&OjSpe zSZa-;4G-nEe7t?vUgcbP@b^RKHz+7EA3A?iKt-lq^BdF6O>@&ebBJ>U<vL2)ELUDI zKTMw4{&-7^hWT&7M6*>*AD0K_9*~}vm#!3GwfxyUkM_AY6rD|vu!uB9816VSvG9TJ z4S6p9CA-hs3pB8O?@JD<cQj1sp6l!oaY5<ss+D_6k8Ts1EYld}!^G#k{YF9f6HbQx zEJY^IduIK5YUu6a(840|N8rTgjQe?}x1}a6PWsvIm00)S$%;$4Yi=$n$h)APbK|wC zjm5r{iEGp6-7c)yv(#nZ9n1Zx557)Iobs+VV8f%3V<`zQOCEDyZEc^UP!-41Im6dn zkDE_npVb^L-uZv>Ex(_aKcz0s)UYytMe+Y9w~Ks)AD%i_Y@PIYr)*@U9$)&!3*sw- z*fKA$8#3PL+0@x)XEEdVY<o5(iQP7xZ(MHfn4Z4;WQIDc)cmxoS9ckOpDcVY-`w+b z@!zQyUNL6UYnIxY$$qMtlvAhlZ05~~Whs%-Z>&9ziz&wcwehsQoap;l%%*=zNuKtO zZ8rbnt6#o|i}cugHf)7kvUaRd$?=UD#c2&%GOr463RdW^b8LRQX+p`v)DwZZq2-sh zNk3$4^yXk+5bj{`tKMi^{^gX^U%@?HdaY|^%AOh5FIGRk^hn?A^QufUpDgBY<w@US z^1m_dS+LrV<N9;L&*jBmx_Iqmo=kPs;y<2De-@V}xy?DQpSbEmUCP#y?AfQ{&69qM z=FHIME%Z<Laq+lL%L4a4YxQmYzuOj6Hk6ty(1@0LBUa52rO<n8WwYT4vyiyQW?ic0 zRWD?wK4WWflijdtx|3;qRT{JYicW4rt?pwSDGNV@f9Us^Y!-HA^$LLvQ?<B!l<&=D zlG)W7msrZQwk6X!wesiW)J+LJ{Os&Przd|omKkvE#;KiuS*y!J)ITx(+&Cd4<Jj*h zyV*?a8NPQMI&gDi*$d_6&U@1qJP+(C`20%YRhI)p;C;6MhAnHR9#9d>-7#PAVbQAN z4JwBl;+<8N&+umZyubCxm19o~Zv6D@mY;m%-|`tud75uTt{(GcFw@ABdfs&I7k7e- zF|U;;%P;xqbveu_Wma=Vc&~SHw@vf3b)O|*pm2=&%B~M{4Ckn)8)~)(eC7Z7zAG_z zTFtctZp%l<YG;@k^>*7YYTK}3-IEW`r{rW;-JQ2(UCJy+h5K^xQXw`wn5vW1SDpTR zQJ!y^^y34i-ZmZ0Z6DfXE~<xpm3`>4NI#Tg>)A&Yr?VdIQ(Sc^$)#cIy-5|47Yl!? zY~=Y7b#Z&+qQwde@+UHElgaR(cW-4iXHy4%sp`I&3^U&s+~9WTNS(Mxcis7kFTVa{ zdCRhE-A7A~g?_Hni-pfU36R~m^YxZl8`r*Wo>9`3SyIcM$mqJtTX@0Nzp1O`V;bfh zm{h{};@-j)*L(gQQe}Dc{d{I;t>_|eNvF$`_>N9Aw$8c#MfO(M7sF=H{|&jJ^2r-t z$Zx6Lqjv3Yka?!h${8+#3nm92lxmi|;QH&#+(iv%&TZg4n5}pB=(R7>t=_y_pHJF6 zCn3DORD^5QJq@m`E_<e#oXYpE2EA#}kebKcwDxv<Q8+W3@(aBgSACbxXW#bd_XMYc zS5iD7cN=7$W$6ahxx8R(isUMN!X8>zqG`$)>1BCj;tFg2`wPG9vEQ3|SKjoYrs4nR zOh=#Z^?rEnT$#%e^|MwBj;Q<jZ<*hvtF%CQ{T)s7i)>cyYnMALR{gR|!sxtr%Izyn zlkDZVbZ6)#BwDn_{=T5vAW&t#VSe$x*^g&t#jDluPMs;OqOyUfCS}*As-(siJBFWo z*56|P$g=UwwoP)ue<nLz(|uEK<hqz$=EKYdycd`It#~x^^CaIwxdjoYA~N{d777Zs zw@SXgWS=X2kz><5hXuzx84hGwvrOM}lHrB_b5+5&Prt1UY5KM7%!AeEE{VP4Z&6Yd zchFJcn$H>*^?O!EP+iId>D`<uE&C>|J8-yBHk!*hsq}8jTi*BgWmq(Su}^P5e|(cj zm75RC<4#X6mWOZ5-Ic8_sK(}>JhnG`lbMdi(PdNI3fG@~5x|kvC2W<!<Dm6?|HHi} zbX>WfJh&&+<^4#z(yft2%F^96R%-Rm*ISL3t`~CIueMc4LHfWY$*7G+^XyX&NS=_| zYswI2lMrDdYnth@H)m&JopQC?qjMFvOfNl@I&)yILh&q>g<B_B>R4Qx@S<XylR@1a zohSQ}O^*M3`1veTw5`*9LywYq`YkqxgdA%xPWiX;?gIu9srkuC?4OQXPQT^oyzfG` z(*2(cb9NM`cmI|4nR#{X9I;t4HR=MBn8M4Wbi8)Vd0r}Q=&O78V9QKClhfMTg@v8J z%Ni|hVx~L2w-#-z<zltE;3Qiky!v;lO_@oF)bzC9YdL*w+YP<ywKFU32wB=16rJq9 zy2o$EiRe7;E`>eupMU&3QFB{<`etD}CXM+rlY|cc{F(gZXvDK6I&5BvlOMah+i_^O zY|Oz=IqPS*-QpF0RX9z2v2sgUr+Vy{_-nh>kF|x!Y}oN3+}Y&yC$^X?XSbE|X8G>j zI<tq1;hWSAE$<IX%uSOtDmU&i^}RN+@-|n8<~Qq$$6LL5dD81%E7vlH6)lyP2v{Sv zSK&!ham3+UGY@r4Pttib_vr~1fdia=eakM!Uw6Fr_)E*)@6(=7k{9JWH&gZJ2cNE^ z+BchOc3nB}rIy>G^h@luevSj3rL#H(K8vs%5$wI<R&seK<1HmE!=wgP^(#6deVm&T z%Vut4x~|gr;6}$0S;HNsj`L5jY-raNRMlko!u2^e>_EdGjn|)_Xo$$~>o8JgxOeYd zX-|v9tRE>}c26=I9y|2>@wxQW*r)04q^omluB_Ol<oQ9MOKlhTAMVKW)0<z|2Cyq$ zbc>&GSl%S0#m~{A?fR>_<+@7oF?}aygdI`X&0nj=<yF`>L*tuBZ`htmB3?qFPENlH zF8c+?>izkg`*co_bvNg$hEIa&-Ci+w-d^C@$M{vuyOlYwxlTRku>GkGp2F>GryLdd za$|W%W=Hg6t_DsI8MhhjtEv^}{<l<|VrqR?ZW_D##LO)rfegHIZ{)OGJNQEH#Qa(R z{Koy_+NC0&>sPO0zqM>%UEq5q3HP`BFU^-+&Hw-6AOA(>632zD%bJcch5fp>a&e^K z39mK#e(Y@jHe*)zAGut1X{%i<homO7|Lgha!sC}8vF?|s)f|<b%M~`SV4Y=OmF#rs zosU>V%b8t`+>HEMH8(AG&$%SCW<`bGJ%ys$YuyLhC$`BM%bg8yZY@c2WV$5&$ZUpU zl|zcdzID}iRoSof#oRAD{7b8LEvr+&v7nus1rOcTHGgTeA$@N6%=jv<{}MO8vOGw) zjGG_!mFL~Z2vN@uN6%S$K0d4Y)o#jVtJV_UP5nn3;y6OqE4p2<c^V!t>v9sCpLa*t z&&yfqlM9ZXD><~+vNCaYkDXeHxQ_7}y)}+Mx3_##_2gG6xjcza^J#12r?j9sGeyoB znH_rNVxBo~qr&uv6|?@i9+Q)})c@kV8S6oNou8~lGD0lJTh%LX1kc=m=8I-AUt_z} zg6j{=<{VUK&uGew;QS`o96jUb%Qr2STbSNF4Sc`+;)hdTuYO=HTzu`%f$a}2mm0}1 z%Sg7!hURyiV%PoIG55`vSu9=?7w1i8IQ{iV?w$kEnSLu`lU5|%I{D^6_NMaN6+RDK z1K#nq9|&`PQS^xCmPhJ~51uT(MP=9f>JzOBel3h;+EONC>?FzhxvoLF@#e2)3GasH z8`h8e_p$MQQFwN~PU3Z~h0H%St7;!-C6mM3ms{1RJF3_)-Q1yi`GU%EV~O}<hKnAX z+&Q(B<@Cdb4l%tY4>hVQ=T2ni@qN0-e*fgunYW9-|5?3w>CK}Go3<XF6L>=N%s1{B zjyGi~XBSz>$vs+k-E8$$-RJVWd6&1nn=iOTXs$)~f|KhNI`;6oUbQUPl9e+#+|#hS z@j<a*N6{jkA6^cL7Cw8gKXdm<$@(gK{6zk((`xptu3CGQrt7BsV9L*suIP`P_^qwt zJVTvocK+oJ5qnNNI-fFIb8&v-S0k>QJV)=`Uj0YCC8@rq{DBdRO|hd&eA#}Nhmq6O zQs0?nJo<U|yyerrhWT+W&ovphZ}KTmxxIhQ5=r5y)$65O8TY<6Ra$*#wbCP==g%)N z-`DbJ$?b_cIHloP*^wq&t?Syi!fNg<`>i_f?>)<^gYm`+`8iL&NkpkSM*hlL;O)U? zs+90@?~W%Li7)JwE`1NvTXwqIbm!If1Jm64xqg}QCIqaon0tH0<tNOC>PmioZ>T%E z%;HOC_~gVAk2NKK=dlEMGqZ>=fIxf7)~HwB558M6Fff2HKLaO2Vrfcden3%vvR+DB zMM_d=2rC2g{58568e8@MDp<8LGK4Vk@t!%e_MRvk0|SEug8~B+2O}HD-QC;2^1i$K z|Nrjq+rIKLaWFD7G$c6eh)d;xS;xTuvQ8p&p|T(Y0|P%8BU_i8lZ|BE<Hxn%^WNG9 znz6C5ak8<pF~E#r02{+5xZzTV5d#AV^P#x9A}J*q#nB7gvl$tlPG;1)elaafAR*k9 zU!!Z0M%N;tmbL@SJq1`8RSeZuG>EBA%is5-@Q7x`)T3I9xidp&3O;<6<^Slyr)O*S zb}{W=bA4*+g8!=4k}ic8c6{zNjyk=mYu-BMPQ}K0C#FocZ>%wF26o9$Ht9yUw99_E z!=B!_;Q8kX0@Csa*LQyoNVU*9v97V@Q(5Pdb#5jyrpcETwpJ|7o9v-?Z<&_1Jnu}q z0CVXR-F>T%ROrr&`#9spB#E|r<sbH3%maf1v)_3-E;m_{Txzr}$n4yOxih#`z2zd- zs$7)lEj#q&MbfTmev_9dWKKH%>VO|x>+z0{yDmH`bu8}h)Z5v&z<+ltOYN;>!7rKo zf}IQeceg3NxGW~b<v71=g2Ic-Y)mY*x9sZ{`2Rk}{wwwN7l-*~-cK6s-iC_*+7kMR z?@Q+Lk_G<JBE<{*t&cR?<?7#am|wQN(JnV&O{3jgDXACJeU;BS%-_~OfxGsWvC)O) zca?h@?Q*3O7WmJ0|G`vy%Si9Sa$DycAePC+<$IOyIL+V2C-!1`FIV^if9rOxFPX<D zFxB4Lv)Ez28Q({iUt97^gkM~?YgN6lJhz$U*Oo+yM!UD-yEwjNUgv16yJ@I!VY%;P z=3l9YD;(y3>*0Gb{jXAy!~AWh*}k0q=fwNs^0bMJe>X*2v3<$h9OJP6jVSkv>A9b@ z7Q}Ds`o87D^0kXS!nu4DVpFG|pWLu-qp8SRt?739OtYTW+>P2Y{d{x7v5nR<`{p0n zlcyWO%aa>=^XX@~1^GF;tbLtjH|+}|Go+)#7uxf4|J~GjM0eG)`_E$+`bP(EbUB`* zu%%?H)A0?aObIpfn75qfYg!W87+^Z}V<qnevu*R7o_>~Cki2cixtCgtU(H&S#r3(8 z`+{N4-XmU&vr^kvvuG?_<+M3xy_18Grk2U+cPlj~2rRvzBQ3JnLaD`R^P5>87_8E= zmAjr?dTzTg(yUj1lJETIEqyn4btujJQtA6*>RRpx=Zb1RRr<V`_V)1Hrxi~>^DM~D z@ol&*<alKYgVMAX0TrQLsjn4dHg7KdRO$X=nk}<)?B>l|KUKQPB!sr)-85g#WV2Ao zX?u>eDB~Ot-i6U-+Nv(XNdmi4*Sjdp;HbZ#8!h^4L3U0q!~TEYo_wylplkhg*Vc>` z@%6huRoc9ey8WajAb_vKb@yqd%+RUlZ#y1;Glel@`d(MY7ONNe$2l6xZd!#rD!y>P zt#C=~H}3o^-Cwo`c5FFqck=A7+p3Yfb1sQzOM7lP{Vn0`rsQ8d+k}hv{)p2(d{rm< z1pk)F{L3SwRNTLu{>OO4@66MS67s9#+gh4TPValj<ax+%-}=Zf+0C6jA5I!xl;l}E zb(`UFliF2%H&@<zea-4;!xt|u1`&2;^FKEp1TSfSUUn<X#pjlf*zJprPao{@y0kR* z8@EPCVzx|B^8^Klx;r;Egsqw)@r&=;g	sIx_RmluWs?FxKo8vsc*iy$Sk%UtZ9Y z<`7C!m-pJ7GezC!Q|YIt7j&dO1d`O_d1j?9Rtem7;`+(srrdqf>e2>#0+id>&h&^+ z_jlty-Q}s8nms+%SzPvO250fiU)>91zws+4ZN2=|_?VZ_HhbR3t@AcEizpVKO!@76 z(YQ>IC&gx;&|&Ak75d4B#>dJR#h0l+E}S~4?B*;E$^Ps~bvKu3`q}w!&Y3J?Bxm2L z7!@qC{87QO^_LBIw+qS%P3w3#N!8mWP~>m`&*2MQsy;{L%Cy%+2>p`t?XsDy=DLV~ zugErmEX7vcmW1~gXI5xOiE`M2#kWpq5<7HnX2pj+7t50LB<^3+tv$E*g@~Hw4)Nl9 z8EdcmomsknZR(wh1?tV`c8Y6oc&@Iyy?7C`L--QolN;CEUGuWvK2YoP=d^PP=EZ*w zxy>(^H@}}6y{=h^&p6+%#zgyJ{)E$uvUFBk&Ej}>v?i+FT<*rn5;fnhvXa>AZ$H@1 z5}CW}e4C6U$G5pNw2ysTb;C8yq0L-d`hTQf>Wkmu)7<Ther=YjEW2qkFZFxq-?OLk zl-CJp{}-KmSN^!=*Y?)|%@a*8Un%@txKjGCTj8JPrXq(7J-JzdKYA1GPU}Tq4pdoN zWqkU>>QgcQ+|Bj6*TtVJoF9>^lmBS{G5z=E+R@I=!o{Vgiy|L?VRdpeVc*8#dTEuZ zLG<EdoBD71-ZV9@m$#o6ZFYj)=K6(%C%G=}(pmFN4gM$z{+%fG^YOifvu5Al<hV-6 zulj64hGg^Jfbg@&`k(zcoX@lP^Yr*X3Ht?_#DlXOBb^RwWD31x^83+vHPeOvm$=EE zY_B7q_MDjbDn_*Ee&u%?)=yK|_nzjCy?$`U#nQXnlV4;#y(2R7Xcx<ESNFc~wPDw) z0>T0|%BcO+%1+}kjN5hMdasz^`_)HdmhLUQV{x<LVXKsN^7+2bn+x~GZHatac4@)f zrDs&qm-aqoxg4E$W<#Ul%uMO?H)bd^A3vQFwyQz@zgKwgw_iJWX6}5v=xB_!aRkrv zr;9c&KB~$#P4>EnwYP+<_R=#`W~Q8E){af(J+5}Ra%W7E!OVn!jZ=*zErJf@F8M#@ zdBv@)RQ|JTZpIi1KYu!_v1{UEQ|<GTYo^RJjCwogVvKrk*x|~Z33mI1i?4d!_RW{Q z)xKtGNWz%}{gNj$)ZT7cdB)-5#NwdMMoG^tT+@~Hw!aMzRM_VF@W_OxecfW!ALnk1 z%G_Kcf3i)ehrP<^UawlI{K~6K-nAWfneH)bi@%L>%)H0qZqN0<7#~(%^ttLq(T4|l zD^9;`E1BCX{&-`>JyE&Wt?#5Oug}aVx!<k3-(Z{fc`bJKt?|!{PREM11z-K^?E3yp ztc>fs=~;KyiR9Z~%(wh=PVcn6K>YtVbu#ZlkIJ(=HYt2(`$+!yu9c^X*Yhu*7}=MV zYt(ap!Og!h|8|<G{@OXU{wM$3Ic{<BX8#P_7wubn>bcCDLl#+g^zJ)GYc|h)e&V#m zo3D!sLwKY^jf*zTIe2XInva`~`rr0FmYw>t>FI+5S$1k?kLGTeGx=Ei?{hkRxjQGE z-t|$vs&&VmXDxL$pQ9I)KXYIE`%~m!_l6>)`7aN~emlMMO5DW;m$c-6x5KB|>#3jC z?6-e6_vxJO%O6e{ehRobvp3fA$C<$2oj1a5vu>Mg-{kV<R`Tx&2Y2S55!yNbxc>i- z>c2h(&apOfp1o_mO#O`WJZE3V|Fr&W`d2sp_MO$AEM;e<PG}8UFr(D|-HY6Z`%hUv zIe#wa^r}pO{>%4QSx!H{M*euBfyDHuTS`AlN`6oO>EZsT=;vyki}61%{%H=ftV^^| z|NX-;=k!yPe+F6_Q(I%q(uzIn-qcwhe{8OyF|~M0j?@u*f%v=jyWjjTJoPm4?Am;u z;|9AD3@^)6=9C=ti#U5U(4>sFuld>IpqZOD{W&D__0YD$<cw#I`tkeE2(8sD|Ihv? z+e&2r|I_w$%|8OUr|eok=iiSI5&o5yGub!Z`Rg+Ov&F2(BG0nV@ST+reK)1I(nI6> zF^89To;{KOJms`zd_Ab3Flv8z*uD7nCfC=$xjsr(rZwM_cxL=^&N>^9w#5xOiuz9` z)!eMTYL*)u_IJaXz*T2gJ>2x?gm3!S<8Q2lmH#iC$$vISuspsjZ2xbroomh<)0{u^ z^0(tMTW^_}Ji0Sy*Xq+soK-)?y$WBRdOZE~r`!7+=N!LyW}8mHsY5J#|7g_xzi_7i zj!E6mGvRmjk8E?g|I|ru_p_+0sg_=MR!JHc|2bz<_jAp%kMdfR9`0xLx;d?W!8YIa zlyiqq7fhL8`{b#6=Hi)KXP?YYe)Ftp?$(P>>^4ulo}r(&dFp22Z&v9`*BqI7Fl)VJ z&*D5Yf$5UD$I@&3B6zMzoxeHb@v5mt{}vVAI(hO_%gF@8x=U$)_H4DABiXa(XpFJM z{EsUyp1W;1&n#~7N6AesM|~uF&fmyMJ*yM+N%D3Nn|0!oo@bAq<V;D_PJEuT=ISxI z+5W57Otsu|f=8@b^x>17C&t^1%cSFN#H1_FalXz}Ojkai*j#vfM)UNI*Cd*IZIty- z1|B<o>qPEG!7%Offyca~XBHR9#kSoSS*m+FzcFZPZlc9gcZZt4pU*sc#Q*u`-^~}F z?~v&;EegKUFPp;J@%*0hzjHNzEhE#yxA}HfoQj>czCN|{p2V~GwC;d4waJr$?GDGA zYFVDWa7OI!5B`r|&s!>(%}XtNS~Ppp$N9lB|DBEN(?!jnZk~P2>3{70H+55UD^ESY z{?zF7<NoB!VN-9KE)6@CkbEY!d7{kHP2pucJ2i4GxsLTMtepKU?d$g3Kkd(^ug_cc z$*lCT{cauin{VU|!pxtVn9Y*z|NrXQk6-Cu&*$?L&wRRiHrrmAtK~lq)!wu`dNhB+ z=}(I-=GsfI&pUN{%G|sAH#xprU34?`^3B>%z0J<*r#R34>U^aCf5z!Ox$b_8cQM); z^qsx!6h2ocS!dpjc{_Dux|cL7c6L2m)&E#<_C3$5{#7Y5k<;EU$&zUgvwtaQX!`2M z4rwu=`b*05Tn^i<a|xSXuBVZ+&}25ZZNAB+`7d-t`>Yn^_uAVkm0jw$y1V^Y>6MTB zOkcg3vFh;S{mbe**6W{hSs3+=eM%X_ft7g{Q#e;8HKi?dijP=%dwcc)MsAtBCFc(_ zwQJ{Dtf=bIF<kUYvG$&ja@dNh9)-+Mh3TPQ$Ha=7ne!|>=RaqdTjb2_cgb(3BHIbi zrkm^J+5XH~az6RMc_zP0evOVlBjd&EZ<?Af3RreFso7s}{ww2(<F*?(n{7657SH~e zcKNr(Je|ccT~cQ?_B3t#VOrVSagr-qx!3gfHH+Ed#q#bq_q<wb$Tqv+yx*$dFOICZ z_(v<-Mx$bpap(!-hPNLXx<d;qPDiF!XuMe~$Zq!Vv+3tL?etnP&$XX+MJeYT+OGKT z_}t3>k3U^um|f66;oUEpGKQuDYHyg2aQt}OWS?izXTR@aPTTzqzHIZCTIVl?=9QYQ z%6YvvG*zB4C!alxi@(*jwLR$G_iH<vd}p|~DrLs5n{}pK#PhV}bF)d^wsHKonUzGF z{;pmT*t2OzsfKBvdvxcCL?a{i)~=1gPWQK-+9h1PGetE^S!kWMU_W0qLjsqJ$#Msm z1?KnXE^%AEVYN(|&{n~jH+Q_z`puHS-Qc!*%c>maS=uUVwZ2Qdm5KY&5v<VJq;4hr zRKC1k`Iei0+L6Adxh}su&xIM5x?aD(FY(0A@3x=SsxE%D@QRK|^Yoi$D8*}IHFIi? zX){yWgsFD^jK(SsX3bgds?MTDZ>HF-=aLlIpzQ3WzO}ha)@teb#Yx9StgMfOo);|j zSeSgZ*lWIeYoA7#t-JdRXAuz%jR5uoj-n@Z62DuxZp!?B;-gF7yF#z|-(C3BcOBQv z6_uDG<JcA=9bRhkG*F7?XuZV6M(LOKu13%I{1)H-_I9^kn^NV`>8_hj?R)6^VbhmN z-;AkJvj3f5oe{fPaK~cjW|`$yd?)8V{n6eVl75Jd@8#zi7c|Xy8k}!wn&qvR=J7u8 zL96zjkinHBe%Imx9<gqmy!3qVqt+SPValCS>n=T44Qy69DWQ^d;?i@)g`rs`hU;$L z{Iu`sthu^U?iW7n3;nf6IYZ)M?dmO|2>}^<KF`T66v@gLogsPmwALFt?deJ}hu#JM z+M^W1B~T_W9@_s(`H}J?Wry?AUwNLCaB}m1`MGU^qDw!I;nm6`(-fkD<}UwI>9~bq z;wu~7hq@_08=r>#+9MxPvt#NuE*`G#`1;#Q%l53Aue$$VSt@g+XXSc!-DKCR=Py0~ zx*#;HBH-(0kTb%nPAv&7F<|;PA=RgoPxJiF8~*EB&TH-d<#2Y}N~dXtBCn2K(~Mqy zWW&o0fov726Sq9h9lPw-{8q+zM&~q($9>r*!9`P&cAZb<w>)|AXv@N0wc?LQzPMk~ zO+3C^Vx!wHkE-i0_Q+O<U*6hb3d({P&BVF3Zi-IWb3sev)A6Nx`N82GQy+(i2A^v_ zUeD+C^UQ=<b?-JA?YQ!{aL;q$wU0I##HZ^$*|hrip-=sf!=HXCzj^I!q5Pw;sasC1 z`KO%E^Lb`%;tlmlVGm7Q_8+^yf0NCe&t|>*f45egN)>bM(%f1R#d@M&T6(V$gWQ*2 z4~%-ll`F$5bRB1zWHx4&$Nzag)3#|<&J^F|)R&@+9gG(gMcnU|Zc^lYVHFr6B=_{e zdFi#sdDp6LN>IwKjWn288hibu)y%%>NgjrAJQM%4UhCiVT%pXvZU2Q|-{pEcx^}5o z&YztAVb8^?I|7dj4jPzyruFQ(-F?Wzm)EB`z0C5Szi{mJ(s_b${PO~@m&Trx{>1P= z^y8UzuXicT^ErO`opboN@W*$w_ur78zDxZ#2ZwD|Vp(4Cjw!vtH|={WH12GzjDDPP z@7h}4lY%0A-G^Q}n=1OZe7V__b+7NEr%>7AtDO_<W^YekWBlg9nPa!Q%kE{EDJ|i2 zo14hK;@hsR8JY|MGCpnxq_>^s&v5?!Qd8fx#{Y!!y`bf%wyoOOabt$INx`<*l!P_! zzf2LA<>xMTnRCTEbe+ev)614}g&&(TU2%D@T6eGMk2%ZMhzNDxjZ<HS=*L!Vx^`0P z6xWPTL6?K$GIDA*q=ngrm@KL2FnY)n*S7ERoVyw8qWtd(_6QtdS7KSn;Udtk(4xTb zkln6f=S#*&uZND})&ivhE-VpqECmV+m@l%K3S=5E7q0zyxRob~p;^2|k0Xgy>Q2*! zlVXb8VFK?8n0vT_5|ln_STz2a+|<PBCBUWGb#Y<>i$#p%j2#7vOfu^P8XP<XWn3OG z%WX(x_Od#_Shy}Tky(nPS;J9W@<4-Lu854DqWhGF!>l?ND(Cr3klA72#F~)Tv?hGP z&4)+Nys#7MU*4*hD{`LW_`@v4()-<e_sW*6WVV$QkyC!gCY&M}8o6T|i)u^l)&8)% z%eS6X>3AqJ&*Q=}0oJ1mA5BxX_a*$h#Ib<A_S`kiCbQDe_`nOR-~5SHUFEl^z^uom zMXF7qMWI4S^x(~@*$zJ#U&PjP|5P~o%F@=ORR5c-+zJ~$-{v}>DX*UFYTk9j@y6ll ze23)r6*0{I(7`PK>%(!`e1_Red8;(OZDQNN*>1w%EGqGDhjD{%#FInEj_poin7u)p zO-JnX{~d}v53X^Ct-AVx=|)SuZotn?oH>Wq>juR=t&iD~!kBYtrD(^Z{FMx555u?) zUHHF4nJYn9&;P+*bzg?r1(8fw*Zh{BuELmeXuV*A+!uYp18Qfu8h!s4x(if%V%C|N zzSCwwR;k0&byeNW8#vdSO$aKL;XPm?+?T3w_4K^(|AplS471hN6f<6&{MhTvMuwb2 z)}k*G{}+~SY4DxFwD13pjhqLxh5I}g^zZ$@V<X3bWt`<gEL9$T3I7Yr<rrqG%{hF8 zE#+o|y`G=g-Im~p8(BIZj)%rFHs5PW^$vT`pM5#pP&-8P>KVpWVyB$@v_2gF>X4J) z!FFI7=V=d~7f(3;?a1h9fBSa>gJ4*L#3q+WCa0@fb3z4|{o(pkcu|rmVH)S@6%mym zj=LrYA5i1*dRVsowF~2H1MaRVT}=tM-pMeSC0-NG3*UM7DND{FE8i7z?M)t0qM?P3 zmOdGi-?Ta{%#l!Go-j>8^J90FPN#tBVZT1v_PZV)9!D1Oz3iy0Ju0hQrQiKxu8OFG z-=<%(LV`t;uD%vfxEk%;u}?(lO|rl}R+sN8FWaY2usy;qeg9RX=rk3%FiqJ@d|LA_ zw}#9(%)0S?j^Z1us1GaW=%@%5Z+K9+t|9vOX|5fnM^-Y;S=5wqFGnHxhewE&+3$9d zm=M3K1<#N339;%QTW}%N+TWw`P{f4TW?`35VW)hfgWcXQj8(sc<ebP;J-F=pgabh* z`!;-X3uxV}v}BhjOQ5K<(~Z^#hZVkv2yD@eyDq}}xHR-ps_C1L?1{Z9wHKJ>T3$>v zRTbL(V)Z?#@`4oK&I><JKVHF{JL~D4iKS2CHRj%)uFh_;qmegDq3-8#6As(XZ+7?6 z9z-fs7BNm*&U0jD$2uc_9+x>?>x_78I@cWtbPfMm)3{>M>N}5{O#MRrZbdEdjh$Tb z)bqNo#s*gYm!CB@9A&B4Hi6;1*sUw8jJ$6+XI-5wESbpv^0U%{;H(mX3J3no<p&mQ zNMKF0;Lg_iaL>na{y~o|=c{;G4WxbBniOtMUh|AIXWjHQi*$6<Eqxu9u&78ls$Ue4 zd0yhW*GAaUW6?^xRlDv8GYL&&ZsM;{(2F|zXi*gVmQ1lSX}%h<cbfi-%pO>5eyO8# z-Ryy92y5Tw7(wmzH8<h}qzt-_zIz<Wc1=R%`i|KW7nX^q6|ektx|lKdmCCDwg{GSu zPEFR}mbo6q8noJP(OsXJQ6)mG2RFJg=1$6Xnx-Cj|9HXGeBlX^F}>eaW-+<XpVVM> zQh(jD)i#|?wI<~^=J5+o$aW~)t9jGP!NKLysudTc58LryP`m7Q?SS88mxV#wIz7cA z9&{yK@omlv&zQXC_>;U}QAw@LPSO0eQV)FZOJ1lJGdRERw?=|l`aG61D<j`Lj&R+< zWzF>G%=Q&8lKj6Ga_yHos;jvrlkN8!siO(+JDp~SEDjJWyQ=Z5QR(T^A7u=mA3so! zyH;~kba~hJH!Wrl3s&7@5wO~=V`Wk=ueRmo?vL`byqR?tx`<n6nUv2{$+As3>+{v} z`yw|(tK*sHmi0g8zb(wfnQN8(_$`NW*M`PYE`?hY7SDEeIq^xZok8(*^;H2m6?Y4F z4*p5WHwue(YjE5!Nt9LCqIvaVq`LbRw-%mY<&Dq(Ebz6w<J#w1^TKg<#RWCNn6**X z8I!qIt-5Bx5E^=wWx=Xd!R%M7r<(RL2JHR5=GqDtv&h)crwcaiS|xQ{d3vCrV9vS- z%W|<*VRptj%c@e!)v7KYQ)jahnzthJ@?rS}%G&c(OhdME^`2Rwvf}<RVc!!XPEN`a zyiNiPlO-7#4i~!cKHf0JT%>{7BFsihPftU^z{Wz3;|ODuhX@BtQ-Xm6hl4_&yQfqq z<Chie>hCz#@0YHySeGhe^5(s`$ZgTmqq<KIr{6zqv18)PUpp)JZz{MWdA^mMiF+?k zlipA1<EtMm)PBvdv1jKaw>huA&oyP86n!!wazp(yKexBiWw&CD+054Y-s<}6uW6Dj zv0&Y{@~@dUE{4uy&)<E2`O6!>w%)&&Z*on|C0@epssTUmKLL%jCawSFg8K}80>f{` zMs2PP{>pOWntlEJDLYDR@A`kzk^fgy5))pTnp-!y&p#-#bRNf4tzY+9oY=45cruf1 z`J`XG>UVwKA8X?L|4_g{@~riglTS+CUsjtu)$iZBZycGi2CYZZuI>K0!g<ms@dY8S zY*$L=JTE<Tl&AdCRQbo1cUhxd-cO8s^6B!CxqdSiEH5ek-TF;ZHh8tM(2~;^u1575 z_Pk79%bfg9Fk^LEkm4?`hzYDBvtBAQXU}1s#n68>vn^D1ld3%L14jPapX^(9IllAV z=A?Z&gHyIpig&&*??;0boF_V#S#B%KnbXO<u9-7<XVQYQs}1tAo7E1*`}sz#*F9x1 zBioBLGHtHc;__IjwMsr;4uvgS6nm3ny2L*I#}ZQ{e|jEZG2om%JK*44?=Am*m#Ox& z|4!<@q56Hnwp9kgo0dm~PO=O?`Xg&$s*=O3<Kg>CKk>&qwmhhN?j~x$;<19$SjeZa z+T?rNCu{vrb{+HW`nKn4i|*RaBD-jRoW=5Bwj=j+4z1j0y-VlX138E9?g8O*!%y>E z=e)l=Tl#I!=BVZmzK6}HT#ntl;L=i&tKkQ}M$8PebBH>%@JFnM!m8L>{yS;UADM5+ z2w3=WdL3(zxU7}_*4IA2+Fou?obupd+*j>f_MCp}ZJmyyP7`lC@b-31@icZ%>zS-y zGXJ1xhBniiH7f&RGNVhT#uWuiXo+v)U3)X*>We467gta0E?ZYVYvB%?cTE>&Ui!iz zW{_ffV`-OnMdYfQML|u+zv@~ko5U}lwDoAxj0~kL?zVTu7x=v2Jvgh7aeo=Z^^{M0 zmAvLQzOwuJ$@cV#hT@I=x97#SN&2YvemXwyxb54?fnHzPR<3w^L+X7y|CTpvwzirZ z{GVwwb(Lj|gxlTww<c&zH4@#=R=2UMeZ^*(InUP#$wuXLUh;C4F@LkpDa}G|%YwxD z4Ut^89&Bv;9&lF5^4;x>gO~YI91m=}m+Nxh#4KTUTk^-3iv*pE!j;9>NXOJC_x8J7 zDY$>O-bgBLnTpGO(UzTT2RXi`dbAsON}qolk(@tMr|#4<E9r)p3piR{R7xMaDc{!7 zaWCrc5ruOhhYtK>zgU{2XtlO*?wLo)FPWywakgKWB*k&HZO(2l2IlXde#F#C>xz1~ zwqGfoYFk{_?z!5qcn;^ebs6Q;?T&YA-^hI?+^}<7@Rt`iI_5IX-cxsH8QWQBqpx4~ zbxfN7(frTVEg1(c9loJwlKg1qTgFv6&wT}U-#ImDvC2ckierVp9E`VyGaeO-N&9n) zpJkSAyxQwY$x+!Vruzyvu5@W?@-Cd26W&-*d+kSz(7S5$%T<?ywH~`lC(oQP(>pZp zl|=rGx>6;+))?M{Y`JU!?7X&<ez>>t{Qme+C-F0b&X;SYw!S}SD-^zxuel$8%iYdH zPSf1zx9|L<4F5&O->*qGN{MFfYuuy#Qjo>U$vJdoL_nG1lP-3ZXL(5`)~jzn(45=3 zyy;1!CjYvCQrX0=+#P}*iv)FMTG_1b{eMwg(NMkkUN&P>g84O`&_bp44jG1*q(d)N zGQDQI{wj33yvkj<f7bo2^0{q)U(Ua|-EBI1_peaCpDSlQ&s+WH!v9r27pHa1%XZxO z#c<*CEgkyejoxQYv`w#9zoWVJB=`3VD?eQA-Mcn4-{<ztRNKe>KQ<rxuW|G_bN<gg z+1wANJFe`@{Js8wdB!LIlhYIq{gVIH*sNt`b~)tb?sd_c1(7XMDd);BJbd(9(|%6U z))%{Lezwc(xUg{=Z_O38;_h9i7$3xI-+CTp6{DxuY<_dft>!?U(DI#q;kq|oR4tVF zl3bt5zwXD?rsM0ydKTCh9rl&Ika=v~v{@W~pU4LDuRXMW*%if}>Cz8Zz0!F2yG!6- zA=Ay0YwJrSs~=d{tKYfQ#G9C%^=H@1-YLfSSGT@7TzTp5kvBa4a(x%peiavB3t!30 z{c5p+uG|-2k0$APyX_;^PdPU`geUiym3`%Br3dP&i|R$^Pt*6Bdi&TJQ?Cy*BX2yr zVIgCFswC~M#>ML9ri~6ktgM#DPs-Qp&kDHgbJE@Gcjtm{*4Kr%_9@J{nyjqYcJsli z(*Ncra<<iTa$`c&FS&_t+{wG~b=#}IEJZe#R!^QQ5wp1T?y9}(&P(&P$6sH*s5VOY z&a_iOd0xj(@vSObq;qY;m-#<Sx>*-bis-+hZuG%-ienq^MDdG?jeH#0w`H7<-*}<B zxc9K8ty1sin#`cz-%9qkY-FD3^+6(>{qRTAnbp(0m#m(*ddK@kidTb{b3Bzb-gAzl zYQiCvlPlR=*WP({<z2dO^5pGnnY&-Q3(O69uqle0QIju9V8Q!k^6`y+Dk57nZP;?> zFWBU#!RB^8RFq{_xM%Lhm!i`DO`U!+9}1OQwMbr2X|}l3EVb6xQ<i_Kc08Y}6SmCk zg<!&i0FF1ZVSi-;KV9c;dK7a0Ww#$Q@1DcE6k=TuJg{`CYJ0`neAx8V1?jE#C+}Uu zkU8g#WoKUbmnroJ`x@;o@2EfYdyk&et)mNe&)x6g=)B>8(KJ~fr_A>DRosH!pK@3y zb}Ikcc1M6q%{-NpGv#8|ex5kF)MKl(mvQY<;SMssJU!yB%t<|mEB{}_9kkJ35w~GN zVnMcunjy19^t)YpJhFTf#PoSQYXt5-==)O;n6-sL)vI3O!Ggd1+oyizTdTey#aDy# zux?6Hvb@X7>k|q~=7im9IAm;BviVbvvYNJm{Jbqmes?35&*JE=5n3{T*5j+mLP0h; zR{x)Ci3wis(0XZQUMucon11k`lEA{Q$KR~4$-cL-ieox?apAmmoTv16G0apipR?}h z?5xI^$aNv*lXg#4Zu{)GW2KhFl}$~|#)_SdKlKFWp9o5bQ#cX$y7%Z?p{7mGANgIE zz3XbIlp>;VV^<4%^48`gRpuowlXJyInWOfqJCq*y6XbhUP&w?iam}}o=|<sR2~Ghy ze)S(6gz}#22K4oRdAPI7GIJH%>PHiH8SDzTeJ-FVp~{id|G@98{8|wf{=U^Ke{Ysn z-gw|ZnaDA-d&LL7GkO0=O5GA}+2Z>$%E4-X#`gJI(-li+?97?sbeK)vohNqp0kO1Y z8=^KET@3$e5^!<P#@lg*6aGJ&^!P=_98d1vo0rYlC$_&ScA8*upfhMyOVX?C=AFzf zITt>q>16!%pD6!KVEU$to|9$@%_7&PPYc=DAg%aIPIFB|r(s?7LZ@4of(?WBrFPF1 zuTgOSv%$S@f5w}{YU9&6i^bX+*QuFvpUU0i;C3?4B`Lu{<AT)Nww%13OjCBUJ00Kq zI8*jODi5R3h8Zeij@Js=f3930cw*!CKLS&FOd}6{a#*(cZP?2-Pm-9ITDnh6S)$_e zXM@iFa$&w{Mh%uerB}YXAH2GE$L@e5Jfb_x)3<S-SG+0x+wW-d(fV&Mo;~l7;x#+X zx4lzK<mBf|+{#Vb{2ATF=T7oGf6H^|I*XUdG3N^>liW`FY24tx_j<)~wd@mB_oQbR z#+_zg_c<V|{xa{~HcQK2&t`w*e|fBKiJH95RHx>aoA$<Y?B4sGa#Z~@Svh*+j0UUy z%2U6dykO(w!!Vnx`{qKeAEjTusQ={&vzb$3wAW=OLt}hvqIIK^+`Vi4-CTy@40F{N zgon2t2yp3LcV}Xf^B+gU$J4c3_nmd<*!PVs@?qeDb!YmSo*g~>HEZXIEa6S5FYm4v zS(DbsFjc{E&T@}?8Is9Uul_3k9z1a$!>XCjrt0tdU=%WKr-^Ok41sb(xydOC>0j3O z%e*}EV|iL;<FAcU^2MUM_j_M@Zp+-Zsrf|iTT4X^X7PZHhL<~;T>sv+m6^q1_UmfN z_tQH&FRtf^<_$IevHiWuH!d;n)*>O%hWp=7SDlkeTfBpF+3Wsx$xokEE4;rhn;f%% z>EzC3?*&CGcHhijdFOW}^Q3K?ccstpvPyaS@v`sZzT0YhFKpD?>V8V}q2Id)lABC! zr{42=c7Rc<)BE@bpH$AHT%9fx8?P$}-EKYHJTc<mg<DZu?g!UxF^_k@XYKSRQ-*!& zf58P!?ki1vjfExj9xi|AwB<~w_X3lH67#1253-;CwBqm2)BB|*j_vC?`uOQ}QIDw_ zGRl{2PFqZWe1==)dNt?fB;}&>w~sSj{4>RUa@aq6x&Q0Vx;&|G67u}anL8zJzCp;F z7c#wP<u6=3`Fx2?vp~!8r5=ihR!4mJY0}2}rf~1AiK%Q8xMwc1Vz_R`KWX7U)pPo8 zC1U@yS{m36O0I4c-@8}b^Sq3>g5A??)3>PXdHYqVW%X)}Sy!XAe%*I^6YL+DxJNJK z%Gs>{JC;1IT*-D!H)Y*S0k6--lIAm}d(PM>9c<OV`t;^h2gx^b2_C@-M&&ytXBNME zu<1@wgo$vdLhU4FIo<D84<8$zI4|sa?5h~Vs*4YNBVsmtFRSpjzE^(X?B)K(X+efE z;_Hqa3Oba!bLK`r*+O;&^UTcR2WRI_m^UXle*<g2Vb1l6_WV`ruFIatiR-rLG3NVL z#~ffOc4`e%$7%hrWah`aotksfI5Q`4nDJecdhD67AWL%fg%gq=BH7bsZD5-udoh6J z(qpEiG!YH9v^KjVZER-}uk8xA_dcAvS^QDb=|_p-hnfWEPFXEwY80^M=!1p{AO1c_ zzkQ`LGo{1$cJ%y}bz%uqJDN8vc~s=m#kSR@vPwIyi{;(C=|b0>U$<l|dp1e>xk;k8 zvhbO$(aAmYk1Z_ra&rr22zZ#$@VKP;)k9B_wl{A+Ifc~kTgZKL_pGC}hfee@sGYL5 zY$2a+?`GDOlisGwTGSfuJ{P^E^3hktlY+blJdQc|T9n=TCgN^vGxhezdvoW0?$t8N zd3{Pc?TBbzU*_!I1oM9(i4Pn$Xh`d?4P6;AIV^Ph^xqzq8i@&izC;DLHjA-cj%I2# zefiL>cOg&jtt+1;+f7V<mv#tmID9$lo3)6@V>#Z=WNXg_4&2<Y)$J;t>ix8-*Y{a7 zKTZEa+|*6h&()qM?cn^*rp;&(5*_m0a)#fdrs!9f&Tjmkn7q?-i`r`419SUI6(3BU z{-T@t^lIrhn_leu<{*;MDsN}jciZuc=HGpbF7!7SUwn|f_~-2fGp`+M4R{{AZt@#Z z6}_azE5sax72V_ukL+FQH+6QWx?thSt8$gwh3?+&x${~~;`&qPGT+E&*B$d5Im7w8 z(v_d~TNxNOG}~Sk)YbjDrbPDGCZFU!{u;IqF5Iqn*-kH6lvc`ixh29cow;J7o~atA z!>i=0-`IC*Dn4*J=)LQTtH$PpimZn`E2qZ=iA)t$v3>F`)|S=fy?A)o0Wtf19vNXw z2_MRYGyh!Z-S1hsJb%HfUk0yYUeDe9yQAje?spNpGA2y5_*EiapOeZg@ba&}f9*@g zi_5om%RRMH+)=UW(NVeir~f(kUEtH6-<vl>VbY_5Y8|d)&4-TPnb#&!et5T*m+LYC z_Z<7R)<zxYBM-8!QxIJIJNm)&c-=q+7Pj^!zhhz!W<B>*R?sr~eL+aJcg4%{o3;wO zL|epP#rvNqkL(R_TVHi$u7}p&UlU*EDb%Ly50#Bx;ZgH>?}q80ul@Ja>S|;Y56iD> zSKgtpT4%0$p3_vhg12gdQWqXSzq;||5?Q7*2DyJN80$`7@j2>rh<oXFi3J8pLU}V( ze=9E!&|&Rcl`H<|;MB)&l8-;_mSaxf);lx#f!@aS1nv;MDVK%cEInhKvFx-;x^tyo zp=$Sc(KwrPxd(3?<9T8$B(&~qiJF|HR{O-V)waca!K-IlWF1S5duGQxW8)w0Yjf(} z7P>SFS#LUYz1)iXPR`7bH7nwBZ{{9NWG$bTqZJmSAN_92vxrXP)tg=hzA|Zj`&;7N zi<<vzb;s<_eyiVZ%iE;**hqZOMtggmPYW*YDW4uGXL!!N<kgeRM~^Q3J-$lVf_csZ z*|Zk>4@Nr_qc{9`W7^!!a!d46iIVlATW+i6=Y5_1AR#?();7<?B;_?Z-wr*@wCCU} zd$ug|^^(mKa+uejFMoMn$o3_RsU$;6?}90185`2A_$AI(m(P4__;^l|we^l%!Q(TZ z-7Y!yHzLY#`f`S2_Y8jh(!5ydxGd$wv+I1<yiN$DJ^b&)V74>LxI>e<;?~ltQpdHu z+aCE^o!}CYE)o}AUaa2Xc|)sl<CM08b_{<t?Y{0acp{Oy)~VtD1Ch<oA8c5AsOU`S zI`O_`sXVGVQ||I}r>)+!Qe5<<>E=xWLVsWEdbEdkd(_)kzRUjqV~Mw2vSFnGPsg4( zj^k^O^(@MrTNiM%^cbtEh=8a9&odou_M=xE@@C%=aQF1PB^tG@y{k1?C-O(t8S@V> z>KncYJ)AWyL*FIsh4q9rTlw>NcDpj{@V4u}%Cq3_{Ig0&D;L*o-px}d!Ta*0N`P_w z`s2R*)iLc8a&L<Yt1Dkj`#t5L)B7`S2Xi0EtXEj*#d5UJs-@j(x8{SdnHNe;Hrs7r zKBD=+?38VxLg)7v=QMW9yES#i&McZZ;Yr3b-F-5yf!)hCD{qMUHC^yww!k`-wm9de zQjc1pee<;R^97!t-z>$%QB*N2;p?Fphm_);Ml8trr4YJ>`G%vVnVa$UnH|~khb&Io zT3t$8tf)UXk$;Edaq0e?Y29W8RVO*LE|uI<xipvkNE6f7XaC$aeoTyJO8jtJy+O!% zf~rLJ9<v!=BUy5u-xuJTB;zW~T<RdF{6<qNT{G!gw`FpC=qYPEX32)!`=aunKALJc zd;R8lnZsmN@XSB2p`mE?%s<mNbBnyGv{|sEBKJ$;g3!C7>g{*dpD8Wm4Xh9LD9cOv zSnYYm@m<5;&oR?q3U5v8nAl(NXuI<XMaAL;;*U=3o_zOGmOa0y+g96yGAY6@c{b=5 zK0a*KeNOp|RpF~O-_lMrTAO-%m=>$_2JP4z8|PEa)alxyc=AnH$_&Y!K~FB`@9Ybo zD6pI1$DUPRd{u3$jh??`G=H<VSTSwS!c#LdGE}a)q_A%I<I%*?#L&ONee+F)Q^pgd zPISlmFMhr7s_U$8o9+77Y+hpiv`=F}`GRGO<M_XNY;B%9=l^Nhf2$tu+CAya`P#Q_ z>$%t7XZj%gHS6omWq$1XQ&mkh|F$m;`RuVG@gd9aDno7S3ClEPwc8HZx~^1McC_Yh z*9(>f^7>sVR}b`WIWaXzvC8U5*o@fU@p(MIj2<|gH}=kP<y*sc>&%rq?F_ReBN-RV zE}!5m_lqO0Eq}fAwqGi#Hy<uzJ+x5IboSv#>`#k@XV=Q^^ZIeM;A85#na<T2S2sj$ zs?z$heM#`{8!X$y`+rDi#h;Hf&A6g8`NXs+L>3GTSXWt7qxmQCXie@4MUgpMm0& z=f07de|hes^j*Gt?Cr$OzJA`IzRWUL`u?SPA3gRdJ%04^c*%7O@gTRkyX<b--&C;M zta>f$z|oxO@Q&z-RS~}v<}bFm({@zz-u$UI)}858-^pA&X_Dkek43kZZC5s!`7wFk zuRkY@*@{_DxjtCwA>Y_`?1lpS5sz~$qF#4adt^-I-^HVH`LfWajV8_K%ck<)suA(g zx^i{%$L}v0PA*qBb@-J0Yqvw4;r-)gg?b`&A+b3Z5=?s6Prte8T2jH|zmxaZpV$(4 z*gSsuL%XH#W;f3K)32WN>;BA}Pb#gACjbAN^1{KKYx$%UHSIJVZ`sWAth~XNbIWEZ z)-{><`f2Qnx{<PL(Tr-X&0n|nJW%vG7_;T7?{i0|=h=A|vUpicR3G-pr~kLybC$K> z^P_{^g`#Vl_zDECpD;b>v3+jcZP7FZU&i~(tgNRkZRAfrDs$q}gXufd`j>>r@RTlM z)L(o`!fR#2@tDN^PbL?V*BG#8a{XB2%NaN`!14b_2J>jnnHuk$l6aPc9LQd`(Y;dY zg<+Tk`_8FO9SgW?w?7N}z2)($>^rBYE=ca_R@<^^O6BpS`TyR|uwd+v&Yy6pVB*JG z=QP<}>(?x5GvvG*=IGDlR?{@CRN%{T`I{H^2Tjqvy}*3!j3Xvjd6%tvE|p!vyZrlJ ztz`3no$IQuZE%`7WpDK1dQl~b1^0I<cDTN0@Cm)8#-ZiquBUZp-|zmqcWS<2rR=A6 znr6P*-EhXHb+_U2AMd_qt;z9K-4bzOe}PwGHM_e-@c${BY;G6&zFnc>(LMX;Pv<Lt zPrh#9oh!L_?fsLQ9rM(SL!P@$dRp&w`{`m9Jzb}L-@@<C**P)o`Tt*`3x1csO>-_b ze<A(5hx_L)N0<6@!V}{)4vDR5wh^i6SP(Sr`l1@khP~g#za*~d`eIbh@xbB9@&qlW z3tu~Aw^{Qo=ML+6FD=gQW}#b>-t%$dhjpKxU$MW&`dqt~@9X_vj3yf{y}kK+|4fsK z@~@)JWuGo|uSeayay^L4jrTMI0~n)hUMWJ_0R!4_v*7PHMh4ypws{48TzWbJ0vF1e z``^}Ey$<+ed&Tr|eOO&1fBR?2I5{<!4>mE6=NVRh7j)E^9ea|2(NR}bPhGDlE~~cl z>(Z;!L*lzW3(c7x6kqjO=#}?!{hgI3zbw5p-6KBhv(W76*Y=qFn)JduD~_v{^UG2b z{n~v`eg-}Fo}-_;Z^=)gS<}z$neube%;^U4yJ}8;T56;py3gh3r6=B#^j-J4JX1X} z{n#FrXG;zAZTCI-5%k!*M_+f}k{>~jygT$|_oe&@dg$Gv&%00MhtQ1a`}RC}x>QfU zurl+sccVVzzAxV=-S@85&#dfxzjW{PO?z6NF4fk5xp&HUp?lt8ajQNFO`ZNOZq}zs zcfCvXJu5rkE!EI>tjv6;x^w!xJtE&HO`iTBuB!TE?$Y~HYr<c}W}f%jqx~{6vt0G= z)K6<#(wE+u`fg21y6T;&dEuL4SC*;Xnwl0qDR$*KuZ`LV*SVxGy*~BXnkTy^&6ye% zo)nu|s(N+mqctq)ORr45x29#+rI%iLVMehlOH?mSy|v~@+EP>P-gPRwCe51a6wVZ@ zdDd&bcICP&JB6Nm&DPFb*OIo>SUYuHN}B4Kse0j?Vpg8<nyMYR&SdALCtee^Cq{A> zEj85cjMO~i)vs;2?#d3K$6npqn(L<Q5PIa*t}VGPWQWj0uV!t|bu4L1^|dP_SDyBY z3A?o^=z&**_TRNnwg=t!iVAxaoteK>M>{d{<teW!?Wb#7wojTmb=4Y|)TO(pE?bkb zJ?O4iP}rhq%~M_hVY8w=^OmY>A6@$-MRmv2dtpVt55DKGslV{M+1CES-^O|JZ~i%a zXRoYlc+S4le#d8KYx@I#55DEksSo(gd`te!KZkGZrF8<onQzEn_<8U(|Fb^_zOon9 zUHHX(O@7Tkhp+5~bqvqhSK3#6X1*dn=ih;|{66&oznCw{Z~4hMTYk;YgD?19>K8m? zUue(p*KwA7&A$Vm+2`6n_;c_Xze#<=Gxqel2|t;Q?GOAp_>^C#KHwSqRQm;g4nE;m zsTcUkY-Hc?=im(aj-QS*<Zb>P_{iRCpYZ44BYu(k2|t*R$jkgY@PWP6Ug6Kd2mCDc z4A0o(>mEF1kF7iKls±0N;o`9FUTyl1bqzwn89pZuG@3a9xu{CRMS|4ZG3@63DT zBYrwgl@IuN@Gk$dKL_5im)cMG#B3!VpQzb&FC{ZGcj4L>horQ$ssx_02h<sSXWl7q z^V9JT|C~Pz@7VM03vM5L&sXE$bl34c-?ujn<?K~5Z{9hSvsaciq_gi-fBVt)WjT9! zNO=B(RQ58N2k#z~v2U|pahLHeUs}1s?q)0V6)AfhXWc#3ap>-Wle~|f=;Rkv2EFy% zwnP1<<;!!tT>giOgXT>x@$a(rJny;3JY$dJbZMP=Q)W%s-F#!R7pu8{R8EaZa+Q#z zr}^Z$>Xq}pa8?Dq@XT`KWdFJ6#5sjBwsljd_08l-Td!g%=(}i=S2|lxnuB%ot=p5I zq<tvA6f;Hg$2H%XHY>U2#l<U3mn@lBeCaOFfghrBYI<hp5(GX67hOKhlW_6Of?~FL zL8}5*?q0lk_NE2pY{mK?YBnz`V=G*}W8M0FYmGZLG2f8AaP#16zGrU^l(H9<UHHXx zTXw^{gi`iZ)*YLfEv!B69-J+^V4p)7`*Qsqdk>#x=$dt3a>v;RZ&|CK#5FuOFxjx) zkUg&~VOR4d*$M9!oaMXmCgCifTe-q6W>ag9yNoaRF1>kB%s$Wh#T~}yd}iefb~2k- zTWoGVBRk_J<1;?J@`RnuM%F9tFh1o|D_7Xrd_s1@O~xmD$KE)c;XCx^K_Ppeb;cdW z$9!Vt3wAUgkyUv2pn$#2TH_AmLq4{0g&oWW);~5e>s!Cr#H?q1V^i}1S%!BH^4aUG zU)*k-Ci~%SLOy$BSwkv&c-eyO&3j}YyghJ=Z`GTIQ+)5r3{u(4tXJG_oFaSSt->k3 z1#c4a*o&+yHZ|{%wYbT6hwon5gR<uP(m(Dk_|8_Hcc7Zt*8Ila#&^7*?l7F^Ey^!= z&Q_M!P~Ci6`o_Hl-`KX8&)D1ehWFJSg>P&d%oh|lUza|!v+*_WlRFE(vaK<X*xUGu z_t71ObG$+MAD*$TFt@1fe$x^rAuyFKFE630`I7X6dkfC;-nf%+me(y`p^DkmoMSKJ z3*Jk29(-n-XZ~Uj<8xlK`~{WFCgv8!&1a-%>|}h#tCycp*=%IKVh`g}UbTFM%H|W& z6LvB_;XQW8;SBGgI}bjx^_gevVSLOhmcO8)`G~Z_y$2uI+RQcfFh1mE%U7siHZcED z#H?@rqKH|~{6<mp0cnPN58kuYnZMZGI8FM)-GujSk$DYI*~0S{lsE5@esK4|Dc)6g z8cy-P%QJY&R%X6pcjFZ43wISx@h-TN@Q$s>yrQUihqT2`#yh<C@*b33dhfYU{p-9f z&sFYC{xWAv^`v*6m41hcgWh?T`)w)~dgr-a{pP%oYN5BDo7GRw3wgfe#^eP5Ma7q1 zdlvf@*?OMyT&uou-j=FKuRII=nu>#7dFJ~$*}nXuVy-@OUPzVDOV3=tq~c4nCcF6y z*?OM!T&UhSZ_2YJ=O^3vzq0B4yyWa;6Mv`TOEV`M`5P4rJ@cHd9yu@M*^*O}HT-AU zcz#-PV)BVOER~mLOg=J4<k^zrlV$v~Y&t(KIXYRy-^+&c<C4RZdHl6(IzKEqIGM$t zsrb_L$$REpd8(qPo@=T3LFK^Ye}12=SH4%#RsT45OL@?=$s6XBJXP5{`IFxv>yxKE zL;V&NUApTT?6=8!<vSHk^^0>wo~mf5pPj2xerfXLM}9>`LU%m#)pwqKP|mi`_{H3V z^CUl<b2!gamcGEc*~a*Qaq}I?J2M;SN<KLEpp0#s@rt>OZ+X(v6|9@Bj63EszTtUs z=0Pc2aoPhbW=rFYxs0!Q!qOL5HCq@r7&l*$+%uE$6^~!~gEY2f#u{@OU-H~K({PsO z+L?qBwnfGP#?2Qb8_p@5<*`eDVA*_5vfx}oG21L-2jgaA;}dflXG$iVdr-tS%~->@ z`IKb9xr8FNNyZ&>7-vd4oJ%;vbL5P|86LUx2Nuo8Bn{3b6tZ<0d(2^c#KV`KV9|U? zQs7)d0b7$XgK@LI@du-3J>v&P&AP@Ma~L1+{7ZXa-n>sTV<zK$o^NRrQrRNX3R2ms zj8DvFyvMWV%z{%qE6zN~V+&48FmK)^=`oY>F3+Mf45xUWr74&<s~dOBZk#N6;Ov83 zwmkhGwT<t&tKv62XWgTJ;d9en@fZ6P&U1g*qwt-zJTBom>kj=LwT*ANv*I0oH{BFJ zv2VdS?xc8z-%M8e6}1QFi0|0vaE?1F{=l!MtKuv6DV*beut(u5YkpkAucpi5J1QGr za(l%){Ax1OPx#zqsxML7_=5Y&9)&Ng3-mocH=P%+*tg)b!Iq8dg)=m^o$y-#s%ipU zQY5!Ed}f`kf1!qPmiU}X$7kGU_Aq>Aovy#3#&M>2$i9Y8tdsR8)HpujKCy@46Kisu z!ZX&yxDP*=j*FY@Yxv08tshY1_=sB|zTgMbVR4at4IfyW^#y7eXNcESHcl5Wsbrik zo>S@gfSV!y!*{0r;$QYUoaX)!x8Zw}j{bp9P1^bwsvYlfe~3$X${HHy@RYS&f5s=K z-QrjFI-KHu5hw7JH6YI5JJU{an@Yz!+;jFYykpJRFNi+)o~tJO!tN$p?FX@q^F-gQ zb0}x63~NYd-Ko7}Gn2LUf!Kp@xpKk-b~D`)J+scCjI}gOU^mkZ(F>6WUvoWMbD)&9 zDD1*6rfZ^W);W~27KSmTv#!*x*vxcAbk4d1XSsaB19mZ865SHXI9qg0<iQtQF5wH( zSQlzD#5&Frtyy=Vn02o9gP4QQxJ<$u(pb~OChTM~);<t(@F|y0ct9HKRP6;Z2cK}M zgbVCsGSY5{IXFYKBhqn(sLi?qg{-~W2{8vBafyUa*uiu}RA$|Q0@hY-g_wg6xLCp& z(pcle9;C9yh8;*{jSdso!E`|M&)Ng|thL$~HZkoJeX~~KG}neT4^DA?37fE;X^&_` zq~lc4fXIV)xt85&eda2;>_8rCsrH0TOuIx~A{nQMo>}XV$Lb#@u$@U=yCM4EZLT|h zAF7$|3xAoHaGtZmf5CH>-Rc)?9p?!@uylOK`EJgEbDRbK1=UQqg|EzO_{OqXeS)py zT;U`07{0NT_<g8qvQ#gyZJZ;#WnRNqmeuM3wvKaz4_G?B;=DJ9;Va8>^@3ui%feIU zHGE;o^*iv4CEL%Sis_>83QNZqoDTjIp0UhV|6t?zoYTU8LnYH$;RTilX9}mxJ8*{6 zz`vl9>9p_^OUI|28vX{AOeck1<~5w*RPZ-=#**YG@QkHjy}-utF{gz8h6<*m!YcC^ zKC-l{8`wBL<mB)-s9-uM++cZdx^RW1<8<Ky%Y)N|S>`c(V5wLCVC{IHbIY8D_bk=w zCyJPK)OQpy?G=79m*G82m|wtCmJq*!@+M974c3l#IhV|7c*hdx$MBS;Sbc)^!O6l$ z<{mi7`M~c(8Pj&bKYa(vS@tU5FlM?Z_(JmFJB||f3F#~qZWFAVtd%zyGu;uq(|4eZ zWvlW6*@JI5QrrX5SvD#+$TH3q+|!qEjw8lhA)RHda)Ru^R~#Yk0_iLTZWFAU%*z*+ zmd<@~NJxu|p@X56Ww~;JG1Fy1A4$iT93Ji$(pYlbHl(pERu+(LoF&-O$56tOY1d%g zbY8HcZ$UB39OWA_jn6nP^gKAjVdS2W#xg^BhfL#Bj#E7gidd#7&yZ<+!lC5ukj64W zS;CmfP?^KH>A0Xp--1Gx9_0v`#z!1N?hO`AhXp1278J0wC`-sRKHxae^WZeco}LA# zId=3UoaSJ1XXtqF{;66+I!j%a+fAPX<xHzK2d}7bykO3xD}2J`fH~t`!8eL0j2I2p zDIXBhwq`tdaNn`I0>K!`#(Nx}+#1Z8w3SyFF=;99kUls?@J8=~JeD9g2lFNk<p!gs zoq{KNADrZP<n|zir9inty74x9o&AH~jraK9{A75~{-q}2JM%926Q3Dv<WKxPFqi+v zPlk8wdG-mv8*lMns7v_9yh(n==f)fS2kIKmvB%jPJZD}fKjCxZHU15C31694$#;Ba zw2=4sdtf&Ig1QG^nDgusel=d=pHR2pEc=a`gtP2!_6olkP31ZMGQ42FRP*37^E~+% ze;A&#o7pe;$!H>P@wxE~|BRmu&)D_s6Mi-t$*=gs@RVK6Ug2ls3H}K`8J@5ot8qBP zeyHZbN9I2Hj6V#I*~RP^{AfJFuTb~k19O|a#vg`<>}>W5KNt<<e|%!pmw)kzQBVHH zr^W;P40R9QGuO$#_}ws#|3huUd*(>HhNsNob_>2Y?%{t>d*BrNs+xvV?C<Oho-&uo zulU_Ch5tgW!YTFzH3{#Si{vXlHSXZI_{ngG{hr-}ZH@PNf8;GFXRbCou$$3V`o`Uc zcWj?>7|yd5nHQupmzg!}ZoJKVBX2<&^A_nDcN^ZYy~<H2W8NUWU~}Vj-ZM8FUb8*P zSy0NnMmpkd!z;E&ISl96g3LdpF|UxexZ5zBcShcV66Ph+8Jii+q$4&rUgYh_b2!W9 zWX`aw(M0;kordRZR^|tGHlF3p$YVImb}mPum^sa?VQ1rM-iW*fXV|pN9d<UJ<n_o? zC}K`AW7yefC@rwL(LlQ5PQzn1Df0t68jtd7<S7&~cSu{@X?V!SW$v(}@gOfp9>W>7 zeK`kCv+c@hIL)>#N1=eZLHft-hWl*4%oe0F*GS*E?J$iu;pTy<yf1PU@|i27H*9Lu zl3uW>aW}8e&4#;dugnxunM<TQHZf{Q&$!)ihizVtLLRf98N>F*?Yui;AAD!pC;npZ zfqC2?;vCMimgz63ZnP0UP~3Qj`_9gWx!e!p9(-fkCca`X!&}xgeTC{qEAfuK3~yLp z#60-QRIK-)iqTR$V=u#N)-e4ARgD(n4aJRDxcBU2c*W|c|KJ(ZGI5Q)3@=%4#Wb8{ zy%v-3g=vv^Kyl**?uIypv#fUd4=NkaaTmlTd}f*@?oixlEPi57!%XgkxCft@rip75 zH=g1Sh)ejyG)cT;55r7uhq#0@tVd!L&aleqKd5Lt#%&On@R6xY++z>JBUV2Bgo?&P z+yZe4ADEiN8HyYA#Xl4^>WM!nYSb0y*u(IE^`G8@^2UAK89N#7vwqW?@RTV+uiz<D zmH3I>4EI>q#4I?)x+3PmJEma0g!0B++#Wj_?y@e5VK~M5Oi!V_QC+-acf(}v1F;X@ zGUfgMz3=|->UrP4{(1MhZr<_FJL{jnwRv}c-`neUZ_n?0bN%Oy_FAj|zl!gDeOmK+ z^S@WC>*ln7Uitr7;Qudo_q?2L_i}rs+5Ttl@n5diylAeQ^}pu)`<iq2YtFiVPPhAf z*5+CKzNgcFo?8Cr<n%u$)IU$Ke|EHHMt#lk{F-C&HAnqxj@Z{6)~`7vUvrTCbNs#2 z<&}E-pEApT_<!&H@3_;S|K3Zl)Tw{^)$aYrd#A!Hwd%hI-+T8u?$qVlDea$%^}qYu zy_;)uXaC;YEOqJ+tQ+rfzM0AJp5=>ALOIhe;S<J;Ho_<79+=B{V<y8pmOS+Y>&9E0 z7yJ^+m^KNoFmAlTdBCsX97~+KK|0eq;R(i#*El!$C6qF)67Dc&v=H`~dtf%_0>1|( zOnGVvR*jc9C-^Nm%W}gf;Vg@rx`Gv>sW8V}h8HZCd>#}t%@ckxhv7Mknfd}tMiXHR z<Hj?bGiEY8W6@JjuxvCEUNMK^DT|uAf@R|g&IvOap0FJAaX7<r$mc;JQ=f3g9EQg% zV(JSl8jo-)_&q3KY7^F&!|;%WO<lo)(Lnfz5u?8F3nNB7;TuMc2RIr09^^CC3BQ=# zFpcwrZ$ds(q*_BNQ@Gj!^Ts`#4}1@tVp-+WaEj%fnn5a4ned9)4O2KT_$r)YS>Th9 z$5bR-Vbr*T(_$vW9hQ4)556_rXa8Zh;5%cr+<|Jb>Eeu*%wKF0zBBIPKk=EthW|wE zfw}BADjD7}=gBAhZn(vM!7kw&<0k$UpBrwlAFyjU#~deb@SJfS|AfyC*Vs4MC46OE z#ozJy#&ymu25Sw)q9QUhwryY&xvQaN<)o!#z;KQ^NdCh!#ufY)wGFe`XV@+H!nlM# z<1>R9f5hj8i|ieC4riI2<QaZ7nDGCoX?V_TC4b;&!&&wWJBG8&=WG-{Gp5NUA2dy7 zc*d+JpYXH6h<`;5!&7E8d4-=1C)g)cGCW~EmSfhoNNWu@uaC~^6)!T*WFPI+TeEP{ zB7?PtCQPoZdwg2vI(TnXdczVpQE<|XiB9f8##WaedGso`N^%yd95q!A?Mv~rnDp3V zrt;CgDON&rCtA2$84JytXmY%xPb6LC>clYjB3aLK9&1zFvW$gZdMs6**>@#%iMjGZ zxxOjsDt9Ly=rOUL^u{C4ZI$t*2Ob4(R<bJ#RBlbY)N>?t$?1u9?vrF*7OJ?}D0B9u zSX`Pu@m<fB)FrnjCb++nIeE@whw{z7CzhAqdaO}?+UH^!G;^YmvU``&rK$Z%_OJeW zoOfR%|FG}L_lfV^H_6|vOL@N7Mt<tg72g)$o%qjg)8CHrC42id?43RbzIHEin`9(( z*P~YXXfKP!r8^!4%At~-{HHvk?5fV5mF7I{(I|gc((}FYt%;jzwme^KDL?V&iz{E0 zuk?r58-2d`%)P%?zVm0t2j!E8`vvU1{$zYxe6(M}zUYs}8TV%SzqKaMluz_4*=zkd zQL3`D-^rfo?~BjM=liYfAN}$8e8zpI{KcOq-Y-7guVcUJkH$IomCCk~ou@p?m3<{o zzFT~te^<?yr^*KMiFGEwF3#$|Rpat2@TGg6UC`%?v-@4_qyBP~si@0em3;Yj@%{b} zHBU}|pZLJNR=)CQ$7%Oy2K%5t9(l@F%;gh*zBuV#F8{DrWc$Q7ZUtstp981$2AN;F zyP|yY-TpN-E~(09(mU%|Qk89`Kh~9`F1D3kS!eS7;*?%L^FyB}-gYap>)Ld2ZvQ8{ zOSfNqS5}w4nrD)}_(pGzdDY#HbMDpB2lJk!Exyy6_RwtC-4ovzYe}!I3)wFC+PzHr zVO~o5;%oi)%og4CC{Z?*o_g~{@#1s67jjC{7N6-olhd+u;#0Tj(wTWCy9A%RWtuVF z)hJnfsn^H+*By_d#V31}%op9^C{i|*&ds}$w%Aa5?ahueZd0Y7-s~t@bi4C{jY!4B zIo;1<T+)@!cABX_nzQ1w()I3lF<+_zU%RdmuiUxfy^?`AXIzO#Mc_l%HsQ)yJ2^ft zI^B6IrzCapuHH{-Nz7G&^Sa;oY)M;m@lN-vm?>2k-?+>dUOLmGWYL9N-8uTZ_HvXf z@9DhaQ}S%l&h95>MJl&+Ulj%3buB9w58S!plxvt?({7Jbu2tf(J2_6fM(W+#-NFBU zk&lh|%eXDo6X$jR)BCjh#c9_m;=XYzm4Z{c7sa@251i5cNzZGK$HzrSyOs2__MG^* z=y>;`n3jr*GrAS@m5K!)yDXPh%$u@f;v=^<X~R4b^NF)Neblw?t|(l5yjRRzYmUY_ zmwxG+zEh58oN|jZdo`P*T<LD-GoL3`6X*2aF{`@Gk*BOKyf?3;{9?Y~dpCbIr@I+> z${NBef5ly?zBsRUQI5;@iTB*<q-FhB(idInyqBY5KJlqbs@keO9bXoiiErGQan^OQ zaG>9l9TTT^yXXfM2flEfDtyuKkWuBuncbHpN^f?Yc8fOSn!~Z}lv{|N*4`6el+4BF z#;NQMoYv`}erV2#GcGg57sh=_Q`VIJo6BNx@u_nI|6g6ZC*LPLcJA%s=k>c{K5<$* zm%LHUiw_I;wEvJxlKEA;;?u$t?KbkaK3$mIerJl`l&6Y^+OOG|{0f-fZYMvfCganh z^W8S`n`&NsT6nJALjKmAj`s`ic0Q8Z^+{lM`z;%l-xHoW&){EJ>2cnr!S9sKlHURI zIyvMoHGQ8jz1>ItRE@`1#e3~XY($<dbicSTe0%2uwOzA43Ktz|&yinL`{LWetL;(p zk3I#wa86d+Wh6N5NV|u;RV_!UQjjVCS-TMHiE@uz+Jz<kzL-s%ZpDApj^($&+)gg} zL!Sd?wFk*h`gCDV=Qp)Q)g50K8uL%A)Hvrnh5uk-?F;XhPMKm^n+0Y!KeKUpy1;^c zqg+V!gtv}me2a=Nymrc#YpOnR(z%e|w$kI>!krrY7j;Y07Vd2RB6H;S?g^7ypYV0r zdVF1QwS9?>$gT;qT3y7YYBNqbmvevA+mgQU-u?D_VqKee3A}b%%Y8EPMCn2+?vr{Z zy9M4lt>+Ha3rSNv-Kr;Uv^n6J(`4?2ksig0=UT1AnPOjjE>pbI`sRt=l=OwSTFdT= zeX2R}ZlRSfH?N+`4uKi14>I^?*?N>I9%}s|W)yqktkV?kM7=FLC%kp45WBQF;GR>N z;|l)JnZhmU3%9r4;rnH~;+#`_f_PQYgm;dWe79mX-YMK~e!#L*P9=SzCby&IiqiE# z9o+&l{(hHQOAcE^$+0|JpwFIax#GQoIs0GPEl(Bn*j43JBwAWOE;y%G7tZ&oNZ_Gk zE4!qUoC!z8ghxze{D-VH@)`GW|FC_L%ebqE{j4m@(*+v-d`;FT-YTebzu?^zePCYm zGMSQcfvL@_UdXz9XE0<+VQ;Z)n8|Kqci<yqFMmSKfk(_D@)LeA9ATHSJMe+Am0zLe zzyoF$d4^|<@p2EIGRDdsc*+<pC-8&e0Q(=?1Njd38FZO{$Zh!Epu>OQQ-e1Dg=&X; z%pc?uo-&5YIiw!A%e>6yz&plL{t2HLcCouuGE8AVW9#sa(O*u${J=>@1O9@a3_IBO z*dEATa(`lt`zzVZ^B#MYUrJ_vXGnU|a4KS>^ogPcryC8}jf?|kH9lY~G77lku$6f) zuS%-IZiZUslYb}w_MaDf?_Pb)|DUoaau@7k7LhxUzrgYuL&p}TD?CqrvoA?saK&sh zGb5i%N1DRfMl<$DGAoJ|&NtezpOWDyS#Y883QvgT1^FiqDQsObFA5b-HA=Bhsxi8- z<gr5x+bg39(;N5ku%sy*Y-D5Sl37upaJc>hPm4vsBZn?#UA`j~78j=MZrsG<lB%H1 zoG7{Clzr~MM3uFFmw%NHz7TxnO1&53_L84U9zXmS%&gb@Z@Kv7Pyh5e^_K!Zn*3q^ zC~y9M$G$_?X72raoG<T9;-mSG?NeU7TDIrt-EXfJZE90|32F@3C_n8hNmsE}e%M!H zJ?X8-R^^L*;Ko6zTbC?nnTnP2-aeCbmFp9q^jxtLdhM}Vc_pZgVWGUV?@OA>m5F}t zMaGjrO^k_sSJG6>l-EjTmZ)5uc%?_gO6Y}0rrR%>lf_HUO|)>oCF5DFVxl}(QnOga zSUIt;#B$P8k5so+GMz<BPEJ&D?~>^}<1s<GSJLy0N1|Jm%*nzf$0ka+N6B~=E;%w$ zz}-sbWWka{6FJ<aWIPL043ujnPoDOOb$euVY1%|4cP5#a`6~M-{&KryBy``SS~*pc z^R!2}+a+nue3d;D*Yt#>s%R<S?KMeN**)<Uq}dVZ)?{?)jz^KQt)yn2in{W_-Y;g8 zZoBW7|5<nC_r-br750}tUwr4jOaAFkj`Qw2<?sGHan5}Qs7doX@U8n6`LlH@zXRv? zC)qds?KtPYUjFRQ6JM1r<+s)y`E~J?`zraPKRM31uaw{WljG~+%l&t1Qb6s8CGuN; zcARz3wv+nn@kQBGzO|0!SKzFEJNr+6R(w`I*KcNj=?}+Q_jJ2ce@>inpCKPxm+~`k zX1|vGqCXj*lu!06*(-e(eBz#LC-gaRM!%eW(VrC`m5=p{*$4g6_^5oOpU>Xt&x#Mq zhx*y<h5m4yagVqA^eJ$9|Bjk1PZuBPXR!bE`^5Xj`}()k9Ql6no_nO-p-&g5_J6TE z^_%0pvbKEa&yG{><?_BiPrO^atA9z2%lE)3{R?VLzE8a4?r+!i`@~820{OkQPqtlr z@3v3+Yu=W0<$Jwfa<=TA_|C1;?9k@GcW&ion>Gu+bK5R`GcRPf;9IxN(kJsmKn<1z z^F^C4zIH1%E4u4(&TXyq#=I@NCcbhjG;7)%_{uHc%<1ln5@mDgnRy|*1Yf%4nk8+% zIIGvqT<EUHS+|AKjd@ej7N766F@JTZqj>SzUK4Yt%@=3(8krky7JTM5T{<!^ByI7j zUJdhEptj42-V-@2J1@@YJ(44mw)l9jjCt0bj>5%9dqvE>?r;<?KHSS=u63uQVDZ6T z7IUV}7pM2`$+?oMtS6m&Q=>rnK<_`ZPq$a(E9**s%-ym*a9Zz%oRU=Ky}h5z4&6R+ z$}QAv(WZ-c-Ga?F-CmKWtSNmlS0q(gL;7s4%Jz$sdmot<Z4$iWmM^_C_Dl7|_pW=z z-|p=Au4F6zHf~9E;Joe+F;l89&h35^(^7r$t?M@Nt8p&X7vH#U62H1r<D1fr?iVp% zsxHpyj?te~3~ooQjayO`IH&sosChKIJ3!xR?}@Xn%fzR~xl{$t?!FPT<=LW(uvWqX z@ufRod{#Q&ZKeNcPsV4Zv)vbBN}eq`(|snUrSjra*J<KYcdq!PbgElTf6^X}PfAAO zj&UZ>lnljNcfR<jbi7+iKWR_KN2R0PLi$d7UVKnG+|8x0v?t?((!uTnF<+i4>5G@{ z>^SWjt;e;8<HMr;-GB66?e;kBS|k28cFI#F9r4(m9`6_J>HeU%sVH!2_llU3@{3ct z-{`FZHPTANU3YSvaxE4=8T;kQq8;6HVpz&A-geCs{_FR|I`F+qwc4Y(9_L+l3%~T6 zlCESU{M4@`UCCPbp<jvh#J4V6g)jP<SWkT8QmWQ9m!nL{N_elINxIVY&L=)stOQ@X ztQKDBCz7sYA-vS@OPbP^PCxY`<B2a_mI_bwyOO44CcJiLMv2nJ&MQ75R)Q~FGSz;~ zIZ?dmT&IQltvMdWN+!Z{XKEBH84D-+l~_XBVsko*7M<)=QSX}5amHnWaPLfyGcJj0 zRdY@hE;`mJp&m5{)O6_-P`8?MqF~XXP7ZacIUWT{2Ew&7Pn>p%ReNM~aat#nI@6pN z`AYjcf2mzE61?wHEu1=&<Fre-+NIeV`AT~_*Z72_DrpJd^)*RV+THm|ZIY4TU6(+$ zCZmgYT#AHkXKLgrsS6+U{bDxpw)1}epLSP%UzpckA%E%fg?G-o_@7pCoOj;If4B0) zIp-bxckNPs2fTIO!hhCI<#)i`_9XeH+KzM1>-o=Cp7^S0$-mX^$gc~poLBK5t>if8 zypn%!CCAr=m)q~yr2Gna>AZx0Yh}k-=WIEtT8}S^ru?mTEWZL~wcE*ms#)<_@m#x^ z{G}R>v(D*qr)o}|ah|~+YnSpfU}n3P{GytSPl_komE@H^3p{a7mJ|9MFr!^gzNlu! zN5y09V)8*X8XpypwDZXu)vWlSc&MFCUZ{rSjB~u)r%wUX+jrP(dAjgGJA?eM>J#r5 z?rYy-bL9Jld(M$^hdy1H+WtlER5izYMQ#4j%8pac<@~;tC*CdG)xN~W<$J)C_60U3 z-zVI0_Lu7dHQ);P_u4+$cHzC#KJKr2ThbNpwSLjrvU|cir%JIyn*-iCm5XiKEbz{0 zJNHe!5K!}MGxtfoko1K&S`)+<ZNBi@saUKi*5jPhTJDW{TXs!&<y0uvv^n6FQ@)r} z?28gbbMBdXA-e=#I^~KbZN4z8)lFO|*5j<xLheSrDQOGOx7vumis>j`c(&C<+-dWL znXN|RMw<nmIZfw|)C);lc&b%Hd{&G{(ZUn0Cv;eLUYOB(L`NiT;qg`(@vNAR!i7g$ zMZ~>gI0_dYZsifzis>j=c(9d4oN4og>8*QouB0mJapy*A6eu2O{U`P*dPTmXF84>> zE!zX8wQkTUNmbn2`bq3i^odhWp<;_RUAXHMEVe0nMV_K2_eEWiR7DN$v$`tVFHCNI zBv!OZ;Eq#1_fFX_)f3)3?qz>#+3{V$mi?{VlInnY%^zf@R9~3e{6?mw`odesZR}U& zT&gd;aoogy)l%b|!j0w^GGD4L%xR9{pHv+1+Hnp0TDc`v0dtxk$V{oaFuOT`-^%vH zS;uAUQ{`N$0%kYgklFHV!Nq15{-EN37mf?qms-B~tZ=^BivN*K#%G1I%@<@!o-H`j zd`70F^1@TcY3x%iSA0@9)vU%p$wuRof)Tr;oXIl<L-tn77atXlH%sv+*<^fFINB`4 z?_~4hgTmovE`BAOj1LM2n-9o*d8(k#UTWEK+A*4s%ZB5_g8j{Z_+D9ioOY~Xe=9rX zse%rBtfj~M1$&x5@NFsznA*HTrlkDBl;$^ltE@dvIhL@yT5_CnEM`9``{l`k9nEuO zSjsQlcFg1c5!>*dsY-l9I^!Ph3!5A6vcAw$IM4J!N1>dtTr44-aR>K~*oL=ES>g`6 z8*Z|m&|7ehDM_4RH-i;-MeKn&tUL4^&M`%aAK2A!m34)l!a1e~ItrzX`C<*b8ZNW$ zh-`St<R$K~tHF#rVRM5iw?u5i3#KbN3MGsSxIH#EoM)}jTTsk6hx<lM!!xD}IuFh; z8Hp#PG0x!L5!3LL>6FfbBE~7)Gh!N^Fe!;Uq%lt5me|Z-$j!02;W(>>-hx8L9`1;k zhDS_7;te|*4zo(=Ehu1Y;g*PLc))Z(=fP>FJvs|cGwskxIL*W)&ak6lKkE<O1^J9o zVh6T2>}5@fWSGVp6WMT&>62K)b_Q+k6`L5exOYS!n8JEPcR?OwkeI{v1`Y0pO$|F) zPv|~4$@EC<K?-95cSUr=ZN@tO2h|PtnBQ13yl4C(lklBk7yF4~1{?Mhwg=`i->_tO z$C$^TP~C8g`GQ=+H-=5@D~cO#FdvX>IL8>rZ}6O99s7jhhHK0l<PyFztYYsdX0TxQ zustxFd4b%6FARBn2~`c3m?y|BILmlLCgCij8^1yogDE?QEyD}OOEM2WGt6UuVZ-p8 z(TsmVC4&jOMRCI!<{6d@&lvUi6Dk{w*jLyvJY`hlSEy__!92l|;R)k08HY2Bhh!dn zWawkhuwi)2D8|2_qTvX$g4}}-3~lThHVh9L+4vPI7!25d6fx+tzbInRW4}?<aDbUX z?!kM8I`$XV4bzxE$R@mJh~#T{$`H=CpuAxZ^8?ufrx;hsG@N35$7k@Ap^SZnb;A_q z3$hBQ7#GMSykjV0uPAER!E9m4aEI|8-vhG??;Z9rf92bfu5hpM3(pqo3GW;#*$x>8 zymKgL+hi>8&S5+AO}-FofwvBunNRYCq%XM9n83cs_`++4Vzwe#k8=)dnK$xnv6}G8 zp^&Y~IN+5-KAV&5ixLHM=9zpURst^_a@mrMFU)FmV;7S3IP0*Gxsh*5+Jf_qHtert zI*J#ZZ8TwbGQKdg(TLs1Sm2q%bmmCDkhBG-8a3Ew$#@hkIMH~5hsE;3jK(88B54bb zH_EVQ$#fJhINB(}?j^%fxZrRj54)C3N5O)FjV$a;#uuhH?%}zTs-VZ5E2&YSaG>!Y z+b8K2`3kzsA9=T!2TW_+z*CZ{u($CO+ac)_ryN4r78zZ*>k!PgNqR+|f+q7tUXfG< z4d%1FD&`j^H$Gx3G7`Askk7pH@8{qB@9p>gfAh2byS(lHH~$v@_Mcb(;m_pX=jYbH z`P2OS{9F5N|F8UW{(b(9{igp{eyV?yzfu3<&*xv~=hVmipZMATwf&m^YyK_%<v*wX z!Jo;$&d;t7_;305_*wg9|EK(O{^dWr{>Gop&*opOcljUq+5d(8g8xf?KL0F#zTWEp z!$0Yt<<Hh%_*49B{+aqSf0}=ue`-JN|CFE0Kgpk}SNlKlkNPKhqyG;7jGxIH{%`sD z{G<Hwda3`3f6_n7AFUVq@A&8W2l>PGT>lmSq<@e<SbyNp=cn@e|4V+hpSF+w$N7i- z!~FgAfBwDv?S9(6=Kq_&lb_1#{EzwR{(k<R`Vap$e)6AMzv55v_w!Tg-~3zo+x?V% z$$yuh?5FID|DX8#`N{kp^>h9(e?NcQKJWLRcaL}bzqhOY_VBLzdAr@eU%Z=~E^qVu z$-ClodF$T~-WBiee`~k(_l0-HyZhhRm455I%U&jL^?T1d<8=A!wNKt$-o^jgZuRdK z?}XFkEq*U~_c=}eO0D1b!p;3J?Uw$Y@a}S&yxH$HH`BkYTd;_0uic{GD{iWn$X}?v z^yc%<{^xdce;2&lytDtAUB)-d&Hm5q(!L$M^Sns@bnU4(!8`e%+D-oL@lJRr|IAv2 z?~<GQXVe~g^ZCbhyT0nTmv`_#w(I__@ow@C{zrE0za`!UTU@hi`OWiAc?bWD+I?>x zr_R^=U2rq~v|ZzGhIgO0_usdx{he{MJ%9e*+D&hoQ|D{{e(`qlcK&;IVc%A6;-6ak z?%T{q$=m(!+68@ESe-dv<F~`j^gQ{Uwe#KxZ||R6``}yU?c;CNj4Mxi`58^>&v~-# zX58)*S;CbkocN3;9In%0{&C#yone3Zn#VGae;hMgXV`n$t@@ZzpJ7jY&9<9*db8hb zJj%EGXhQwjr1iVwHg@gwGwj-a%_@71f6gPJ$rVSG_zXMj-#p|it~e}p&Y(>{=i%zL z>t?-LxwKE})$+M{vtDuqR~!=RGiaF}_Uj;DUcn`vxD8FhJr9_rcO3A4z^Gii<)W*w z?UoCU+!hBM{@-a`)|#56?UUWZDt=3XThByaI8j|d<mZK77LrU(r(`#Ow-ixnaJ<SZ zljBmb;-P|&qwb-8c{Qbo9R;O|P7`IVDg-Q*R5-#~G-a|(vMy*iDQhzc%D7%@YH>AS zYB|m?m!`m@FmqR}qwFQFZjBh0he~YXiaR(Ax_@lq(C>b+i9@gZ#-@$~t_*sQ@&)U< zUqrV|bN!&3lrI=5)|e_7F1B!c#~#-Qx`$3Ft<q^arSwkBFjcUudqs516xR#7N~e?- z=p^L{7Ijx_>e%6G5y^5#>7LlbvX1-CKjapD7pUetSj}PEe#5rqo#H1Mrt^wL{Dsd2 z%J>?qJ8nDQkX!UkU`zW9+m<(quVj?I32bOzP~36d`HW@DYsDuri@pl1X^*gNd8PPB zhUuJQ5dX(#0xQ}rY+GhK&yZX6MPNyLMlpw3dqi=^MduDVr?ZMq{ESr{Chb3LTAnLf z@gD@Wdo$#i&MKahQTi;9#@ATcaoRaTZqXS<Eq=$!j+4$Fa!Q{BQur7vI}F<eiaQM2 zD{NXGD@ySntmruEtRbiLQJ|yU!lvb+A{W17MaMyB4mqYXiu+^^omSi>({x&Kn~c&2 zfrj=U)-CrHfAKARDp1pY!`fw<bAsiOsm?ECmEH?fv~MWt&}v^$)Un&y$Fk+F;wwJI zrvfGI9Yq`(?K7-f?kLWaQF<rf$H!RSvE6wG@1t^oea$aqkIZxYz~^*cp^SZ@b%#y! z0ppH4j&~$m<~ly$dsHT{t$Bqk%Ugvsc17zBtL6?_mNyD7cpjAs6tg|F;;?MakY#zT z5XQdHs>7nW!MNj!;~q(tR|<aY57PveHEYPSyi~Zw({xth8c$M*z@p{=<BkiC4SY&x z73|m_T6UasEZ|Ej7MRuSVBBHcd_tyWregx%qauN6%^JoXryK+Ll8OW-HFwCc%ye|% zOFE-)gh%O&f*kupi;iQC27F0{0$t4>GAxf2_}CLIIu1Ds@Ff)pG&M6Acjz~NFzV22 zeqhw0+sq-u@<8Dq+e7n?eU2HDEcX?@u}w@Bh+r#B6{u=HA<c46VGYlsQwl419_0xH zvn85$>~i#wWVx%bh==Kv!ZS8S^A7dq4(XQ3jt6)j<qG80|M=VdUcT!8hUffy>Mwk5 zzia>EpW=D>4}TQD^Oyfic+S70e#hVDxAIy49e%gpv_J80;W_!F{|vv`t?DcO9-d>r z<DcU>`KbQ~ezjk<U-3`zocx17ieLHj|26z-zihwbXY)&Wum29e+Rf?{KDV3JOZ;tq zA%EqM;urn}^&X$w&)Zl0TlkrOPW_EP&Cld7{CRjr-speAGyWO%JN`63l|S`o;V1qn z^)vo7Kap4Z@9>O&LcPRicEfs(&+W(UE&eV1$lp^R@u&HbywLxKAMJ<jCH^h^z~53Y z@u&HL{DD6YPs{K5v+%V1jz5W~<(d97{Ak~A|Ksn%_xw@+4t#IlYoGFyd76F9&*ppb zpZ+y`XV<P@@rhlle#h^_Q|xd2UHFba=%2&)c8&UmPwhMHPyBs&QvT7u2T%A5>MMRX z-<GTU{$O|eJ=-@oncvHOd6QVqzpMJhW_FwE6L$~KwY_nZ`JG(e_k`W;w`?!GODyBx zRJ~$z`wiOz?;6j^#eFwO=U-PnVRQR6+YRp$OZit-cWh?2sP?#fc((0=cMnVW^S&kQ zYQJPV;oZWsayQ;2o|SX^uCR;Uw3_2C^9#94Zypx&&#QiMhxxgj+4luI*-fe~Hn*R# zopF=-nVjDDgq`h1)hq5WKb2GauCTNHgzbcz%unQwy>UDvcj(Q-LjJz$j62Ma<;1=( z*wKE(R^i>l0{*sYjXTT_<=DO}>|i&j{;`Q&zxu@{cD?Ewo7xZ9GQ4}3&tF&l;&$^i z+YfIO^Z6scHKg)~e_OD<eUI&fw+Bzjt$NdVO77h^gH-;q>J_(}r`TS2t9VLo!JEW9 z{-WxNP3=2uEp9U3k-PWpL0S8K>mT<Pe&?%xcc7Zxw*1E4=6AB6?l7L0EqY(@oUiO% zLv{OY>l^nLe&gFxK4Wk58`)QP6u<FpC|^+Ae%<=a&gR#$Pwp)I%D1LGVsG;+*++L6 z&&dY8|L}}&MY+Y^=GoRW?k)Vnx1>CynBA;AqPYE{b;mu&v$9U_8LHY%%75%>elBbE z{y=5>S?i2@jAv!f-BJ9^m-eoqvi-Dm#Jz=QWVPNqRJNbA_PD3`i7(|HLuI>Rxj=Ed zL3zcV=Et&9?+;Y8AGOxFr}&YtqugRo^Fvv#_YM{92dz2oF`kj#cjw?~*<E)UPs?t* zqxgZZq5Q}0=KHe0-Ys~_S5tmtxAQdXgq??{TEDof_@1w#d_z&YR{4UW_TAP#JDcyy zzIvzdl&_?`qljIje8%qPJF@fcD8A$Kd&f}TzTJAq*@xwP`-)%8Jv`6y!#T(EGG)&f zShw30A24peV|iz0^IXdZ=N^{vZ7W_em-($s+H(c#cB|r!xy)~5UYvPY%2)jCffc)D zamHNc*D_(x7g)7h6gL>RU$NXXllhg5-}47)e9MY8<}$yOxpk)Ttjx7Di6wlCiUW+> zFIYC5Q#>nU_xyon`#H;kbBV=#vx*&z+l`A)%xRu!nQ-o55#O|84deDxmI3Dyi})rL zcg$g)Y3Xn-@r=xoGm2+q<eopUXg_9Ya4xZsudCQ&4)Y@!zUK)R?T0J{&LtM`H5D@$ zx9b;wFlyH;eqhwDTg)+s`GL&8XAjKV_gQAlWWF!+?b(D>zKCZ9seDz%CuTF>lUZ|S z;VGFFXCCJ91wTtLZ{KC<F_ZbO%%U@lr(~WzQ!sB=FYcJ#JlXQV*@wA&dHFwTo8L=U z-QVz>cTfI>&uw?jU+hynFa2SU;&<NidkN2ZcjWJ=ZGJ1Ab>HE4+fDNm`xc&)PP)(V zo6Rb}qW16{^Bwyf&q+t!Kk%#Vs`-k2isz&s>{0y6n}4t2SKDRt9hJ>5rM>Pu{Ax4H zPx#zsnlDk?{6hN59>p)b3-Ucax1Bey*thUA@0|P_HO<eYFYI}EM%w6p!ZY3(`8#Tw zpGu$Fv+xt|l>8Yr%}=D2?mIl=osci_nawbt<8#|_bBlcoKl1kEN7OVwk`}t(@T2Xp zxx~JOA9!2xC2E=<NFUhq@U--vJqu4u@7R-gTAJxT!;iN8=0El>e9s$o@4)xAz2+&E z%+t(cDx2>~f4bN3olQG`#V0nc{2kSYr<mW^yYL-v&^?FmZ5sIvpW1espV<5Gr1YbE z51#NA<X2QT-<GPo{$O|8J<~Ul%<rYXtVt~A-IaY}Gn-BJiP*z)O>aapzmv+lp0K;^ zmg$9ciDkT-vR7<wyJ31@UE?{axa$V#yz8<jY;L<|x?x>nDetQ6j?HWq*&eZnXPYiq z_ppRF?^?pHwo9fH)-60Mbz@E9St+;c3cJ`$vpHg!Ur1eA^RSqAUiOO^=I2so*B9($ zGs(8t+;+xvMkMnyDZT3nJKK!1SHv(sl~TK|u(R!i>4Zq;CsN1OIG&L@wB}(UZ(nvs z4D(|tvFi(Vv>h>3Sog4iw=G*EhWVis+jWH<YzEmsHnHhvzu3g4mwjVX+W}LCbr17- z>#|=&H%~MDur@KDH}YCTDsT9;1>4*9m_As0@RZc5HI1jF-d!_D<t@uz5#2n+^uk)j zQ&J1oB<ArJWmjx!+hJ-E$$UrZ-n9p1ZTF3T%v<=Kr~2H1YBt;S8@A2wBtOkzJTF;v zzTi1e*|~=5w%f)x<}Lijvn73oZSxz+S927<@oY$6P~3Li_>5)qYsn{b7JlVflOAE) z{7UlC9L95!LFYd_<5`hzVcR_0c*eYiUwD?JXB4xUrAHLET{P~P=Xh4q={!SKn@Rc) zo95?|R_6~?ww*Q3n8$cl^4uK7&pc`88Y<gP8%NArct%p|yhCN%Nn?+Bil2B=&M{QB z8Kw&qw;7~Y*fc+ulsbQ)qV1@$#yrK3JRRv4Hq8$uxz0OOv>i0&n8$cVa^IYTrzLmI zX*?~tZI0pxo`&=v*3I`Nf1O+Kl&2>BhPCrF;{?mYQ;lEDReaA=k-nj*O)GstQQK}~ zAIs*ulCRDwJmo1#?<iu^NS|Tdd`EKL9L0A$e&-m<+qN6;=zUnuvoHCD?BRKaANm~6 zOOzd7VBKbue89Nvj^Q22=DCIs`W}|?Y)f7t%luX%?YM$<n^kg$Eb|+Q7d;P4d5Vuc zuwt`J&X8q(EfIEnfmNGDa)WW(6~jG}%&#Q;jz37_S(dCJ%luN}R!`$uiEBNHB|M9g z1B}})7&i1No|UjW{=l;BoMAy<VlmIGWC!Cm<Kz=E%`*)X`W_bXOiR`<ZaZZd(3e=m zGby=4hIyu;Lto+<i6cFVXC&l~Kd@*!W@yltSjf|r>><PaNP_Qpf<@aQLxH};0-mO1 z2IDsU<PSz|ddUxr+H{jSWSAdF{5$r*yltOhh9vWSiEqayr1C@@D@f(3N<JaYd{1Ic z&%#p@D|#O0@dO`BFmKys=po5`S7K2Q<0*+}#}v%l)RQ};n<pC{=zW;WlNbNvZ_|76 zs{I?DbMJ}2@VWJ_{);-r^Wq<B6u)zq?@M^jy(50d-=??XS^FJ+x8Br0QMd4%c+!4` z->g>g6@L%S(ce+$cuqWO|AAkvSM^uaDV`I5P^0*jJAYrpuhz@@J0cwdSq^g7*E0W0 zdG_j5@ceL{7n*woQdi&LE{<Pud(~I&AhG=d-zO_f(fv_(@GW;ie1-9z2PvyhaW9M4 z_{;QC>|M@OP4;aQ1IxHqMHl2c?_#~6dt~8Vr@1$D&)jr+@mNe}dqJn$<g;^Ht@mk! zZ;rotlWF=v?aO9s;wR=go=TK?5WX({XkH@sln|@v4Zpd{xJx#PEz6iVyLeaYzV-Kx zT-=-G%DdNTkCxv@tzxx{+Gn(l13Ka}KC_y|M|^I*sNYfNcvjqLKf|w96XV{xho8CU ziCZ0wd9Y{0Pu8>g3ubEiJq{@8?kR1rZc5k@a#ywK-jp9xA6%Wjp6&1oz2#b;r{2CD zUJ$+ar{))RQ~!nkRDNB~coSASPon-;(zBJ9*9L6&s`dK1^6GrHdL@&ZuF}<E*G{Zo z_r|ww>Cw|6ljC!La(!66Z@$s|O?SG^h0Tr*w7dG_O47sBS?fQ{yXDgS^YXLss`!t- z6YtrE{+fC>tTJT2z}h<jtuwuNo=ly5dA?A6(40G~CY}1Ad4B#Li+^8!TzMY0yKLL8 z<j`u@?Ni@|`xk7Nx|`Me%)i0^=B2!=Y1)@oKHB!{PS@qnnm3kfxUOGjb8}V6suOF& zB3CZ+34LyLHRe%BN8GYUw@;l3OHX*ZY*CWvud8=LBKO(2rmeoS($IhE!`fGYpI07P zTUD=BJlj$<X6pU*Pv(94%X#`<c&YzKzn5hjXKh`ychaQa+ePQC|8va6$;>E9^G;TQ zwzJDoO{>+e+kK1|hE)Wnu6h&Gx;r#J^u4&YM$z`b>F%C#OQTJ-tt7X4?+i&@@nq)W zM;9h8iuNjAY3{vLk5&4|E|IL&Qy+wGD0z~T$Xu1hHMQ!>w1rmvPA0Zm?^dW6yIWr3 zZC&m)`+#QnLCaMiR$W=l)}H0s`f9J&)X4?qE5dJBZFau6iSMb^lzEF;R>!R`DxUf> zba`RSQ=ZaYD`!4i<$r$lsgTAvQ#T>6bD?SHWLnEZ?uBNox)u`Y7Td}6l)F^DfA(TA z`M>Y_Hm#mzbLy?uas4?ri>}IvA6&hsMsYKDyz*OC<M;!63VyPl=1$!gpy@7Z&Oa|G z_~M2Q+*9Ib{AqgPzt;ZfPtJ4xEA1!#3;A{VmH(=ux}?2_GJgrrm)<k~TivBa--G4n z&VMuSN!hN=lVxM>ouB*U&5<<q>(}S{1eSaCZl3(gZ?*NtcVE)f&6iqNzPqxlbh*ji z{nk5q--)CxH}1C%v@**&`TFvm%wlyv1K*OeL%W-2-)4@Uv0HfNT%-I)cTcY8d+)o} zcxPp?u!79h*-Yt9p@&=4^Nl9*D9tdA&ii$FvGSak+w+#)(08e8JR`2K-{2W{(msJ_ z-2L$db3D(8OYJ}KqxGo1MxEkE?v9jWH4iU*Z+h5zNME2X@dJ00@z*-WGd|U6hj=%0 zMn<L;EIWQN#`)Yy{hFUm)AdV!GELvW9lcNB2kQa-KeY$ns~g9^_}w&ZzTEx;-$R}R z&yT<H+i9A9!p}of^<UH~zUQv6-}q1EId|B;s^3mi^#gt$x*Hz8=2lJOso*X4nKxHW zc~ziwME^$Z!gtzx*Z<hI>QB>@wNd*WzKgu}Z;S8v#HtZL<9E{?@p(0h@3{T;F??^` zuD>I<byw^C<$m=-cbeXZZM4_To4T|0p6;8QOz*?CMqk+6dRO;Fp5l424>^kE+~wO6 zp07No`ylUO8TYp66?d85iluE=*xhOs-Eo)cjo6Evho#)b+aBy<wT#ZV%k)|-Z2N*; ztrpP@n_I8w?zzeIO3ZKjgEa1C(HeJ|UW(nyX*?@-Ehn*rdr@@2=GF_k4S9-Z#q72} z*x7ncw;(UEn0r>V!{%1w=o5FEX6h#7JuKp$7Ok<l^^|TvUSbjVr09-2Ofz*I@)FO8 z9m!EVBPO@~!H(8rx(0cPh1^}y9(R}?iScbu*wK1OS0FF3fV(N0VRNf~^oLEYdeILy zwdzK5++lhk_HWyR?XCNCGj1~77yGttLMnH}wt`gds^}B9neK_L$ys<xY(>t)JnrCa z3ENwD>3ZB`x+}IQhw+ryvuz67Th*gGZZ}QVJ&^k_mpd>1Z{5@1q3^@1_dWXSbv}G| z{L8wj&$VshpVpN=*S3y-SXcUc>f7+G@fYh%e@}fAUb?UAFV{D1tN6Wjrq8vnuYXc= z^_S@D@YV4v>qMVxTf{G|`}$1#%6h;3MW3g>3||^QvF_?KZL|2bKeN7QUtE8sM%3!c zU7wcl1^eTd{(SXW`}}&V{g3`+ebzp^{z6S@n%dFzM(wYJQ$MZg`ZRUs>IFQl-$kGK zZ1mX`K0SO|{M4VTK53s?ueN{EAFWT?M#dBCSbtufvHnPn=(E+w*UPXgua{b0^jY+A zKuNsry^!*yKPJER4c+JU=jAu;Bm1;F!`qYh8U0!HLHm%}nbq&svF#Vy!&EH%B0S#Z zvW;6y)zhb%r<T95DSf{3&iY+7N1tlmoqxpU>a*3l@vL>MKSJiM|F`ec?^W-$b^U$q zRDX)z53i0-{mFGYJbd4!YOPON@3r@=UsDtMR9h?lt}pBNkeB{5gKaBMeO+l8@4Iu; zY^`_8PcN^Szv<7ayjPzzFD*Y~)A~&F{`w_0tv{~351t*o*S2-1dFV9lUF#Rsus&VA zbN!QjRiCEJTK~vtf6*t=JK_1kp><EqLT9ejTfXR1$gGvCd{R@j_N@H0>{2yX$?E%S zYnD&Cz3ThQn`^(>O#LxMZRXmF?Uy!ReHWZMPiphjcVU%vo9=L(5AKhCYIk(U)wyfm z_^_sF-<f~P=W6Pz1IyjE@49=cZ1t`ATH6=h&HAQ!b#2OguUf8iVWr!;{&p5>-&naM z$2EP`uK5RiOrI`y(6g_-`KolaWw5NBs&(k2kn-TOwp({ieH~V`EvvTcYFDY2Vf0!* zQA^RMA<4^T&EYE2zPk2N4(s#f7uE)Cui7m7%D>5f?!P5zt4xAx{kHD7`ZA;^*mTm( zQ)m4b+jrWv?wa~Cq~Cs{pXjsYrqNULTz7>^-&)S~ciyefQ{MVF1S{qpO<Qdm-D|h? zhse9&F8{rDuIbtr*IvmH{U!1yY(ezWo3AdP`mC-UESY!p=j3N$bL?~F?5_S2e&pX7 zotw9Gr|7J;=l*Q@5%N52X7tRNUHMx2(TRRbQ&;U<`G;YC)}2>H+Nal^$_d>m`ZR2E zaN$j_PwGe4Ds5NVEczrYd7IGY&>3sxwin%5Rj7Szt=RUUJ6eU>N7nLfH@dT`K>N^I zwtlPPkY_=K^SX+5i@Xaen|A50SApie<#*;)O-_nAbvn4j-E)~^vfG!Hd$j#iE3^1d z2M0`BwRy^$-~#X3NUk$MP2RkEt~){=2DN$1>b33&c@(s{YFgLbSgr4xdv+~<GjG<X zE3s3TuhA(@*EWc*y?N?%SnRe(o32h<%e0;8&a3w;POts5?bU6s(_uByZ*!-nYU@PD z-t@{}y=U!*ZJRcQPF=eqr*!+(DQn+sTXoy(R9H#0>rJjxVa3rWbHApn-m!L04(s-- zx5M(n|HeJ74t*b5z3$Oouk)e1!(YZteXeB_{xq&MedY1hZ*;EysGjmPs4x6sTxs>x zx1n3ZFJ22h8>c$^=_)Jlm%66Au1r3)_>0WZ>MQdWSM`6geO0Eped)cvTd}LoJPn%R zJ=1ct$gHI<meXIwoH9New7|PnZ|ROHPXbrDua!Gm6|(wuU~yknEY~}Y8;g_rR~1ir z6S&#^qFiY8l(&H;(~35Q+zFiFeNxwSyU3KKi*#JeL*^`gA`@CQ<z--ApOr1w*A?dO zOXXP8H8nv^jr5hKtGzesT|Kp3<U#1h@PoVbOw(6hU%Ev{^v8CQ=}WJaM85K!z0_m6 zR_W#|FN0Qi>&CgJYu;FDqWO}qeN(Z>)YWnAPNgkIZ*!ZUuh4R5{UkTl;>x_mBK=Ax zHm6PotPelC^VC-@%kZsnN2{*B3hZ$=jayn3I_L7@Lp_ScAx}aJ)_LuHbvATGhdVFd zQ!Vwct1d5$SYK2;<w0Pp^UIy5N;J-$zq;CMz0%%QXG3$=MHOFt5xOXR<xZ_HS{D{N zxvuvrzWO{MZ`~)GS9vQguC{7t+S~Pc)!Ef1>z#_P&RlJ@-l$mgS?Kie$XAIst-Ic@ zG71lkbFCD)Cv$i4nk#Xpoz+*8uPCit|2A||xNDs2Gp!S=kHx4yTV>#$*;zE@erSKV zrJU;16;=lpzgd^Hr|aXYqpL;Md+p)+xa#m~p7mOLx<0HrxSC}>Q}Na5tM|lQeX6Aw zp1V`)gVur7|JHrlz3RP|ZurO8t?kP`FT1pQQ;t*aPOj6T;cZHLyxy<cv-(5Yr#+r$ zL&H|BvS^cB^?sS*l+?UUd%8}gT)q3`O~TbFGizr0e2z&i54{^2v~JPtmETusggagg zGBpoYxia@*XwjT-+nJspSMAVJ4?h_DwQTC`kp00w{jOSHowu@LtIEAEPYbkcgWvis zwGN%P@`KM*>#K8DzVT_bzWO#~TkussSL>^9LN*0oovBr(bz|iVpRZO|=d6rbKFK)r zb;z3FwSG&hgztqE*6rHs`Cd&gBk$Ip&d<wi&aZs%r{y+_Rp_gb{4ZO6E^*rX@{Dg_ z-7nd$yk+-izglLs_hi@Gki4S&O}{h0FSE8OTV^zORf*Q6mA8D3rmZp!?)6i(nmTKx z({d(OX65J&9#hj+onL9Q{MDSU;#Fr?nk;uRzB+TI(Q+eW(PtskgCqSy(^j2Ysj+<4 z9Iv8PCsv;Lqmr(5V&yR()wERx!M1)+EkYlM^aShrEwu=J6w(na>z8T~`Y@y=nAcC$ zLUhK;eLhc9SLp>8&dfR;(iqI>_tkvr{gB$=%$Z&JtM;zk<kOnEN;~+a?^JWqdm&-V zRvC#-UHPu=)~DbHA;HTw&0dwKr5SwDS2R^iBlxVZs`=H)D<3T@G7`NLk{`U&_UrE{ z?}PXHzx|o{Ui04aFE(3$Pk9$yIq%Ttkaxl5^EQ1Bp0>PT{;t2AA2e^z|5x|v_saJx zZ!OQ5KdaX3+e$0@r+=4x7rqy~(f^>G>+_Y@mp`+4`fJLZ`9kxv{=WR6d2K#Vz1E-3 z56cfO51SuUt98!5$-eRD%hUcn{s$|yzOFR4-}p20Z14*Em6fl)Xqx-av<v+u@-jGg zUef0)vzEKf7y7GtCOE4us7C9H=7r^#Y`*@y{Mf(G-t^y-r<&)NTh&L^bbVfVcD~O1 zOEp|)gVX1osyTHgc!qzhUFy$}naj22FRID<q<M0=QoYe<;b*}q^P2vw{IvY^@?$ot z&z2kcw^qLTsCj(3)cmBHtdE*UmkZ5zs(JN6^YDC=`C5N6KWiRZ&Ng4DhU-jl{Jc+} zLZ&a@VYBt=$^*+8=Krcb^?v2P<y&lye!p@rIC9>hPgkZc|1$4XHP?GhZU4~9u2aF~ z{=Su`-mToVe2I<g_mC;e7ucA7pK>SIe_mJhsguD4{(EhoZoBe6XrK32y{+k*_m+Oq z*}8kmyP(Qxhc<`23o4(sX|u??pzYo_^+I=xybapyeNrzpedUd%3DXyCzVbS#cv?}c z*SVmz-W&C{?wax{sBl`-=8#uG`O}<YUzKQ@d(YGh-6ireD0f=Y<}0(7x=j~~^*S50 z(7RD@YTC;4OKqmVis>p|d3LGEbf?W%W-c|FZnRnCS<rOvNWIXsm8X_!OrI6wRkZTN z(i1wYJFm=GdPGMwZRPQ$GSjnSx(ZhwT`Dr&D~79Z<>93~)3su{3RWIm$}*j4^OfmK z_vl<r)ztIOjnpd8Jh1fNv`^8i@-=n6Kk9DX9x`p|2A$GW&Am%MO*<5Q>QqqZv_+e) z+zkqzwkdj5o~EYvMP1QUO%3m}x~ki+OkVnETG1wvJ3;y0J7vFCPkA4>*Zr+!*LMwD z_qTFOt3&23{vb28`pVqJZ)94lue=T1=6+Sqwff4Nz)kK~Ew#RB+*tfV=4;iJIg4ZZ zCl!ah4qW5DR&Hrk$ehIwWTsYKnY}om-^%vX*}!G)Q{`N%LS`?%A+z<_ii?X~`h$u? zUIZ?1Uuya4v&Q+wR{f7`vOa5^U3@{N^x29ti_gfkR$h4;IL&>k<*H8_rxvUAPqNYa zq+#UlC};Xi!_d9e^3_L;<BO&GlWej+Y8+iG)bC{T>VwAN#a#VLHd!Au4lX_*^Yy8Q zzI&-<*Xh9MJ}w)s4=eUB{?qr$+Us;+jr&{KsZTX@++!`h-mloR_(R{OqL8VJSICr> zUzxJ_P2VbOuTy~~?yi<xrvi)JPs)CMvSP>LIWnx}S8fO9IsfH*Y8~=Ept|jmtk?N~ z-OexhrlxDyI6vhpP1mq?e#lp9J>_k{R_BX+rq)y51eCUQ$#RuxSUK<IGfmgHzVHdp zRV$I#0jr%?@`<KvSU4}``<kY4Wuaetk@1w50ZW}H@?A~SFmqljnN^~3ap4snQ7e%b z0hw*TWKI>YIJeNE{g#YZv4)BBTuH5B4P)m-zEaC6PXkihR>^b~tvI<*rM*k0>rB7| z=Uz##GXaThRWhdvR~%a?(H<q^Rj77$p-{WiADLGL8iyBh<!8xs6|6Y8kfoi;_{#K! zdw8y<YUnxV?mYQ%+3|%;?Mzc-UcFytw8{A=?^W~3s%g)Br|(?6aj$dcOijL*K1-cH z@@_Q`nYM7lnJ3m)rY`)_c1oHnU+to)bL7m-PX#NqoC6D|$h>;D%yEULd8*{8ycN4< zFXB;6)z~%r&9}BLBatZ!pS4YreswaS(AiRw>z&%6h4=D;=4O6X+dg}V!_K>3eqMg> zzt8^bzb)#Y)$h&!a_33qWesWbt{(Hwe_MV}e&=6Vcj$BQJOA>!O`nC|`G(f*vRzym z{M>(={ndXizc0V>-(-LFr{*{H8}nb-ge81@`E|La{my?X&zE1DA5kCm*YoRg3;TmV zHNP%5w_o_L<k#fc^L^^I{&s#@ZU!zUK~3g=OP(#iFyEox>+|L3{`2fh{~h^x`I-ML z`-MMWp7Eb)Kl3N&=jEs8>(py~4u0xC#oqUy%Fo~@{wZ}$e=^VbC)II%hL)d4eq4U! z-(|1*ujR+(hyHE$qW@feTz&v6o#X0WeG;BNf7>6CAHom(>+OI3z4CqXwD~{kZvECg z?H^IM>(k_W{+0F*|E4@$u4Nzi^X0qcn)WyUhJ2qqW&Vr0u1~^K=0B^O^!w#W|3Z7q zpPcWOZ=Zjs?AP6s<;(BQ{r2X_?#c7!mX%++t9jn9qU_Y&m*@P-$`0LqS*Ct_ZdUoC zyP0L`H|M^3BeGlgjbBMw)!oc<e(S7H-dtI#er;}8dC*<WbAAP7UUy%f^;=;*_uZ2; z^~-a;%9ZY}JnNTJ7Pa~E3%^CyD{pF+s9%_S>CKm&lb`#|wJv<OW#{B)ei>y}n}eVE zrIj7J^Rh_&^xRW#LUsy2^_y(%`A%e~@XWai<x-m`&zO7Y&6gdMAN%!M8@}7JWAY=v zPHV+?B|9cR^lP;id}p#_@&mtwvR|7fPoKN%&5_jQy4I}kSaw{#?^kF2_3e^W^?h@{ zm7UroJZ<i}H%qn$-}9@mj=Z_@lwU~MuG^WX{K~AazEw$8-!*s98<y1NJLf(rtJ)NN z$Iq{<>GsQ9_3g9k@;~ie`F)wK`Nw-po-eyQyCnZqvGBavMftmmC(oT-kiTp1$#2VU z&CbZ5wb%38GAr|=_gJch=gyAHpH)2hwQo^g*51yq%dXB2$?qx_o-;crzp7aHmG5%% zojXr{S$1i*M}AhZ@a);w?wC|fe&L&y$F-O9%Q6%5+IvqbgP;4(G0(lXq*8d+>~nXf zR8F2b+aQ0}o|B)J8JUOPbE&-i#CMXp>phoeYA0qNyQA`KnSr_Oy(bmHk9~X0b?+^y z2!7<-VJ>?wr6TyDZ;LtaJ(UXK8MF7@dGd6bo_XQU%+tP&=8X5gluy3zTWg-Vv-AD3 zy|XvnX?eO#+x+F-DdocVe8ciq6$wwB{Vs1-(d4_nrRJVHJKrtSFn8RU`A%);?0I)Y z$|p~r{UEPu_sQI4_h;6mznYtQ-e-^T%bA(wYIkRTI@6NA?9R-0XHwGD?##?f-!yk+ zncA(HY3Y;Zt~}?n(fHswm-J=VXFfag#A@=KnNjIUb2CfTuFiaPh9!O3m6`X>v{+q! z>64dcG<Ri*+NGJd&KyZwW@_AfPQ_~SteH;fOmj8Q`ph@3Ja@%X__@z)<IHm{Y0Hd_ zQ_rQOshydrm%eGv$}>JwjRVh_SWbT8GtqeBOwOWZhQ^&UHP86;8(W^cVj=w4r`uTb z+!PDpM?USwlIKD!gdh4e8*`pxNn56GT=|nFYR0tg^?F;@8S1ZLa&;}-b)loH=hU79 z7mn@O(9+d$XvVHHM^cyR8nd2bvABHSr_T86*(IrJ`(}PiJ7pw1ZRWZ&OU#4s`BWH3 z&RluQCnRmx?95X>WyV*}s-&vznz`r<OX{+nGoPeY83o_*@k?u({W4c=yY`>BgWtLK zhTkY=y{G+R=b?9^CF>_V=c-sYp}N&Nd_yto9ql`D2fuM`4PUVL&>PW|^#RYhHikFs zWtywKCob`vXv}(r=Ui*U6ZRf@B^t6`;5k>px(QXS=HU)|54{w<6Latj*V1r>y-u^W zH|#t#OS>n|@e5bhI)N(I3))L|9(pcnv;IOQ>pAV5ILFUi8S4t3am@@jC}usQ9TRu( z6IbfGfJ)X=+CFiPpSUK5D-^Sy(C*pUG()>(=b^`<GV3o?upZOaiF5qO)fw)v=g>n@ zp7jA0tcSFD;v7G4C9M1Klq+uCg{NFG>oz>)Y7A%CbLhV4pLG|?S#`r7>^^i)bW;rD zY0-5tj_<iD!w>9knyMYLlWD5<o!G=vqHop(l(TAvFWBugMcZNLp*x~a)_r)wRTy4S z#JWR!Pwc^5uKmFu<~qF>`R3DD&Q-N+gEi}2%_lRN=4n3hdw5RdjSpiv*Y@BIbDiFb zWGpwZX1%F-Wv0^`k%Z+A>0IlBXBe|y*E})P>9t72@(ot3S2d5!WSXP7#P8u*k$~j| zR;=d126LTWig+x)kj9m>Y(pB?;$VTfO|vvx{1{8PGM9a@WIeB0;@4QrH9Ppi9Hv>C zb7nd{6FK9<Sj;s&c*7j0nVKPfjYV9OgD1>!dLnYdhp~t&d6_~QSK_h{7OclLP5c@Q zxw?Y`<~ThP5m;Ve!FpIz#ILb{t2tO;4$}<Hnwd@0HA`kPP1nqs>GVK^VfhDh*8Q4a zd>v1V{8+ZZyj3UofKjV<@P*k<_e4G{OGxDkUFML=RUSOUh;_H-6<^0wA}^K+q;dr; zGcae}scAFQ>5j-8AI3bc{NRG>L+^!a=3n^TV(b5)wrQUF8#~AEoR#w$o^$T>-|?Bn z+W$c9p|`?0^8<df+)_Vd=lG4Ybe_O(mK*99Di6IDer9v<D`(NX3%^*dsjsnf{K{E4 zkKsAzO8<(_ELYU$*d078>@z>$7t1B}EtO2O)z?%WdLisGf59`(h5ih+PP5c&><)hB zoa_If=Fl@?llcwLIMe4%_{n1If1u{jQ(>L?0na$6`Y)(C^h8)?zQ9iwBmah)Lo?Jn zDxGGi+t?la$l2?kP;=;!u*m!gKUj{a%h(<Kz}f1rP;=;kFw1;~XPoi#9z5lYop<0V zXY@RQA1nvd|JWXU&spn#;S<X~^*6SPr-e7zJUk`*W!{AEEPK==DxIdP2UH%qE4<9+ z;5*Jz{|TR1cB#8mGEGrGW9#^i(|?}8cNTU3hU!DNh3`!Ju$$$+>KDDl^FkHV7o>CU z_P!A7G*9(Gq|-a0cRB~p2^CB)*v)cV^@?6&8Rus239(LdRgdT~mT{I$`>?CU(z_tG zX^!d^y~a|`)!qTIPIFWbL^{0^x~Icf%DLRTU^B~Q)hT+7C7ij_4y18rPczuXa#3|f zq|*x_hv^g2IOltRh;e!@WHEiiPL{K(3nCBAR87%4ct*%zdcjVX)2dS<ot_G5OgGrc za#GbruknnK!gPZ)&ZKDqX`KDu1u;&Kg(RkL*uipCRYi}nkh9&}AjavT5XW?b9V`b` z8zK))SFMP2nyy+9d1#s{iymVEXTA4_Xs7!^TXY)pIjg--Y+}*z-m!^guj&(B#(d7O zX#uI6A=3)Bw`h8Ah<3Uwv_z*dk27!@Ln>#n_k`#}lU0xC9y}@ZVA_XmEZdd;$Q}I7 zvDf`ZG0Q#W7nX<K36}Ivc+OGLH=(-4+I>SY%N^xAatFV0Y;|8?d+3c|N`Js}j*adO zwoG%C_sAul6O8Fsc+RobJ;C<SE5VR{f#)0reG{r$%-tPq54{w;BXjTz$5M9%Tc_E| z8!QjaQtpv+{KApdCs4(5L3xSgq35v~@zF8S8Od=GuCC0V_8i)BOsPU{;b)FH?l)|j zo(W!%d3Z+9s6XKu#|-x!Hcj``_wDHFT6gT!kqde<qNNX#DqD=)SJ*H;6;$h2sBH1j zPK`^DRGMJP^hEHOjN=)>LoyFPa`d@p*f2d76zgA5(Q-stLGIxPjy87<8>WYXZ2bxq zEC$mn8$pMd3Ta*H`tXz^uJ6KAj+nj;PdOUh8Eg*S7yQ$Ap`1n6{ektNdxD!}7*7kX zlW}~{QR#lbx@oF%h$Yih<vX&8r^L7U^;Ck4QPL7>cBo|8t$an+@s!|;K7pqk0ZM0N z9p7>Iw*^$T>`=C_WV$1GukV3b%Q?kAe2V!Tb1IxYWSZU!RJCtN=h)-CL#An-q6c4N zA;)g#3$jl06dy=By%TuHbMTx%L3@EU%WcI!ya)Zy2*~W_Ie1FoTib+Gjv3A?mbOM8 zl09@=;Lf`}Q+^B0oBrv|z9r99?@j;qW8W8R7A@xq(W^dBdgHx$tNzV>BEK)a^<I=6 zm-PA4Ywy+i8~0s#_3P3cGyRp7Cwa48dgsR()oPla^IoOjx-aFK>cQzsrt!CGIzKP9 zK0p1^o-Lq*iH+hHReN^b_S&a^^XlG`XR0TsR>d#U`8?^V_jLV4t-tHGJYRZpx<b6t z=S$DM3-vQ4KMPIWH=QkB=(Fk>ui4s#>#ppa^xSK{cILV%X{zShC)b(m7JBQoRr}_; zlHEejyk=_8jpRJ*l@`Vnt68FIs@=KHWY;CBJ5xi#qheo{s9LVkz8k4ou4<$GG}5zt z>D{SO;ZC4aimSplZ5DdZU-bFUf^Y1*_t?Mq8+-EY+k<kdyLW48NB%r0Yf)A=VVBTj zuVQV{e-76T3@7#6Yc}Q2OMHE1-M)VcUy4<&)cwOI{bqdORnd^+;p-pnH0N;F4huEI z%RI}LsI}ZwJi)i{oIp}LgEfnlbA{}oIf^^@9M1_vwI8r*xvIE=Pw||<10Kavj{LR; ztCq`(J0zQ43V5|UShbirCm6SwI!nkly%4y<qgcYRK;RP3!(xtk&M#z`o(q_@FR)}W zakemSIiom3lIfX%UVDONi;?pR8K$QKYV8V^EhiKwNHRSUIL706M&J<7!$OWe=L{L9 z#{y#Q3oKfWC@SzhEZ}H!){tR(D8SaPV8LSG{KJSv-}!|Ri=Oihqm~1T415psIqIBW zNH<MW{J@)-&k@<ykjfF>w!pk)kKzN~gQo;m@id+ic-Ll-%2DRLLb_>+;ssvCQvwTk z67x8UoGXl4b|_j%GTjll*Y@DsrT5<Z^uO-g0!m+B_H6k*>793F+@a4w@4U<7HhmU) z=e=G3=Dv{MLT|k{>z~{g@_gxy=?U?RK#8q5uBcYCvaj*E#LnY8ig~P$AFw_4)-b0( zu$t#q@)<ejZxW?_g4H}Xk}p^udu{kk=I~dEqP~k&JlB%f$T@$NDC}c=F0t}>MKRBn z<T-MO&l>vl2UhW1O5S40HamHZ<*^rrF8vFiNi00hVCyz3xkm2rXNkGTAJ`mwW@ysi z_)H?bZ(=2n@$myT$DSJM^annZn0kDH&9NtjD*b|$JVwVGY>v%H?yz*5k!&M(_@hMc z@dTS=j|@fnCsyzrNtTg2{6V7ixPr~G2Zk*DjL#(E`yM`(i0wQ0R3f@hu!846@*mm5 z?<H!FUnt_)m;6Rn`Ly8%na8ILzw}Kk=h>4SVd*wCIl%JRUBhKEhu=w*9-mOevn$!f zl5I-z8CmCd68?RH<vi-g8?2ArHoVjJ(VFLe;upT;^9B{|3)3ZbAHE>#HZSpkq}w}# zcRYvB85Fb^TJzjayu#O9Cb9YO1X;JaiAVUD%OpzLK3ery9xjk=o0GVOuenrW_2B?n zw>gOiB;8&a+~Z*`l~{hbz?kQ9;uOB-5{cZlgJ}}kZH87n7ZX=Vy1g)PXrGuSG5_!f z8Mo&K7VR4?dCn#-kUTasF@^8&83Tj%LQ9_0iBlxqo*HPh8(Q+5OmyLEK4YNJZkQ&K z)FzlF(SNu=#_h3zMEgbyo}-B>e9VOs?S~Cy+#VWmv>RIR987GGJT^VCLegz|Vu9qb zX^AX+%motlhd)TW-8b06)0{6+efWeCkIvy8Mm&2HpYSs0ON6xrrb>jg6`J>G9^N4B zcGqADPjj9`U>jqqMDgJX(#Iwz9^pNF(%?bcM>C%7@qhju`YyhA|BcVw_u^mtJo-+* zq<-Ra@rt^Mzq_sXZ}`l8C;raAL*K-=?qBft=o|f%`oQPn8}~Q-Wt|(p=U>t}{g`^i z=i+PkC;UD7N<XAt@VR(F-Nawr=KCG~9(}2Q=g*-p;!F1{{B@ljzv1W6S@AvpoW6)> z)d~LMz7W6U=h5f-HuV>Oa-WOO`RDXmJfp7gnfT282A{dl#K-(Q^hrFmF7PM!sd%4% zPM^dl?pOHCeImZ+XX}jkmY+u->&w(%{K0)JUgw|FNAb@64u6h5)aR)W{K0)Fp68#_ z2l0fuk59$p>MlMNkEz@ERJ?IN!=Iz~_5aje{LZbr|H1F0_w+aYVLGk9?vK-Z@yh)N zez#7I5BbSDHU7@uq*MBD>H@!WYwln0+jUC3!_T94^q<syd?H@hUpv>~@}omc(ppZ% zm#(@VQ*sEr5cxiRe?ir|hT2}wy#=4Rcf{}cd+6=v`{!%yU;oWHpT1}Q%bz*ljqjfS zRMYl+^PThWc)ppcJz8^SYx<cNG1s`Hqt&}lbH6@wE`7)RyLD;5Bj2WPnSZuU?RVtd z^GWv2e|yfQZQL^ZW?tLs-8bi*{gM;*Tt`oT)8^~vpVcgT`D^B!^FH>)%s+3wN}oD^ zsp99z*XjN9TW`MkYJBayk$v|cpHIf2hVwIj>U`L&JHPPbC&?M-dF-w4+*wm@9DnKj zt(s{+W<E%dd|(&+hv&;?%@DiQpJq-y|H1C^?>DE@BkZ{U`kYN)G{3iQ+cRUc`BUr4 zo*LU7I?rVv{io;RTjSm5@7Wc9ikx#Ny?FlB+Gjf?-=uAveRbnro@wXOcFumAx9s_* zYiH}scW;i&dY(RSer?^h?Kfvz%rDH_woCGL+N#+HZ{~E#OB~*L)x5yrW6X)0ImO23 z&Yd-g_BC(b)O~qZ?2A`7AE#};U^aW>PwUM$r=1lu_uf2nR_ud3wOuz?JWWe6<K83l z$7SiJ?%QYYtl5y)mL|S#{f60|H(lr6JZn-uan|hga^oEqvv0mtD%-44SigJ6ChoJw zWyM7qWsFv{Qib`>Bs|pPdA%g&TlLKM5B1c_C+^@r?y9ldL4;ZI=8o<?u>oOG?;aU_ zC=`#@yWM-|%{QaFr$5A~Rkxo@+q1Q-NZrx=^k&I<r(<kC_ef0(yytYx^04Zc{xjk` zLMG%c6xGn0WE1_@=j&#R`3HaMeBEq5e_>tOubH#Y``By$?fJ6VZ2rcdIcL)s&+n{T z_H6To^A7gjpKpSKskH9c&zsNEXU$*u^Uay`ne%7<<oUe$^m!e7?az@<)2Gb$tyB9M z`6NBXuK7>Sne-$(?$0+Lr}xb_tvmMP=A-nk`KonoKW;uuZ<{Y#=l0{~gY>5PjX&R< zPLH#D{Yi5A`E4~~KO`Td*U$f1d+qzoY3F~~-Ttiuit62;X5LG$od2*k?dfK%`GG&* zyxXif|7LC2_nA}9zp(56Bst~$GrP&Z-<(V@oNxJ)=iTP*=kJ*PzI^S!g*a*pku zIqz(l`Q^Jh=hG_8PTzfVF0IV$@ZC3M#<$OAnJ>PZQ)Ybg?5iBH-I8z8O3bS7=A27g zH~ZwxHKoSa&W4!>-_<#nR$%6R_s!X~6|?8&JxepbeAdfc`R<ytX*p)mn{U2ITQqy+ zO`Q_s3uiCoeA_wmdD`6Bg?Za{&U}`ZVP?HK@>yD%+2K2Hii}U6J(Ux-Q}Sur<k_Bi zVml>go>efH-aK>0*+V(scFcU7);rrUZ`+QUkJ374E9RB$nE5cRb+%xh*^ZeH(h|&m zZ<;y%?5><+shf3Yv*xkwxOqRVZuZyQWvRyd&VDmHy-9M~*>yR~wnyGetC$^mbIqx= z5VPI4b55m|&AytemTJ7~?4lgD)Xh83J~6A_6nQ7j&#d|On_T1Vv47qjDi`0o`^IMO zd$BKW9(|`*Qa&+VyrOL4?r!Vd8#Z&_iM{jgP?`AF-3#s>eWRCB9+)n^ad*RA*155J z-X)#Wiz!!37hk(O;qK8_dLiY4>EZ=t6L)o+?{>I*^rhaNH-}2Zm+n@$>pDAj!_A|! zVtd{>m567R3GU*)5WD2&(dT+L<rjBypNq|T=Tt17QC65HK6AIhX6`ewG4Bo)iKmtY z?&Lld>+{a3NPOaMh0WY2Vta13&WLTfdGxWKO!>tf+{a>d-Z>SDckXt$bM&DePkG=D z?nALW@0<$66UsiOipP~*OcjqQ+n6fexSQe5(ffLT$}Vo_*4_Q!_R)KKo8B;;)?4?+ zDPO#D_kr83Q)5GJvQCY?^ET;}-kY+(?cAEX7u<H866<jD=pDT$Wgk<-3wIZ6;@%Ov z=k1}lo9>^k(SN-+=X~m(=`VNYd^fs#`cq8X^G$b7zl%wGZgl5#p8n>&YrYxXI-RCJ zdGDHYsT-#sjB|Uw>H6tsG0&=I&N&^WpS(BctI^fdk7C%KZ@O~&UQAon&6lZpdd7R# zd@;Io`c}-bXPZo?_r|GJ&75`GNuPPI&e_!Y(<|eyRZ2cjojpA>uI<?-<LRk!Y0r$# zoYvFdyl2gs)Tz@0<IE~&K1rQ8ed11@Pn!&<cka|VliEMsGVWT1<m1%t>6&rVDkLAJ zwojLg3#*WPnA$v@Gmh=qCjIG^JJ*~}jnTVZ6!{>vVfx>{rpJyxI(>S@iW9!!ffp~% zI(Z|;%v>^arSvAVU6bE3-dz*yCeHrp>-R6bGRIH2*|fLB{NZ|Ack<b#4}NObf6bV4 z{LL>td2JIOml_{$t@-mbiu059?@KN$>OQNN=Kif@YhSuz%9E6(s%dF6{A}#k8>}^C za&_L8S~~q^tXlca$tO?5sHGa2<?0phK65g)aQfL;H}jjbY$v~Lx-@a`XX{^8l6O+} zO?TXAESdT8rL@W9xtS?*d;*I;3K*W5;bbtm^rq2GalLT0!<*QT=55lLeA3sf{P$_^ z)juRsGTtO_NH;ob^X%u0*U9--uP4l`{qt$YtK`-F8|~7*&yYD7cKG;#EtPKsCUU<_ zUePaTckJ0li)gvaL9FjL?y<6s_$GI`x+nkq?c|c~pYJ}|sio#^H1FSew{X*q_sRZZ zuWNPk48yILZz(DgZ;s_LJ@+bU{nokj7l2MIR`WQOxwI=iQRAL`*o+z1ZrtoN+`{PU zydxz;?e!d<&7VGR(wO|y@0+xF<olFalN;l{ncZA+H)X@*t21-THbq%Yo;b6o#K?T| zLO(OB$ag7~YTcWyB44Mh+dbLUFU?}+<CG*d?>RjmH|;xl$Y&dCfzhFpyL_HKHPW4& zxU=W|roE>(`AmCiq%%Esr_cLMdrp7Q+gubm_4JCEvhtf#jyuT*f1dFod2#>R$~WIO z+V+37bNfBxZE~^P;aZ+AhSvReD|Nnaymj11-ny3OT=J&=vvy_QC1xJ~U~}xnj~kDZ z=k_Pseft?9W!T?a$@9t3RkvT!P0r8G?PtW(<W#xMHE%YZPM)-@|E=A!=NoPMm)eP? zl^fnW8X{l)X~vY}&lcIZiGQD+Zg}h3(JcAu=rdc4derCNkBfV>;8{|z*lKB3ZaqU2 zo|yQUSXWoZV^eO%hLz8pa{7f{cah|j)6euK?|uVHA(lIN-fh}``i|P~xo65Z-8=cs z=a}`(c_+)%FVEFEpHiWAdhVNZDP?Mh=e{X3x_vTBeev9!GNYR(U-^hxOTI}dQLCPt zb1r4w<dZYklp0+-8KxdQSLa+xftvT+H)m5;OrGoaEY0ZhNiTKfxogg*<fug(-+Ym> zX!6RLIweLIPG0i)W;yeD%G}9?e%mZ(K1<0^vo?-=mXfA+c+Q(5qtho(`Gi?YK24cC z+0#$VQgY@=1$AlTnKMov^7#gex8BKye%mZ&K1%7Ftms!}G4o+c>tsPcGmDuIQWDgD z8_k@4a+lAs)J?jRS^d~7Zr)F+oBY*xS*p>#li$=%8%a((xz1;qdE~v6iph~P*PKcT zQQJK`=Tu7B<g31FsYbg_F7jbZ-L&)M6SZoi$U7;1YR$9X<Qi>1UMK&#cFp&Vw*4PL zk#hHViTvr$67!B1$?yI=WA5<+`Q5c=zHPj9JVSnVt<SfOR{clq*nUgQJsu}N`}2&~ z$whM6wLM=qUOgTn-~Cx)&ha4m>dz9dl9%`ItUU8&<E7&s^4XsyW*@(1WA<yti{vah z?pmHN8%_Fa?VkONc%D3`Ki6*APl;K_&)H1-Ib-H=1Nq%GXFhE->JPPZ`+4I@@}z!O zJGW<sCypPpQG2%0px@T+*^h|F$vyqLcFTT5JWB59m$gg#5%Dm&rJvVM?T5sS<NIu$ zJ>96+Us#!QI=Qi*(eBTXTG`!g$h-f!G{e3MPv(~a8wFKwrNm$;W4Cb#;N#MI;O z<Ys@GaW}cN-?Osk-A0Xm$I6^{hC7eXvl06~WAgC_a@EymayQ;TS|k2CHs^fOp6-{C zIpv0TkABi=OW%0s=sTUXbi+GG^TapDt|>FTbu>+Ua_pLONgKNl>ba$FynghV&a+)J z<{XU@PmawgHN1NCkq%q>#w$nf>9p;-@iHk-%s6&UiQ%QAw{(uBZ8Yuf)l=IwW7bh8 zapqW^vq|&2EA_7Jlz5&ryE{{_Ep4N5cdA}mn&FwFdg7a7)|^S2+8wB8wsXdlq>0@V zBYBE88g_R^>YPdH@3z#twnO4^Qg^qe-n1POkCNKECH2B~NIXnx?&j2EOWUa5T^YIN zbW)7i?M)F6k{Y`I>OR{ZaX%?a>~VBX{zjed#K<?NlB&9&>b7m4G4<#w9k<ktyN@o@ zN!uQAS7OJ$9lKaW1aG!J^(uV4bnj7FaIRduNGK^tY;m;CsiXk0+0j0E8`Zmy>OM;` z+;Q}tSh4k+?*{h|SMlF2mUy37#dq7*=lcem_J@`_-#1vd-?ZfUzTx)a9RAg|J>NFm zJe<P6x;WxZ;)eE%lFV(w@iDQEuC7`a_wC>j`TJ$-0^2uVH(0jslv8^ywy}My+_5U| z>(N{8HGSQ1^>9f3#HxrnhabpHtGY2edd|H^XLSP(2kf`Gr*uY_E#GlP1-E`|-oYI+ z%DMOMjn3G~8b3AqjOW4CyLY$V)m?E%N$<@U1M~Koa$!{xFB5b5l8bN5I_$<TZ0mD2 zabbI-+_YyK&L6hne{IwAdBfSmCj8FDH)b9-Vn1y><6Xk`=Dl)hX&cO&=kkfA8-!dx z`0RkBPpLuhwS!UY(y~2g6SA-Hs8vZkOPt;wDHrx^!>Pj>{Ihu`+vt2UFlu*{Gka!W z*xqXSrf`EvbF17li->25z0Hbp$1G+%P3&&hl$%x|@hD+I^IXd}9}Es3=HgeD(J3-G zb(oD`Scd0pVglc9p=VDw=(QJ0p2^>^|L`^$u?mR?3FY-)|1GtiA!49avU|_I%KE2# z-_la^HfU8eTS~q;nGpEz*V0<%&R^kA5=z_u%04TPxStrs_t-k;{RW-(M9Vj)606#u z%C?oyn0k1XjN8)<yALnpkV`9%xSJTnx7b?eRAK<%Y-^u)8`Rs6%07Eyu;cJOzT(|S z-|N=oU##x7-Tq*2>%8bU=bW~;zSI45hv~d-QGVfbv9i3z>h9aoH|{O^wn1#m_8EIy z-{`)&qx4N|!}bNm-Pfbf>}-9l`{d4|uVQPqN9=8VrTgd((>dLs{EyGXR&2M}+d4aX z#=S*f#FlK&DCRcX9#PzVF}mZP(^*}oe8#G7lkGqDv_99h%0F1yeKtDd9@AOfb9a<J zi>2i?R(79`j<~nzjILI`V`cZrXpehJpTtt~7%RICw+j?^8*H!G)B0FfD*s?b_t9vL zdrBY0I<{NvX?>{6mG4;5eK4Bi9@81!eRmF>*4=fd>9p>)J4zqK8n*w~-Fjd5SKh*> zVl~@u>~@_Nov`!h)aVy?mEMb0Y~N7St+jnYQTOg>pPj9DbzkKvJ{2q3-ciJ@v3<tw z);qfM?kK$z^UGr_@7^B0gZG>DjQ0t9o8L<IlpEMKzvWwI9Wn3V2cBuxH|8FE!_#Jc z<88vW=Bs>e);HcHY-+wLsZ(Zf<KPRPZ&o+v9E@R~Y#i}AVNLT|zGYSsa}GY>nPzok z_Q3#lYuPhr6P7hk<#V%&n0@dD&$hG;7Z19y2OCGcNLbLkRPs%+!TEz$?2lz~iVe;l zyueeIw&BddGdyjUH=ZU;Yo02(rpVybK{fWtpwwp6?8s-9W?<OdD*2|+;P^o)_GFoy zLW83Rh1i{C-V_)dKFGzcER$1UaPZ&(o^PoJ`pu=1J*N|**|=qR3O4LN_=oMaw9n~; zn&!8>(^3s|nqwt>@;B@`_<?P+QN+}PD|pJxZ%jG(hHbU9oxW>-m`Qwhb*Cwaf6 zY}j#d4iB68joS%%^?(08{T=>3zWU#zzuxELch|rCHx)E0^YmZobA9XjhyO}{Pk$S~ zwf^Ei)8EtI#Fzf-`pf-I->QD^Khx*>*Y`j9bM=?_>-g36EB}c;*SDx&`tR#A{VV(Z z{uh0o{xW`P{ltG)pXr;`ul<?*MgQXdD}O|PiNA=?{P*k6>CfxW?YH=U>yP(meUtjR zKea#W8`mfPEB!hBX?*IxRe!oatv|V6<$u?o?lbWd>U)2BpNUWWSM}%g$MwhdOZ<=e z<Na~{k^KVyt^S<;u>R0~j{j1Byg%q0)YtwzeL6n&-=k00r|oC@&-CZ@d;R_UfBn1k zN&J3%b$#kj?$hz%|1SO3ey_i0|C&FcPxZCx@BTG?s=s^xtACR|iQkP6{MYp9`knZq zdfT7c@ATE{5B~l7ZTfAUy7Y(E-S;Bj%w&D9^W{uZx!A69P7SF^e{ZRu5kDBYhVPfd zFPod{>)xsvb52f~m@Zb4HqpA<dh-Tj?mLlp&K)Wf+q!wd+@o)FQqlv{#Wrqkn9Djh za?iP>b2>5Uis|c*^8J1-7QBP0`Nz8ObU(>DI<G<~z>TJ&OzqcN*1j>c}jaYQF1 zT`*m&AZ?;mxA|s=xkq2>+&OcoL~QA1g}JV?BR9-EIxDj0oKuNdR+^v{_l3wMGmk#k zv5}wc`dr5%ePgCc%L5keA1~%N@@;5n7Td+$>u~Y>y;ei980M~PpNs^zg>F-N<1+K6 z1eeJYC8oH<sARX9DJqIb4fO0bIfU?Bp2IpTa?VWGXF6xjFcphU-@IXt>&(cIb4^8J zlQ&P8<N8GB#2Ka{vE($xG_l0Aj~3j=BTddV6^eCl4w&QmNJk*O(1QDLq{z9Z0<q@J z0&`erMApn~oqjmt2cz7L<*NPbx#rE45!q$1$<PRVX_5Hfgo~+SF=-o9#Tqv=%sF~r z=TF*2b8g+u4`v^|r?cq{(`lV`XPolIDmNdP-8wZgWG3s>$UA3~PU*Z!3pD4}+`M46 z>y$``nMd#FJW2bQB38J$z=(TC<esyK-mY7)NGWcA_0M-#cVC~kx8nPy&DY<>?W%ry zlly$!&g#22PoIn1QGNGa>hAEjaa*d-zEj;DK6h`@_olnu=i=5^pS^jyRNu0C>${`7 zuD^;~Rekg(_qn*0)q8Jpm#)9O_s*NtUEwd|mQ-)O*?l%H`<v8V?-G5}>ehFxyTWJf zwfp|*&gx?Qb9>FcU%JD6HZJ|!sXM37#LcLVeV4j3eCA%Q?~Cqa7wMnetMpxIv-p#^ z<ZnWo!)NT3`(AWsb)o*Ty<*>k?r0b4AKA<I-RREh0{ugK*}e<i;XV@=|LxPJ@acPZ zyxE$%{=i;_@4s%J&R@T8@0K@5w_m>(7y0ebrt4Gpe))FlHg~?hc6F#E^L6p-{VzN9 z^_X2b&KwJn5LJxb(BLLEElJ1QJG<K<uyKR(dX4Ifw%f%;)C<bLKHGUZ^gEwyMC7#t zdw2i%b){z$r|ag<$Y(}8DT@;pii=({tG@fz^r@c3s_L6}Tf;)WI3Hh~r>|Lk@vUg8 zzDD)gx2oH(Pu~0JThS)*J8}8dJMVt2p8h^|Z~5Du-QV?W%irExS{*)b_lG-EtFO=9 z{pL<<_4T*0+sd!rbFIGqCU#T#)t%bk^lt2aap!B*^*Os^-cKqHe;vD~eC@rZRpE1X zKe#is>iX>60q?E$o<1A9tbFP{*Q)T@yKmgt`fT0B-7fEgio;*TE+}8R^Yv%F^SiCy zKiZT1S?}!b3wKJNtvj>(%$?TC>rZ2+l~3Kd`jg(N-D>YA?a}_EXH@QZ&-9s|VR`G$ z*B|wc@0NO>v?u$c-qGDc@16F%{-AewH`jZmJ=q`h4(>j1=j&5F{qoYC-KS%t-*N5X z{;+QU?mzEd?e;z$TT}kl_SskC=Pu&c0s;fWr|sTwr}U}b-rb+x9ol{RRGiu&@V#7K zjv*q72RSzxZq(7!XH8`55-17X*?lUuyxe!^>38dP?Ot-nwLE;v?ge*D%ctLo^?%p3 z`}E1!g7Uq0pMLAQAO2(CqVJ;BaR+~M+5YaSJgWCZyCi<%bJ2>piNCw7*KhdDbtnAJ zzC+(cx2|7Md-RQVN_^mR(T(dHYFX!o@7b4hPCF)E@ww>Q^$E2{UulQL3qBVuh@1GU z%Y3~<?a`OoclI3mBD!?FLapoU@C}tmXNC9dbNV8h6({(M>q7XF%A?P<ZQ?Kf<T@9g zv(M?XXhvM&Gtrsr4L)=E9Nf3xK`&ND)zVP?V1;4L(Wlxv@qy1or><X6bM%R}O1$7t zE~E7gHAiQJcT~F02)EgH=%Z-w`h=RJkF-VNC;s3%5-zjv&<D}h^$ImdA8510Gd>fI zk9+u3G&b(wQ_<)+!5>@)!vE|&^j@@f{e@3l`@-MsRXVM`Vb7ye+F#-(e&^Z~9#QE! zH9Vm5=w0n)dk(!5EnPq16W6YAmrB+t;b-<by%Y716a3DlzP_RQ=xyygVIOyM-4Fe; zF6q2hMfk$!8)8Lwuf7oLIxqA=r0YAacWVxv(<%_Jek0a?_E09T^s|C?+dqi{?LUqv zg%$4Rx*d9DT~nFp=G7BoUFU`#S;tf+S`zkgSC{4Lg4otMp<C89m5Q!j9T4j}C-gw1 z>np8$YnVz!m#;3^%yl_*%DSc!(cG|uX`<O-hP${fhOUToeWB$LJ~2&n{^}1guFthB z!Z+^ZIvctm^61Raly!&BXc;`O5@D9KjI^A-ST#*;*4dpuELf$(3qiXirbN0v)zSzz z+{tw^)MZ`M87+ly!!*&PFu^p@{?!FBu8*}O!Z+^VIvT37j;T<zeYHW1>q9M$aKjy3 z2SXbok4_J*h;*GES`c}3S}4mprUKFW)gPi=?`v&Y)08h-z52u^E}hjoHgW9@eX^D* zUo<Q%FjX`ptZ;jm=IRa6u6MPTtZB*<4Gd#U6)j#pA^Pa#&?9RPoz!{|_Hi56_MLUl zKh0fTzRtGz<GH2J^|mmqv}^t?@b2>V^HUDj3W!R|eivl6yyo3h{a5r@zP!P2hJR<b zT2Fr$Q~B(WarnEK@@Jcj#oxtjFTQy$)LQ&)%;w^g=R(uh-PoD%e39|>*D=M<ispKs zi&<N|@!VFc>91l6pEVhWzlzC!<}~+piJp1!%yXeu;xA)zpCuVzpS9ENxzJqivoQ;c z8_!KmTX%k^>1tx{KGH-D&dN$@u!rokq`%jK!bDOfQZ+7n-*2)J~1(v*vggtvj*v z#2Hr0>oay9IU}03?)Xlb=UH>Q3)dapDe~NF4tL?Y!#jDNYt88{Sa)zI%X22<>(h7c zIde5tPp>$4rgnkeft~-JeVV;GUr)FA<Jqm|;nQ|*I8&Ocw|D2KXNP8=J{1%CY?0CR zyD`DfHqBn0r>9wb@vLa7o<{N6v#RFTC+~dptjI|GPE3CB&bg1ii|kwe!uIIA;1Bbh z&TE$WFRbpeS$?3n>rU_;%htKU59U4kCbDh$3R~8<nrZ%u)m>K0JLaDB&AWa4q+Q`} z8%?9z*}r$Z{k)C;jvHIigjSmrrPZ+uG#s?vXueqExw*sVhU<czCdQRr#<S*dbakxD zSh%Zlb%CzI#^nVm&d=7K4DQLSiFy4&B=MS247bonox_{ArJTLEYSGm4uIs^PEU!GV zIeK67mmg!Aj@KH0J<VNvp8g2C8?`szcAn~UotvABHplosG`}`)Gt>D`(%s+J=q$fb z#C2fvf;or2iWK=>tm3*Byk?%$SCK+L#^)j{msb>XT?w8u@6cIIANPf;(?zOl+&@-x zZ4cfvbzYMQ>od(yevai_+THz03d-(^m0cQ(7uc}g(_FP+Mve0Et75EgH6%RU!*yki zFNT!WHGRr^wdjJgBJ1@sk;44Dou8)7+oipG@dl^oEE_&_zL!pXKhNEvPvP>cMQ4?A zwx@;qAABYl{%Ft9U)N^4&$#JT%UvQ^Ecfxr+H3A7_HMO$E|TqMSjBZQctvG)iQum8 z8?`OZG@bkztGY~<|0r3ito3u+8znXE`@d?mdEZ={<(e|@&}Wh8xyv8e9DSx~;@|j8 zB;9XfC71E?12#vWYU=n0J`<U`e1Xl;Cyh!<wz}raC&aui(Y>-Y$X{@$*zDj5maI>r zHfFa*a-WIHz7|y6WtcBI&*_Zjp*fE}iu5hduwi|yDdxZM$F(PsaefmkxQ+zNxUHMI zUF=>|*ttou-KU}=uic97&ezplZg6E)(Y1GxyV9RpcAwMaI)BJ!^=F-Po6XMODiWI= zEHLltGo4GDz0P~taDQBLcr(xWOETS`*6!N==3dn&v8lm4>#kOF9o$;y&-hFv-tXa4 z-DBHj?pMXEF3>%^mCyg;Q<0c!PBPqQBc?9DVHEaElj-~{Yt{#mk>{F<!rp2AJN8Sa z`@2Zp@)y>p--|?@<C4ifuld7oV|ka(@&iR(+RHCkyWZ3M;FtJRB-GFGsYv<q8AV*X zgRjhWI;Hu-Pw=TofS+MG*Un%YOV>M^bLKF;6Ukp*Abs?`MvePL>n_{H4`f^C1-|KX zDi^7AYfKl}xp;>$m-XTUvPa))<hTb~bKMF&)8|wsQtBpX&2=O2g5=TH8qazTm5LO( zU9{r57PzL*sZ^xUjWJzh<>Crst}B6a`VO7d@No~c;<^;LMUr)P;2O!JFEm`-7p93U zT+ATrIxDcI?@+PG+{F)Mjy}^cac@i$Nq3uQ$z{CwfXq?Q_KLtXk*SLp$Q*s5q2exR z$z`;-LFVX;zz#{*8G$x^hYCe{7bnOZeWW4cKGA~fNT5vLp#qWC#R@V<A84?+Gp32e zyFE-5iFG@eDiZA`Xu)+L@K5ide39D47mT>}1-|K3I<2vx=g}#RFK!dfx%LD`NV-l9 z43Io}S7TYvp*)e&#S@IUb_KdfvQ7y+)9aKc;_oJC&ZWM%LHg)zjXU>#)t>&o_TKhy zdyf8|Hg9{`{Y$ml=c6m`ovM9(F1qaAq1xBqbZ>9Zy1%G4`<w2~?XPT{@&tF~AGLET z60E$}RNH+ndVT)c$|F;^$K0RvIqY@xnzVaSy*1un*IMKstkf<Q+>yUG^2odB72O+Z zUw_dx?{CPdIkIHw9QQMkM_xuR%b&W>^;g*J?Kk#peYW=Ec9;7>pTk}#Kf1?s*X#4O z=So`Y+if)OzpCjj7K@LIi+6Q(ZkI4Ky_hpMvPHj*duG};1NQ^Dv3iTXH?``XUTYLR zHF`?-jn5qB+?oLet-2aT3>Mp#UTvLr^l9s|1t$*PzxE`0Qoidx*JrvXwjbN0`fRO1 zzU{uJKf)eI_vGvDTlypHQFKSX?7q|=VGpBQ@_F~E{t%n7eczs^PuJ?@7glDUj&96n z-1qhSwENMu`I(j7@7M0#zG+YE)3w_9FZWLUE_N?E?B1$RVpF%jyEp68w7b!z`JR>C z@78MMJ62}D)7`m!-X78K(<X0!aIdQRbne>wTWhYrip@SBwI};!WOljk-L0S2w5G4U zv-RDY)O6iDTl21Oid|i%duwaj^+~a-&qZy_KDf>`eeLzF&(=KMHEqt;sOw3w*`>Ny zw?10Kn!fhR)_ZGOcU^lKm3Pf3c6EvFrLDKt98Ftmn%%ojb=S06Tb-^m#cH38nx9>{ z?&?ml=TWn>GuO4Itu@Y0U6-1sduFTN^-VFW&qPhl4qRutbJ~-riP;k)xr^2sW_Lzv zpNZ<vwp@30huGt&?rhC<Q+J3xifYf6To<}S>|s=MHs?Clw6*%#m65AYN5x#bwJGdD zR73XPwNJN)-H(d8_9!|#f2~e-V&v;nQB~Pb*S2n-Hg)T&HLj^^cW+&`CUtw*-Kd~z zi=wqpMFm`&744n3Rz3UZ+NUYHJGS1tR%HG9yUzX1Rp)ONi@lGmI(N&~`}-Q3^oN$( z-`7~D-?ZfZzUKDkob#(}yT7fuxjE(hs^YLWksH!4TE70e#xi~9Jk{rGu5FGuA7$(P zb&W;(K}+qgYs}LZ&MU2&HhZ(rc`e)SFKf)wH(F+&ja;1GIdAE+H5WEJocAie_B?W4 zdg;8QmDip{&Prcs`T9)c%=DR-+@IH+-mG(8t2pdw<dk&Zd8(CRPa;#!HQ8jJiA*}j zRebGnWM8`JyrUJ@9z}MgtIlh!xb`rzEnRe;YsIw(kxl81mak7o#+`drBsP8XwmG5| zVh<wg(|^vrT0U*s<{#&7S!<t;j5xQeXxhEV%JheGQ=hKUN)NPr{ceqB`pvna<<q8Y zesQj=NNmdHXXhqazdjjRm~Lsw{cg?n&3BIdl099%=HA9{Jx8sl&D&UZ{F1Ep`G|^R zr(|EBizqvGNcMG^&h3p^#}~<Fm+9Qx_^L<LTI@|k$+0Tg>~j(8l21ymF4eiVG3<Dd ztoFHxf@5B?ug^xTNS@pGG)?F7Mz7;ava8QV<Q$7KzV;$wQSwSj?Gl{}8!z>IwVd`m zVs3I_-&V_M&muC8Ss8~ti%2_mNal5s&gqS(dO|J5o<>Yg_Use26q~tG;kcCXv>6)@ z^?bFM_Bf(9*|2Y`#k5Beoym%Qr54j3Mzkgi_L*8tdk~Ru?3dBB=^J<T98F!Lo6OqB zYH{s;L|yXN-leHJ`!;?%cFIU>+QxM~OU=XXMN}k5O0GT?5pryoboQx;vgE71s;N4= zHZJO6O<l8d<C9}mMqzg%{EjtAzs}X!?*FIm(0Adz^KX3SyyySo=aF~nCH51a3s=}p z{M~6if5T_aJN|d-4t*2eI)B06BX87G>;s<*Z=B!omu0U1p1P!S>M{0;&xO~{PxyP} zm3oN1;B(;uyNSO#&F4G(J@QihPR*e&!b|5X{B@b_zv1VRS^hnBPG5wx>;!*tUhrS? z^T=~`8~cl(<6Ls;oIVR@*cCn#o;ly(Gv^uqn7Tusgj4MTe{!Dk_o;LGBs_7x!e`DC z{yjfiX85=KJn~pw#{S|D&SU;Mbxt3JJLfz6Ir31Q$3E}}=OKTdI;Ri933eZ!3dh-9 zd@3AcxACcP<9vocNA9ctvAg)4Q+NJ@-$(ALZ>nKBt-h|t>Ai5}`~$yRruv8cWSQ!J zr#9)7`Ww5z@0^<R7yNda;_vYD$Q|`3b|0Sz7tSyE#JR(NPwk;x;r+8e+;w@c_ARHW zT)4_?<8IEozE5tl%=3Mc_voD3n;fQc;q9|G+;w@YmSJwVoAajcm76Ya)Dp}c(}ma1 zp0Szpy6=gbF0a)h%s1}hyy|=8Cd(Y(C3%m|ss)%A?&36`ZE)A+rJ9HN#Wdj@vyExO zi)RbmZJFiUlE+jcoN4xPC+B(JlDwv3;n}k<++msJJLjg$Gqp20OvS>}XK%RUGSfFC zuc=6Q^6UwBT%M?%$YClHPBv3a6HYYyxP$Y!uSs50p>X%?fIBXa)C9~6cW@r|70GKV z5N@6=aEE1vZ_Uk?>Aod5S*H8u+;n-M#$f(&JLi7iFS$;q)qa?5+}^1(`@p77?b#P@ zyWCUzV3wFF9BSs6DqKE$#wO0)zE^UcPN}^x6HFBjFf-iFxzpF?rpq0*IXO&u!uhic zb{~1KTBColy3=<0gS{>Dyx+t*eHW_KYkV%WbNY^APV4Ch_8xhwnxh|B&3ViFOq|m< zp;A4;YR((p7j_<bt@<qH&{v@%y^B?x*Sy!nIeir>)MI=uv~qeyG3OQUIdO;1s`}^$ zR&ieP-m;Trw)dKyM_#D9=r4RGv~W7ZUYA+kHF1YN3(cMWV9$|fswVo4&xF$TCRTD9 zPd~8d$Wv7v{lI5JQ>QQ3bL5GtioRear_uC=Jx6AEckFbT;cXLl=%Y~Y^n^V}9;u4x zPpsfP;w=+*=z~z}bcH=f9;mYDGd>fF*L(O>C|2*_Q=w=*!3xd;-hW~by%(yTexZnS zpZA+srPHb#Vji7R{h~LqoO6$N#7>u~-T^z0+*Mr`bLgE=>GTOjoV&bTcCt+IJ`?No zPRL(Ru$)tUdc*D`w^i?`eYEDh@A<_q>AXsX`oeUf-IFiOb(!b+V5ZAEm3Kae&Z!ir z7g}@P_PpZPR3@}}@`SlAb3KpvF_j6GsC~5Rw47Wpw`Gp!7Qd!aq1BTE=DN)BJTTMc zmC8LIrc$BhlM9SFFMCe$Ybp`SRXdm_l&xlH#d*<l#Y~qMDh}!s(}d<v{xHYoxr&AQ zMoZ4Ko(pCkndzD0cj%0YfqJ1O=V{L=GhLplXs8=na-Q^b@oPGxqM&Y=CX}Qmm?qRe zxnPdVV-*SYjTW3oJyrae3WeGy8_aQesKTLcXu)~Vvtj0u>7ErcU8Z{$%sev9lf{pz zK&XE5huJRoRkrvv<qK6$K4HYEGkJ#*=U&ezzD)T-VQPV?LLq8}=AD|8H_UdqtFpwW zDNiU+jWJcIc=CkVM<#n7@jY}><$>BqGtTYqf9wu@7u?%_<1@!S_ZO8%-YJ*JPkb&| zAvf`Nhjssk&m4E$@7NvsCb+eKLG6(@$|>@J&jmO3H`KDsb>Cx`bWS-&Uh%o$+Wv&v zBd?T0<OQD#7RXKf)nVT6P<!O1@*SH)Uj&!-E7ZEocHdBWWR`o6ozoY=EIGkn92eY| zR33S*Y$JaWbkS0dozrK*47tK*f;0OKK69LLkFh)SNibC|@F&M9cON^aPl6Ns6+UyE zaPO&Xnc?12dE~LOjQqtP9LL;s?3_LdcJ@2e9C@hBBOmyK<B&U#ozn-w1i6n-1>@u{ zJ{63S+xS$lv7e#l$bIEMau>gI==MLTK5|calMT~p<#jer?*%LS4^+2Ibq}dznd*MW zHtCe|8@a&m9Gd+Ls$HhIJ5(OIqx?kf;}gNc{(?^&JKXo!9?BKm-~A!h<-O83ou+cZ zDzS~bIqteXiDa4Q`b6*1Ii)u`Oyz>xyEnwTyj98&H{8u})AdTE%NwNxamRGQ_1!Zz zb6j^l5$W<;DMEbXE{?0NM<Q9~xGvFqbXF-qyl@wXdAC8V%S$B>@r!AKIbs{r1Q&M; z#J0?GZP8;Y5zG|(xRc|&Yl&V{vEc0P3o$IST<1i(JX1QO!&EFdy?aB9%S_i0y{00; z$=wtFaIN+3X5|*v+;HK*u>b{8%L~GSnoT|uQZpi^H7w$2Qc@5%OcP8J6HF8A?=FaO zd8{NMzHtY~QCAf`rb5B?ZUY{Voym3ej1s>*m&$T6CA?tQEbmEq6%Y_`@xqLmVz;_B z2&X!`x@sTto5HHWB_*w;WhCv~Xtv;mfxNks-TwW$6^#*Fe(Xs7Sh!jE_1)vS?@mU@ z#0uY6+uwau?4C;5wrS^l3*JAt*s2=fa>P9>UEbVDe!HW*xud+fLFA8`JAc02dh?iV zg}K|U>E_>$9Ou>Zd&4`g_RgI}7fgTVE_z;O=yzuWqpM4;U0#b_UM$P%A3Iq4T;Dw| zd;a|KGIO<^{kP}!OV63HYD(>$B{xhz?map0Xx34m!ql%VqN1!nz7#6oIi7prxbKIJ zS8DGZuxZ=Xe|viEojq?JUrUuY*R#uu{#M9+=QwYH{Pz8|cm8}Ue0}@4?`gZdn%_I# zzI{9`Ro-0Z_m0?`$9?nVx9_RF^Wj_J=1u+4Q)}<6c=Nbyd;e__dGp=1cV2xfocw9V z9lN}e{-bXni>1n&Yy94E_U&V}?Kj@r<@wj#dGxKYcvHXh9lN}IySzN#y8K7CmwZ>N zp7r6Li~r=ivtQg(Iq&=7j>>nn^1P(yYCFt#?(KZ*o0acWJ^AMB6Ze*!^G(WUsus3N zWa{cT_M+{jS>@i7b2cnkq~y+G-n2vT<m?^yT+aDM<saHLQQbW9ZpVGMUuKJ*s;x5b z{4991U*#UlIp3iCPtVj=m|O1coIQKSy(M4NmPjY=@ti$-!_JeldROG7d{tX)F1WXI z*6j~x#L6dMxT%%CcusDS*~!@r=fWx`n{4Jir&clhdG6fph4;2qN<Ycnv03omlh11N z<SRc-+;KA~y}Eevxtr(COf#Q7b9TWwHf!m*H{;T07f(KWbKRL`=F!i5P4b(bsio&l zsuVUhKe*@QQ(v9@-FrP}&JMZP@=0y7`NTb*Pkc|@Vfmz%oTv0mEivy?h4As&CihxC zs&$(O?(ux&E0AAQA$)kY$i0>iYR%??dpKvzuG!f+eRj!C&grvrc6vT=XD}~HS6(mO zxR>*RyPkd1PeBv;i+@f$^Zl3i==;Qjy+`gXd9N0gcc^^w-q|TTIj7Bz+1Yu|_fuX| zxv;kR$|7Mc^PRg-PMLk<?vgySi?cm;a^Ce_bcf}X@3TCm^2zGvox3|H&pvSX$y>EN z<DYXo-}_XhZ%S9&V|>wg^4*y)&Z(UD`EW+1T&+AUDP3)c@y@xOZ+)`TovbI{oO$Bh zl5;*u=}gwbR>qZcPtKXS<DAPmpQ!XhR+F#JTyak2oX>+ZDy3@qX-!s>FVEaDv-72o zSGtqcWHaML<H@GRl5;y>_*^-oQlhrN*wc9O`I!~xmK3YaF}^vc^O?_uGf&R=7^Nqr zsm<7)yHodr*@4^t(uypFPtTk()AOm1M!J!u@X47j=UUGAD5M*usU@WerK$CAzj-gL zTKa8nL7w;Cw`X%#Y@d7Y*i*CJw_l~*E|$KVo0P|0eEV^3-*(e`W~pj@#+h?CANz=< zFS3|?WTwKoCk1M4#+q|DANsI?F7q-l{%ItvZ~W3oSkL&T(c}X&8O}Y)SF1CAIlFV( z%nxT%^3@{Kno`xm(-xUe-ZS&T*(0ZXR-I`%<?}9Vx6x!x<BhXD@A@n`(~_qan8uW< zR%|?R_Q}aJkDNVn(&s_iCo|#gSDC_ZZ<3mRb=R6{savmIeX{1+uSxf(|Jb+WyJ~gZ zq2EHb`ZsGk-+6!9!*brcD8A^qYFXIfPeOO5-`RKMo9fopoa@YXNIlGIT`jpzZKu@Z ztgtwz-%@k0C&YKxu05B%Nqpnp6K`D$^pl<|mFpd<oVeravzR4cl$NbetkgZ7UA_L} z-epgfs`V~a3*PVkv+r4%;H9f^;j?3Ui?^P;Y7_pu#`p8qv)3)+U)QWXlij|4=Duk^ zW<AR8UVm|4*zZ|yv$wB*c#h3{_T=jk@!GY#h1<^EymW@mD*9#4GX0sgoo~Ef?NRxr zx<P;8=SkP6pQ-G8?fqoWlCP?3^doCKUwJ>;!*b3$DE`wk)fLi_H78$s-`R8Ii|SH+ z#ahqV(>GL}oHe~?pUW53tT>@xLKmhlsXY1I+a~_fPoZ<YdvcHDDz|OVtUUQFw^sjR z4d<-*l#|}4i)X#_KDS5Zvuavg)6Yq#<KM_Kix*0^d+Su++_U72w^qE<&q*hzd+byB zq?!`P^mCG-zToFc2KtqA+#Y+&_}`xEHs$hzIm@1zT)gb&pKQDKtBK|Eo%77nrF!%; zYd9Z!i^VVcG3m&3g?&#xsJ7{A)^I-bW{X$)A!MNc^OKOi{>x87dipm%O*$~0Vc(PY zs&)D=t2?Jn|FAdZy=r7!(^J)Ow`7^MA0^8}=OsRstT_MT6OaD+ADepare29;dvEwg zXK}gYzSBQy+nyWS&7b&8azWv|g}-_X&I{BWdtmgfr_J)#(?v6l7jM=4E3GSd%22BR z;EEqSCsGgSHJ>wz)12?<_DOQ`@~89Ko_~~>d3=Ly+hfCwenW2O?-IqwSJZai^Zpdq z^j%0>f8{43E&ZL<C#Oumv3JQk)u1@1?~^q28$V6jIsL@mCnvoh#XWkWTA*K9-Fe%q zF8tB%N%y9{iR66m_2opLS+w=6x0#cd2hIztjGB2_$N#eR+f!a;{-=v>-OFrQE;=u* z;?~2=j>8f<U!ptTWk!TeN>{B2o3wk9wf4r%LU*R#S$CvNb*uKm*pqL(Qo@7MRX1uk z#&XV`x@TR=Ij@*-rF7M`+KI6zUwMUu3#F?TgiYEt$z0np_T)>iJ8O=Vs4m_AL$*!& zj=`KKyw3TmOV+MiKUq6-vyho~<mO2ir*`l?KIL^~jY^5?0&UODlg>}AShu8Db&mGU zn9gTj7uGyE<7MRT9NRf_YQnlFMXJ-ZH8)Q>H8o&eN|EX$?amm^nNuCsrJV6PvPR{M zmt6Rx9g~htHCUHYsM@9N8N>O=i!VHB$D~731=gh$s5WUcZl0vC{c+PIJ?)2^Ch2N( z#&ACH`WN<S`=otSGa@<fdwmO=l&TsLR+Oq*rF}A*^Pbn5HA_x;tyuFUPc=9!Y5SyI znSXql3#1w^-!S6UTfTGdw=$_anSOrV(r>?(NCk%}rAqZ_FO2q_GSwmSWXz1rH)~z; zRQ<z*whO6iH_mRGl6l4VaGq+ucA@pj_ntNWm#Qb(sz0>toHzN+JeTh(m2AJIyaldb zbv@R!;=~E{38(F1zkPhPxMOR-@&mit;BSpKobx6>nfK(J=bJe!-&MA&Z?yG%>zUzi zR4sIK@)b+ZH=YUpPR~`=tIsSJx<2`YrRQtU2>(r0LRTjrvE-aHdC9ydXFUV_i>ido z)eUVuUwV4@UwWpJ<G1OV%3^gv+s;{&TjsHRQOWfCR4H_Ra>=}w&nmOkFWPX<nmotS z^O@(FIV_)5rmJtX@tiq1WM0cBmC5Q8Z9JcNo|wb(NhR4&>6uER-=_+p<C9J1wR}|R zRu8oCeB>$MUsNG<c(Ta6mJce;>Vh_$GbYzqc21vMV#zsua*n0v15XD3Pvt`UCx4mi za@zBU-=^|OI_d|DCTXi*wD!E``N1#gsY<Ay(^Hjl^_fLNyC+|n>vGETg`dz<l>k4Z za-p4*Z7e<Sc+Q!_@=hgRy-@n(dyg9TOV*QYl^@D>&YSqA&!t?Y(yb|7WvB8^V<Bth zgR&>zdgQnVSqt5oc&5*#Or_LK$Xe*e#0!!qUwim%nlxQOgKL3S()#_+4!zpY=5JwZ zHpx=CP_}c<#4UX-r7EkH17$tuOgtdz`O4#74@;@aa^*r}q01Ae^tF_z<hmV7Q^|HS zvJ$#DafPJk3l9hPNogwcl|RaOKKHP2-()FtcH#oblQSo#^c^|lVc=e5DRg?`6iLsg z9vbdOmO>{dy7aZ2@lbF#N>fR46G~I*S1y$CeC#3NzR5!9=tPx1mO_<wWkVUyhaMd6 zMixQ`CpJi)oIbHa(sTO60?CupCbIOg6sXiIf0XvT@3Ez)C10gl`J|DMj`B_;p}iBI z^s?lugt-N!s)V=|nNQMG-YD&P*JDXfOP)%g8&j%EvGPRelanVN={<7N<AK{JGokJM zf9j5WSKcds^RwW+{ue(_ymK$HpY&Y0!fw*<iPrKPKMUUJzf*VQoAOrqg?~@HaZj-i zdak@tzVR=|-2OdvDd*f{?3JD?ua!^yd*YRQh`rEr<pR4&zb2Z?JN`ZK()~`&kuS<i z`DYx6Phgh6@q*RZg44H~o14cmu;G;Q68X%}f@boOpC?}I@2GP*>+WRF^lPGt{Leof z&)u!;5B;2Ywm+ke<*fU;8kNt=X?9INC!X$)s9SQzUCZ9-=fso!9(5|8lvC`Oeoi!$ z7yLZYK)&)%$76RX`$In_9_`ntQ~9XeA#eGo<Dom3z0;402m3kdSkAcbt2uJoeOFD( zY4>e4Dj$>^<bVF|xbObUZqZZa8u^>QJ*M?1{5&zW|3$6Jd*urGjh`lJ$uImgad*GZ z&yKt9uk4hbDwoK2eiGD>pZUAvj{CeCm3PX1c1+(VZtvfb`=nfXpY+SSC+78j$a6XG zR%X6v_e2}%gPSMb>AiEaV{Y$*yeDPK+oV_C<#_9sX0Eh*qLp;#U5+<yFLIugDi@nQ z+9hZyoq3nzwOg3^qFoa$q#HL+ywbbpCdVr`Kl4Xv%FCoR?{d6!yOq;&*6mtON{R9! z>A=ksFZ4F#shoAQGk>&m;<?^}yp&?)S<;T1CmKtiywfqWHzDsyk@7TY&CL@}^#<gn z6e&-V?!3b>v)3Un<&4{r9F;R}a^{bAOgz?Wke5=Z+$HUKhvShOpLx=biHCXx@=^+v zo1_^xPt=$GxM`xE^utXPb)`A)a6EAPXZC3O#C^RPH#zRReKVVssvKcfl&V}MeeyQP zJ-0PEOHR41$a#{d9Bh`fed4ZOkDDBK-4^AroN{|+rnG&cx^(C5j>)|Ta-ZZX=WYLc z?^$*9``qfh$9sLx=kDJA^4_%PW;WZO-Ya`<X1)F4y|U`rZ*#Y9zj)8AdiI;#(!B1y zyx+{Mw(q@X_T23H?I(AxRY||jUA=wfJ+bFz7TcHJ`}WN2%5A^=;^NsabC+(Pc<<UX zGqde$cjkUEyLkJ`9kD9u7rB{vzxSN|yzSg=i~QSrd_S9+Y@fSR_p_Pt_QZQ-m9wAb zrsl2Q)B9=L$=fRV-Fte^<WAV$yVLhfZem{bp0gjf9lI@&AHB!-<F+HW1@f);oc*xv z&~1)<={>$5%nY{I?mT-sH#YBa(d}usnev(UynS!B|MsuE%SF=nbE~(f?&Lk48=iN0 zx9)qhJ-64~343a$wf*j0GjPi{Z*r0J-Q2*u=Azqoa*MXx?$mu}roR2)-EU>HZ|Cga z{PWy3>)Z2gR-|7xzWpv|*XE})dC%wU+<bTD*>gENHs3v$W*z-DXUpcZ=hUpD=iW?8 zZ=TzGE@%Dbvop_@nptk%dhVFj?N>RgHXohIdoE|?=Djm{OSfIVdFM<Ts4=)?^VXTY zXLGXCr04pUn3-;FJ;!DhJ?o}j`sX=oi_Om6G)uochxcqwdfMqZXV2u!*c^K<%`$o> zyo+{IDP7rE`bkc5ny_*7jGJ=l#dFpcnjO0-mL5Dux6tg!O}=#FIcp2d4&7u+7oNj= zCMQ1avr+W)n>)^IOWk(hCPVt~*=O^&?Yp_<%rW!Z_i`fB4jbK`dh<)#>Dj#bX4;!W zXZD`TDc|fn^K9O>T{oAUaWjvea&y5MGxOPZa{SY}XP-TpQ?PmO*=OHwz0cma{_DPN z&rR=L|FUP>?^*A%E8`A-j(V3}9=G|k)Vu8M>u>H0`z`f0d-M8}`@)`Yy>UGue(~p9 zud|Eeifet(Wv^Yoao@IIvtDHv#x;MAdX=3Y=Un^ti>dkgnft<iNxjU@jZ6M~Yu0tQ zc;Q;#v)K#RH}0GEZ0q^!Hu0}(dO^)_lX&OPw`N{9iZ}i&^(=e(`pA7@&$gbrt`R@G z#`n|K6W34dVf%S&#`Pn6#GY+EeqAO$yQcT!)}z-&;=OBlKW;sIohM$qruW0vgV$N& znLpo}etplLYfnw}*5_90elR_7{a@VY>b37pb=QB~yX||_wCfx8lsz@wd;L?~;p(%e zvP0t*f4X%yJ2-B0_1br)n(Hs_6?<x`vHt8{wePnkUw;%={7LFgcK-UEu}{7$?Gt~w z_r$#J4{<K%UCZ<rRZp}LKUh5RPWPRi9do-M#69_@v`u{FUXHh}Y5Gdl6RpHM_j0^( zeG&8It5UJvqbfm5@yxv(uU*6R7gbHP5N|A=c%^&KPL5Zue)^A|DJ>J%+{^LO^;S&F zS=VbZDPNQpi3b)>ywKecr*hWSPXAHm#B<#RaVejbW{Eo%Pc#-kxu;`hcS78gPfF9o zHH#;n>JErY`J^;SymJr7%x;IclryeJVpPt!%IQC<n0Tz)ATH&jQkS^r9*#$@eELZh z6AyI@#HD;tY7%EGo~SSWv1p>6_`{-!y5gLBI3BqE(|c4tabI`FPLBJo-}ELuRf^Cn zda6_<esVX*J=ZlcOHR42h<Wl(DOfM5eB!QdkDVNMT^Gf$oN|4pr&K;sUA%L5$K>t< zu}|JA<*oj^?%D3B_gU3pk7Iq$XYF47a^19aQ=8RK*OjH4TCaY%u59<Lw^>_PUtDLl zd)Aw*(y;DW-ZE3G)qB^OrJG*A`ee<uT~e>JR<B;UPAuKjV)fE>-_lI4T=fet-aPAN z*3#7z*Ii39HCw$lGPlI^;?*l_#CA!&$jS`+9dj1c&9ex<9phVUYO;E6q;9dP@#@5N zWjkj*%}Nbh9n)L1_2gBR@a~x2Gg%W>_eT1j$w~~XjyYSn_1IO3@aP!d!mUTH3WQt7 zoGsXT=qg9Jbc}C-sln>n$g`)jV#6M9x;5=8Q#f<X+kDggSAT_F-XwKDt9o^6B=700 z@UY9#y7{JiuC7@VmTIcC`tDk@RMXv8UxiKHBy~3{Fsymgtvgvot8F87^GwxOA6)xw z+pOCzb?T3-C*JFPGn3=J%NL)Na;06uCyfPdgip>rF}L%^OpbRhdFn~l6K{21@JlIE z+9bTvc;b!D1AZ;%T;kM?(v{W;Pc)u*t#gB4N~zK+;Z9>g3t`W>CuVmp@Ox6Cl&6+t zHStpC1ivL`U2gcKoON+iSF#c`73Q4F@xtYj&y!-MdBQK}a6ET0Q(t5$Xd-NBJn>BD zjF}wIT=dkFEGHTXubjj2)J08Q$#UX}&IvO)p12(IaXI61$mdC+QlD_<9FE5>V(N=5 zCLZZj@Ox6A)F!MshvT6Oo4S&Ppn>pDBSC%Pmqvnm!Z(d39_VE7dy=nIC;W1D$F$B5 zzA5=ik!nq;O5tjY%qQ;YeBgWJl*=lgmQyb8)QnP<%7j<W?wHbf!B^#!%L1R2Jf$Mx zN~4K8IxS{$+;O?5_UPM$`|UsMmV8&NmOJ!Yz?T1JZO1$3Pc|&)or~m)o-3BgHT|A& zyZwgUl5dJz_-EF3ym5YIqw-C01OLL$6Rx+PsqA>|{KRI-SH(5_k+mJKoFCb+oO2G6 z|MX081;1r&$L#hQc1yk}F5%DoEMUeT`FX;{_6|Fjv(8TPOur_W@c*poc<yW^f9U6g zv+WsnEN7k1*{FP0Op|N+IpK7Bgx!)e&RX(LKPQ}Q_pnp>q?jVd^mBqCzu@Ny2K<#Z z9gm%*<PZIraI{^+PUWLw2ft-a$3tf>d8Z!}4z_dHv7B+<XLIDV^Ddi~)6Uy$R6Zy+ z@c*ptxbOT+ZqZZ48vdKr9@E+rDo;#pe_^ZgUa^9I<EIH){0l!#*xl|^*>TtTm7LO3 z#S;F`PXZeJGpjr9IM1_Dd8g<n$Mk)|_VyjRPs$bdalec`F|YN5p38ZsGVw*bC)jWw z+&tk<>z&AsxvdZMo|GwW<6ard@zyC#Txs_NEAGx%jyFy(be@zd7K=UFC1A;&8O!n7 zDNKCPt_c?0jhiQ2Y26da@yf|h{85_XGH%USj+aiibXv|jUDHV^QC!3wxOu{b)&@P5 zvrcy6k9JNt*IJ;LQmi<O+i~**WA2kN9Wz@K^qv$cPUF_xJmFMpfL=<G;w0|Q7>=2( z4tgnPoQ~+IoN<y9f3#!5u~q}UltRTWZqFEwM^1d=NjoMSY8B8+DNt<UX52hMpZnvc z33}WQH%-vx=8WNZ;Pg-I(e?@ZS~DU!?mK-Go0O^;Ay$;CSjBxZn&Y0+8l5GloL1;O z$x{p#OWHnRSF1-P$6cpIIxMH0o{1@KpP<g&8Qn3t^?>e^T*W;0pSB(E9jo{^Jy+Pn zezADM-R2i^D(4+P$f$f*DCbLhuCRlBr)|eu$1HxQ>IpZSPslAf=a|ILR4rh|UTJ$` zPV){qmvfF${D-P0Ty0(<r*h8mfsD#mg?zrIstK2ycUX43boAnPs+wTNo>)A=lwH!c z<AviD8I>;z3)nr2C!BAtkX!OuVGjFEn~rCW7i6BCaWvvjdZsXgeWy*wQ^!*>OFk(~ zVV`N!@x)Py-|3mc1a`?{0Yi4q;t9u_E##JbROn%kwCQ-{D8%1XG2w8tgxr!33N7rC zHXRQf56C<@?YKu~$!W(OGAXAWnfRG1ChTwiA-m+gLKNSj@(FvJQ!F{AHOE+X+;jZI z*HkW`&AzfoK#P5+^@%CXH)NN*QwZX7DxaXi-dHqYXY&c!Cnp^r@jZH?P{3Yk-ErHY zj{TAKgnNx|BstzYeBntcSJ=gT(pbQT`K0WLxs5j@Io>(su_swixYc-pFQrUj6Z1;r z2{#%K@U@(Ch+{WOS6Ih9(RjkO#tnQar3$N<JB<Y_m_21r%x+x3_oPH2k1fe+!llLu zd`r$c+~7$$>)^(&WF=tA%qh$9!r>CnlVXK=%r9j)o;#SaFR~OcVYW1$aHesFB*!xc zJ@zEa2}aB-WjLNXsIe<qPB_sxL6YN%!!aJ0GY*G%o)jwdF=xtfJa!OcUt}@iNTUMZ zlLCb{W=$E6hYoD)N)`eJ%s-6;^qF583FtB3G@5Xrk%8|?zCs=IOX-ejjURYZ@)aW4 zno<?Q*%p~k*wgrc_sA)SRXi=H9Nw`Rr7Dy$uaxeX(s+Sa<&?t$o|HU=BIZh?2|F4s zBsuOl++%xG#;~9LK{dl(_6^S&D)=4FGro~)c*pp}lEH?3LN!A<-+^<C59AKKWxOHh z@Rsp{+<`ZYC*&OFGI!WA++bc%%&?x_;5kDKzr#7kEpiO68P~`)ykb0J$zZ`gp^71& zkKrqWAOC@~j5FjMUNTOQbC}JXP|T3cm+*zbh2P;UV~ZTa3&tgu4d<CZ*fgADeqhsZ zmidAWg9&@VGX^9617{c$<PJP#jF5Af$-Kgb;S}=>8-|n29X1R`><pC*$$SoH7+WkG zjx!h7G#q12uxU8T9AMLMgxSHS;V`p-O~WB(1)GM0%mOwH2J9c6GVGCYn9ls5h#{I! z;R6E$|AY4ofA|)>XV@acaG&vwtiv?s14Rtsd=Bp!KJhWUXILZSFqL^h5kon<!Bd7e zd<)(&ERkWj%jjaspuuiX&QQeu;R(Ydz5^#256B+4&A8+5<L~nO{=fKpd|v&Bf6nLa z%l<F?-EZ^%z~}xu^>==@&#iy(@9{VJZU0yNWq)g*_FwULzt#VazwB@9U;KIeRlfM& z!(aTC|1<uwzqSwizwlST#s7xS{a5Pu{A7P+@Av=VGx=rzHU6@{w7>PI`K<l5KgnO@ z7yS?T+<&3I;h*wZd%OP+fA*iNFZh@IS$@`khtK`S|4;mBpIM*q@9`)3Y5z4o_n)c{ z_?P@ie$xMrKkPH>9sVVsu|M)h`Ha2X|A#;NkJTIeOa3U|_21(U`y+e4|A{~P57i6& zOa36=^q=8#zyALZpZfLwKls$I`=8?v`vd!b{~mtt-&ddUll{K^w|^6#%18Vwd@5h{ z|HN<hd-iMoEIwtw;?LuE^1=TSzxVH|_xQ<v*M89-=2Q01{waR%SO4GfyM1!~fxnO6 z%IE$5aku@wUDfxE>GFGiU)bD#xAw(5<@0tQ-YA#Lmw!u4m*4Sw$KCd~c3IyYclY0{ zJ@IbwIlH9qjJx@*eplQ*KBsoaJLhwDQQr^l>c3jM;+^t2y9aNSOXc&wHSX%aT)X3D z`%62o?~c3r&3-3r?l=7{aku@2-IX`WCGrb?du;AMUt95Rak2cI-#6~GKeM~==J6Rj zqwk4n@-u$#xYPdB?$n#bMe<XA&$!e6#7^nEW19Sg-x8bo4S#cN?mu2@@osUUe9!NQ zJME9`guXZK=s#R5@osT}e9Lc%JM9nb4!n7M+HTLA#i#9dyh%Q7$Ml_XNB{oXA8!}u z%SU}XxV?XGZOTpdX|*vo+wa+Z`qsFeU;FopP5fHFcicWcrS`_##d-2U-yFC1Yy585 z)W5U##M{Rw?H+x5m?B^ByW)2HZQHu{53Bp{RlnKE{@(V>o#gLwyS|?&=C}ENV(;;} z)i-vszq8GIpIF_0tNOyd<Zp7DzON|mzfpbQUh_HIxc7$7<<@<lP~3m5dc(csuX3xt zcNFtmeD~OUe0KGMdyl`!<-JR+>c3Py;ojo2wm0r1pS5*+uUN%z`kiAh`wQDkcOHM1 zoA>?29`@(9X73kP@|%3ODDFQ~J!2>PGh4m)iIx3E-&gEme`>4tUa_+OMD>K7>`!cu z-Elr+d+5&Nk8*wAGxo4QwiSE7u%iD+wZgr}ALQD;YwTfvXv+p_R~UT%QN*wR{Y4SK z-uD|t{RgTU?md1lSNHwJ?)GWbAMPf<my3MY_*5?Z-NN$zJ=G8H9zJEe>Q3`1+js8_ zpURbeU$MJ=O7(@i%BO4>+(~{XSM<H2sDDSb#ZLA+w)fsWH0!@#`QzN;a=Gef2d(*S zKi`<!{?6vp8RqjgMb8V<<;tElTKC_sym4-EncSAoGv>Cxv3YeyxlC@u=LN?7*DKG= zY=3R@<jmqyxiz07=C;4Gd31*PoK4X4k7;r%K3mLfpItfQ+~N|sC7&~l`OQ8@824YS z>^SFq*2d{MqgB7j=O1(0pW9eHKWN#1wld=!^I4m7XOxTO(w;S1_MfhdIJfwWjn;EV z%l?y<9_N&c<Win7TJ{@$7BKEN_*^lk{jrVI^Me-sM=LeXDHqChe72a={?LZ&xuZq@ z!Ag#E%x7%&ojH8kX4jeK(>B}AC>O{zeEu=J{l3kwXA4v1YChkX?LMtCVdn9vl`qaJ z=gU=m-eA<P^?89&|L#hkneBINUOiJxl`Hw&VZ^WTdB*JaJ2vyqDCf!fJ!3TQ-(I<6 z@8j>X``*8(JwC7e!#?Np)@An>{_eARf8cZ9o$@=C?Q_c??0fu8cH8?Ewd`-L)9x$& z?z4K|QOo|u`o*5dUuBE$J^aOI`97nT{k3)2{e{2!EZ#SK?z>XHr;`1Zwcq`R&t#Xq z*QjNGX?<%?^I7X_dy>D%E_xsEx$i=G!#?G+)^_(F{_HzfUa&9uv+S(*4xjss-=C;y zpIM%;@9`(uY40^Y_nj&a*q8iCcGCNf8uppx4*QbNSRdJ=e8yVt{=*-A$I1=%C4ZFd zdhb!g{>Yl|e&Ub5L*)Ydl0V2cy=VB`r~m%Lr#`*+4?gwjzUQc6e_;LZ-ox*G`^qya z+3#C_yEpNvY{b37r?OS=PgJwtvtF}j@hR&Sdmg`&4ZfH7y>C~!M<x4R>qUE*Pgy^^ zr}({3{e4Gu`{eQidmq1*&3pYLw*9?T)%A_(vU^@%*xYxw^u;>m^Hv|$D3{BYUrS7v z-SK)yZ2McQtm}@u`)-z=Shx6`Rnm3F-F#NBD`Jn&Dc!No`J7eM^@F?mu9mJ?r+m)p z!5ZaK+5BsbyZSDd?ucxEY2|g@aaW(&>x9jHrmrPp+h15+S)*JcyWq9Q=Dzc#73&rk z%g%XyBc}bC)rB>W&sZ5<PfU}Y@p?y0`%|k^YZe#DPI)~eru~VP(sjo)*$J;DHuD+2 z=GfeKywqad;zHS;*AX%8kF12QH}2>=Tq?0{ae-{hYl)cl2UZ8xJU(r;XU*c%Ry)=t zpSEJU&bXs*f9a34i}Pipt{vRox3@GUl6_ifOl12#t54S&xASSgUa^T!>-CQ4<5Nm+ ztX-TZ8+6Tad!NSZhE07tOHZtQeA4RCwTCIP1+Ocj+izReoqt%}cdz)3CHs5JFLRQ= z%j|l7qL|O-`3c+ObBk|SvcI#;JD*tHcdPiqyyR~(o1U*I?z>TZU|#b%%eeD~&t=v< zpHSR)t$4${<gYTTo_7@USv>c!JwCg5!Mw*`Wb)1>R`p#fo-l9mS<4%9lFwSYomZ^l zGkwls%l^Xh(wxViW#&D9VZ;91((L@gN<Ne47R7yMif34|KeN<3pIF&v^n8U4`%_D` z^NN*yCyFOnvOlprHplsl<)Jx`Kg#qy&#+;CY$<krVMX7OVug8+KghH_*RWxKXvub7 zv4YRw`Hvz#{pT-=`1GFNDC#><%rNiqdzrfDFRa_A6@QqU{9Y#VT;o%j@N)~x`}Pz+ zn0xq?<*GT&r!3!{Gkhvj_I!nP`;_7fbCpk7E|`=2PNwL2MN!|5Vhc<5JC^s(Jv8gP zU-+YMak)(Ov4hrpwvTVfw!gFZ)Wdw<qUd;Gx=h)zM(e)Yg*W;Zm&t5-JVUnqjm4`T z<uaKKj~5vCT`xQ%+5Xz%NzdX^nKh3iWZPd^JnCUSXAyM#W17s0#}=~fvkPbREiRE+ z@;Jko&+Ku8ao@$ljy~tJ7EZ?*t@=zJ|Bz{aZeex&pk?3L!i+xVvli!il#6B3jx}2L zoi2>%TYSbs>$sz3-^oIcKII~rlw*vReTI((jQb28SID$Kwval0(4y~Xp+=u_p-jhP z3z_zZ7F@?2E&2`?a`Z8uvDnvh__W2Yp61gQ+j^7>WEvj-kZ!+k@$1;aRGFH`H>BOC z6(&d?pIZ2$S2<s%;_(KfKCQ<KjQVyL`bf6lwRm+*F;%AIafcC~#^V{%?RPBZ^(g1b z_#I<3@7rFuqxSK4>3#QK{5>`=|3jVgdGoUU3xD_8+&}QS_fGzupKWvVAJjemCcW+c zioa}c&C~WP{_eH9-|?62jroh3$6uw3_dWc@Yk5E8FWYPLu>A{v^;+C-_}qIXf6q_0 zSLS~EA3l>_c3<N!+e`CXHO*(uuhk@fkzRB^;B)VV{DwN^v*vdDAO7q;mtRnq{8@U| zeTUDz#`jPBX`7j!Q1|$g^tAgLpL<W`2h=5hlAd(G;}6@+e22Q^Gv-HXl+T#U?SJ^A z_gKC`UGhiiuKON;*dCel?N9vCdnjL^F8PCW(|v}|z54e*eCpM^|KL-v?tP9wY!A%; z?R)sWcVB+SPqzE!-}X&>Djl(}@Tqjw{S&|0?wPNtS$xWTMa|=P(!u)@zxVFS_xQ<n z*L+b8^C|OZ`xL+Ts^9PU-8MP@K<(qV(s{Rk+--YrR<(U&y7Zpg7dH3a&3%!leBSIs zj&iwl`L@J#=^eLs+--YnmbKk+ckj*I6M2iznI&y!+|6rsyW;M#Ik`LXoX?p>Z9llH z_iFBnJmqs{4|0@CrSrEn?&`gqyW?itOEa(Sj=OrzZYON+HN7oyx9x@5l^o>~=>@kv zHus*-t;kzkEIsG;jXQ16%r4|SK4WIIJuyvs#_b(<+Mb%7%2`|_J>~X{J8e(Ql(svj zNl&;fv6<KKHpk}P<GB`jiwmWDZb#f{dt@fGy>UnH;arKl#RbwWw<YehJuo|v^Z2yc zo}9&}&35D@pEhIK&bXs@f9{Xm#re`v+YWB;-J6?olWkgV%+0oYW}mh-Zs*m$y<!uu z*6kg)k4?$Fk-IogI%u2Y_Fj$K4V!v*=AOuXeA4XEwudRw1-C11x7{|aTmP`S_g?m! zoow$-zr-Yem)dpxL@}?;^%HxK&CR~ClkJ^p-ulGq-douh;*!5fZMwdqxc5f(fw<;# zrg7^HpG&Q~KB2hxTK0yx<gZezu6GpkT3q+odu(?0g1E<Dr1I7!R`p)Wo)EYAtm%!I z<g=!3>lLebO|Nt8WqV<IDdzEKsd?95>|uLuYPNo1C9lbKi{joh*)w*sJu}r?pIF&z zbbZAhwx_0Q>lG_|Ph?Nn$@awbSd8--(?c<jKT7po&)CEE*i>x&!iwG_*$Q!wKS;G* z*Vx1M(3EYxVg;|k^&dsN`qy6+@#<Z_QPg`Nn<4J;d#SqXFLt+0%l;6X{9Y<@UE@=! z@O2Bzd-r5Nh&_DDbX83ADbsiB44+DsU0<=gZA$iqSmjfu3u2PrNflkMDC*sjZLyQ> zj_JL156yb-Xa4Y8TrO3;?4UKT?d2PD+uoUc@?k!2Qnb7<U8-zZqjm4?%o~1-%cQnk zo-w!Wjmaw?<ua)amlqiKUe7!;v+cFX6Q9MUQfn?p%x!yR^2mqzoJr8~k7-gXE?dlP zo1HnsZ*hs#lFJ#!yk?gpjC(I;cKA7;HE~+bXw_?S`Ny2L=O$Lm4_fw~&CKv)K5KH$ zN4Z!kZCRsb@9E44zr|-vw3a(s_MXi2@KY|5N?FEe*=u-Nz_{1oa>bms$0kzC4_fpd z&D8KyE|luHY%!<pp$XS=M~mKrnH+x1XH53_96oKb%cuFY$u=M50;z_}KW4YxH~F<} zVX9Qk<r}lzre!9~JT^7+g|BkHRK?{DM!i~>7Z~;K&h(ktcGu+9GR0J>lFJ=Nyc(Bh z%x=45GS5djPs(o@qj~T4%pG&TRnK~#xp(<n%iixMw#(nnTUH%4@A8K^)2eUHz5HfQ zTlKBCncJ3Mo#$44>rLjS<yS3rznR>){9?|xs#|j|$M{b!j(VNBX8GEA%c`R0Tz)WT zTGg%DmjnE*ZO@*~T(*4bJh!T-*_Ut3+4gM9#mg@K!NpN8G8ZggYWeoF$@$Ax{*P^P zKbxGrd|^)6vn^*XpPAEEdFyHBwB=JR*M2fNby>}SvW@O16Qkvh^UR)^7%p$MeEZSl z_+=^oWSiWNCPyy|`8(UZ{a|wVGMB%yP3{MigO?A?`S#RAe|ae=(4+mhZFoOy*?;+u z-)n2%)0s8P-_D)()I?`_tflY!EqgA1@Y`GzHTCj}Ic4Ryrd)pGx7ynGRA$L?S4-Yg znZ?Ub&i(de%Z|%)=CGCDx}BM~_;24c>!|k`)ozbvea~m?Ui`9eTDpnN;-`IO=_b~T zANG}5&w87&b@9bMGwWGzGD_XLWqHd?tQPO>GfOwQe(_1qH7lvt8LJns>=R2ju~@vc z?^~M5m5YAv#m2K<W-MJivF}=%iP_?{lDQ=&7cXAv5wntdk&)^4Tjp%>mU9;^+;7YH z7MqwXo-3(aY+}4Pv9HW>*3*nsx79MeMO#i@RB`W?={=J%VR5gd@0pB5w`!TQg<FnY zlyHxh@h#kP<f4GPwanRqEr%|0xJ%3U7MK_;u9ZA{IwRKYvC*w*7n$6dW!~nS?7#TS z?Xr>7{fz3xsgk^>Gs4|2OY7#F?76t6CoI)OYw_J)vs9Da7hkzeHj=uV5$M)zbn8w= z(PCRk-8>WZ#Rq%8na#RwTsQyW@1A?<Zz|c|8-KA${w}%e{E5#zHs?>&9-Etfqmu2N zao+sI-#xd|FW4o2liYNE#pj+I=?CnZ&l$(fH+(L+?)-$$J=fAV*d>3JTy?(VGmpi2 zkJ@9i(-+u1{vw$-FY#B;rSu7Qi_aS0ut`2^>^5KV7mw+Aj#{=C#+Pg!f0mqg{zVPj zb7Qml3xD#MoVWPgb0&R8CEGJ&z4?hhdyLMns9}3*tTtcqXU~cB36*S5jE~tkpD{jU z^Z27=-}#Ihw#UX|^B4Z;Ig+km_xOWk+j)%|wui=S^A&&a7@YqBS}*qE6OZ2c8=ra( zq%+t(elJ;f{zY}$wDb?Q$?qj2=QTc+44=2~d(WQq2eyY#8LzTwK4tuFp5ar;vhypd z+oq&nuvI=~yuc>;on+DZicdW|(k&|4?ik;j_i$U!{nQ_Ni_0aerybnQV|)5WY}-4d zPdd!!jf$ohrc0JhYuw#)JN1U%;xfrCr)R{ry)k;Fqg*Dr;q-#dJ=asuM7F&)dZM$q zRC3Mfh}gDQMvruu&lv?x|ClDZ;<QC<+w9aCdW%aWmz>Vn%wu*sVsp>M)DAu8vqnzS z8F%%Voc<Bh_T0#7`oWz&XHzrun9mxW(@`##Oq<rYv*&bbgx=yaMq1MyclMl2_0Ur; zl1!P#xU<Lbw7}*bgVPl;ZI6wlrXSqVb2L>$Pq|RC<FrLg+e0I+>5e;k4yJPGF`qHo zr*rtU(Jr0l(?;8LlnW#qPXCB*yKnSs+QL-Hn$tI;-KM1`L>`-(`a)MZU$Wx#hD|+M zrx$GM*`4YW*>>0H)ilLa$&%9@n|L%%&xmfjV>C}kIZx7W8sqk!?WsFtAAgtFcl?Fz zv3bcK<ebkNmh~^J?rHB`u{h|PhMr4Bhli7hVwXTlTUySf5@#U~0|5!Pw)Rl9=SA$o z4vx`F3_S&oY6vt>F+3&V+@q)j1)Y<aKTCx8R?oH+*F9hNer{>#jW0|>-w#~4VU{kg zFE)RJ?!|=1H*QVP@Vk_dprLl{n&p$b8*i<1UdDNJ%RfaPuZPQHV+yr<9c~%z?B7<u zU+>$aQoXj8<VID;51U&T+U(tWWXtW8o}MY27w+BnOZDf+t{rpMwoc}M6nW?ErgxX_ zC|7djZH>0rcKYTtsZ-UHI@V4KRG#Czp!;dsZ)HmlompnHAF!NTD7o#LTAH(d#abhd z#M9Syes-UhEBI9YY1p>^%+~3<bBgLU_ic<84B8v6E4S;)f=j)9xo#i!#w|3kZ}e&J z;+@;^ZnL<JUb)`x$n$^Vj;!0D*Ll{GUpjL;kD8iMJ^Sib)6gvs4u}2QekM`){~J*W zv2CZ4(#z^xLVs~B;!ab(A)$4}%7s0Lll{+|kDRlWlTYsZCV11u^o3cAtdBswMo0a` z>({4wuVSg;?d6l#UO!by@A2BsJD&>wI(J|5THzWg(YvH$m1X+YZi~6sN>Zjh*pTU~ z+H&>K!UbD%TAwDB^j=@RgV*Bm;kDXJSEqKe^$QkUy#8P*13T~P?R&m|?YOS=DcQid zBeYg7=JzN48NV14pH!6{zrFlZtND*CM~+y}go%ktva8oc?yOSe@jhUZePnk`|3TmL z8@{h?;M}e|H)Fy<r!A+1?w)mM{<8U3!n@x_KE@uiu8JNEv()fz?8?blnvxN?cpc}n z-}`o$Zn*I)PS?z~ulugy?xXKozl1P62)$G1^Jz=PxyOQxHW%toY|OlOs&w*K7u)+= z1$=)_6-vF^7ksMd>7t*rPP)`z@+w_@M}~n{l;dH^2Va37$!FEps&d4aJUsS2+%3g7 z!278x|ITRNty2Sq7fejmTP0wgoWi<k(N=>T6W#*uXKn$l*}EobUe=p&Xp)Uz?b9$$ z<(Pee8zk>=J!W|;tes^N)fLp)vPAR#&ge-Mw*<nxS!375`=s|L$F#i&Ix=O+!it^) zQI7MLZdIGL<)Kv5?+4ME=T_Z1Whherr1O%})Z7z4g@QJ5XnUC5;`-f|yFjIy$;(S7 zwWCSlx}$T=j<pZs*Df#&{aE2^@k(LU?+3C*eNSgy3*e0Y!>98harauQ9Sg!eA_BD5 zU6)+rb)l%{_NkkD-B+I4^dq|5>gCF*;ojS#7EZawt}SF464z?>oAqGWI>sZ+mN&P2 zICj@6Z8?+tsxa3piJpgZidx)zj{L7neywn)@n&g-__7n5<i8YMIm_^%@y_92P5yn# zZ1xpfZl0KUYl5@oEZbwrGp#l?tk<5OlK*0*vcJyppA}2m9&CEDfbFSNS9{B2KFg@r z8Y*Qi>{6D?TDMzunBIExgKdA`wZDg?V^%0zt`JeTQ(LiUOX&kvrmqvzZZMQj*{OKk z;I+W9!|tt>mOFCSI^TaV_3$L#Wm#&O*4NI>SX-2S>{?r@&$R0c+4rP3B?{RD?0p<| z^ihPAlUIayVz7c~QK+g&MUqUx9q}!jG)-nqN__r_sckhw=A>Km@9QvdH!!>i|NLs{ z6UU<$9y=ZUJ|T8m?vnQ_BlL89H|DgKu2a;QCUevM{J!tn-y=6!6<p;{{P=$E+J`ef zaPM2nsl8yc^u4G*EdT42jN2tujqRO6rzCTR%8L3Itvs5wno+w~J9c()(H^(ox+{PE z(OGrF&-~4_r7cdm&a38C>7RSVe3wi5%k2X<udlxnxFkt%_dc;RQ}=(`d?{t(&KJoB zdG*S>!@SQNQ0nHoe$$;d`RNvh$fv2ybywn2Z#pHb2r}&vUdn%QuI|Dg4!4@se+k}p z5P5UUd3(>C8lAtg?){CP>l|v|OCH?)HMUgq-?Jj&NyVH?-!mO)YdGfOvGD4A&J#*K zELn@VyBZ%{QFtz*xyh);C5Qk2mP222ljWBmo@%l0(Sk2N9c?TA=xCHI%V;}s^kIGT zg*)wU)TZ@aJR*2anM-QVk&Xsyv+kApliuuhPHhMhRyCPpwy~#fqs0f!wvu+6;17Z~ zSmZMIDxX@g>A}ht?=8ETJARs8OSYPJ*?QAk29CdXI!peg9a-pbMT4nnhSjf(WZTVW z=kF_@=6UCDTNi`s1$)mY){Hfk3tL6`eHnv(3dZ-^Rf#-*&G4*7mfb4e-9Ob<_m_j! zZ+_O@uhaj3@@YQP)p1|#&1;_5Z;!pHZ`)iR^4~J%Zp9`A8(xuhZ@$N<ale0a%=pf2 zr+evq#d#C=MIKW8*7r)EKfnCZ1$EZP3+uJkS?aC7VRJ=8@YZg%J{@HR>xB<j^#A(* z=;1~^w~KRgjNh&)&2>1~a4Y7<wK7GO0AY1IgWPMC7lM6X9g18mpkT}37ZR{A`P?tb zme$}b^T6!axdD}2Z`97s+QGeiO>WlpxN{5gW={&Ay>ji_Q<b;gU%BDu^Ww_X8?!F$ zR{uEpgqFX#X`teTiMK@iwX(nT7Z`5hJEFF;ZAYO+`li|5tWI;X&a~Dn^6B)f(aSmY zHtt7qROb|<|I-|0_OSA7Si8_*%aH|q3sv3Cc{{z=J)UaDRrqq#ickLHGF?4As;5{g z6kI3RsPY_G{<UCZfQ2EKMUevEo|k>)i#5w%=WUBNe^dH8ZBOOvj>7GIy=AYjFa_@} zy)2p#&7OL8?L@|gjisVlD{G$@2W$y$V6ib*l=AAhwMf@>>Z8t@BW#Tf!t%z|GqaRl zxy<$oNczC;I%|cdWrp&nb``NRD*sYA1U@c*y^u+&HbhM^Bvo*U(f6%erXHAIFzZQ# zuc+%OY5z%X?BDfr);=?SsKaFWzf0CUM=n2^M>#Y>Xd`<!qxS_KDc&QhmjapQOUWsP zSu=f1oXY<7!3`cA_BDw!UVDEk?lyWFv)4m`pKk+u5PQwxlxedZ7tUPd<KWus7%X(e zATj*$z2#1)u5qkkaBk53BoJqD#9wC7jk33z1@jEc?xwd)7IU7M5$5;h*J17lF1$v8 zTdq0<e!1Jdh@o@Qnr30w=#0A>t4?WDh40t!@;Ibn$$HBB(l%|$uwo65xV~+3(_WY< z$F8iX3cIb5Tk7)X5yzwHOG3AAym8)h!hV^xR$hy5^OVGXeAVeE_2~M8*rx%8p4zdE zWfO|oMT)mvRlT=$*2Zj4!|xN7baz{CzwN=VsFQg$_0}pj>!{aSv#-9%IKJ+c#is5k zu82cSOV?HL9(iN1{P~Vo982xI7s#;%#)`5owMz<IU$C<I&^>bvldY<k-%shkeA;!> zaglXZ&x87<t#_W>mweaajcc;H>+9|<lUJ_O4wv(rZoGZndzP2$ma?<xy;>XezwR=3 z*LCxkH>dS|P5+hj@_^LpE1j>ME&N4mpSrovE}8%F#=Vc;wT~Egf0%I3*Gk@{*1Gxe z)YVJdC9K!{`t$NtlY+$mr#9@bR>-aLuHCdP-PZQXy6uvx*Y*VLbz8mS-X-bGm5U`! zPS)&f*?puSo$KM>9WHB)+FpIRwK^d7T+n&WWlo09mW^94s!p7G+INqsW9c=ImFCx1 zO)j})x~R(JhgXW#s%$ZiX;%DN_G?W!Qso<Vu~?R0Y;fQUc-6Q;pS5Yu<SFsKtInA= zDa3GHF=91;8Npop<&p1`henzT=HG<>3SRvCxcPkhk?%a!SNxcM_&@S#__tP@zv2d4 zpLz3pmggaF*LWqZ-@X2x<MQ`@9ZCB6QzvuvT~@YVu6@z3-^wj#&d*afU+=A|y`S+z zgxRI>Ot*K%rRRk|t_b_ADt$AD;WD4_vS+oI_r#u_u5O!DH(O@kvCPer-p^qEzxa9C zvlFKTT6X2VJi8^%b#C#>a93LkhWe=G{`1x5n!UT`?pT`Vw2CXxT>9$EApQ4+Cyf+d z#r(+o_@bitNDj}c|DDs_ue^*;b^6M+ZuXS#mrWxB`)d_*3QQRbt8Sm)uB9~X<yCvz zMyW}grIxdQF5We%_;sPQaqY9Mp86KC&xPx9&i}l;+%{aa-$IUW-}6N;I4>REpXu?z ztDs0Emd`gx?b7mFnxPks8|I$)DYVu4>7;E-H~&_sOkNl3nIzBY(fZr|u<fExGR3nJ zK65_Xu_8J@r``5<#kI#jU;ZopT6FgCmuu-$cWp|OS{0vmHMy=^*uK{CM)$KXPkiLJ z&(C}GMQR1#muv3L>w@$T_%GKe6<l>Zbopevr7Nr_&JEH(R%vnWi=mEgX~mzCwJZKi zc^PiFbe`helB<@hj12>2<5YJ#-Q}Gytx7RuV(9xy=2gl<r3>Fq-d&oQ=)HIK-n>a! z>t*yx?;S3&&GO#2?)=NQ+x2FyI6lQH)ulG+ykGm4(=Xi%`#*f$ed)!w+3Z)(v4`BB z#=81m|JP3Mcjh*dx~2altM?i{pBxwX>S(F$kDIf<%>JzNRreLs=K57~0uhX+jE&_h zn^gkuuf5V}8}cgSz!ImG+={PWeqWezBv|PEh5uo#*~Je`5>|d!dsQ>_7tbRG#tz}@ zR!jf&KY!|J-St(IZNkkxf+t>ov9CSw((~@OmqzDa3SU^xc`tNASjLw-^X>jv#%`J0 z?zLCrQ{~R7%oaTrv-2i6bS<5?bM6Tv{~PBNCkf1YBA6k&HTUuagR23{A{sVCS|(Pw zigz5js#W=8WA2r3<t}rxs3&gQpBJz96?lF!(QZ-l+>6d@Sw;IV@=Ukw$htmBs3_~N zuE(t-txgUHmiFfEZdzOv@_1UC&HcwaC1f_0J>dEIzPM+lb;MrR8ou2!-E+3;c1}6{ z@zx)%de)nMa~~aj|0-vn@TVEx>lZ9fWS+9QGwSCehZ9{B47oLCPh|=|uA${>W>;Tu z=h>I^G`VBR)u(fwuW?#>$XD^3dPjH8(<9bO-=ZyI!g@Az^?yE<eRY+<%d8s>+mv2s z&um;N``!Mzjj4sO&V-Hccil|?`uo}zW368-8p?80%$CH=(_!>UYX8WaUTyi*ZjSG^ zmaOQIQ!&P-***Dj8yC2A3RKD+o^$l@=?5GK7@f5DK7C{9sa1VNSpV&Ft=)%Y{5Q>? zu*vp+L&(kZ=k2#$4LTLL)4e}&$&8Z~TUBHpu02(od2K?C{OP#oW>a`>w<Q*qA8a>I ze)s)C$Lz!lCfBU$9(#l{JTvx@oL9K<<&pQ7js)q**nYbmHN$W9HLpuYCzpP@9Ade< z!gQ{Ul++Q0kd(Kll9U@2c~j1`-U``Qc<8OpmBi}$*+ROe8Pk~6o2<^KPj?BQ7;07c zt268Hf`sWaj!EWUkWV-$d7$8V)5VB=>%YqBwKzO8c(1>SHACNx>4bOq5{^ZkZ|3rF zuiAFNFh=gwr`uEer#>+jY~B#j8+KTWHQ-iKhIV<x+!rB>bvJt*O{+J!fAy<K_Plci zo_E3$1kX%6ceKP=|KHM?Q#VMyc=FHC!ccSJ+>Q4Wt-O98n{t+CO>f;#@nxSDybjdx zUp-Imb+W^+efHuyH(Rex3jdeabHzb1y8aEHh|hzpl&=vl*Bn_dyku2Xr2OQw{nu(l zWx33`>OK9P#O!ojXGI)ysg9307W3rjzL1FJTN-Uno?6>$B~^X7WbT?w8!LBT{=f)V zzat-(9J<3<DZ2TkvP|Hotyz*bnr0=beyU5<L^{=HNBZ~ms;^_I>M%3deLcU?YwI0> znA3ibG&CQcx^8DGzqWkZlrQ;@Chap=vN|N0C;j=V$tgnI&Ndq6cN(^)3B8mM_qp%7 zb?V7mXE(0M%M3I5YvNI9EI5DLeen>ht*#~VYp&OdFMWJKt?%WQH5$U6KLvBIh-D|u zTB%_)?dauoWr3H27HTZanrWM<a%7pm=*Nf6E%s)c!{&rmEG<x6Ch@GBG30mKgcAly zP8YW1sZ0^HlbXS;wnE$NP!^-5u5Opq+BNGdZYQ39QIPaRp*Xb5uGDefU*Rnsc9#ou zF0}|vpEB#k?|P4!>=ScLcD%4Uvv`io_5MZ0e*e^GGA6HUk9f54f%VB0p;e2%Uz+w= zDEgB9EE6Ff^~JY6EVj5s9^h9D4m1@lSd`r8v@kc(Krbp&QBcL?$?AETs^)r6qm`D2 zWhmeLUTJjU;hU#DUA;GE^c-Y1l-QkH`%+=%@huE){K{R2?y?F6^z`JNVt8`Xh0nd5 z%So1dri-a`9slKbstb>p-I+G?w|PWS!sCO7bBr$uac3;)@fUGiY#?109j6p1d1PMO znGFe@ZFcjwbu&o+Nb&YMv7!Bc!j?ZKtiA73!lF_=K6(1QY7t1=XS8XNyG5N;lIkSe zHszhy1wSOtH4<BBkQXq~`QT|57LN+e8%$c0Ll5O%5<I%#(pwwGqxz|i?rSbDxiT$V zsUte_kX7G1hou2L%1JD#E^DI%FFfkfoNz>hRijs6-vZtUh2({*QH-VgUQX2YHcRMP zVb?Oj_jULmmG~@oQ`XWC#b?|4Ot!xX-j<=Ks?-`TE4wsyMcS@eJO-+UnFcQL*X|sB z8T86uqS$D?{s;AA1>S{I87kIXSr{>?qM1{Ax6zNZ6%3rmJ3P`vZt}znzO&^B?C}pO zn=!Nbp2)1{Ho+R9hE+E@7nGD<RXVyT@W2_BRR-!M6W7>9u>^QCvxqQ&Kzqv8C_TIW zM7Eg>3?R(Uz{!wUnv$6xP?VpnmzS55R~#C`%D_B-jc$g<R{g&V&a7r+xO$wWuIa>L z=|F*iOFowv0}fxQeAwe-s5<A@9JXT_Sr)9yCyw;2G-B3iQfOJ~`D13$ji{vmuLXYV z&d5Lb`h!)D;kIj0i)WiyzS8-ysv#=7(`3_kwb=qr9E$o!WCEnMr8PPd0tAi+IAks` zxb1ZC>}G#1jRooRsy)u{`S_x8`t!*4=a%aCD*bxr6)v9nTz=#6;`MK4hChom|5R~q z;qtY;+8nn6l-E{8?cT-e(90DqCHX{ag8(z{BGYP%j2DfW{zj)P_Z(<+Zo7C(=!9`j zMI-CQS!&(s3k1*GtIexEzsD@l=6#sW>kymgS##54-W}QOzwTb8-^KI=pMD;<`_2~d z(&lr=yz-Zu^<)qHoa60jvt09%v7TwwH_z62g=t;s3YTC0e13kf(D^+!=Xc-SoX7C& zV)43Lm43E1kK5+eG9+*&biLTfydlt*nX&R8=chZupUy~s+Ee{$&F!jlzLm$GW`)eF zEee=-IcjRU!p7(Gw;X%AbNaPiHlHuen*KaCD){pn@9gY(#hJZli)UTCW%D>_QTlw7 zQ^gmJC$vA8U;O;uW#jcnKA$_X`Q4G?zGKB&UwbzDnwIWlZMb<TWs_%R{;A54eXEON zFR-oo&62xeQFf7Rc;Ct5h@iF0w`ntNVB3%?E577l+U~Nadl&FJ+N}HBWXAGwLyh<r z#g6Nut<zb#{7;MdpQ=oL-)O0SraWog=1tPf+q5^Qx_{fM{CF$(?{(jv*;+h5`qS@R zX?#|3Rz}HItMn36>$DP6tJGalvtCcQ=WXTx()xD$>#V#Yg_a`4tjZ!EQ=6SjZ_T}P zp;+@?aN)hK_YpDWQ?^M=+*jb0*x?atvQ%YW)ZX&axZd|UG3`v{%v{rx+5FmhWcnm_ zta#k9C;W+x@_pHzdKu1FYn#jzF8<u%=eh29v3HQ1|KuMmtbzyB4s26q*v7C;{yQhv zsz}Bgb4qS(V7ggrl@oDr%Vw=*tvoVWGqz7?JUrvdp^B)}$IK=?;LzGJp|LgF-z)Lp z7UNB?L8(V9LSilN^Et<g`CrZ4{<QM>*EOd<%{lyO&Z&xHp(|&JHthU*r}@*F;?H}G z7u&pkGyA!Wj9l)n0^7|e3vIPl_I|u_r0MZYzrM$jHY-CvZ(+N6Rmys@ce>rht0&i< zylK5Mb#j>Ej#<p<Z!hF9<Vf*4YLx^uwqCw=O4+uI;p@?2{$s}LPklajYV*5O#eJ_W zdmlE{98&I{6aMYS)QI~NolBPVz4nqizqaBQcjD&Q-l`@2&*iw|t{Cf|$~*Siuj}3G zNORTYPc7Y5-&XlWKX`T3_}#5=(G_nMTV=wp?eK5obLVKxOi!EoeBRWmXJ;$#&5S&6 zrTyG;`Zc-pJ4Ax4mQ8&w*PA|%_2VAnr8ckE%qyPu%5tsUyuxj#u2el+`e3mWyXdkN z2b?BxYaeN7&GMggBsM~kO{e49o5xL788-}=#p51aNIcA~J!e{5stxnnihrIT?^u64 zll*Z{@W(aPALkf<oO7tc?c<zd6~`V5owu`?#e0Bb$+E|i8Ye#YPk4TBLb}|w&weL2 z=bbFpdu@5OYTw5>k1CE`E&6jnuS9v>Vcnu1FD-o@y_^uu(33H*?8XMBZCe6QyjXnf z$zHYRmOtN}EOmJ&yoGVAt*PAmuNLQzm)o!7z9rDIxiDtp_ntZBPpnn0zg-c@c%|Wv zx<*D??p77M%ywSwnBRscF7ru;39P-@yu|xd?SaychpY-aHXPde{^3WlDgkDG6PAZ& z84OL_+6msT6{TJ|^4M^^5;)9l^MX+zCPI;68-uOFy=I9Dfh*0*cUP3o4P58`a_8pb z&S$w27><{AJZx1^*s;NB>yG6w>>NEV1hjy;0(s4CAO_P}wgn8wSs4$uin?XD70s&+ zdcer-XpmE}(79crqTrU)&#yhFFD0`(6xlxB5Kzh0wz=tc(fkFxX1AY+>V9ujWRsgw zW~Np7Y-8oUt(Dg%=FKa1KCc;gaYpO2oq{KW4dZ6bmAX4irc>RNsX>)tCVM#7#T*7V zwex$d&s$rcx7u{B_}vRjU0a*qOEx$<Pw+p>m5@_3pHJFlf+BOf&;fzwOU1t)O0qZI z`MAYwsr$;S#k*RZ4~5!3)|z~_%-1Q`-}CF6$xiLOhI<s6_@pWd-X2zaFL0P!+Jt5A zg2q;fkR9Ka_HCcg$h=c!@5d|bXW14UDZCYR^08J)Kq8Cog9A+5S~JS7T^3;xbSzjE z$=H%vcPr|A2lK&JQHvb{Tbj78&a+jinirC=@W_vcsxurpVgy)N#KRsq_IHBRRDhH$ zJ!-4Yl)$Y}b}@&cDj=qnPiq5%^93%Q6(GqQm-H?hU&yWcxAXIz!=KL_uAEmMI<GWg zUa`l#a?744k<&MSnsYj~(yw^O8DqWnlk;ke66Xc$oOjTCSM|@+f8q1EsBdSy^RIl? z+iNnfRI~eeOx3muzsr}88td7{+x%XVG_TO&r16EBPky?;+PVD6%=XPT@2A+j_FMOS z&a~%O&P?iOWHezbc_jHl?{Cb{ooe&ypMBZzWy-wjl8V?pOVZ`mZ9cupx$2(l#mtL^ zmDfs7wm!XN^ZcBWrTR|cQ=j$PJD+STnsI8gp1LZ#s`|G%r+rVlo@}*UZYiU7eCpw% zd4-lbYTuRGAKUzXvS&$5kjeSXsUmJ%&m=XDhFy}-l&k*d`F2UtrOz*RPVe(PzNBDY z<;%GfPkFq*`dM#pz{-fKwew0fyF@&)zRvMJFOoUo%bF^`%fYT%Dz+=<6~5f5Bs*zd z@OitJ;xXcPW~R&NF&$y%@ULXE>izTOhvoKp^$V)(s?6q9yH(g%1kJ1bamw!~Uw!30 z*^6s0*j8Sf8&afGq<*8gPkZX5s!G3;4-P!IXY=@jV9bn}TOVpTb{vfQBD0&7;q2+m z?Bz4l=ZiE6G-syA&r4sh{?zC59na@=r^od^zso$Yl#5}q&GQvD%j4!1#>^{Mn3F#D zV)42o#a@pkuRp%Y9?l{@rSXXkbFJUJ`f2m3ISm%is|-B9Tf``UN#Mg8Z$;)r+vl@v zmdBlsoL8<eD_yqYxurPM3DyAK1rvBDw5Ko7JZ~>OuUeww+1rYHng<xq@8Yp}zR6~J z%DiNo_it=o^L?0;{Bcg4?c+8PMy^x#_WpH33!3FQ{#^Lsd7%G1|BENjUmt&|e%AkO z`^)D?`+Mc3?NjG9e)@b~m*f0iZkx|VHt$6)G^ESEw`_lEDf*e`ai#n7Nb!c}bGn{y zc_k^%rT9sBO7(+j^SG-V_i}u3Sb1;l^lvT#wgPL+7qPE;*_vOK7_8rNkvsoO-j@S5 z%u7G~DP}%Ye4gq29_jPetOgGv*}u#Y{y3+uvh3rY*`L=4A1vlOTI}_;hr5yIC2Q)v ziRlZ(ZT>4Hu%EZ(wE28s<@32r6&xo%+I%=vp)#*LdtRwj#ktN3zhs-o1s@7NEV5zN ze86_zUTR*oXvMR&4=T4eq~ASXtaqf?>e<YrpY_gd)?+zuDSUqA6=S_Gf-T}x%00>q zZmire`#>JcZaM3_=Q7y4-p-p>o-?mhy5gLr?Q)}eg^7K!eRr=JPhiUW@aOWO;(n&{ zduDUZD_<}nJ@&mN`y)%<&ubo4vR|vb*7(43`y)%<wet#1>)teN(mQD!z?Amk&*A3h z_qfm7uuOPR$^PqtD#LQ`OB*ZnKJH<@ZS&gV!yIpi8_z6x(`_CvDzPf@o7X5Zq4~MI z_`GVDif4C&KJ3x1y7qQnvF~}!E1$cc$IeW@%RaA|i{q}%a^HD{;SswQ%(;AO;e=>K z^_CdUBCiv=ip!^TPc;6x=k=#GuMZTjJ5!wXMABV(yR!OINe@Pgihn;37VEdA%W=&s z_pG=kX#3o5+4CvKio2iBosmA5eO__Qyx_IzbEZy+3Gkb0!>p>ncHUmc=6l<RGsccx z4N6OVJo<yg&#TWXkDphnQ*lo9!6e(|?(+(RY!=usYaU=bZ*N!euC(IW+y|BIE$MeJ z6u*nE@>@JF+~)m)d8N7)=QJObRr+n7R~UNU&O*Vl5)?iuAO1{!xU-#s@BE&EQ=j!1 z-72n`KD2Ca;D344_?`2|J-<_IUOU*F-x>XFj#A|@->(e)^BND?oVR~E^SK<y*E8Dh zZQh@;Ja5(g)RH}`($8P+{Ekg8E6Z2fygsz_`J9MHmZqm|9v=}dtk|(&&+N_IAK!Qx zTZ`<zbne`g&6{I(tM4v8zAoj~T}^9)^L|IpscaVZeRJte$Gk>6t@HNk^Qsei(&J8i z-6L#o^LmEO^8?!FUtZaK<MTV^>T9i@=Pf_Jv`qcf^SWvCVb)Yx*}K#9TJ&1=mMk*9 zBzQ6R<uNfU-Cc%rC!F(~?vn1h+)e!p&lk_ie~KUPRDV3fTxs+E$ddHAlb*{&JhjZN z;;X(_n=-HT;l*M<m5+0@Dvu>rE<ViqRA$~Cc2#wy<4W#Rtxw6GbUdm1bWc%2k+Y>? z__W{ao?Ls<Gp})<2DtTaJg;&=-}AXEzO1=ib*?e?{K^wwc)soVeGC+M&ku>6*PNAp z=lD~#cJ5P|b44^uy`q<duI!ki<hgiBQpcCyUiSK$fmTX?&n&UJ)bAVhg@@(Q&*evo z{f`;*AOD<pVzb_B%i~|?OtQ_dyjPnzuhi@OPJ^SxzvfmRWBqw+$&Lj*o148489oeo zu%^Jiz_lQ#Af_PI!hDC445y4p9y=em`4^rq4}U&?xs(0z%=E{V;!h&CKe0@IYAIWN ztTBCF`GPs=vX_eA9Vxc@+Vi`S`;^^|3mkecc7Nts^5xIvFL$Cpp4nY>kFoNaWaT;E zO26GUj~$H9TYosa`P|{+cP}k_tB!p<VP~PV<HJeg2}~Iu{#<T;F2{3zPjto8%JP=< zyKjZ7&eh7#yIgq8_?`F1J-^dzULWE=zw`A|%h*pni>tmJT-xxv#^(2u25zp+^BUL9 zt531{o@P3)GI8qjyK7Ev<~v@z?pU$bV@dHc+xN$or_b$tekbQxu~m`n<2CbY3qtGW zRj@Tx{nNZ_^Zm@~^ti7d_6S#9i`?-1PU@#Q^PJ}uF714N_w1)No1@O}^nPY(de!E! zm%({Ei{&;B&zW4he=Ypgc&YhfwaC3SUG0Z%^jeo)zm)m%nDoxbiFbNDtEL7$On7ii zM49vM<)cNLC0<M@*%QQ6uJ)aiYt}5?o&EtAJXC)#IiTpwE@84|0%I$m?S%)-5(nlL zN6))#@J6yc+UEI(E5%i=0T*MI?3BptbDgz#YsIYF6KB_++~~P6v}^Gtlb6?R?h1rA zA2xX>EaFnl#LOdUvEcv{M?`=kbNhtT8<*ZZHm~u3&Ht8{6Te>2-n;4fyqW3uW<Hml zl^#25c4@=evx|!g<`vJMc&yUTmEXehyhZeNKGP~Tr_DD1JN)KVC(ccmv--M6xYFiz zn)|%skn@>0jrqQQ*pvNv&FqyG=N7umyDW0vLi)Vj4wf5iXBNE?NRUi8{b^6~;>(}b z%wBr3()PLElKAs4#aM5!-{4CSH?S_Azkun+?6aqh&wYD(lii%5yW#Z?e*wlErkvtg zHs5n9coIYtsxN<InEq*wb?@^Km8xS4-RG5NOniPP&}R9IYsP%j53(w<IJQb`n9%6l z#;2F@!cl<B@Wn>v1L5Z#^ybxHvH6~2^ZA<1`#77|w``uT>whl7U3qL{<*|bm-wv`? ze)CjJO>E|pG2%GLDjU<G$dT5N$9`fClTjkW-?NPk?-*u=I6UK4F#pfEiaEuLai(6w ztv&26&P-ZY8K$N*WVk+Oyf~%7%f#WSgo4z?a)&Ma4%rPy7%sN0V_u}nxRjBL*+saD zucM8fgKYwr!mK&V9Zakp^csSWSn{ptVGLQsu;}1Uet{c}VSg^X`!hwq?v$|o7IXGr z7e5tG`eEt(XU=PT`*{21m(TC~@>%=+-<s3se|{-$`muBB=el=ai~D|9+W*;es@z`x zb8y*D&HZ(9&vwn9{&z}}{nG2_588Y$Hnv|neSXmC^T+4?oAYM*lTX2EKYvbtTzA37 ze%VZU;WPYE&+Y8P{uM9#zHpxBHRIz|8grSyc2qr^Iq&Dv_H#e|{a2(<`|6`_8*;AN zc8dFZ?|g6mt%Y&?S1&SO{{3p9>Au$sZL7?E-dBCSu=;h?i@tlZuVZy>ue{nhuXmpB zrOgq0S1q@dv8`T`-u`7p-|LG-U)D^TCwghI@7?9>U*)*u-m;xndU#X8X4aL)iugx& zwf5{jT79X$BK^@_?LEI+%9nhv$bVG$an6Uj61n$^6=4;=73RPC+@lX`yMH*vZxeO1 z)Fw(QPO~Xo>tjaB`HvYn6{?(ZGmk8uT6t>m%7;_^_6T*lD;LYW_pXRw;je$N_e5=l ziPrO_H)BuonZMm|>75JTmc^zw=Q@=w?~~O%Szl(Nw%ho`yE7XXD^})*M{nF6wYxec zT)Xhzx@Ae_o6D}BSoiJA-M-B9?Qh;pjn#d*E4E|q-&>K|yQMA_@4j{A+?mbBkKbe& z&3l&>WG#IDT+8OwM=kQ)y*I9Q-ECzp)%p8PTFk!Pnk6P8yS$bxUc9*1VuD`(IWP95 zzvuja@!`d)662ETpXc>$TcUmY#VI4%w=ZmDd0W<{EY?0*VzT&s$yUW!OXu)y7q0H~ z3m3jL)u=?3TXydAlEht?Ti%H*JpCzzeVMiQi*stR*9&(ozS#TnP>Fi6{M{+9KlI!= z&oDK<!OLJlzvYDX`6l+sbszpbceNGvJuh;3_vd^3_ax>?=pV0K(SKKT^X^1zk>lmo zC6QLjyQHpPEP3)~(j47Mi(_q{Pbpg(6eQrU&s4tgL7qs=yTgT+=5{-Ub_!UUd_P%R z>F4T|J$agcE`NX?<Hd6_9O{2%UfZkp$-O;My`7`~NaeOu?_OAc?p??Bcvq2M-?J}s zG?i=TEKl|OToAMCQSrOUVU=BK9?ZfQHp;BH^QqYIzv1C80oLyuKVFc29;sx#`u&0z zQ`TuaJ~?9(uDo}mNVA7w&@aX7OWh|u6412s(ton^i)YZ8DM^dJIL=#UKk3m@k!lbA zCp)GrQ?adI^1OFS+7r8%)0RuH_f2cHu72PrZ4ot*`C&%X4q=XWYZ?~|{^al5#!~+4 zz}#>PscY31B0E;Ily^RGo6~OGxZ9&Zocs9~*>%&H%BMegClKR%q;$tE&O5$MyPXQQ zE_+^JDqyjiHGSoSEI0o`Q`I?d58c$<F{}M?!B*8dUk^oU?ufcrTM&0VR{A?9*Q<@p zD{2`wMK<J!C@f+=`(xwh$IqT0tzT4CQk7e!cW?2*-G`G8XBWotW~E=dIWxP=RP4U( z%T*Kn`uvWRsuiiui<uL1xmf*@)s#b?Gv{6MdT`2S$0y!Cu}3>D@ASXW#&CmahDi-u zf?&e29sU6V3_6T_&+Y7XDDZAs|NB9c$%Pz-4C6lTO9k8w){i7#SlRxssCXx8`?+IY zdDOhp)bl%oUsp~)>^rY?r_TAEG1rS{9nP9pXgTNEO?CHgbDC_KmsXtq>|e!a`#s3y z{GKm<w(l=#e_i99Zu9(7@Uh}q^9<(|zT|v-Q(gCS&tac=jpx=ppTFw)ylck%^|tS) z*}V4CId8fC`Ij@seCf8oALMNFH*z%9W{93wUZBV(VYC3$&t~aVV7qbYn9zB9w|UhX z*Npj3f9`t|Y5uI@+{{Wp=Z)zxTm}MbH5=0BizF!Ax+VO`NaG-n(}SjqQJ)USeu(_k z^I6QO;DGbX($8O}6yLhV>CUPxz{sU-@HWTi^PIjczi8?6bJ#Qt-mYnXspr6$aeAKW z#aWM19h$^?8dwZx96WRF(BlKYI~Z8i3J!8Lg)uY<>_}i$v(VL5slGO~@?2%5-$|Rt zhYWc(2p+Jxbo0h#kzEQ_vb%)6)fZJ<dnj$WF{c0doN%dWZSm(bXDC|CVZP4#{IR4t zbMgEIyb>KOZ&>}5zH@RFHOxJ5<}{NI%Ljhtlp75UryD+;*}U!z<20s+H#YN~DdtPI zeZ0%$fS1CbPjlKTkF_QxT}X6h<C95>P~>QpU@5IQ$S!HNX92_ShNwrD;bN734{dr& z8$9&bg+2y*{awB?{mVU}_b+Ug$zCi^;fU>9QxuzbX7cIYoO!3Oie#>vR59zYankdL z)(TdWj+c7vG<s!uNmF&=yuR{+#j_u;;+!wTn8UQH<M)Fi#tp0r-F>H3Px4lt^U!*d zd6Q-OG?zYacWX`0Cz_fqhkNt;4$t+NJ1<Oxc>~7^<)9N699#JeSY#bp`E*$}DzK?C zG^je<{Q71x6Q@h|B+q+JY<!WKnU6Jhmfn)8WMLKYNVs)V=ZNuzoR>e-Wj@|X{`h9{ z<j*`yp8aG$TdaT1nE!R9`R|Wwj6cqKT;;dc=5d(K?<Fep8UqB++XtMtl{~*k;{5LC z71tVVpKq~Q?swio;Jn?AnU{<&@SOh4f4TU*JzIicf%JKcl9!;g%VVNl{cmR{xQTP- z_-DN%oBK``uRB$&^{pql>YL}qW$6my=k4|9RU6E!G@n;~{NtL}Rp(MG{p@WXPny-x z*N_%nmljqx=a|6pUf-R;zVGacwx8-%D$4FV+*($oIOqAhCjn0`EO}g0RR1gQPmwGa zONJU-1{-^Z8Jot5^Bwce?Nj=GOYV&^e`KlXU+v%cdaA{`<zHnwm**AiiJbYk!n|a~ zg;L8R<CV`FmSkMJd{f{~-nGX&=JMb5j8SJh*%@|TcIjp-|Ih~|UdLZ<d2u4<`qb`E zGSfu7&S|TwzTT<ie^I~WRAHt-?6p#3#hsG<&vz_|p0_COP~YLROWs_mNp~}UwoAxT z{Jibbgn7$;6wLA0TH0NBx8qLt^M-)sA{?yMTqR8GERQS}ter18QGV5Y(c^y??0lzH zr91DN-Bs)Ec^8WJnD|#tui};yRXrbSTg!jvUHI|00T!COp1rJmP_n*oPM=5n^IeN% z=03Msl0J8N+)nMC>!)a^9#VXmrgTd#A?-=?Yk_9&sy7TrB+u`aIBz3$e)o#>xjoNi zdY+rSkyOtEHNH-$XE<M&ldd3o-d=lNwcfl+{dwg&^GbE+6+6!>v|N)uf6kQWQ%)EM z%zE-ueQDLZrJ?8dTsihxZ|}!7-bYtIkI}kT;TL@Igt4Azzs>I@!Sf20CZ#KAowpC2 zR~<I5GJIaS()G>n&U~ITo6~mr^111EayY!tay@u<@~-*$x%u<Df|)k3S-iKI?$pjJ z)nv9n!K0N=tLH$Pbn0iGE6;wimwvt@{P~RZ=RL}w*BF1Eqy2eKo6o$)0-OIXw%^mN z=2eExE8nSkepk$-=P~O}6=!|z;ofTV{+Q@_E3xyIQs-B8r{C#Im!HG1hB3{*sCZuS z;;!d%UFmXHKIfG^id46&JSSP{m+m*OF!}0ce=a*m#tp19E;=T1w9b?jaWN7}s|XM{ z8ld3h_@t@n1}p1DZZ4yM8I4RKQzE{-X+9**5WzGjH{i!79+gv-{~V9ct9ClSr|<=6 z94Gv_rTVQ(zo|CQFR7l-eDy?fx?SZr&(3*;8k5r(6u$Y%p8M$z^XD_%pZ7=~wRwHY z=J_F;<w^4jHEwP`A5p^HF!}6dkqk42M>66pYZWqBmd)LJ+E}3X*yr<8p5I$(^ZA(E z`Q6r)*IrhfyIJAqzA`;V;(4X{qKa#GPZ#s~ewx!4dwz#~<+p=N4Vo_Ax_D}{KbNw= z+Q@em+>#bk!gm(EvMkb&y>6phe`d|vGY^{-gti=DRo)=Bw|HJ@$-Lsyd6#9*Tg0$D zfBDj_{duJOl<vv9KhHVrv~tdsbH%@y%bAZqmMm}la)U8OW;??UnXm@kvqwIzIsI{t z^rtyVwvS6}elNM;#Kz}nI`37(jhxx8CHC`5&5CU16+2#%JHJw3PJjBGO6IM$&-&8m zi>#|X`^x>n0moL+rY4hw1L_(btvoUoDK8GP@j7yB;E`~=!_J|%Mxbc{pLCTByLv)n zKffQVwm@TMx~<7Q#x`E5rnGBjVwId}0!$(-oeNlvKr<J%LE?_wDFRFvXDz-Ied0i3 z%r5^6Z406qdOVM_W^%mR;K;mf%k9UqW*Hns2U$hE1eP?3b||ncUn}|GraG&LgF)2i z-<)A@UVh{=$S7Fo+{U}~sQR6K`xv+-I}Ru^^GF8lIC$Y8yKaWV!)Hz03OiyGT6r~g zNG3M3iJRPb(B@rxDVkk=%Yw$kf-N7KI1B=I$CkBi2;pU^UHot2i;L5b9W>>Bp<T+G z@^x;NhLTtOs&>N-U)EN2JZ7t{{Bv0FTKQ3D6|onm9~s>Enp-H!bK&|?^$!jE^zE30 zYZGPOENs|kxK7zIUh;p>KB?Mt8Ifei`$GTc)qF86oWNM?U&9<#8)#E9>5}<TXUW*w zP@6M>`c;P=<5$#M9{JT&F@xoL`Ag#mUwI4VQWof6j&E?dzijdYmv}+;+AH1n1TI{E zxkLDi>_>f1-*_SU=nL0h#COWq_VaC9DZbZqf&L}Sf~)2)6KCgp#V>6)eDV8Xd<a+V z{C_WhT-tWdd2Q9j+pM>4S#n)<$=|!Je4mr)#o27P9_<uZ)p<|pg4ucR0N*d)8uvMc zJDFaT=J>@|%X!r$U*m=M7yp$}U&PkEjQ(Qiw)e$Z*4+Dlj01J6xSgUc{_hIuz9)0R z?3{Ig@0YM^8QRac`|N$8yW;JfdbgAd)6UsTS-yDv@IIej?rAx#mEwC77p$Fme8H?2 zZ<oKFxjDf1%eKaC8FnpMi_AH<_!bK%nEop?IKTYLjbjHz;%6K)mkZkIFZFmw#+S7j za~>;9%y&zcW#4|tytlmOkK^MN^--%_^PMt$9^aRkcr1v&wZdfHt`{NtRgWE$SJiv2 za?RH@S@$b_Y19`n=VZD6S3<h)&A3o;)ZXUmqU~}fX&?Dd1wIyIcdha6jg?9H*qia^ zk;2v1OA^P9E<W%2Sc1L3r9kTZ4vv;%s{blQGix3_47j=2u&Z17m219T#+pa>r)FK0 z7L;=S8y&1$mF@I+X|u=GMcesT7IrlI$#)*}WnaB+$}uH9rP{z=cbOicZJ9ogk~&tI zZQdi}d}Y~<(;|-pW+&<g-pLF;b6%kA7SHG6m1{1m&6ux{v?cOe&}ojvzMG~;uGk{G zOV-IRQ@cca$(IY?UW&77E<J0r-7#)U=&!p)7tLON_w3&0vER>2EVsXI_LWTSM^D+U za%abBoy|P`#mIBYWwRsROH^~uS^V3uIJfh@$<j$?sWRH3g1KRTuLLg35I(+MP|*MO z(&>t)7H`w23+*Vs)l{)FL@m?!SYc3VR`DzKJ|WlH=5^g2TVFoj#ua&0ZQ|_wiY+^z zJe^~mn|F6g;nihRr>DB{=T_~FS-3d2E8awPp4sj{DN+k>$$T#2)?DmsVt#l^aPGdJ ztjcS*WImtf!MQm1qQ1yQzuWx!js{yxf30m?;=3|=iE{2G3p=ArX;(G|MlSJPk<4%E zGTW@~p2q4eCm%^151MUScZ=cTv#aN&zB<nivtRGG<>uq*9+``L<@QHBnP%2{$4hhe zt(=e9k5`{n*dDmzR!Gt1vmvuR^R_82z2#Cgxwp@3?w%gwXBnGMdRwUUn)Tm_X<qEB zrhorN=H^ppB_8*g&EGR6I4D#2*vDR@+<g@<OqZP%<=<Gab!N#PX0O?beVIO)!AEl| zH*DEia^|tuY=yqkh|JH23;WiY&DUFW;nK6tWck!-X5KR2SE$?y`Q^Ff%d5a6ANe<# z`P%$3x~z8j@J8cYGh3G6Ys)&?<1cJ^`NhCz@7CMXmz@>lKiU&w<x+LjaM8<xliE_+ zx{oTa2CknW*6P<*dFfHtWXm;MGM+4%sHD5kBGSp`Qqsi9>LDc-&!38Qi52fDG3v{h zc>J`2(nPV~IW=4tm3pU}yKK>TzO+wKcTuIURO^<CXQD5?y8YGrLwfGHtuosk*EKUs z`1EOmc&n5`X*a7<kCMrrU3`<{>?GH3nen`oJ+j?A@A!<D2FG(HH}IA2NxS-c=}|fL z-341Eo~sC1=yp|lh7?X0%bp`L`_iKB$q}OJnIF%d6nWew_Ha*&(WK0eCtgpVxYCth zzc69zjAx-MlWL^TmPkCh+7r;8oM&wGxMXhOgri~ME1E-6Vi)VlHJ0!4i;dw*E(!g3 z-n-;t=}~)wsMwYN)~>uP`f`DYf&cX-%`r}k^<K*82q%_AK3_0<iQZcqov2rz&N&My z?K<*V;ML00HvHu+TD!DtkGy&nbauaWeC#^Q6)aURC!Ia0HraQ2F5fzi)?HJIH+0`v zbb3>BzEer%vxk#|r)S8yO?_c>)>-ahm)>(5l}A@prnW~0%v$s5rfA^gFuTbY7oAkw zuk@x%ZR($_tdhCKw`cUOE6HOnUYtGa_KAX$wZ+kqee25dj2AC{J@@vxH!r%Lo0-_` zTD0?QZ~wZ=yynk~eHY$-#E@D1!p!o<s_Z$p_t<>h@r*s$^UI27@|Ry3p1WunX?w_0 z>$uBgC->YLDph-muXK4$_E;WzQ`1*&u3M^KrqPl9l(kh?ie?G+$?cvK_Wa(9iKjD5 z?7mn$JHP14;u-t7UHdcYjEZN)6y6f4UUb~}{|t58U6xhquKi_w&u+Z>cs9^RtxN8; z%+bX4CXppkz1536#g^9^p8ewS__)?3<^Jm)KVB4`$aW83eOzVz!3|bbB}KD>L;EBB zJ{RPE(I~qm6TSST*z(YgNmXYGr6yW0aaUO1xx=aI&&R0CZ!aoOy56JLFW0~4*~51) zE}qDC4PSX&VtHk(tgTpO?~AmrH=b-2FZb;Ci7PIg`ent}sP5TIj<2~MaYM$|&(fD= zs+|9wY3-}rm$fHme7W%@peJLo<J$hrHzpB}H(QtbHk;cCE617sc>H)~*AhqB`MoP7 zj_jJLCv~PU#AB*m_#XETkM68E`-+SWZ?*|qTd@>Xt1LOJuwCVZx-8euvm38IoDeOW zX?3K^*V*aigcEQ1F1fWE<+VGNym+*1;zqvtc}IInZj}61a&K43vt@W0aCEJ3_<Z$y zpA+X?T6p0#udb|9rK!=r7X_!hbzH2z6x>eoXm`z<zo;bR$u#!4e3So(O)Rwv`SkkX zw#*5qvNQAMvTeVkR`Sy1$JeNN*2@nXuYag{De>akZbMx&OU-3TU&8oIpM5&*a%IQq zeBn9A;`-iCDU#}!eO`D`;>>w1b*c2fE}s?|PM#m8)pN|ZE>82JNm)_MGA~oH&&EA7 zjzu4jcxKY~DL7;0$0_$$7`Y^C?KkO@3jcXhY30YM^YvB*C9j*Wzr*BM(Hs@8$2!M@ zVx_Vz!-6JdzA2rv^jOB8r|RJ|k0l(B^3v};+Bf|{ruAcSmYK`f_S{!B%T1O!uX%S% zZDEYNYqYriVw<h1pEjT9+U8v6wNmF6*H6o(D%sLcEPUo(oOaY-KmOJ~i*=ut<@P6A z%intUV{Twm#_Gr7T`O|?=4;q&+50ir)if*n@$)X-+-w=~%1hT?NpP*ny}EItkWcig z$J$$6qgT!kIkaVI;Th3uVy}L9?0s>TqjvkB$-%l+(F^r&*sEV%w7q{t{*8mG%l5_a zF)hfSuFT+eU!Q$;#*6N!@nW*IyZIIgUNS#@(E9%5eR2G;HDSN%Y}XiGDS!QNZq>!; zTjr-q1AM=jHS7!GYh1Q3nD5dG@x8JO@~8ej@*?}`g2`1Eqq%;i{ViT4w)gBWqe@@T z`)d3%xBarJ{IQ6wcIUsFD_&VYc`&W&V)Q!wiR_&(vY#vn+55tmp|=0ew1#~i^P;|n z77470`?9QQpY6Zi6=HiQM*R9$@#9(ZCG!)`5;3){HhTi~t2R5{SN)$C(tS_s!uMDD zE7Ynke_i`g*5d2l!UC=}nIASA9NxuN->tv4`TUNKl7Boa@5%pV+-bq$QSYdi)avo; z^ezuo&-y6w)qh^d{n@wDd{^uI{B2S)yEN2VE-73*YPi*{-l%SyVf7dFXD_CI@TyN0 ze_8M~{aMo7uGPP87k%+uvDDr~zSC>XzluMXG&{dsf4tp~b4lO_?&EILA1t3;<+`Qq zzO>)p=6IQ}m-5~hzp%af=UCpeo_||pLWBBVoIjr5H_4^mzOHnN<1d!|g)Lh+>z+<Y z>fPEmaYd%i(;aITW!(8{?71|{=h^capH}N$Gn{zICtB`!a#rWIaQogXE4FFX_4$64 zwSIBbDPH6Myf-gamm2u)ebLKM+ik;i)g}M_q<s!@W=~(PKgNHQ>#O_Yex;?;KSYM^ zRs2<5DAKm$N_=;}wUgoB${#b5*6d%@ud>Ue;!(S!*4OsO&n9$TlzzF?a8k62$+lna z%cH)06E$5|XuuNo*kpUA;-!eQxp6x@9-D5jRop83ardGnm!I7@&u@Io=JTi3abL_% zWn4bvt#8P?=tusHWGSDIk5?o}g?x+*=e_)(>-?q$Q+~U)6`^w<E|>b?uKiF^W>MP1 zjG)xZT0e4paxZKD=;`rTY%eUoHzQN*kLorn&iS5KrLTHe%o2U|LOEv3qRa7@(o41W zWhu+_CS7&4;97c5inn=jP>u<g`*MS?&r3{>1r=NTT69m>wz<AFa>;&C_lq+;e(ko} z#pbjA!}S%@-QPb*m(`d3a&v0c`d_<?Cc5_i+o8AZ#l`rE-DWjk(w{u)Rs5^EGlONd zy{dSBi~FzFyDU^yF5REh?a}f@{ORU}0ju}xh;MB1`BhyUct++G|GB_L8$9a^^@<8F z&7ad9dq(i@T}vs^piF_6Ns<%)9g<Py-uuh@^Trpe+&@hAwOq1ac7L(fKi=G)=i9RW zg=GsL-91bF&W0K54pe7^{NA~<HusiI6@LfY&O2(#F3qud&A%=zxRGl(L#n4JTEy() zgB!Va1)XgB?_6WHH;s5^CZ}q3q^OwNvprzD;f7l(g+eZSm9Ft-zuf%N);sc-jAGZ_ zg7Eo%?;}@59NCq)-p+N8y30}ZJ1!f)9q?RltjlD%(#_@aqyEX+E@ryFEIOaNb*tK~ zlXTo7@wF&xrK^~J?vED}&Ye_R%(nE78<W1NN7-#2pGA)P+ut5gmue}x&Cj#=;M&`B zdQxq<_O@AGdN4a$B6%j?(zxS3B{xcixo$3V*4m!uS2E*inuxh<*v{I|%bc~h7woXQ z^66A_%H@YsZ<{^o;OoybQ@mV~QMy{qt377D={&1FyMD5GcNhDqSzdb76Rxf|x7xo; zZ|=VI*6GLg9zQz$TjlxgjmHjDCpEm}-sA2zW7~o11sSzMvJ6*k9;&&0&HQq4^*!M{ zr4aWA%H3fH&g$w`F`6G-b=17(isH=M^G<Eac&ak9>txiPqD?)M#a7Shk;<O<dWpEI z?jnnyP2TNger=(9mbfmOY_P?IIdATY430ZroGuFOn5pXO|6tiPQQyYd@v(m!qSda> zD^T9Ng*k6_NcAD#ITx3=_eWm&68S~Icdz;#JM&y6+nC^G_4kTRml=PUr@86f-s5hO zpYJsv_IZDA@nZKyldsx5{MKt~@i=kv`fCTOXRWe*$d+V!<H^H0RsK63>fUYqZqfLa z?Vj_ZTf%v^wbhJSwh!A5&tiVB8TgjdT<6-Z?{ij|t#(yWPxi>X_$sA;lUVy47w1K; zit-aXJff}?2_2oW)Kzx9>k%_uE6bVc9^Gki{ccOeCdXa6kX86%2~Xkbqk`(C4w(~A zXZKw6?2e6#ZOPpDLPcVuS9g$lcaLgR$S0v}n~RUGomTRWs`(VP=#g;V3?Jsb%U4C! zC{HeV@g&SGxZO5S$?$U0gvps&b`RaEN}2QKhj1s|)tkn)G>-Yw)kl5dN=cK&R?cZ* zy8Nhfa`NMLvHm!(&VVQrtDVU@mmbXu7g#cvZ*yJm2CFN(QWcjuny#<BYQ4-=ZGGIl zEib<QdgR?59k;q6)9}q#t_flj<9d%o<y7?^TI#wiT+=s-<>RfSH<yw+t{bjC%D-M~ z)0P|0o``G^JLy-{x;3Iq=yZ5@ieJ>tOGz^)hhN(A<Ee^tpl^4w`t6SVD4x$n873DO zbxn7f7qz6yQpK-3IZjM<>x!>NUT?B4p7qpB)@|Aw#d&$r4ejY+-G1w}-PCluDpC!r zG7Dc$?TT3BsxLovO{U-(Pjk`98HKNwPLS6PoBJiea9`pQzSDQYnx0%#oP1kg`kI4U z>sx!Hb?f#XlDMQad$Ol!x4(IycXzn@%8;DhiQ2WRD)=XL@!h<m#&Y__hqJlS+t)a6 zxPHXVg7?@?$wz&qFTUOq+2qr%op&_GlDBGa8M||-#Pe+$Wm0pBZVSxe+rQ`7Gr#tP zJms#-4{v1WekhT6wr!EQY*l4$?`*!^dzLMWtJ$4l_2knm@z+cCue!V_C%E3-etOq( z`=#eUU0L@pq$W(ot6te|u~6@?&A%#?doIU!^@k+>6|6m`vgGpp3&*t$-Rgto*N3S7 zHLU&iWs$vrdwayPe@=grwtCik&p)~%U>E0n->a&BYk#a^ne%T+otmXp+v4a~qL=1( zFHYWJlJTg%aIvGH`%0OY{YL{$MeCEzi`|bu$ha}n&Ua6{``+?dXFhFoo29n3W{Tjs zj87*I>uE3Y)R;fhAk{?j>1N4AJ!(s9o)|qhv3zQ*ZRk2VPJXpOX3*>HtlereW&BrW zUOH(QIzesvoNI?xWf;Bo7rt70HaFH}*S4Ly-l5^9+e;_DdiBgqH$3*~oo}ihvt72I zJ!rdY(@tKu{)m6(`c+SU-QDrx<Ei^?tB!BD+_kKV#k$vH)p6bBypdA2b#v817P}iP zKe_W&;hQZMVYYRayys$H6&}k>@UWfsH^$9&+RwEWFD9OeKRWHj#Iy3tT>2~fu2sAe zJbzJJ(^v1Wd)gE=$3Jd?zSAt$@~k?!{PKx36VFFmjKbX}EBh%YFM3({N<ZnP$;?M4 zTF++6Ev-{ZwY_Jd+o}5c;;~GRzArBxZxwQ@dh_v?@C3QeJ=4A{c3*RS!mKYlo|sBb z_w4tI>rSlvvZGY0(`%Wh=={Q)7avc)>=v;?Ztb7qbtXR_vL{Am2EF`maCOP$%#3v* zla>6egO?qbS}r^BqViOGzo7oaI-P4S{b_ZEepNZeSNbX!xm&sC-_Y{qo0}H8^yHHG zm1~Z#uIGsC58FS%$TsY+qmPko&|e3gnR4ZG(w;PBTso2&eeBDM$6J)leEKc?4l7++ zJmI=q%o@4oJ<nPeyK62ty(w+$X4(6)?nU6S%=l@ZllA;&A6(=v;odkyx2mSl#6$LS z@|E<Yh^ms0{RWv|Zai8MCVE-<N_t{$Rm#WoyDtlm?oX}zy5dndW82pmj}`?Sm7hKP z<x+Q%`cRImi!Zy1q*l57TH`%SZhl|wgs&A}W=`+gZ@#^2nY$4Gem9d7Pm~2Ou5^@_ z-?&5SNR_X9c(Y3!_oSr<mDY1!ytH8A^|lJriYKOPba|C3eK{kVJ>#N<t}U2!ebW)C zm`}69rm{8fDLd@d>=bvnaJ`AeldUp~I@mVb{kxF0;Z=ppWVW?^^S#t~gJdVKxTr9p zKSPUcV_s5lbGUqMNKgKfS$wPWu20A?IPa_eWES7byqi6tRwh+v1NHY!%&?lX%Qtxa zj}qbHl8Wcav%4<d4quc%t2x~9SC{1vHqZNp{I(V^y`Mb{p1Lnp&aLvRYW0a^?|5_m z&YHMedA4U3T@pK$9`1L`?Wd31+Dl=l(oO9QbC=t!QMxwmRJ!k`Eo(msx<9>i?bLCp zg>$xr+nxGxV$0d88=`%gtG|BKT&+9#ypK)h?I)WhqjKlgJehUr+sX8>Q19q<{h?EC zDgDe<T$(%S@ybQpyy{$iGIu|jp|x`D#CWmj+<qDNsZP1wf7(1Q-8h?@>?O5-C$G=m z7rgqJK4sF8d$&m+yOiGfy<x@omfM`Qhx}KFHvcqt(((2(J=`72!XNgrI^wACztF&( z-`%Z#)4Q{dt301@uvLNA^@YLaZSzEIMc=P|u+`%HQ9qqs{ulDLJ=!g9C2{@e3DL$g zwM|8?4T)RIWIo6A_iW)Xn6vGg@#9Rv1dd$U?ehu)=G9icVK}C)am(hYujJebIyu`s zg;%_lTs()}@Ib)Z$kIKnJTk8)WXI=!Sl~SQ^8#j`g=<r%Jde3nyy}T$xZc8>3|G`w zyj|%wuQ<ki!;RHq*Pm{Qv2j-k-&j;QulSqG<>H_qZ6)2cON!?Of9^Wh78^eCiI3X( zslj=S0d|#9-4CAFJU*p;<C2@z`foDRZ9c!SdH>Aj^%I-t_iUCI%quL6Ik8|V6L*}} zh6Rr_930skRX9XgdMlrut+?lC`+Cv5;*@!pjm}%>r}W<Ke($EaYvbz!HrJJ&+S)#C z{di(tr9oNP`Q6&FJh4|d`?>YKvJ_Wa`f<**i#ZG)h88Q+W2Rrec4B4vd=VdO<?}Y5 z^K9Pd*gQXDvphcSn%kp-L{^CfY1hQkZeCzy<6AcOt4pmjyM#r@HI@ecs0#-cM)@dJ za!XqLx$t!d-|L++{tG1%6^}-rIFRTX^+@w2Q?yj&p;pnP0}F2zf2rb@wCK3HhQUqO zI9qzR45vE7p?*DP9=#(9PA~I1(-(L@`g#1-PV=v4xU26KR$dF;@chopCzaC=`_C)g zse69s9ni#l&b&g)H_vXWtACr*Wy`#D2WVnm%J%yu)AM`21lhj76#aFL_u_fQFEzi; zS*Cu)SkE@o=J%4&^A<c$C12!x`V;(k=kq5s+Yiqx_nB9^{CaWU>Ec_@B-^*ot1X!N z>L$B-l`V5><v+&iceS>kx7fTtXY=}s&GSn(%LC^XXHI@@a<%H%Qtx@SK_=%d0#6uU z=zBh2=De-K`91RIt!2+!$(*-TJD+*Y*ze?K{&!nU1G%E5RzA6P`<M-LXXU@l&v%kP zpNamwC;0Q4-Jj=d{ygVe<*}PPY~CN4SDJX<a>Mg2XN>!Lde3e4=UO(m*KMDpb3m4^ zm(fo)UPn7eCxy3j6@T(eJ+~D+Rk>O0{z8v+)gSNVoV~p2aln$_EQ#LIoi@ugd)(8m znI+k_iLY_ZmF!rs5WKAGA?uY}UMkk0d1%oSTi07eH7If{J!<RZlHl07Kw*tQi^803 zW^K0556ru~KK;&)rN{XW-1#)e_~5)?_4EEmisH_<E{&X5b~5wi%$T6h+IJ5b9*op5 zyS?pX;BDhHPj@~4i!r|g&K4?b=<;2k)7&Oh^1_i#+^f5KS(V~kxvSNod*sAyR)1bs z_UPKWW94Qgi#hN1zAkujWMNF-^Qsb-vuko~CT^N=koEGpsxq5Y#b)kBrrsL)ip=es zympqp^;Cb)z|7?+YnGv*tJaXA{gcPz!h<F*sj8f=^m(Qq_ZTm?dF^HMJiunTcgDQN zbr(PLcc;g7JeR$^+3#2}-^tDXdB=>e6zjdRw5>WevErL&u?=&i?0I|Bv&H$xjo-hl zOs}+g{qAD%IiF8+#3~I|<u$C5T+sccxc&|MJ%RHvNlUf~&OWvGgyut=6$PIdLzqu6 z{oH6)_iA>W?d8`8cOQ)2D7|sBeTi86JFTaBf#*Ud&2qn_GUsgbZrd`3aE+5`x5YLd zc-Sgca$$obb5^z1Os;3TDGvfpKk-pIKQ$!nT9{R3)c%O>DE;#`rssE?p0_ePzf<k} z%E{?6!ndE!G=El^eiA%8x#`3fAJqj~&mGb(ouBhRV#z$!s`V*{w43LI*T1Q_daQiU zESKY}3)VfZJ^68m&GV-(@Alreed!}`eWvS9spmVRj!xFIUHXurp?~pA`3y6Lw2t2& zoOEoAcW;!Hl9iaNd-|rq&RtW(R9D(IZ%!%@J*CU=N=TsOf<g1AKcCrHH}Y~RNsC-t zAi&OQq0x~aAaHm=gBYt)P;->{;tm&Hqn$TgM6x!VbX_ap-|VNS$NARe$CE`9%z5)- z?+9+o6gYKMCSgjq!!r|&r;qr}dHr^-P)@n5Ftyv_$U?T&F)5RlJ8Fw>K4i@sw{wNd zN=F^>%?747N(Fgbnq$QG9?%irZ)dXN>7r@N+4kzq6ZALvQ5x9W$+kVlM|oO?z#FAm z0lv+N;!8bDDxM@scwbSNwAj^IuSsyO6iZRz89UP(k2QGp1J+Dr+uXM=@Zy5YN6j>) zcnT-_9$j$hsOh3^3*84DI=@yIe>~f9C1GNJnuC&fb%h4=5=R;L-61@BwKiR^`p>y5 zul#o~P&t6NWpPB#t=9gCS4ZRPq*|kDKilX`yrMMw_M|CMF~zelwToT7^DS|utI>Ad ztKLh!Mb%&Wyc9Zm(lTh5Nnw!R(WjSBnyYqBF3{?`^t5Ag;R$W^^F86dL6((=SDn;Q z&z%shes+qV`u+)Fev^8Gef=ymU9YOlzOJd}yQ-46_>#)3aJ?wsS(U7-cX&=tS)a5d zbaG1E>JzKfj_wKin-O%xUDolJ@~;((k_2-f{ph@MC9Q9MjE9o^#|iQ3?sv@GD=oJE zcxomZQ`OpUd&h)(|KzI7mY`p8l{XIiSU<2e$eG9%DPzmr^`k@OT!z8RB)NbWkLE5H z+kWTTA(!s>?RzaQF1ns;upr8%X!gVvV*Pi@Qf#seP8>H1^lrAX`y`P1Kyaay%g2j{ zd#`=i=(0E4pxdnUkB_og=I@6I66U!~mU%_Dw@5xo7h5KF`pz+zMMw3vZ!EZ&H2HSH zf(*ge+q9%~`F8T24C!{t3wFAiG~xENIYGKiJ9SS^k-NTUQg2q=(Vmd?$t%8`c(!#- z-0EXteYYQI9glYIUH)fMM3&CetE*VjvSgl?ay4i0JT>KM&)D<z6zlwqKTkw?PG`J% zB6>LE&XcWLZpmuvL+pP2DUhBnSKGA1x5s_H_x9GeFN}}mCWy{2u)b)*e9t&p<dtB} z6Q<>=ALhwE>SFVcDKVBR6|kG+`;u3_xFh=SA!lQM-In$wgDMVjhq)K;Prh#*eXDLK z*Q>1od|ZNSw|xCLk7KEBPrbqcssBH|FG{MhcHZJq7R@23+jn;w)2frE>y3|@`rfL{ zO!;y#W#Z(>C$lFzC;m$QRnPNs^NVdpPQShsNJ}cz%H36a<}q8LR_d<VF^<_v*&Qn) zi`+ev&9~q540`V3<nB9Lxz1_hWwp*^t<qaHzck&JpB1t{ydiM5THn(nhJiB8hQTuZ zGqvjEW@`66oS{><J}ja!^YT&u)f>&?|E&3SNv&soNZhTSkHuc6r|9IK`?2$CDs%S~ z{bg6qtJ@rSH^*#c-`<d%Q$NF1OfFTNu$S2Jl5xfLGU3xDZ%?}G2Ced38ZH&+TUXJ# zYWkgz6aBi>+UMk)dc}VvU3*hWTff!1%LfIQv|ra<dg-{TX#C3hP%XV*Jg!Ime|`QS zIpt!yx(okb+1Q#-SLH9IyOo$^evw)d_v!d?n;>5=%gENn!5=Oj=~(8ue!ATmlapU3 zxlfn7d*@c=Wvd_aD_#nodn>DFdur!e<3;Y)<~wyRI~s{Tj{dpS-D-Qqx?5b8Y_GPK z^)brlF62vF8TeQB=UL%J2MxrxH+b3Uh5qSPtW`@omo9h4M5C<G?P!XW%Ey`0WnTy0 zda3_<JA3faGCS>6m*a07Rq&r5$oRVdtbXe5U(uhBZ-^;Md8vO^|M2Sl#`YpX^{IVb zD}J;{b1aMeFyY*+eFv&n+$v$c7yLNovRFm`)Ql@yJ9dOF3;!S|_I!rl{bnbhS7I@5 ztR8P+x_8-`<+AFJ2o;~__dcsED}8Sm_?B~fu<!kQ%AspTiwoF)EY1I5;1?3DRn8Dn zA5i!4juN|VNR4~V;fZ+<7f+cR_HcgF!dp*1e)c&UxwrXp#+jFYHl7YE<?B=Xc|r3} zoQpzlbHBzZlN(=dhDTiAbnmgE%H`6d&c?|{9E4({V;H{H<%jHfvnzAgt{)k#-q%;P z#~fMAH$A51&=P0W`CAQMmQ+01Y^rHxQncliTxrA;jY%5IT$lB`cWtpK(Ru9Np3@ip z<;8}xg<J}<`z+HQE_OCNuGLmz@$5%yAKyut*#};2IAh2oC;Q0K&2h<Lo8yLAePXSB z-W{=4Jf8(SCWzU~>|Ws*6=IdA_~`M3rTtDPtU^9V2^-5Us(kA?gYW&GFc+WpvN&U- zWrwZS%PL-0oO^vjf}ZT5%3SxO3Su4oj>ndW&9+;$vLffxE$21I&9;}geA)42*;EDF zw7ZuMTwFXcTEN@ZXs6~<olD7+qE`#8KCZkyWbw7t{gXWUJ@QsNeA)4M*)@(Qr5-02 zyRWz{ym+qM@;I-;SAwsfO|3evwBF<07m24&r&M`M>rb3uS=I81Ysr_3i)Y>zv96l3 z%T#dDabxr4UtVl{Bj!4DvAfiEZ<T3s8~5yzeEspX{-s{IlX><tygk;*9gVxq^V0D2 z+bO+rhx4Y^eX%H8y(r{T@^xK-O{<R^tk3WJQt^D7JLeMjb+<)RXUMJnqczpjwr}Uk zB`lYfXWm~D<kKHsXFtU}?qpA9-03M1RbO^}4O;51xISy$7mKG;&w4!FdeY*_)sq~L zr=H9x4bEJ%Yi5Z4zNxXcc{~3G>F--;`{>8pON;wvyGB*je2h}}?e{nLui5JH_^aRE zA7QUI9=RxIyJybVjFQ<)k1r0lQkgC{F;A#7FE32^{=G-D=U)|hct=I?*v?Lsrk$B0 z*A`vzRWv+vR%FqP*?!x^j{ixIda^5$X^pGS`pQ>F&7-<w=Z6NLDf;ZO#&zv%=ai^9 zg~3N&JWA_cC02e%?dU33+3mhNvkZ^thGcE&c)U%^Flx^(-qUW~VcR1QUQD{08)F%@ zWEb!0%Ed=l-oEhV#-pv;nN=wtrKZo43*Xb0>f5go_d4nF<Id}ww!FM}Bs=Qf7mr6< z4J~Z@DtLY7$jy!8R$lHdxW3c!^5aX{Vb8vBJlbklTJ`5cl%$od+Fm!d=PxI|Ftu17 z(C@tdsAYSVNR=;N=hY>h*VUKw^%uIWP@6kviqiU7C7-ig`AlDaxyZ)3<fNed(vDdw zA1`_xjaI#KT+?7zkJZU0mrsUVnoCa#>F-=MYfaH6H_68-H+$7S&iNBq`16s<l#i~{ zUDU%TM5wz)sPL|`;97p^#rcyP1;Xp2?3h2*)|$EaHAjfoMl4?3mG1OLKwq@k%x-d0 zr^$}TD<pSciJ!ArRj1?MJ(=1~O1~x-o3xr<Oz2x26t;5zrp3xRLG>x_bI-`wDqfSH zHUCuG*X7UVZ;F@7`S^LokHeoO1tXhd^p1I4PMF?pa>3-q)1;8d=6JnR8kZm3aFr0f zou?jgeafEYFH$ZlO}(9SCd2S#uInq?hh@{gGTTK5z6}eXo%ipr$E7DT!*!obR68BF z`-GJ5w936&ku@nrOHYfczmIrnbkx&2beB!xCcnr>PcNRhn%X^G?v%;Nr%OdOzinFe zNZ5BC-_bkI5_2vt>YX0$Vx;S3Y3Y`7>Cu$Q#xBabWphmor7|a;Sj}Oso3vMCmBije z<=)eIuZxyAip{TGvBRTT*UtOUD-k>ERolHEKQPvgzs0tHYUtz#&u3L_{&M|`z312T zFCV*S=N(K>&6@YGrH|{X_S>fORV82Bzf4}SV?}&HNTx@<O5dcF72d`VH?PTB+WA4U zU!M1Hpn=>kHh23MFBD~1jeDcm+#N&Kiycb$I<qnC;eM%0mOsvTxYq~Oo!fXZermT~ z-k0>JkGf_5%I>T<y4YStJX_;s|4CQvhm-!zirFKyWdGXpEm{5l7W~<=c*%Yn_uDhP zr9`Y=snuM%Kc_ox*006IA#EN1UdhZ&wECL<Tq7jBKGlvZ^=1E?#{wbsaqiOtzJ4#g z;>mt%Ri&WQg|f3V1Ddww{FB|7dHdO8?ptj?%NIp``Q{XD_<vtW_dT@>WoIH27VFN8 z-z2+5_w)M`JhvwOoqQ_y?w`jOm%g3WZkKyduQ_Xx`GReU=L3Ad9BbT`^qgUt?)3Ix zuhqvK^tu!lOR?|te71VO(0tv_i_2!uPB<l#>-lG%V&ayY&z?#AT;ywJzJG$=t(fA= z-kMj_=HAy;?KOM(r_Ob&<@4*@t2XvD2fT94ch1OpBtIkTqICN)&3{uvyYEf9AaP{B zm7<ifY|h8M8E;B1v>tQ&zi-vwV|i-*Z0<6m+>1>jN+$Y5EOq1;Kid*4WwXm8?ERPL z&x~!Bh14gw&-D2!{;kkw)y4_kvQqj#r!R~8vTfnVi}w0%Qp&a}zh;>DRdF~cFRf48 zWb*GrfcxGTYdL!7|J%4yY_I0R{JHgIEx&GA&Umu${oH0B`CpGLS3FvoKd(7VLyBAW z&%s3>FC0`dkrI}jlC-$+qNAS0uYHygY)ka#H0Skw>HWN6g=f4azh}Tp>*tDBmM_(x z+ity2s=A^hRKF_P>Henr^0r^IELXHF+P}Qt)V<lL?%2nRnm<Z|_51!M{Ao#E;wU~} z^Xf*n_?k9Vo@{|*?#m2#)&82DS<E)IPj7GLhr{V9qJGT^cG|{!rDA^h9?3K~lAfj& z-t05~r$g3;ml++u7Vnp||9D0AujAh(!B_83>DF5FYjIJbYgoOXUJ>KV_b1QW*hR6} zzgKz5e?HJG?3Z+LVBgEd_D1f<H+(67wrFnGN8g&PjpvT5{P28iynXM%AgTL1bNhPD zcE(M+m|1-4ta$0IB}Kw*fwSZFBYRA5?fEq6&5E<S`q4cmw{$)m`K&mrZ|^##^46D6 zn>+VhdN%jA($dM>)cevW#N<BOshhgR^w-p&V;Qd>nsZ&tl6k(3r+59o+|cfO8zvu1 z%`0x6X)>+&w&a~9ALrb@kf7Lm_Rh7|#U|T|XUpulI^$e!fx)C>xp}{hmKe^uy-6nX z%ri56i(4fhv)fk0?#Nsmw}kJ|Sq1mMFL$h5{AkI&f?$rwEBbf#6fQU6h}*oX+`HM( zPOJ8-_~YcDiT~PSe3};9ujvl4{H0v9QESSj1s9JR75xhSd~=S~^8KdsFB-P~N-i#0 zaqZ>$v*~4LR{lHEXSVQF|7mxF(7&ZWC62AK*Oxz<@b&xCjG0~SQXJ;lI;9+WVv6=( zrax8-o6Yum&pE}TB^Hm$&iJ$^t}oQgGI(RQylSu2n&M4f{d}|U81?2!O(_;WGK0_c zPLt$zlN--UPfoQ9-lNXxa$QP%?xd2fAN4sq*O~u|Jo!rM$^2Q`v59{UZ(f?+Q=b%@ zDkGA(M7z7)Suyy!n7Dg`*REYZ!o_C2`1R<%sBvsB-@k;*Utc6lG>F}0Ss~h%S@im` z@Vr;Qo|)<UTopZ+8^3*(yZ-XM8{KUu{SAN9A$R#t{24XdbCyxZZ)JWuk{RZsW_!xg z>$q3ur59T?Z!hr_S?+jK!?()9_tcV0DwpS{q<@KcIz4%P)fcO`C!#VZz1Xtad-2I- zm)G>lEu15!<klZ+KS$73?eCFAmmXZnHrpq)<YSbOIB(m|-jgpD9oEp_SyN*1cw6V6 ziwkB)3r5LK+p)HA(NP`w`3-ryE?HEt%()!;N<n18>eU_fr4Ad``LR!Q(2I4KDKWZR zqFT6tm1F7a%LlbKtjX@|_INOBof@AK<I57;LIbXj<=S1{icXTT_J4j0#h0*tJkMRR z<n<-zMH^OTceE=XP>r4WZziXINp95&=9EjONA1lNrmb`O|E3`>ww+I{F?N@bY>LtK z5?!kmOg)#b9(G)99J|kwW62}4*h7{XNBpK2^7(Q0?^3bOa9inZ%x`;Rk=pv2Fz1x4 zNv{({gZ(B)*ku=8NxAMWWA0TSxIXmm+HVK5L%yn+dOXsY9MtUZK3nVGvAksw&8l_c zqKnP>x4bP<4iVnlxnS*d^Mfq8`~Nr>T`qg0%yTR0XZxb4FKte@*VP-fZ8=*c;9t3T z?X>%wsxHpv%H8`<ai!SaiwoXf-@h{J;%vTKO+T+Mj`~v8a?JVP*_C2@dp&wL$~}_I zH&Od^>CE&iJ5D%?Jnlc{7I#ZA+r+LY*snBe&6DSRhW?M`^k+JJaV;)z?b?^c$CP=o z{H&vLQ0+~bIYo=}XE(?0lc_x{V>0=w#JSv539rXi+mCL{3O?;^v^ZdPg1&!Artpck zqI$Xic5E%Wx@_X?LXXvE-FI4ye`N%}PMv#c#oEsMBDS~ARC%VKxtum-c7XCcvxRr& z2wqj2IXyuoWOi0wpJMu!na`HZ*tF`b#r~v_+(SQkcWduz`kA_^a#!2WT%%W7&wiW> zyl!~BV#2OTmggp4*?Q)@*7lX!H~kGVciH{?x$N~dLm`XUg@3jrJud0}^!b$NE3KzH zdVDXHo-({y`zq?2anGc6A$=QfsK&0ADL?ok>*?|fy%)cpDx7)t)v2%2rLHAMKY1Va zzP@h0&c2efpEe(j2-mfbjWCGaTeokA>aJNoHIJ=+ef?wjbzS?(IWM`&bXc#MzKQ6Z zurBF%WLwG8Pr;mF*Y)gou9>qgu5asx7r&m~@abNceB9fo#P)OX8P|(Xu4E>E^P3#B zJXSJm(y`2lj#)icYge!ETyc3*MMlxF%&5E$wbVIcWy?;gEVqojtTO5Hwi_muPfRp& zXPFd5iP-pxS@xb5%bau~Q-59XWJABlNlQG}Tu!*5?8|4V+t#htI!Eo<z6_%inaMhn z)EeigJvK7^`PgLR2DREbY%Xg~$}MMocuA%Ia?1@p-**<dCuA?G^jzMRkQsD5(`MJK zGlfz8I=+uA&bCie%b)Y@u=`{)zu=FHPf9Ic%W&z*)l8i=v(?u6O`f#q<f_XDR%A?i zwZ&k%%Vd4O&rE(VH=VY(G<TmK_s{a@gOZ<5A6%NOcRbH6^v)7*1NOy7N+h3gI9y&j zqdDTiY`x<$IZn&Hjo9}dTBx`GU#ehe$<C(=Qm3z~-Z*HztV{2(T${tCr8k_#HFrJv zw1I7&-f5eZV8f|;D{b~TUrC)>@2}N&dFj;G39C<Qw>M1I+sSuH;<D;A^#%7#V$1Hb z`%RDJv-<g}WaZNb;T~6>POkTR)TOtbZ`s6GLZ`C7*1a$~<-ANf)~oWzqZOw$`EzS3 zcYXPl&b;Q*(}~T|UAtV0#8135I@!D?Y`T|Rqk62KrH1RW(`)WK*~Dhq_Fh(<+^z7Y z<mMBFh@-BtTtCf|e5X6}t(y5t==H<dUDJ1|eA>Y2KHXLBki)A>Ck{(H#ajI=PhROQ z!`~nBX5nd>`h(`ny=Ct+RxCO#JH4UfifVsz@Pcl=>KMk#U0*)N%+;&^^ZCQwmqI5F z8Z6OU{cobc>r1a5NUhRazphar_F9F8lKXTsxnmx$J{@zGc@Qw&@!!J@io04q3Umfe zH{@d$aGh?n&Z$c;y@ts%)~S|7e^-yyjm1d|^)h3`Z@mn9HD7S1-g3UK$`?UL_p9s0 zuCq9?Y?ZgzeTEZPQoGyztag=r3}_3Qt}pkDBe2BttG;{ibR{`8#fwWXrw1%PE%@BA zThH@Pt3a&XTo$8UI)xY9kGjOpve27z`DtgpXX-AOj}uwD_3Zy>GcNNMV80zvy0e6@ zL17oq#|>xu^k&MPW4M%hdH)In*Xf%7UJ6`Xdck?^a=rGxCeP*Gi`Fk#bb1l@L+9yo zaZQr3Qn8$_v2wMX0kKMdgPks?UOFzJJ8Q}3^DWcWUjKPrbalzxat61_<#ne&WC)$N zS9Y9r=5znC>3UQDy)DQJI_s>eIxFV0#Epe&H|Mlgg`3=b<}Bc7^75Hl!^J1F#Sc19 zE;!dxwRl#~=l2>q*+J*r#TRy~o%}bKW4}q{xA)$?YG>!L8qTu$?0s#qr^)|5j!dJo z_A584J^%CAaM4LU{^>I^E}c$Ic$E3+wDFbGi%xDV4#*NZb6C@G7EiHqhqG^2rLcpP zZ{N@Mlx3bq^6`5zKE0Oj+TcAo`rk>0TocYRf&QI~PHwnwB=6hx*V$-^r{4c%4hFu{ zeqKM^^rGs?eHjDqa25WKGfH=<Rc5NKT0N;*Bd33zcigSQOQx@v%~6QmnzvJL(mEeL zyNzkP%zo~C98@a$Y0}9judJSwb9pS$zIM>&Vf#84y)J`=CAvkEeJmEOp4hE+#w2#` zAMfO)+I{sU4!W_$e{OHO9D4kqz_MBEtofErxHR>+vsUV^Z#y!UEL+{*?BZY<TQ74* z={47r<Zc1C>#ORcT*PA6{_7QeS@ktQ!=v6wPss3+{Iu>Mhm!WidIeine3|HbG$QKA z$F8G`j!NsV?eVla^4V*Vd$*dOkZb0~qn>i2-|ytqZn9uprD=Ef*fKHCJ!c+XQJOp5 zx@?QY<Hyo+x=uei+*vLqO`7ax6?Nv<3pbHVN<EW3B4z*W=#{!^!tq>`PjmTUk^Rm~ z`uMiz^-d}I@ubXc0^jF3Hj^$rys)}=qHl9~+|!O10w>R^cw2ob<St!)Sb4vDf>p_` zr<WAw_Fp}+y?UAR#_PMLly*Fx##6cMu<Z5}b1RoZ?~<z~GoG3n`&z9jtY-FWH^}=u zq0oxuqjt;U!xGzFQ*8P6HYqxmNR&?Yn8BBOr;PLUgyXBP`Cd-Ao}Fs3(s|QuA0E?; zXWP^i{`Si93NNuYa6ft?W6qNYlO=m=|M>mv`8Ch-hKbnLlTVj+ZE~4yUDrOvKljxS zQKz=8ou%HaYtAZe4|ZG6w{(x3;>?m0CE3X~mlM0M8_!tbxZ$?TnoNQ7*>lW!Z|&R} zqQ7r0?>WnLYWpUpSlJXxr~P?#;mGPqg0jnY_Hr$L5pXuwp`eTJ`W-Qr^)EJ@&2>&H zdGTzUy0}k!{QAI|7ZvB+UeNNg;B<EAtEHEeu6QbWL{0f<<@Dv!qe+uvrfiXT`gLVe z&F*X|m(RCmR_!<69(8T;#q@ZcS#tJsz8&%D_nO~3_hsRcLVnZQI*WgTSCu>3lY?ya zY*~!2CU>;QXH8-&tZ`Ekx%8l~+jWD<jmO4H&)V5?WpWdxGAA6rXd+sln<qcptUGV@ z_w3@|_r63t@@0Ej@Uo2M<r3$m(K1iw@Y(+nn&>0DZ$~AIc4<V}ZO%Ja7S6n#ksv5L zZ>R66mCh#HeNVm&ICoafQ1(*ATcPEShUPOrbh915bB$$thQOKJs2Q%!DS4-N#Yye? z6gB1c(u1b!9e2h^rF_11Z93c9J!(sRnls~=l`ktyyS}X_bHeMb>rXFp)Lp;O@S@VR ze!oX1|B8B+U&@eqrar@@cfQQzsmW5#mhYUha-ODluRNAq*R@hps{U`tre%dUHqPAS z8!d6XWL3{L-MTW(Z0;u!K9<+69p5;0(Kd^^Wer)oA3dL*wdn1Y^U6<WZVNn~;<0dF zlKJA0FJFrUv_0c>`JJak)*iG;5pLKQGOwY3)%&T<@!`MhDl?jyYB#=X60Mya!}9Ut zi`S1A%;I0O{_0_-FIx*aLxlJC7T=mubeT0|c2V8d38A-y?k1UT_55nw-ep$&M=tTw z{m%0VA%B+HuZmyY^i}<l@mk}*3x9ANK5}uv<%?QN+W+O;Ig)tge(!8cEw}u;;>Se; ze7}^vp8a^I;Fqf(&mRuGFUx*7OyEb^s*H=La>Ju~r}}LUT;!^|eWyaY$<8lY$*$d@ zd8;QbI;y=r@~ZZdlQQaadn#vr`M8N|p5E-Zpp`q;oL<`R>m{k*>meQ+wAXCyi%qXe zS3Z*SEt?y2X_5Ep@MVI!RXcNC`}A7<*k)c{+A-ZnYujBvz1Z7T=L7eu%;+yaA?};D zcUHk=)k~*UvTw1=uMH_|+TC|}&M#r>Rfm54ST*C=qlH~nzJ~nUXC%lqJ=|2!YxjBc z!8E_(!habu&$k&&J#kU{hU@G&`_m7Wn{EE1v)Zd~<A-jut$$R!ix{_Le15GyQ<Z=B zj6}J%(oC6e!CJ0YMYDCj8K3g|$$VkU+TshXyu};ZcndFhA2q*q&cefWRqljl&nG7P ztTNa=AFKRN3duQE8S%vL@9d(Ta(p{e_}6R6&E3NldGX1d$$s9md_Mo0dPQy8e3vI7 zlRei5`P=5*eUtR+;&ERsm8w65!rs%@h4G)%32pc6Q!2cqIO%-c10&gWmA#yLuMN&- z`xQ(Vn;iGJaqpInFH!t|mL6Svy{2bt#+O_DT_4xxy!v?Ztd>)iOR=`-wa0UATgq3h zDK=is^4jp++Brqu{rP#1r&v|>?9vTXdO7j*+I}O?{?NSoDW+9A#j{hEx@)e_KBlnV z-c5SF=b0}RkDpzhCRe=Y(aP{Yg~F{IrNylhrNOP?-QIC;C&Wda+4b_EU%%J-iHSD0 zVtc=}tvG76{_MvKN^`HjlGtkT+|=4bciT?hm2p9*-8J-PrC6;@TJlQh*h%f8lgF*h zj}^~Sd%WjQ;quB4!8>0`KV3NC_u~Y~(@zulUO(Y|kahd9{nvdNo6qi7sCX&2;`^bW zPm6Z{xxCB&Uu3NKamT&W&L#^SywxPj8ur?A#dVj@{vIoq7EY+wJ|f$j{-=3YVcqT+ z{r{WZUE9BEb&33y>S~*oq}zKAhu_{Xe?i>oCmt)VuluoGMJO}-i=o$=OJ>LSFI;=f zv~J~{72A^I?i~!g<tBTj=<t@@UndXG`K<3ZV}{4_jlK0L8B&WYW{6m2`jmWJ=^JnS ze@08_ud=_dcZL36al@i^KHt0@?tDU#Htfj}M*KT7wrnl>=$x@w_nM>H!~Sh1d~t#k zw}^f$^zxfs^6wz$c9V0z#MSm?);yM%ob%{m;E5%L7hQ$EpRrdB{+0H#{b|(S$wFN( zs=w-=GA+Dt#JA{zN6Y0Iui|HX@Vsx$AAHB~|GAd1UtyIJPuyf#3TN|8+u_V7cGHqQ z^~T0#i<B+BMFkz9x8>P4ACk>YmU+T;N$iND(dV=K%@QXbbLX2Uc-Lf|m4^E2kC&a7 zsMUV7u@PLVKeIhJ<X2SX59K55!v5l~A52(UEO2bjwgkRg8-n=QI9J|cvfQC^=3>|} zM{UIk+q~J2JL%*es?1P1b1CA)evw6e#{&MRB`j-~UpFUSKdyHFpJ=7FSBETkU+tB@ z*ZiTgv{+)#tuEU=NwfcOgxq@dLp>$(&u<03%<3<NZ7ZM6=l5CSyJ))FnML<?#Jy(A z<!$v@9B)+NIonHr;TeAYyE8oP{bwlT#m)3yFJ}-jTQRTIXL<7S)$5|%mpfU+&Ww3- zaB=qJ^L;at-TM<tYm2s=dGg8J@Nk`!+6~u5T+gTTe!F0LPQS<Nd3f)f$E#;Ae?9lU z_zstFoBg>4U1qI)tqG#Je1F+iM$dN@oGrytY}j+cT|jiP4_}&Nv5d;$t2bV!PxgKi zFPHO(eMPx@^1^nVf-8<zHnVt&gFHhf`}+Ca%rH9dDIcD7!+gKPUh|jsE53iK&~V*z zN$j}2(&|U@lQWjAZSOZJ=-9sC-tR|dE3W%|=I03ev}xs|r2<}$7j~-}OxqTkckW<h zZu_02olflO5u2K=oK|d$5ubWUDz{ta3Rm@(xmGtsxiYOEDGARpd;6!j+0!QEP{6H} zU95*=a?So4?M%BR^0ByM^5T$X)8$*2US4)X|LC1h?+>`dKL4}pfK%-2Kc`ld)m={z zj{W@S*8z{^PY-x5zq=toYX6Vv20imLy&j9z3zvOtKVa(@-CR3onw!UC+2tD#+V}-0 z_x+qcBc)Dt`<k=X%T0Ht<UX?O<=l5^+L3JS4O6%IuU~l}I(KDU@*)3QVSB}x@-wra zY}Nd8_1lT;AhFY#nmN}qH6pg?{{9@2bM{Zgy9=4#(_fefM0nm8UeEhbr8aPnT1obw z#OufS%clOxcsJ>P&*Xh^``dqi6~AlZULRxXUVCTlF}LM!clb-m&t1m6{>NN{?s%4C zS#utnm|OW}Cr|t9=3{IxwE4{ZBa<>Gzp*bmx<%H?_oV9OX)m^{iC%WrW_f0Brgq6K zA)lphJFf>MXyyj)Nn;AnjDECLq{1s&c>UZ1zPGMb@UmRX%6@FB`ew=6tJ&T&Ja4bQ zZuel_Hm|tZja#?Geu@(Lmeu{lRLa8pw#s_P$n}@!y}6#Lwr1L8uNx-zva_FV5l)(Y zER6l3PG-!bg%f+!w#Ef(lwP>MG;Z^&AH0%JRD?CJJh^;YZ_@GOmPxV2pX3&=U*}kP zK48wjRW)tT&Q-2pD*w53*X2bsulot;PP@ytD6Z$Jy6~B=m$m&KYafr9aO=&ll}DFc zntwgr@|utC&L6Hd7vm>&7i)#q2ljQp3aO7i?ouJu^6PJ)Vbx@Z#fj$~OfSwBkc$1d z-ec<v-4&Th{}V#Ivx_G9?Ja+kDEhBJif`ew4*}g8OA>$Va9V9$bBxLB_y@^eHQ$!= zF%d77YPK!Dr&-%_z97d`$gX?Ucj?`=`L{UuXHH1eU+JT4->x^+Y^IFy&fr@)pNwC7 zWnMm67&^slzD)Ma=;QHfQ|5c0(Xiim$28Z=@|)3enfZn*Wzu79a-)8h9+JPc=hNlp z$fxBkL9=7*yYGErxi?waeg1>TomuNAv`2@X)BLcwu%|wCRf&+@<%=!nS6!)Iepc~# zmd~2UCTbIt4K|<nDE9e;p_K5+_abT+(<a5Q<i56S(tP7>TXsHK-t+rv+O_i%ak*K4 zTMAF-P2=48D`{uNAx-{y`&8oQS#B!lbGo{wz4VN}dv{Oi){hswXRI@kn<seL^i`sy z#Wlr=?L|inblZMp9ASAK@Y-0#)9Q?s)be*PE}Z?y$+OIP)Af#!p8Vtqdf9Vwf|k3> z=`ZfFjZ2ID`lGZvM1SAckm5bNBC}o!zPaY}^XlSh(I%<W<o4c~)*BnQ{ngQgyz>)W zt9m|iwU%5~nmk*_Mb6y4!#3}cSnZxL;rknAi@n|R?Wy}@U-hjgROFY2$Sgi-r5=4H zBj}{3O#G#Eze!(~f7!_8o4jeh-vbl9;y+JLW%@jOKFvIS$BK<JlFcm6Y?S7C7LnsI zy-UA?U2#p;?#DKAxzRF5f;PHZX&j6B-0$JB#PFKE_>5x*EhCP&2E1STS%CHG)K3B) zN5hW=ulTMf%kfp}D{GNJPiryvmF(RzdlKi*VT{eKl+n0y@!C;)LDAbwn&Y+1ZvK&; zw8U^?y^f1~?^3>F2mSaR_ijJuENp(pd8PH!h5ak`8P_#e<#%MS_|8@-ar9Uv>!Dj! zKe=9QjgEJivQ7VA>xvs%vNFP2nK@7HPczQ__hV(L+*XdV+ZS(MQJQi)V2*Coon3E_ z{akcZefwO4sFI@Fnu}dkw+kv}PEqu8le}7T@{5qi&xa}Ev(=98v2p5~TT`^mQ%AqL z$9mS6q9Tryi%#mVS1d8v`E<#IO=`RMtO+#qe6GUz>BLF>OD9h1EWP5nq3G3<X+lRl zbyF`L*AY5#Qb74v0w34H0zI`_ci-Kg@_Ej_l%G{4|7by9)y1{VvGLQF9jut~$d|8a zh4^2q8Lf+cTxawBfA+Ba^P++kabJ!dG?bcLZ@kJiU*^U23jTLr+U4R_`r7XPI6HOO z<7HAouIC%}RL$W1nVQu7Yf15y=H6GI&jl)}O`9ibyCymB<;{!f?w7vkyt;nW=T-Qz zHOKNNXxK6r-$`*R+ml-MtbCQz%gEWuYNe~w3;MRcnmq5O<+)jRf669CnPz?2d`9!R z1oxK<8J7-k2wt6Sly}=n@9WCAMUwl!bnj^ieE((bpPEk>^s6<)-haNMv)J};TVPhy zr2ASXD*MA$^}0FAm@l-e+Ztq|^?Yl`lFRwKB11oZS^Y<+(Axa+g|0tky$QaDKYcox zl4bHLoj>Hn`bk>7UOT@!9lx_Ac+o{G{m)l^C;sF)-4~H)Kf&Pi0Ut$$E|Z8ak&`{I z#m_sxZOM-pOP(Z8X1zRr_WkyXtM@1ME1i(4`FMek<FQ6p*UB2U<3}#fpL+gYgwEf> zznW*P|CZK1bMtC;v)i3mZldvIh1l%H_WJI-b^8A8k~x}GT~TWK<MCz%`(MJvQ@oE> zO7;9=O}a9F;%o=4b$1<>*=@BkVV3&(@@v4Fd$)8MYU^SduCBRz%BVK2@@DAdFFT(u zp1RoXxXqJISJY>=r+C&*v;FdEp}#Tz$%Yq`-y})~tUPbbe>~%><}(YA$##cj_*X{O zHd(S=y7K(SVdJmY@)A1i_S@*Jo-R^U#OXdcLA`N;kDr$4R*mPTRxwh|e_NEQYo>g@ znW<{CCFs47-E1YRm;NsnUp4=7Q~2|%??-sE_dHeS)$ZNjx3I-j*v?yNvHjY3>zrF6 zpEkd4`s?)UqOa-omA*@+C!Eo9-@dP8@5c!|GuD~PP5XFB^!Q;z(eBcvyJj4!xm@~c zv%#{N>w@MtuB@2yG3cbl<EN7@dGvebt#?z>-}-9nU6u=5RplK_bGL8yzCLS@`^vc6 zZ|_{%s_|ZN)%7h_eNFwh)_lxPYPqCaIN^wEQNW3HnX{ii;EsN#z+e3|DahjLv=ff1 zihbLH*jKkW-?EC$VS2nJ^7;MQ7gle)W^Z8Vmn`%DSb`|OW6stc8UGe?uK#lNQ~&8Z zABA~))o$-`dnlRv?MJ5Ck*uC4^3z{GThX`sSq8s&?M<1xj*C4t)K{jIynM8zyXb|A z@N%>KKR%N#+5X6A{&Qiotp33X%2m%EFO?2nk#18rZ^Pxy^5*N)9P?Ve*3IMG$y)tN z^4fXDvR`XHM@koa&M&hIPSkoSd_G;X<V((r46%(X(p|*oM)2DTTV<vAe>rpbqGt4> zbT{$J2oYOnE3eeLmoqP=2c{X--8_@_=g^FcKSG<Aqz4=i-@Nj^>E>1U&C09NzP?^; z^z!D_jRK!t&L6AGnrToa_AGsx)Ux#CzN;Yyw#vnGmQ{USbH*^j_44LT^PNlAq^I>= zJagfX*GyO2;LpKro>w-T+9%I&woU#l+?Kg0J+CfJ^5xChk5@&`d8~ig_58CxM}l@& zxjnzJy6$D<+{eaGXUu!}voz}RW*d9k5Yt|+zdA<ACSG5HyCWB0Tr@xRS?FT9x=Ep? zRbM8b37B7~#~`rsye0o`e+zR5(~Hs(S2Qj;8%$UcxU@Yw%XhzFmd}3w=V8}F?ub46 z@@m%Q+=>&HwSwj=PgvA0pB<zUT>omR)TWjGkDp2zxx~Lpowd>X{t0jK#nbI3PS;;G z*Y3K%&{RM9)Bek&me)Uh&hcr5|F=!tombR9U*h(Cu}t#T1>a4NcUC@gx$N_&ZsFV? ztj^jWt=(1^Rxe4pv}IMas>$kT6%*C{;nQZ7J(-^UCu`QU>B`4+eP363CVk0@nl|0< ztJ`AvKGzv4Qmr3@J1iGnl(27JU|+Y{OS{)?=U=D&cg{5T-96&GD5K<@wqWG)^Cg$N zN?tkd%`t9!$z5rg%yL=&Q;^%)%gaAqZV8G%bK1~orTw#~)9>%>Y;$`Q&XfDfY_;d* z6?xMy^!!PZJUr{z*G(>=i+zqOWc9jD@0+<sinnl2N>_%+tLOZeR|ZPjH_q_wJ@@0~ zVm?!=C*qt^Ps};Jo`!KpJ>9l?&ZQSqZtJX=F}v)7f%)qN33>Mv=S%JVEW}^AD9~!X zv&x)*9kWed%~+=?yP5O#t&4@?#w)X(?3ZSg_br@ZG)wIHa`CfgKTfk;oS6}~bB4vN zuy0YNelMkZZ=aeI6I)yuJ=@s(y5F6&<38u7$Q*CHY`k{9`?L0Wjz2w9H@&zyWq!1= z&hfakxczEp<ZOk1&pdYJqOrdH*_yOp1#;gCUX}lx&VID;0(b5yN9(VP%!R&G*EpN5 zFDYR6J<c3(wqDl6VR72MhOA3-Wac<KS9yfK@8-MbF!7JDWAVoeE_+|RUB3RS^n$2= z!M<PETHJj9aDOuUpLOD8yX~D-;%f^HcydqL3w~Ms4s=$|x<DI$N7IYZe6zMajqlFN z)U5Nrp#M=~Rp-5!3ooYDyIfsl9(%c959?Kze4Q^B|Eyjmws+-%z)i{vXT9)^x%A@7 zaSf@<XN^=k_VrIspI~z9$%1KBnaTe;ZBN;21^ks@Pc|{V^+;Yu>e^xDVAtzTe@c{= zWy|$l*T{^T`&cS>*0yI?U)5aNDz&}Pa(&6$qq#X+)8<K9E;GvhR9(6>J+yD>nJs_j zE%3DDc<#xb-D8@2%vmgTR@s*gtKz<#aMby_XgklB&GVd0FGdSU>3+=Tm}__Au*R0P z&82xIq9MY2Lxp^uKVIO?db&Gn(RQA{#{buBk(&DJMdQD#wV-|XX_>QTO!sXs6j&8^ zYeKu{i`m`s8A|^&SBljZZ8)|3Pj}P4AJ3QF>z377<Z7w0{GPJx7RTt1uUU_N^7rsL zU$v)Yl|_}?lN*{|^DFH(C-#5Qd6{vu=hgStpNrCy>dI!yRZV;LoM*Gk`6qv3CM~<T zaK6h|kLxZn(Q`v~nLXNOQuIqD&#yPRcFlA{kHvK}r^jrWRaQDX<;o?K_0yG3Y4<wq zyzA8U!hLUwv-C&nXR9tQdn}+a;l8Sx)Yi{hA@8T2e>5Zf*Xd6;`K1rF?7b*IeQ}KG znw}p%-N8p+to3_&>(a-`E7mps*m<<&)vfmV@u|C}mApywzCNMv=&IT4B>$SM68#%= zHCp-CTB}#LE}Yj`yzJ>u&ekg?Hujk_G-stfPZ!qoU0nQTNu6o#xxyKpi!zJqj2N?} z<|ON-%=-3h2XEx%8-FG(*!olV<Fd@GxaC^2mo2U2-F<P3@%jYIS!vHg&uqD9vMF3k zJ$7^9?1;(h?(Wd-@w{GehfQs1cF^{Tjh9SU-9F;-^468y<TWL{$8xh*mFOPJ&Dv6O z_DF8|u3c@9wppo9TeoyauV42((Vv{DtJ2-;x@HF1I)5^L<8ywJ%;}GpHme>_$eKPc zRkr`?rg?^zZN|l)vQzcGzB%cyE$niBTV2>pFWc@<#a*k@gZh^1yp)`pEN!fPJU;Bp zn^Wi2)q3X@{$){q9eMrZ?5w5fk$sD=Sla&nwKC-Cmz>k*mq;y7Pq3fpvua-J-zQ0* zUPw-#pHcQ@&FS;TUoRc_^Z3wJ69xX>3?sQ|3v_LlOl<i#xjr=POQnoV;QLsalEzIx znafPL$_zMHl}_-Q?G|dI<HY@0{}{t8u_x>r*-;(s;W@tw<@i>L@0DG+|7*V2*6&3Z z4#&$dvuA8ME4yLY`ezT>etFN!f9$wItt#3<{-}PzRduTu4Q{*7tyYUF{m|+*|DWK( z!k^C<MSUr2T=sSc$12b4j{>f<{>^H4aJsO+P$A{(c9{?d`=h!64Q}V>FlJ0+UKqw6 zoDns>UT4)(TT6-dV~-VAsOi33cx9&D>~_0XuKDsW9`9&dUBSAl^IlOcf4rmVMQKJU z*UzjW!h26HxTx~qDWp42R>t}BCx6zp#~lNFZ*{k8pP04m%Y^IcpQJ*B_m(c0|5&%? zsAhn#$yrCmuZy;`o4ooOXL?bZt5@qMyOZ-`^?!dC)c&mw)U8_Va5?7>^HrC8mkg<k z`_;@pzh4#gWm)6DiO(7Q_P<u-`sJ_gWP0&7x0LGV^&CfkUB9v<P)dH`E7yE!DbbJo ztD~Z>*&BXcw4KxB?J?T`-!In|X1f00vdT5zp;qPi!L|RIt^VrpFI`aC*OawryQoRj zGtU)jRkIxzyZkc@?Y<Y2apmQF0T~nCH}|>ZOnhI<hjcDm`QxqA=MVa;ulpBCpLv;G znbEYbvwVeG)nSMD7wRk2s<Isy$NX<w<(hApvE{`6rCAqm^GnHo<oDS6Vy&8K?<sxJ zSrgj5O;&WPo>;VRPPe+7UabcI=Rc<pDo=9xfB3Yup;T#cF`rghc3Vcsaeuk5i*)`S zT^Rb)-K~4qj}ZN}FXbnn|9L(1ZM}@m$BoS{6~7eZiWbK38LbpAE&6b3vRir0oK@4^ zp2bT9U0(A?IoRE#_n52D^3VL<TR|t0X#QWa;RUm$$D(_uEq*-mX0QB^vE{U*YGm)H z9XDEb{rJ8tE^20df{7Bp;}!48RV!NR=GMntwL9S`to|#JPpbCVLCK6c-Ckc8#qniz zdP%)4oVb$H_xGp#?)GJlKgHXgJzgmE_V9k=`Lp)TZg*VwIH7ZeU3at5nqThhg)cHf z&K^|!y2zWaXrUS3q>IP+<}LhN(GjArd+e}q@+`JT53kIX`SU@Reg3^i3Opre4=UJ7 z-7PBc+?#RDQ9Dn{_u~Z)-8b=E>z6&PII%F(?f<lp?t5V`mj84Q)vdbyb?O|p!e4Rx z^RIN@^SWSP2&#*ME@a&75AglM*6h~%=XZc^mGDdxudjt{UXSMUZ=83tA~UJ&aai`W z$J2fGM$})?wR(H_YeAHd-KFxQnH2>S_v!d;Zl3tXw=haBXUY1m%kS>U?4P#!@&8@s z|8&KVzb~EnCpB;K{x@lV)7D+{FFV}#Y~_!xzs?@BY^u%%t=A~C-doapqI$+Lp;+dk zPlsnL$&OIB579}wdrm)=`;*)<lh6~c{2q46!TmMUmP!5epJOHUd}nSq=kn}{=g(bH z*x#pP6LvQL_`IL*BTVY&W|v4^vbFMEnIv>%wRq>3uBG>^p3E=Y{>LS0p4dV6jSKB& z+Lf*R-ZHymd81w1-Auk3merT#e*93ql_^kjCuxy!LGT)#i_$wJ!xm-m-gy%=?*-qT zCqa2%+4Hu0X~;H3Yb5tp_jd^UyuW9>$gkd~&fDs*>Cd}{R~Gclw*O#a@mP)D$iF$* zyn2cg@1-3toA+E^aOJG5>M!oXjTt(x@?R>?oVa2?zx?qALp`U&jsG^pt!_O0OZwBT zsU6!69ya-U?ZIRAmH(E=@Hcwaonq{_;|?_R_;Pbn#^U|f^6nE}tUntlR_1YT&c9_f z%bs~WR{9^(Q2L>xc|n{^?OU6e!lO1@c7^=A+VG0|tJIb1nfILbN*u8dcwhVZ!r7;v z)}Ji>^qy;Ve)sbQ_qt2O>%QFmc%d`4Sg9i^ce@R%+3R@0Y1_>At-ikO$90vYt-8NB zQs@0_7p*g8`?TJ*|CrIg&V`qs%$@FgYEgfgheWK@-gyeiC6!;g7JVrQ>X=eo)V;>j zLVx{<5|(?5AE{(>>{)(vX5NA0hVk>v4j$IYtYUoM$uxHs3;)#}nOu9GyKPm!b4aka zsoQyJJKM^*ol{(S!}c~!_27-#+cnjlH*Rm3plQV8sakW2R;WDU?K<4!zjRd%0|P@F z3kO56w24Y*VwdGog*uT2>HbYhv)r2tEfn}zj<5y^9X8Mtyc)vDAQikK!&}q4@M__f z(vSC&>@8m?l*+%qJ7dQc`IWgPBInCA1<dcVs)-oC*Db8)S|O~E#i#8o*!aTj_3N-H zrMFxQg^quUakwL1QvS%UCF{rprgxvb_crlV@Anbgl5>5|x?f3grGot5H~B<MYZ)ju z31qAbzoqa>?hoq=C%62m`6^s5U*Fg-DzW2T@<MI*mcZNq9>2EjMyo>AmBMwjg*xuB z&D^1}XWqZF`%f)3e!R&mf5N7cmuKGm*RR}ljHBb7F2|;mCZUzW|DSV}J-EcTXl=(r ze$92C%A4QypZE}XeCyO%pX}c($nl&$`<#Yk&_j!e&x?Mq%F`=cQ4*b!_4e1}tI5_W z<_2Hp$Mt=aTw}cW+P@taY@`d~6~3w@hB4e?-E)AK@zJ+~GenhB)el_N;!gQg&-6So zf1}s?<0Ypi-|NZ~^eq?NzlHVptoTq~Hh+iz^FnV~-`w^@R(HPnlKW4u7*F1D{?55& zqF-FPmNmaIbqag$oZU3d>{nAZ`*yAf%PEsPmo7GA%9AnA>vvx6oHV^vl=%$jN*_P& zfR4zAC+t;h*-KpOXBF7J6R<nGw0PkGt->9XChEi;pD8w1!F48UkkK)vtDnBQWIu{Z zu`I0TKH5?`WwNp&YnzJdcgu?w&hpzUO<T1t^44*6obU?YXV&ziV0Y32kJs<kO<Ix4 zo@HFHbC(1AtfRBV@1%5@o&FHd_u{HptANx8Te+aMx7Po>l9t?Z#ro2=7%onQj7Rso z7F=9bu-<uB(aHC-gOVDf{vW-O$*5fK*;VCq`*~N+o1@XKOKo_rs{eRuzqZO{i@p4z z=}XRYdn#?LIKFpQ?hV!hW?POP-mk~5VyHEJLBZ+JvMu3?i&72-H$ClTJYn<cgWA8Y zh(}kSO^HgXSic~|v+dcU&(5Fq7Ci~6z1_dL>zc{Obz#97atga_mK{%hT71Cpbn&${ z4_s~?^w|9V=K<q0dVRN<R?2>Pw0g;ve|@<b-Ji^7Chudoo}OvDKrsJX?;~5&)FM3{ ztLP$`O%DnsJ)i9UzP$5~rM`|>$W(iu1kN37o9&8(k~vvwYjqfRet2KV&eP-fJ^F+p z^ZIjcMQ==vMLD_7F6?fNaGtsPM7H$|SMAR%nH67E^d`GD<=E@Tzi16y7JO^#QI^@0 z8$%ZSX8khXm}CB<yE9v~CwpHmnO(2P^|a`~#WMn}rKS-Xy=`r*%@z0MC-dHPbjmhw z;B)%VyCUL_q|3Z|-RLbZ*DFUhY&h1adMJ*m^$^$QeO`A~_kB6^c&n47#R91a<2_%p zuJR{*2|Q)4vr@6fka3-6?2ki-JC;ZO56*l0{C}pz0jEXsy869qi&K??_3iIg?7kWL zzV*<_>j{o`R5>4MCahlBf8)!BdH?4e4HJFzVb<a^Q#*G4?DU`S>A7$9=cZ*VWGBQO zHHuFb{k$|sdMT^#<mql<GSx!zg-_C3%_bzr>m8Qu4r2=WccAZpr=|zv>c5(@g_)Q1 z*r)9de9$Ca{;R-qU4GFr<rRT@+gTPi`2RL2$_`7p66<q$eSV0&k9+P@%QwldUT_{i zkit>BTJ`JWy`Fk@$=6@V6zBAFoH^ubb$QF-HDXp5qWOMaZF_%hhK<m~59Q9MrVH(U zU&5SOy}-irI=|JYPjXhLmg(&5ew1|I!Q?ulihFOI-u6Fp3%@UUFE0Ld`&A?U#A9&+ zA-@hW=_l{YOw73W`;NmEuf#u>{sp-IIcPGuB5v79f%h!lzht}v9$t62FQWW*x!%_m zx5CeS(Cyn;aG9?mOyB1F$}>}v_A5rq`F>1V&3WU~{#WO-+1t;rP3Bm#cv*n*BLV+& zzw`G*#|WR_UoB+Ba#ioCscvEYjC=ZO*;1M>R);Tp;>h?<G$L`$+h}#BW#`mI)-{L! z>@C0Z#J_*5%|TtUhuden9G4X;{q=7KpG8+D*Ae-=kNQudj{R>uZC$oyRavO8n#lkA zXY)cguKl@F@uusQ>3i~~UI^3g3*y`q%X>S!!p{3odFY#@xpP-?ew+MdorzW2yN=oS z(?y!r-#=TpW6e&>*=u@A+V>ZPG0$2ixK1^$qWRGC)=llprJ@!sH+ZRhP*Scyf7#Rh z3f{Bp8!CUV2s!)sdX$K7V7bfUt6S@HUum0XE!)_$$D&J8_U<L`unvti*8GhRS;No# z{XKijEZtHap9Q8f+xgf0-&JaUS;+sBTUx}Cj-3tX|LyLruQ>L9ZRBEE&Sc%qUpL+j zF70C6{c7u8=GSd6i>JKa<6_?QBS8K8qeS&v${XWq7gdLCG!SD=f0TExc#**4zM8<E z;w$Wn_VfOFIVolHEZ&RqTi9%_AGzH5r?07XS4=>TsQ-DV@Bg>A<Q$sv<?!>V&n#!9 zwm1KuG4tl@sjM%*uK2Rdt@C(z#kcPVr*sI5*IsAlmf$&9xpeL3CB4hdHdN%DZ~neC zzfnp0m&E+3*Z<8CyIXd}w!1Hl`R}oxD|bj(oqkmA8ys_}vR$@yjgX6#uuPTrdvo=v zch<ds{cn-(JE_ET9}6sHZtnc^O5;t!jn_<hS3CbFOv#R)lsnPRsjT?KoNYzhPT07u zoo4>CylD6ChW}5PE4ge7zj-aI%(-{HqOgEJWb@e@OSQC(jIZ0UybP?EDj}xg7Bh9d zlhnq;=If`<J;E`^^|lM6>I9#=<yksHDKqMw%jWo}^jZmstan=A73ou0bg*PcS<-38 zQ%@WombO1PHQsS`(u>LkO$*=F%=LJ1NklNxUdlu&a;gCT^o!<RMu#`Q-pP?Cm3l`1 zZ-SVK)7K@nlWS{kp4oBk;ACU&g2qJ+U*_j+I1t~r;Ct^oi7QeGyeoA|_FiFlp<bxN zmOMqc_eiUxx6I*b=FDN!&%559^lIx<tHQWLx9SqtD=bpi2`yPxnpJt#dmSgQN7&mC zr4PI}XNr|v@#9)pI_0ud+tWGM&xQC*3yyMMxua_7`*+6eI~_xu7j&)G6z4c+q57{u zs5D!?z|LSvZL$Juj-16y`3Y;*a~Z2NPndk<qnYD&SGD=?>RmK;6mL{I`916D<)(~$ zM!AI%$09er6zp4>oa6cTKf~-c-mtP@?u@ht62<y6S#KOtRoWVxddkF-`({YQhM0tC z^Y|s7SzY!<&9D+OeBD$%^(T*8_=WW4o6}0FJ3ldfnAcL(9QO2_M?yqgpz^D(4Av<E z7q{FomEGl=oSU&nRbKR5$JqnYvWcIWj#&Hfh3!2oJN;Sqk?p_Q6Iu>Gd?0KUu;uBg zYiCNdjTw$gD=)mZ@Z(AS$o_hZCttf~9c*26_3?76yu+I>Z~p$F)Be$$+N%mH=Iv6r z5h?nViDAxs{}$6rdIwm-Y#9TLrtUn@=_8-&`IE_Q=G<)}rcWQ<S-G=aO7()+%Kiy@ zzJXr@yZ&@vu*%u~OD6ccHV0=JPs{8Ld==XlbZ4FJII~DciTPXg+LdWc&s8k*vNx1< zy1YGiuB3MTCWd?YUGKt}ZrS&JZ*$dk3i-<3K5Nz5OS|p&`0_n_F~3>FP`uHjYt77c zlhk=l-?C*`Rc(8EnkO~lg`GwQ|3b^EGf$(wtD47jZeFS~fpJok=^W{2=l*{S3y_Rj z9m(x|B6dmkwPRh$w$?r?H!*hIo>{zMCX=_t&Sh67yS}S$NU6PdW9v<JlbNaae`uw) z+ML(YnEdUjbLj-xo0bfm6L&H&X(;xDdJAh^SbovVi`S*B^1(!7r>~5EHc3PXFYte3 zEck9WbEigD-5Lqbhg)N7``6g)yJ4U(X^8`i@o$F-O2=MVcb`?df9%s^pAW?ooBmfc ze^g7q_~4@{%UQYKVR4;p8Tx-;@CYB}{JNT*`K2rCURN2tB&(kfjFcvG&#CU|iY+*Q zc7lUP)pHq#hYt?SZ;gL+%^^(Z*83{or_BfEtj-WUAun(Cn|qeT&k&jYA>6B8%=lR5 z%Ez7i;Fj!s1~t{(x&>ceFSe=wEAa5%q*JTDGmB+B_Y*&~wL?qqWgOR)XXl-|oE24g zrf95R$GJxNVFbrvW7T#?CG|(4cC(**ZF(ZiqNx}ra?s$J(Y<4xC*m(XIuZIK@M&+A z|K{hSzj&SWyC$k_`@40;2l*I*_r-ozeOoja$wVvv^<F-6(?716%uB-hRW>{k*r~vu z`Q~NQlENnDf)!u?%l9?zTpV+edF564lJ^(&n%Xro%a=0#<==UJ)_HTzg&&tU-e;^z zy45$;o_}Y}tCx?jDm<QHXZfM0{FTq$qC-D25B6w92es^;ck0x-mI-^qBwVb&gs@DK z`Sx||HkQW!)%Cx(imZLewUl2?^?axO+}wnlzw>z+z3dB~_9|EXTgQ2K_WKVun@cpq zJ0$mBRh+DF-bQlQwkI7)@kh17O=X=mDrHtoY3GY~*~RgH=Gg|xtATeH3oz_d*lNnv z^ilQDzx*xl-R&=lF}sRiWXigI|8`uVJ8ND9L!H}vn-jA>PTQWa&NJrmN9(7)@#nT$ zUEG$ridE4&;Gyjtkq^rZ|8KQ1X2{^3Dju1;Aaqewy3QrbIUE;`#4TSrr)-tBVCln( z+ksz_F4XS0fAv}Tnf4a`n%-ZTD^}~xj!xBF)VXZ_Qub=*i#)HZ1;16kPZeCiVBy$v zn00B&+l<BSn;Y3>_s?5(IcMj@mZ!&>ObVVY^18Zb{p0|-W<zJM&3ca~XRh17?q^pQ z_xn4n$C|$0l33Kx&1*X4U~6gq-ZvpdjyHc@*~FqH^}keh(&o|wJ`$2#d;W9(XR5C> zUDwK4^XiA{gB9ivV$J$kohCT4F4Uem@tEh?fTeEMKi~dN+331{PuPyl)3O$==kodV zYs2;~9v!X;T(K7qyQwy=YgipS*@I!qTm|V8KHc{%AN)Vhvs$`O?q?KVb?nlj>18~V zj{VGgGxuC}tH`s1cgyG6JkZ)58?<>}S7pqi??LzYrCsb^E|^nav8<<sYnAo%eG51~ zUHB>TO-1#q)8)?}oesNfY2EDqZE;d{SMKXR@2XP)EsjlM6Ig8-BAss-tGR}J6>!XM zRo?b?k3c5N?Dhj}S(S||l5?4-e_#?-n7E{AQ%>+Rn_z9Nr%!EGs~UQIWyp4xD+pSg z+3YU<TK+%;zhP^Q)ma6*6&DYiEVMr4C@1+nFz@f&W|24dnlH7r{%lR&l=5jW|Cgy@ z`JE-{lNu@um)pGaI9T+FS$?`~i(lZ`m(%sGx;du)3RiwIiTB@j=W~mg6sLAqU+%rc zwrt+HLp+(92CJr~PS0VxwOJtk(hm6-i}y4NWLkVq(6|u3W$~8ZAws$vA|`CxsdQ=f z2k+VUI5)fH7%TYSUF`Hbe3N;z)14{j_b)Q}Kb^VGdWCbAWp&Zv?K2kJ{=Fym<BfOr z`dKBj&hP4$+$a6q`cM7Y#_6A4{#zP5{qXLmEg3eynaY>9-C^dRa`E-e%a(^M`ME?R zZ3I{<PcdC?=&NpP<>BGBT=#~#Xx($$8BTEq)u9KoPfYJos(Slk$>)31-MSN0=4F?c z-nqfcq8=OSB<*q|(d@-f=ce_u7k}hAD))mSOE$<%c#Xi-M)9rJ6u8o=dXvxWxI4dn zS<&2Esh5g8a|@TA6q9I){a3;IoN4urz6ra22W1G(F=AxaJnziHT)uE#rpOJm>NDC! zkDsNQbG&=Ua$d8CSIp?kkAoV5g<tl&-I~j^{*TwGbCGX$7MR!Be%Mhlr**>~&a~4H z9H;-yI}n$8^v%arEaBPQX><C_rb=y@z*f1tbL;;b3lHpdyHw<twSP}(kL<$lYOmXu z8?4>H<EgZvXHSuH--N@Sx~!fBv#;+H)%<z*Na$XX6|Ow{Z1}@l9G~&5*i@9w$@J^H z)vrsw=js-G)wFnM+Vg*{-R#<z|F2zNv1Fgx#7_z}9oE}A@~YkUX4gv}TDtZTL;lP^ z`HtI<@7xntzH$Fjeaj{<hO*h$Z;E^DJ-4SU^Xh_wpBZMfU3HrGzmGxw+}+il?yg>5 zCI6QmFS#mdWVku&&z27^AHE+ud0bx6LL#rO;9>nSvwf=z??3x|Qq^vGmfOtR$9n9a z$*(c~=x)C6++^7kJ-as~osVi*H&apR_1wz`H0PXg>+~*rFex$UWSr}*2YNaa3p-C- zvA)&xKX>BnxpQ_r)c#qtq1(JFV;A3tYdT-|Wc%?rwR(uWdBM<myYQ6KL&rI~My`u~ zzG}ZR=Mvwgg6A36>~C+rcx{WdpIa%ni}nw3?{YP_qmTU`dUb4*xl|q{U3<6hlS0An zJWgSEfnO~aZ#Motou10QBAWg6%;|5ZrcN$xU$sJ_iEU!w9SP9}dx8CPYL*4hIl=T| zhrFwy+lCO;gL92*W@ZIDu{X_5*#6A9D(@tt;Q!}Zv!yQiW@qnOac0t@uRrE{7nkm| zv7c(_u&L2?3b)!r(daPu`jg71%HHwzNo{4=Z}n_Kz)EL33EM4c!sb!EiL9+|9lZ%$ z0wP^T*IN6tPK9N5tnMpHDoH!6n=kt8YUN@*`OCZKMwe_|$E?n0yj*<Qa=DD4c?<4- zYFWDAwMM}Go4wmqu2wfKZ>sxtCGFha=Uo9TX06H3cHF5o&s=!jb$`#sg^7wX1(Veo z{=FA{n$N5{M^{Jg&jaVgoc^_LVQP9_J7d@7$%fvH3N~Q$^5_=quk&VOTX;RnzF`UL zhX)tlCfn(2JonwrViVq#xAyL>kB>uV1Wb7Tt$lVd&x)%h97Vj|v+A2(H)*AsG-MrG zzppQM0gLMrP3uy@#?|YyFZnSlFVl$+zQ6XBNMl468@qGFa=lPq=X)k5x<ZZ3$C!8j z$!4x`iP?B;XH*f}%In6_K5-)A4-@|JF_;U@&&&84oUnw$;C26f4&Bh@+r=a=uSojt z{>}cyOUd<rJJ+b5wYap)Dx%LYZi*Y@r*p+Om*31>DciaF`mO6?ViU!MRu$e|a`TmB z(FKMTynXxkhlmOCWm=q5<=-O_DSLxecE`N$dz;Vo@oS36@~#QiKRc@=%XPnL>59jV z(V_p`E_*HxwK$WXnHSLhJhIa2@#E9!5+CI9Dvz{_h|KuKU1iSj<Ib`_qHA6nytuo- zw>)><+FvYlgzjo*>X-N|Sh<|3SW+|5w$@GL<-^ik-)%xXKRFj^IWF&b*=sp*%0|71 z$ub8wIvvn?`dHC>5>J%M6!l%}r5$g#J)0nXBlG~vvS+T_qc*AuIqN*-OIe~hubRg| z+WJaisaNKgSpD~xW_?mz(Q$Z^SCuf6(4oTLhO@Wr?U=kXrTNCX>n%5|{SK>qnh~ac zkAr8@?6bf3rY&j_sgB?I`g?)xB=hRY0>U@c+HWbRimy3-blHB>`4a;?6k}SBUwLpc z<ozwvS66hI&cB_^-=v}Fo@dg!-JPk&^jueF;XNmY&8&>ZJ!11yLmnlpWr|mm%TwYv z<Y2Jj&}h}ZJUg!HD2G8&@W%QX)9jKNzVDjdW!>25S($gjQ>sr@s!A_pyY;4K5u+aA z#vsF~$FKZd_F?a3j<<^as?pw4I|QDq+3J1}$O%gS`Coh8@fi$-7XyyZuwQ#4nT`M0 z%LzRFDS9~%dXkrO&NQ=2X?x2c^SX!Q`odF6T#s_%zE6-=W%CPoQD7<%Y4vgS&p6X- zJI-x5`7&eHvZo75uC{a*-Saq_ac1U(vWrvqYaP=O{x;!~O|ifu7stuRx;A|LSEuVP zDaoB>Tw1bCK<>(^70p^P4hPb2>IYtJ_gG}SS3jC@*1A;+m(OytiLDEpq}V-YS)H4b z<`RFdsta2lTckAkoo~MQMXmE^@M-H~W$hfMb`0|k55HO|ZhC#{9A%YI=@_|-nd@`3 zpUSA5@M1mu@TcfD-C(D`m7cdIeojkg|8ICaNA4=?76&iMU>;57hyJeCQ~z6NF07uS zF)KucrBr8!wfk&^eHZ4b&6A(>EylF!;)JrJx4Kt9`>)!tUoo)f&(EsQ!7oVp(5 zEGoNe%F+{aAX`MQ_xO+ZmTf1prZYYl;%PUzDRe<OUO>`ms&|VALz9<b$mZ-<no_2# zOkOeE%uINkFimr@<jNVw;e3A6R_nEi-hXy*!s16F8AZD11ghOM7;9CwPoEf_wy`YW z9p8t0!Y{;{dMv&lWspkv&1?F8QfJ^&#eE0#e?Rt4lfAuk?MlZx4ZeC)tNC(;Uc7x6 zI*a+Xmaak~1IOE`d_@trPp*0`svzE+?ew`YAoj-7LwEffJF_lZoLQP$R*=ckzboxN z+lQrs-}G6w1#JmD{ZD%xzx|?1t|AA^MQtY;iu>&F75#CnHR3T-O86Spe~Fq^9-ke) z¬v{3wcb;ZaS=jzuZ&d7_Ug&)5|xXcT9yBAhn&oqMgE?9BVOuNbi1-K8KZ^ew^Y z(FUKO$w!VZvzF|ecwG2PTh)t44*RYz<TGC)(ZRERHT(AY8@NuKb@J9bw5t5P@?CWg z-nIs-HJ|tRt~F4K&0MA27QJ;w$V<kVS40+X(>#-_EwQWCz*7B*=^W+)6OKZm7c!px z61tw|E>)gI=K{{9Y*z2AU9A<wxy6@lcb!SUzS`@9ncNyDB0ifxZf(&oeZ84iAu3_7 zXpPO2D<1RP{xt=!@=83{rf*VlWK!(;33r}N5{udNYQo<or=OcnIaaD=7j}2q@)cVD znKo~VJ}wgaG(O>4Vo;2b;-Z*4+jYa3?*`0Q-nyu>-(@rJUj>uJjOUhqTzYGF9_OxW zU)bGW&YE$nA#BA%t_~rohy~gU3>=uIZ}Ki>-!eDrlCff9iT_nG?{^F;J?AuUDF~<X zzc0FA#g;#PB6mkisaws`g$Gyi9yCq<x`kb0>V{J>tDM=3zP>bBw)uIaNPmN=r^B6> z#<AOf&N7TntyEvHJMErvo%{UoPrsTES42N}e$nlsx0T%|VTaa*%Il(9m&Nb!+o$>M zvGcP>V#%y)mmX+!ofcoHan9i6U8%g225WpuelOzPdZxTxsAA5<hWZtG#rwY>`ShvE zwZT)^(8X`bvnYYkgL+{eE>;FD3*1yUOkvYlT)DPX^XKnZ)qE4C^KNr$dUaS)qNklL z^FSUK)AGX$4nBNvp{V7lgzs(Pqt`un^+k&X)b;i(U>D-lFaM{$MW*iEi~1Fn6+1uw zF@DJ-a`)%_&htq{9KRQx%=>w?a1v*wsldJGCrZ7ax7Tf*_`dgp!E)vV_Z}8o?htm9 zllK;ioOpkW-O?h}<>^YJpb}fbrIt<tl8U~C{bqRqPsCQ8Zf%jQ|9V%3;dK;m?SsT* znZ2wXl9mhhc^nf;kT0KJ5dAB{DsF{R<DN+umWum&%i8VlaGvip?NY>y<aKU7??jeg zX*u+d<2AEEiD}0A&rJ-Ac26%^TC=M^B>%3u)4Y(~yT06;yM9ym?DZ36^JeH(Eqlmm zlkwvki%XL(-|S}2iwlw&^_bKf7|q#ltF{_GW&M|EufH+ZPhVVMa)V)H-!qFu)^{r} z3BUQ!5V~~nyIlqcjURlMyIwkNo>jwCrp2AyYc#(}N9XU#jtPxB8NW1qT1>ImG_(I5 zWvjPyb*$doTdTG9d`C^Udf>9B`_{($Bz}q!-oO@kP`OK%#ZGeN+2?opoqs?66jT&_ zP{v`VqEN2oEbSjvwgHzvPBa#-ywoVeBvP9F;n=DNb7vfyZld#+M`ms4@^|r-0c#)F zE*6Z|y5axu8q4vzgd0D8DqBm;JI*qv!FbjO=^fTFm+su&y6~8UL7RYi!_LQtE06zO z@;2dI#|(o>iTkp<m(2dh&OA+COew`Erp)uSLg$0??*!R0Oee(DC}zK4yK<#A`P|y4 zy_?RZ^jDbpY_UJ-wk7I--!Z}bwt$u#595i=XH<&>&et-eX%<e+uoTKSoN%aNU+*Qm zh!__BkQuKcoD4l?P6$3WH(-Ioo4{9}T1}+t6DKHTG%vYn@g!E5wYpJgq29!fIb!!D z7I9os$W`K#Y>)9#u@jsycNV92O?9Z8w&i-SxM^H}rFeA2K3#a8H1~1fiPWVH%V+hs z>a16@_x9Q^6RguI;9q%q^Q~J4>zhKJo#>Fx4xBJ)w%+!P)GZC^D@&?PFCX2P^rE#x zwczlamJaJjPyep&+Z*>%!B%d<On2_Age%31*#6$ub^60N>49z1<E+Jk-A#-R!JMsI z9Z~~KS{#jw4;UC`+RhSFUBg$cF?Z{&_H(KMD?FTY82??rw08o_s+m)Kog^muG%Yi9 z5%8I8@Xl~%;A`n=;%`LvbEI9neTr2>E^Mpu%b=A`ftvO&6F)7DxFfo!hhxS5!>z~H zct+f^`P8A1wrk?Pspo{4LQ+J&F+5*y+ICesZC;D)DsQH@Nhyx16E<)tNgw8#xpvKF zzeijrj22$M+BK=SYVNifZvxog_#T_{-RRbw7RLN$!;fY6dECP1e_7Mmbm9%0)Ym)a z$2M>q3o`DtRLa<D!|YN}Y5b~tgLA3tg4iCN6)b{cjw#6zikqjFiq(5?9FAak%KUV} z#0ZUNx1X=HHFXrs&{)kOGNmIlO2J8B(gRa-H47z!mn}Ot-txc3{zQtWcR}~3D4By_ z(@N}{+Mo3~2uiM8AgnCY+~d2Y$0zKMmixz!6Q`<r%7V1M9Ej6UJlN%yp}tHxL(0db zsY%dbM$g*j*on_LI<8OHuJ`j|i*|Y274KWJiC!FzsXMkD=TMXPO7&f}L|KG&Yg>u` zyQNjHZU{N4?@N5d>U!FNOJC~d!yTQD6HFakZ^+JkQN%o@AX-E=^@_gJ5z|v^6zt{{ z#OpM3r!g)l@=Oy{Wb0Y@@Ge7E_kvQ(pj%<7>kN}j>l7uVC3ParzHhs=lV`{A4Cjag zvMv#7{Vo?4If*nE2|AdHE{@?osM_SI_GH%7gX%8zKCT**zAiFe%%N?-!1TUpqV6H5 zk|o>DbR_U>F;l)hRixZPUa{%iSD&6Br#&yCpYP~y+@tJs)6Fe{Px1smhwvMRKbkN1 zd52y4*&Q-@YRryiKA$P4uf6F%sbhHSNtfpGB|I6Qv~s&@E^qHU?CbGz!>wJp^8$OW zr>mRfsRqU8$41mU9=YQmu!KqZ%R|1q64xImADf@OMBYTO*Kw(_vfC<dE}snMS20H4 zb9Ij2Sk%h*<w6&q!{a3{#25JT@A?{5x=7IIQC#=Y7fKE8yYCrX3(UTGr01s+)7M7^ z3F<wI8)lrkd+C;b#7?QbVf~i7zU;i2TrzV~Pyh1!Z3*>!0r_8EUHvO)`hRycx9gQ- zXC_FhE^Cr7R^aJm)IC%?Nu)`ri+hLd+kI>QD4kyI;?Ez}wRE0gSwqB(^XDW!8o#!E zxm5hh{uMW0-*+<DG)s8V8b;%UV;zj<9=sijue}4bU!5_%GktQ#=d1A>@>H!}XS!~D zX`fuaKvQkshqk!C!RxQiU(xxl{qX;no0cBiqG+ymY^z6}i;q|03jaRGps6B4K9i<p zJMBAhYu=Z8(Y9arZ8x-RsEe<OJ=^xxckeU)y&|bDb&sC6mo!T4e&hG_SX6h&thwnr zJ-vnx7YF)?Fz6kvJSQHtyWM)TMwETlw5sd3=Y6|(+xFu<|69=qS6zJk|406-dJS27 z9-lww4>|F>bDr3BwMb3!Oo*G&iGcGR1~VJ-PiReDC9>;E(w2S)Hn#~!nXVT6$d`Jt zR>|Idmdw*f7dD^qI`u_wa+0!IVcD8A7e9Xgzp{>t)8yvdcF2&M6XA81x939YeTTeR z%Q|^d)l8NwRC(}yVRVW?=-Dl0pOj`?vHYm!SRZ2Lc;Nb!;I<{(S-JRYc9_W>Js|g` zEw@^Rsczbvy;k4Yg*wur4_oVfs%hrRicJm;x-UI>mX)I(#}2QBP6z#k*bblj&tLd= zW#2~I#@X+>A5?T-6ui{TJgbi3_&n#bb)9-23QOyDek%R^=jmPc<2$;xuvtf~;yiZ2 z=8O0Jc-3W}>e^DOe@IHkG%H&FU9fRU&7qAQPi2%{jCo=vUb=N;@^bdB`$yk>4LP)v zspdtiW|iXHOX5=E45nh|=TF#O>i*!wq=%34Wt*p0P5$^O&U@0W8OFSp(^#V?g(gIB z_0(1x++Ff}o85P(%zs<Bg<Ri>8%P>=8r7Jsyz_glirAM$t$rp&d;PrX4|@F!&i@ki zm)+|%qf&<F(Fn(R(@q9lYiE<M*dwWN(C%PnhnYw6tNDjZc8AvRY0VOv$SrW8BC%=W zzQelrN?z<cylPi!sexa}^{X!*O}qbv;WyJXhF5KEcl!2R_qfr=>fpXe?y*R9XxF9t zlDB(JXLrrZbeg{CoAdc}HM3{`w;JYLvM*W2&*`|CkMoH5t5oHyp}Z$F?>ubNR&alj zGoebl!(+BeZo{T!{T(wzmp*bT;67h9`)XlLl-IIG5iMTrx*uKtmX)ta2z;gVtVUyD zW3BsbKDSommtitjCMl?|{Ba;T>gTk3PG;GWx!Q^CUcYU$T6Z0EFqw4g)Wo#{8(p~X z+MZGU5O|)cXX<)k<0A7+=>rog6h17}S*g20_;kAYr}V4+pM;ZEF&%p3%qeZx<hG%) zQL8E`N3^a+wx*7qS$f)fzdJq0Ty0o%E=*fe{%Otr^<fU|l6*-*x_eJDWjcp+x*ssV zvou$Ff8j%^BZXGdOYSY(82V#jsn2c;y*tt6ca^soX+-L%<c9u~Up!fO;+6x8b+|2^ zTCcMm{<iV2(1wUEkJXcYS6rGN?zv`i?=e<Q`K3WeKQWc<l9RZ7Zd<rRYM@G_@2%&P z;%ihNP5bd^+QG_Am$>-;91(w<v$<n;cdy6{Yo|-|3MTGu-uQ4`W$P6-)mg1ueDzxI zK2G3W#H-;pi9f6J-MM?qGh3atwsN`5E8N#1oO?DxQEb@{U*;cSm)1s1%-?nGv|)>> zig#UJs*%>3?_AgTXJ09QdOjk?u+HyDoq5CFri%I1izU`)?T*s8Ey?^=PG)Cg;k4uY z2JJ^Y_k<Tn#mWDgA-v7&r|H$t9s6|TzniS;VU@h4z`DRoW{t*y4#gcs%E$j|Om|zc zKP3PDGOjR9mGr;0Dy!NvmYi*z!aZMQW`nFu+^VgdiL-X`vFa$AD1KaUMLA;jMvcA~ zvg`hu&)j}x{o$n5(m7KedoG_Wp7$eQw?OaW3lC}%Cvu(p+`=cwF0qWOIryaEpAP~p zzEvvjl~WFFX6szsbEJ>Av?FXU%VL)Y3vFkee*XFHr=uJBid0gj^`2OBv-yEZb5zD6 z<-#LQQYP;O<(Q5#a&*KN<uXlJz`ON=!)w!Z#z9=6zwYKQ@j4Wkwc?>$tln472Tbps z%v?7%Zj-*O$h@)lV9JygCDT*C*&j&{kNEmaQQ1#ty2~nslM0f(E!Q)+9i)$}`X47^ zQ6u5MXRFcGMMB2}o<Cx`Isb=Tbjr;S0{X%`Tu(CaPmq4wrQ<45c0h|KGyG)ek?U8h z1I0WZ8FEJyeQR1+9i9LC-9Gn3McD%`H8ID;)jiKniV#?o^~g{8xXUl*_*=*K6&m{e zWdFbIr}pcr$_K}DRy_Z$eC&GR|H7{ZvNDcuuY|t(=wBnDS|#_*Tv_G)v(I*nwyPU| z-9E^$IQeVD;=_~HuIlL0xcH1`{_5Sw#XXK3FqwF+tJ$u)Te#uhuDNXA`V&?j$oX~p z`@h>psR#c*`MucdU$<?=8`ZPFEI$N=ZV5JYHPhHul%%u#>SZ;iwLH%fV;Zacd_Lyy z_77sXvcX4P>&oNj?V9X<9a~o4`7Oq%CHZ3!*W){XF6N%xwfCBu;l?xk=dO!xy3_kB zb#K<y8LBbzdMdR|XA8~CWVU}h?Z)}zZEn)3kcOLG4KW$rsti2#6Pd%$vy_`x{cjXl z%EDedPh+Wl&kO5st3udS_l2w4We6#jaC+G+(sg~5aO9Q6zOSFYF6NPRzkPpoY7=K# zPlf5B3uf-q!ku2V?Fnz)aQDH9kB?ejWe6v1s1eO8urdi1T=I2U8gr3h=7L4j_)Z3{ z_$YeCx|-2BV7A>}TRW-x=UU47!VCX9Fi%zA{XlZ!z6YzClEvy8qEyrhx0Le!@H~I< ziGA3!s6}UuN>@rg{wY4gFqFISN@r@jQ$>{q1K$n#LO%aytM|I!?oZl&ud--K=*NJa zSNIODd9wA5#?5b0eYbPd4*kz9TD5_%de*hJbJ6enoetf6b}m3!zU5#k_pe0v+CyJM z?yi1wCd~QeqdlIMl|lcXFWF_o{Po+J)xSUc7z?|;@DGj(K7HW#isaSG84BN+Gu&#p z6F>jb&Db^P#`ABXGZa>SEJ_U0xc2Juqp!g;;x(i<WhR?6d4@dq4bXb`_Mk?f=&S2I zMomjyR&5Dc@NDk#DC=ec<HzshHXHO7a&Paw#pc#BX}$G~M!t);jw$9e#eHURWqkD1 zgj?My{$2FPy*s?u|8j~vclU?Odf)wPZilVfTIs^Ly=xhd#E}V&+aHM(UVH2zsdYAP z>auzDOYg-_N;x+1=bR07O0H!SZ&@tj;+n(1!{2D%_64SK0?+o`u)q4rA^M?UwK~VU zIQ`DtzS$qdSkG@+#>mIa+-?&2o`27ch1bmLPra(!dQnklX1t>Iyd#l!1LirJ3twnX za+;;$>G8l%+GW{|Yj+B7F5ewLMeJU|gawvItwIG^SJgV)GCuVB^Mm93@w)XlGzBi4 zKcU6bQ}{(w)IrVodeG7@E10CFE7>xf+&JM><KET(YSIFPu88lfeX*=r;lpfU3)whM z@nTO^v)w9H3zpokVpGmN_vBnq?%aG2yHi)a?Y}782!1rR)5E5ddyh-o!K$c=Gi*nS z%4D^2?uzCnr1w6b6f;-g@rDj{X62P)H@Mz<KQ9j5`YGz%@g<ic9laPP#5A}R-ahnU z|9#V+s)v4G;;mEtRIa<j;%|UAGm8iV0|N&`d&<_RFLiQvOp+NHBsQ@z@H22SB$lRR z<_8qzC+nr8mgN<PhOja)&tIdP(KDBQhOBGZ`?VY~0)JE!%J<&;y6N?wH|h7^t$Mfb z`oo)(m&h7l;t}TH^Y^<ESH1UJ^!v}7<3rAIKAod1<YdVnaL!Uuabb%PQzL^XM@!t? zSGNmSt$O}jp!VF)x$n5{hSsk7?Q{PWpRD!1!gaw9pUVIJ;cox)`*W@MzuV>ieqDV& z^v~1y|9@BS{~B}D{{QLvKVRJIzkj!{K6ftq&(2Nq|9@Dn{P()pzV>T;{NI=R_x>{9 z|M%`S`|ri}-z(ltTqVE%m;d^IPtt#!T)cBzs^9Z-6(JIyFK&G?s{6Y!X1CGuWh(_0 zPO84tELu~c%HJ)cy;`^E&tdnX+*3!+-9Pp8*7Tph#pa)~jSIVcW$U(0TJd+^x|Z~o zbbryCm^V32@MX`JD65*>U}f3W4ol5VLqZ>gyS#iFa@L(it?|Qp&KSMbKUF+8&5}Ja zan(%q<3XnXyH}*`yzz7PqFGP>?UQ>LWT^M{@|B(3amx;$_*54;Lu<vJZ-@F>KK=G* z3P15_o`UDJ?>j6~TqA4$YRkyFde>~MmfTryzHEO?bpQPRFGY{`OI+@(x4xv^QLn#D z_<yU%dX;}MKI;GGsux?X3@=~Y_a`}v>FbgA0m5FkAK7J#`a?qkg*|_t4XEC@h$+`a zKeR)wzIoP@?Kc)GWzAdv?pi>o^YI)7mtWmK&X-&XV*jLG)IUjQ_q**Y@|S$^E~!}M zn>;;lYKCZ5NJMntZm(Al${ty$Tqvw_eiNl>ZQ(xY&4c47CeHeJdr{TYr1o@IS*_ao zwmZU8ug<j&FJJ#o&HK;kNej&k{wzse*PPF>>U()e+{$}qCwWWv1f)LfU*YodBXgib zy8paG=}R=uU%T8?t~cSz%a?b8uRf|;xh79Td;Q8+e!aifYtDY5|EKrG)K__jZtPtp z9Ve*1d{?xEmX>oUU)QXFsmYIi226Ecq!nVhvh@5jtFZFyHLKoBt#|yM7Pji}>P6SC zZVIhe<h!&r+x5EE?&`>=-7Ca(SBtWXzWupTVQXpPRjc+@Yi@Bb)LMN_Hzf7yD(BF! zwK^fPLG===^j1DyWVCgYQN8a{bJMPU2j~A#3VkyF$jb%B?)&~Ltef^?$=|&e-%C@c z{xB|d*vNaPUVF}~SF8W5=DIJw`?_h!$-B}=TcfWB++Dk9)2gN~YK5X#O|M>ga6WVT z^jF&Plk)Vt4!xF^@~rV}Xsfc)`I`1RU~$*qRXe&?r0>4IFCsKPJ~pz|Uewy`*WK^^ zi$d#4|9QQ+B601f`ywm-sg*(hY&FG~{Mmiz|5B}6pTEyP_0;Qg!QKA4rpULqo<=?W zIOE6uR`pxYqozL%^p)mbn=x<erx#k=#de)s+Z(krb;ac9KJh2l9QWSYl`$cjzqcsb zVe%cZk4m!F+_Hn-+QdzY=GH&Gwm1CAw1@srrakt5dhOu+lWV)}r$_Vu56$C#p|{=j z53B67g}k{Iw=NxXv~D`bUC|dJ{x<XR!~V?R1E05OE^1S&DqQsG$LUEa57)n#=M*IO zU!p^-PNu``pQeCholu9&f0+)xf2snpf3`b(`Ec1m=RrL4#*X<@Pi=j=RdcD$ziNf3 zAKM+ae6(kp`0zgS#K-nb7Z3jDaS{KoEnxfSy@Sq!|13#@|F?(e9lk$BMUP$AlkeX) zm7PC!PkQq3y>}3!y_TozpTmz!915R4-MG6{A^OJUUz~-;Q;X!>m5(0U=&`ZTCP>21 zh3oi9kHP}2pqM?vnsR>bUX1BVOFPOB-@0)_n(5-!bmgOmc6x057`Mb=PQOSW_rymJ zl9ue)A)+a#=kCS$-rY+u{Ln3fH{7Xxe;2-a;GnVFLgJJhUuzL#yYtQt<+U$`)*Z|5 zylK}_{o((S6MR$NJ>7A2nKOs(mkiNB?+dRDvlgfK+-lk<znEul(iF~Loif?_iw`UB zy!N#6OP*^qcWsodmXciIrS@M<t%>^*l6UAE^n76LyIynfphtXx;VE&Oo@vK7UkuNa z{B=^-y?$q@L4(?|BEuc^6HCOIm)<?Buv0f-!yPfhC82&UVWK7nj<YOk-MH4p+{>^( zb74+mmB#HEf~R<loo6P8X;{yYJ*D@oWmEf3$3U}VtV)M}I6Rpl(7~t1wy4{)@kL^h zf|!A-K=+JS(*wN^ztxK63fflCyUsNJ+~dg}8w;$1a%!YBdFH!v9e+OY(F4CFJ9bEG z%Drn%y|qK`6py*n%*V|EWd+7lVrwK$$=Pu|Wy)(U5|rP*tRcds=g0X+ue8=rYs{~_ z&Xx9X`^gVR`xO1MUFAN%_!1T|!~eQ>YsQzL_zfG*i09~hXKfSRcVOcsahWxrSjAV@ z9y}}+uaU4;Uu2`-w1nhFla9*dz4{S19&nqP{bD+-7XRSJ8*aXPpIF87e>b)3?h7~& z7O#;sTc`11X=XxY&UaRB>zaed{NfXCJmr?zU3>7LTztXC>*6+VKDRP``|Tvip28`^ zy|nRp;RSIWnNO_J?X`^$JM;@=zOu3(uQ_<MF#bb<lic}(N>VkVNgrz4OT_a%cDElb zias#ADEi2xFp+t`xm#_l*jBULo0~Kt-*r{Y;ssllt<cC8xNhaQ?bVEGC6kWT%<&hd zMnt7O2w8m~UQh5-g?i?O0;|joh0Cr^PLh2feT1cKv+sgymkM^>w^|nU!)V>DA6n~- zY!<BCzKH97z0aDGRW38W<Y~umD%_?L`e=^M`c)?Bk8I^TEI-wFZYp&AmJ`aV_iLZy zYrTsW;#tYHyn4UwrdGtSNtRo?;?v{8n2%>;3$Kd5Dp)HTx=L!lo4UKqlft0+$N4?h zR?5v?@jYwa`xw0o=_XsfTdm3}qqX)dnVRex{i-rZS}ObXE0>enw}VPEzNS9>Y}T0b z*zcvmrsl%!y*r#)X1#c@@$H|-9D7UVgy#OWI>8rz_uBoLQ;ODfPH5dKxarhJJtwnk z?kDt)w{aNe^ayAlU&hf{D5;s0<JKiHKldn)vPfWjRC?%%ozKiyp3eHLF*%z1X;JoK zr#wlmmFe-vHgBnPUH8_TyYarT;FgHmuGRaE`d^!HY3bfsARMCkY=wXA!9(f$5*`=F zC+v94EmK~5@Stsc!N*qpiXHE{S!-T$yVj_BZ}`|~(qZ|kQ9t6xE%6+iFRX2HYnL9l zxY_jWk%HiNXFe{xWA&qht#qlxmHTRUkLqTA|FH3n*oV$LVuiEUojtYb)Tv)K?=Buy zNac=i+0^@c;h7Jq8pSoDr}*SrHg&JRZR3`mTR7D&$N8$r>1%Q#N5aD%Utbw^werut zJ5eVlr*D06_Fr!8$wLj3Qj!xqlO#eWS+Gr4VRycDD*48SC(|DCuUM0HQ8jnzg9X#| zcf5GZE%)n(6Ju@d!j8I<nXK9MKAGz4W_eX!{ljM2u{y2$i^%k|VUa~^SG?XgDJ8Mq zb5r306+;;vPnmYFNzI4<Ip*YiW^H@B@4&{x;xcc(u!`@lIe0iPUL&DjUu0vr+{S&M zL}ex}o4(2*<lCFm6>d3J)j#xdxqi%Bu;t&Jo9k-Vg>H=y>e{Tkt2W5mu>06N{e)Ey z*18^^FVei?O9bQIj4~a~B!$>aVI%In%5I9!-32#aR8JBqsFN^k+b6iV;B(dyi(jri z+-19cm&ddp5$5p8R%hZgJUjj5^7f*A?K|#dWoge7|9l~^icRJG&G#LetFwcBlbuV& zH|n_mzqV*=qhIjj>q(39L%UC2$tcWPosqoII5($cA@5=S%*PJ`Z|$gXxw83-4>R+M zd8)2g9!PCn_@#lFS=sIHr_3WcJY5oBPahXdmXI-gHJxASn4wD275(W-&k{0@$Q;ab zIdgPF$IhnMWzP$*{F<}l(#wO+&u875{$awi-d#3TTNbWuoo5pC`p8k+6XH5N-&m!c zYZ?<*=oj#OW@SHId+;bn{D%*-^hFFViFZk;dT+SsEp=pz*)4IMo{y~3$+eA%bMy;( zzO%9m*Bm_R692*Aq&U}%H{7m16IBhqOk)$Q^8L!neYxh~v6A?N84tN-jB5`b)QK-J zye)2X=3^_<vtLevpHnzxYL_^+#C@(kc!()}!^d6v20C9@`&{=uc)%N9u<?et%^uDE z=L^=~+4VwwyWFqSxs1$DwskZXu?yDv<bN-DcXHPzH$$ymQ^UhdyAqhZGZswAQN4W0 zEU7Rs-0}6|hiknTwF-(%_BQP2T$q!Xq;Y$O$SI!5&NGv}G^}Sxozh#@x~cu;!k7a+ z0eVSk0j$#NS<?#trMGTsy}2+a*-yjx3~y>*<-#`!bsD=P1Ww7t@jqn}Ybz4$ZqhR7 z;W_h&sZx20t`1+Sbbg!B;Yk6{BBW07O>5oM{dD1*L{|;*4MtPCBSb?QlS2~3!Y{lE z*tS7!O70ro)V9)vXExeRk<H;q6_<9td3f0Z4fe&%7mv<x_+nrtz%3!(;o9?^U-9vd z)DrpWn_8cmto~6XC#`h!&@qpV8#RL@%-p$-M^Ak8;H^jDM%5sjoZcx++g!Z_Zy&yO zBSMg=koRqe2)DKJ(PQg85^u<A%2X>IJ$TQfaHD>Z&71xyOnfe0g6xNH8LZ)H+%bpG zwX5~;#H7a_OAKUsMf#E_K6+5GWQT>IrktOf7vplJr5)vmZWXNIYTU8O&%LYFcw*Ay zyB>)a(wZ{Qm5v^)p7`id&60{80@Ge5lxpmb5I!Y$t*wahwbRayuSI!T3pNx;taW%L zrIo!q^r1wn$(J3nhikJ%@7_DURoHy>gM-#?TSU#jIS7~3Sbv)0fB&NtYr#I96D(EV z{xa=-mK}WmLdV3C0>vqb#o30DlR|=pbxz;Q((Tjo{Ws~|+iUxZEw@cs!j-c$RLgTq z+3hf+@FeTINl%&UcRueEdeJpkbtT{Kj2ceQkSwL6(!7;_^m29nh~K*L!}*rMAHm#L zA5Slub4<%BNp5k5=VNU#x8UDiUUI=nvszago;|i@#xVoiWrb%tGWpVunTT&rn$>>O zaBYL7;o6R!fk$(CIwwd>_?$GW^{3(4V@)%TeW>zz93gR8MyD%N?_NiyoY?U#O!s;+ zU3EIOjv1IaCFSV#W{OR7?UQ+|boAg4k3vJmARC{aDNJgvUV@XGldoU8Qfad+(!%ld z2Zz&-_wLAgSQE3gP;s46OwVeW>5o=5pDw(5^mpOa!&7%e9k{w9>d4jz+1xojj)i>d zkB4r&)Vn$<QY!ptlkvK)()1Y7)8}_+h0QMv)p2^fDx&*X=th;^s}moF@N`}NnHeLR z{eFj5*n`5*HHROsis(Hax>2ovbyBKa_|acB>$*a#VnnmQM#x&;>vS|^wC`A*^i?kW zsFdBhuBkP<XK0D7FAUX5e7q{+#Iewgb9z@N1xkk>y)^CFg-Nd-l&pzxU$Z@VUtdJY z@z9N*`c^0Xlnp;BWwWlUwIZg}&}3begjhJYgj{&Hgy8DM-NqZOc2({X`&_Eq)3e&l z?PzG*#f{3973O7iTo%%oyDZe(3$8Dl-r8oElzDU6#(h%8FA98>CVac@E}nVyOVCW# z=)7Emov9CsPCq=cmN`5xwxa0uLWS+DB1iY=O?$pyD`aNLN0)aO+vNYgxq9sXkLlqb zGbU&#O&0U&WX_d4y><0EUGeF;zhl<zowDoEM2DOMi%*Kb&t=VAv2xvtRgSA2-f%^I zWII|?{Waaf?fYS)9dDmTKd4{W`*gpjXz```$<f^QQ=_H-Yi;MTpB&xyUvE3#Ki|CA zKm0qtet2ILeW3nCuHgU4eA$a_S0+jQj4#T5SbutL?|<d(JpaS<EdSkKyruL)vs~K6 z><9DqJy<whU*y7V@vaxn{*JEe?VY6c!k;cWFTXfO-u`-X+~mdFd)Y;+zKaLAuRpKx zb@A?qBmc#A?mp2uZ}Lg^yHA6g_N$Ap>|)(#vG<Eq<h~g~>Aw4BXlXC9-@9~S`J$wo z@*PQ2{rOKX(YSVUq0Y2Z3w6RyFVqn`vry;SnT0yj&MwpmJGW3r?EFHVYv&j0OuOJH zn$yE~#%wPW_mfN32AY?>S2--(9@6NuekIe)d%>(mdslF!R0WHk__9iC!pqpum0UcR znI<MzDOk-A?9fYNTht!e7;)f@gU&Dg>rblB+dOOA)LOZA9!K2IF4eLn9jRqYI+m6# z>3CYUq(ilQNk?k=l8&Y2OFEvGFA;f?-8(7h$fXTtX46_7zI^@Uv2lY^P|lhjk+#r@ zhc;RT$*k!V5zkgWdU%(IW<u=}k&TLLcn=>7cy>eN6rWl9rta-dHxJ)l7;|7+fL>Cz z_O3tLaVJkt4E9w%dH&_3U-lOQI@!c*6}49%i!bZgJNe$VS2OPfZ#-l3vSL^1lcdDz zGp&>Amz<tCqq|quP~3}SlHU7cF%o6RVl+HGcFkE@-et7>)Z$%x8^kj{8_9`(j<NLJ z_vKfW_*to^V)H7l)K}}qZ@zdt`{%5+F)>b`Or`hkowokwi?>^A&bsBV=l{|4dVk#F z?bq9%Jj^Lsz<YS|mWLHxW_$`&8>V<@X#a3F6rZT~Y*E$4%SP)bJX894?DEw0XH(i1 z+Kcb?(mNP4W%;dY3!mbEN=El5+d38>lzwc}$GWKXaf#p38OJ*VwiOsn$(_TM+E(r~ z^KprWwT190y?eZ={OQe$a^`Tmw0&oq__#*FYKK6Fo*vtxcJIcB15X`v9@XyeiIVyq z?sW4|=&ld7mi^filO9$rxv@h~lSkf#>-brZ!Vif{cKpx?vbn=`ddtT`4dWW2Q+Mh$ zl~UQ~w{7Y^?{xF<^Mx@7<_73J((BbfB{Mbru>9q#g3|H!i!RH@>U?>%a*tHK>qmyz z%OWOI_BXKI+SB9rXx6#|@9mWCPrN_*J+rQjuAWzwt6sdyMeU-WN}X{NPm6ng>H4D` z|KK0D3P?f$B*6rh@c7cTXSu$}MelvATR-x=Ogi>jw{gbXUq709_w74y;k>xTuis70 zjQbuWEzqx!c*4!f^Q~2>?F5s=Jk{8iPtK|W%?UH~Z%Dl3=25O`d~6zDU~ox1rsoqY z|Ki$(4Tk#yjzmN<95?q6FZ^M_>B3@nPdvxx18ZC7z5|9Q#btcHv5E)R96TISX~BMf z$&;%$IQ}bFIlt)t;8vw9*HhqCw4&gz`NNAG|D%gI-7FRtzf6>}ty!;;u<MSP;o`5C zcP{tJKcAU5`RJd><1y_A)Ra!V+xp}4iSBh`FTXCID6aaSOW#XTH&Wwoc-3FeFJ<%Y zwX{j@TN3pnFJ|k<?!u`Ls{=Ww*y(t^kelj|*}JJFTuI+cNi443v2xcwSCOBu4bL9R zKVl;NUvrt^pERw~gtXTsuMVuKcy%D>V!8jcDG$^N`wl&r<hxAxjHi^&8DFWKGu_Ks zIvyym>-wO)u8TosU6;TT)47imPT2cT_s%)-UpZDR^vBQRUME?*UkFb0(W_Xj5}LHp zCSgX*hh_XnWOF<{H*E}wn-(e>@$5I-+v)uayic-f*Ua}?vqU;`M$Cp&=H*AT;#VA= zb**Gq)1TbPv-cNImU>c9{y#CYc4_Oj5>*%RI@YaAr0R7aRahC`UzU6J^Oh}~!Gdg; zyu7ux99D=r&l+XPX724OA>{2UA$7{EZ7Sd6<w`vH+DwfO+~+>7yL50-O^e{BT}G>8 zs{ZVY*RQmD8hmI{P~XJBa}BM5f}2hmS~)Fsk5OGJldOEiK+kE-o%)I=*&sr@@BfDr z;Vuh&^R-g_{>e{pn7X9BQB;3Hr<wj^ODj&Jp9{RVdsPa3$`HM^Ncgf_n%WdwC1c@h zmuysf?u)BW7ZSd9F>=|FmaqE~lAHBA6fTLou)O3}s`<hyX#J~+L#EczrFWl#lUrm% zulxkPcRaUM1j^gq?o(J~882WsTfgAVq~8XAgmNwFW}5NUx%Tu1P1<MUI`?RZ*RB~} z@gm6{&)!@P`DOj&-J|fMoKtW9{ulDA{E3jyQZdtehx2Cs4>%yVQ#VPUw>`-2aLTSl zUO#z)oo*hx5+K$+GeB(h%mA^|GXun4Oa8nTJICcrmUk$JTNUrttzJHkB@chrdnvqq z8uB~q5AVY)!=FEPvL#*8e5!Zha!~vt^`-k<{5@+s=S}z}WGnkswaQ3~UrCKOJ|W@_ zw@m)8re@WB50X~uS42GHX4U!LsuXsiRQj3qn#}Jxd>{AMO!#`=r$4C4zW%_!1L{AL zIbIfb$W3pl7i_%S7+gN{e%#%)6aPgd>h9e>edhbvl@*235iiq?A|gc7g4ghE*1Xno zQzZLvPFHE7+0kDHvy+<Z1*D~G8xtq%2V_kU(a`nanj)mas_*ecBVo0^$VT5~etR0G zzc?@@<e_@%o{(1ArAxZvh1IY97v{U^<-Y3S-s#=Hr~L`$TUk1Jrda+|Bi*ni#=6(G z{w{lW^UD0{DQ-VrmEAvOJNMUW{>l^EHJ@w!xXhn<^TxYQjlg92yT81=3|^jG^IRc1 zx&DcO-r*Frk_np%6^b~-6OF8`PTaPTux#-*m}w}hk}uOGQ}Ljn^WIh!V~cCsfBU_g zefHmtC0G81Zpyw?U#!Hxb?aP<E1yF{OGCdNblut*_isv&ec7e%g%QV&KP)-Mo*KG+ z)zMRBhtB^u7OlK-_)4#1-0{FHCr{2<3dQ@IpY$b9biUDRByV%#gN2-B%iRjqq6H~G zE-1b^wt6D7>WOx~MDtT74-_6g4te5GFQhEH&Yf?HhrHDV`I8>)(ni86GMl4Q^iSAN zpZH5{mz(ll>2FhIn)0k1gdJxXePTSSRODr$RsQAwAvY_z9QAAE|9m#IvpK}dnWr{& zw(`I1bLdo+|Jl?Uy)WVPpM;qapE~tBTBqxGgihD*P@S&d@pYPh$I)r}9aE?296V;5 zDe!%%evP9}CoY__sWoEbkDUfdM=xp5jd=9Dz9Tv?K7PZ+^WqY}els0sh%fLuDV=ok z(ef)Ds@?O0l&9&`dG$tY;?Y%|_CwM%>FlHQD;=iY^8=Ny>D0OPMr;NP8l4iK6Ql;> z%`{0mYqV`jgweVw5k~u_L>Mic5@ED)N`#T;z8O>N8C!F^?o2v)w%B`_%TCo`=7~l* zFC0{!8QM<KJ;RYIZR|WVF-)WQ3|}gHvD3|?cLKf{=uhFEA%DtMhhvq)Omo%EJ6Ocp zHgRlmj=W>)9C^pWIr5IRbL1U6=g2#b&NsP(l%6PGe7aC4Z>giGhV+6IvFj&R1#D|7 ze`2(K$(O#EXVa$Kn|S)pq)XOCGov@zO^MCnNadGy-r3;0Fy_d!1zc0I1C6@7U%U<2 zwn24DZVqp1TkgU$%_iJ4qc<r}iG9P9%D=m5k<1%D7x8MQi-+$y=p@7|h;6)Y@1o24 zklpF#p@Rl%4|F~EkNEh9Q+u9$;?d9QYbyS=h@PLn;n7dwFq`^AP)X})MvCheYNR^F zxCEYR+0nIV3TL6DtETWHtF9omBdsD!eLA#XIxVT#A)(1?zwmr`*AAI$Z4dtnbe#5F z7XPd3>2u#-U2oMDesLZC>hZA7YQcJoI=_YMckGbVoHu{rOKn#Bsh7jMc8FeU`~9<P z-<;#>6O$g6ExA#5YVmr58Zk|o`R-lK))OB+%3D%V_ibZ{jQ#J3Q|so}>ZG1OZ#S*z zAczp;*Z94Eoe_s%S;vl*&Og}ae%kh>y~Op@lgWQKJ`tX|ReQ2O-!|62OPBvy?Z5MI z9@mt^JX4&vu=M^_Tv5Mz@zdPc;DA@>BEn8R+-6eGRxhu$_j^!*r>Ca-p(Rt+XHN4~ zTWYgp%kPLFul`BJmQ~Z1aD7>1nmS?1rQIp(T;x~zO__3OdXn<WUr$f3Nu9am(t4Gv z3+<2Y<o#L^xyJCYeUz?i>X#0MKbJ!*TcQNLJ6p|<`h78)`i{kYaS4Z@y6Z}@FdbQ^ zd;ES!OuTG5OsbB`XMQoVz4T&h>5_}wk372fKABqH{y}y99{V5ql}pne@8#(HSZlW| za)-d>oS2?Wp6N+a$Dhu4_TcY~XOFymJ{OoS6J0ciyYl)DxzL`6ZY@Uw{wpkt+#zWh zROPxNpvZMaK$h!@fF#!y0a30iR3>X_bSqt&<SFv#$kE9fM`m`b2X+LD`!5oBt~bv` z(J#)X(`nA$3ZYhu+K(z+h5z<-t!{O>)nd^cfBe;qGqVJq8_Y9R^h>hIcA9gv;#!ME z@5eQqg>sK0g&(;b+pKnE<{R<wy)N@wET(_7;Vd*_KQ@VPQl`+Ol4F-uj{NLd?%8qE zdY+o%v-cIVT4o%4oGFyVCodR&*CnZC#_`9Uf=O!q>TVr7ZRSZFG*n|dT4QInE=oc? zJh!KRHIMKkt>Z^_yh;d)DK)fQS2aT@oO^~;c=wEH9-%Ykn*HvbKf@8iZTxsuZ)D-r z#Il&DGlaus&-AX=dv-LG|MTN4M(#&hg6q|TLdA|Pn0<WFj;x2hJF=1sV^S-OC$8G0 zvP^V?$uiN6Jz^=n7k7Q_m{Yy`*GlcvPXiBMz5A^!DJ*}<)0>7-y+60_-TOp1bt{j+ z_m<<{^1>7T91of<FEZhm{nqJ`+W+q;exLDYTZ73z7mKTZUaZ%O`}?s!_17t5$6sFV zRl-X0cOIXNP~-kP(e3%EF0CySonl4hCOXwlJyY{C=4L?a@t6FTFLv|JZ3%Eb_tta4 z%N1+&Z=CqaH2MC!6$@8ypQ>+>@s?Zfmg?rD7uLp$Zq2nV(ePxg;=Z$OT340Z43CT@ z(=&3V>%Tk>|5&^;=JxcuFPFm&_q(soe`YoH`t;Jbe*Axr$WAabt-KR-OSk6ItLUA} zXW#z3V?CSLz7Xar-jyso{8t(tXQwXBxf6Cv(k}FtrCsnX*_w4*cJElcrFzHeE#d|K znfi~or|#A4Z}+nPdvoF<<ykK2-$R+JGFP&gRjpuj);C>XzcDz}>&yc$>%#&|=O%Bs z^e$n-CBOASp=JEcQ@%0%(thju#rwwT_*Kh$cWm14RZ^C)y+Eb#YU?)}ztxSc##srE zV@(q*R<p@GpE7k*VMu1W#j-8MF#)%ZdIe^Rce^c(T_ZoWY~z%WTSr#~rHY4ttM;<~ z`*p)2<rk;+tz-MbzQW6)-h36ym+cF^9O`vetrGbwx%1Syg22q@JC<y*-mzxO?uz)# z?**=z`VUrJiaTQZYjHuQe*MzE((Q)tJ7*jeZF}lpWB*+?^TU0$>DSFpCA$Qlo5jP= zJ$e2Q$9KEc`fcu=EZSILa@uuG*QOW=zx162d!}DhkUnfZ!`8)Q*29B_CWj9noWR2` ze%UDNRbpD3JL6_!o30s)KXx2@HjRg$+uHQ5ob>wql${X~bDkzWKC2_%rW&aybJ|EM zB{{y0{qQ9o{=TV_a(vIyD|SRoc=+()SDt<*v9t=2jT%ocMnq5F;qfVA;={y5uT{5a zS3WVcJ$>|0kB<1p1t*IVXUu+js8D0EqghyLg~6J0A09-^e)upUwynLJQ&LV&Eww_# z!0_<mj+IjV8DbW59x5^^`))s;*2o>HC#ILS^Tv&NPY-QSJl&cc?ADwkbNWMq#k_|H z3zZHZKDd&HU!2|8#%9jR4;l}0+S~=7&;Jqk?)Jj=h#P8p6K(e8q(2gSx3yaDJ9pK* z;}gEQv2(}I=uddO?`Gpe?aj=`nUj@|ELys^v)g+A#9|)vB6j7TJ4dtK-X(6CC~k1- zM7PAsCl@vTN8Pl)DDi%wP5VYcy9;j=!sey1OWU61<KdsGZfUluT2eam@w$x@dG_}H zF8*R##QwMEj%&TLxORlHe!_-{;)W|~1)hapv6=sV-tk6*chlLpe@|v>+v~}EeBO?O zLdS2+HHo+})x_Y9hm^%N`-_I(Tk<nRw6h8)<Z5=euGQo|d|Q+Icr+(>Xj<{9q{QS? zhYIvkA6A&JNqD{~Z(77ZpEm-=7KaR094;@u|B3hN-0ZbZHD8_>{x`JUTJZd0{F%Rd z`konnH)P&saK0ti$275xvu#%A>%W1vsXOu)$iz#nXkO2A<tQ`r*9)fu(l-_u25ig- zEOSpTy~@9O_aB4)PPLrGNnF$0tIr3|J;BhO+O;Zl>A~s8g_yT)2&sG_Br3RxGkQkm zAv3<&28RWm&*tbD2eh4JJN0o{qm@MV0=;9BE80J@MI8`f7FB$^`2NXSW5?`W*UU`9 zO4nxBusX~AZM|bY>+rcRZyz1(ue1K1GpF;VPfX>PqCYK6olH-wKf5=#8>ogv#=5;+ zyL?I+ce)(!JS){Vm0#+8ICXden5Dz7v?uLY#U^w8%7KHWskymtLT^ca(|DWobnzDJ z8!NW#{t=b={las@se2ptc~uMkopt;4OPxCzbu~Yqp6#Dq&T{i}#FagpIU_29d2@6E zncJ3T9WadImEj56cIcS;sW5{(VYe*pLT|~|EZees#!{W@hnbe{ZMZ)5o06E_x6eD% zCw;Rjta|lHVxgi~T=0aVo##DxHyW&p{JAxCiks~x!KtxRK6>mrywtY;YF0wxRH+*g zE7^FouQVj@l(L9ewq|XwpqKUGf~9klXIy%hu;S9Yq&=73CC<GRcOYu%-VW2VZ=aTk zP1AZSmS+B*W7G4G3-$^{{D|Vsu?b;rlY3=kVHf`X;e!2}wk4g}8h%sKF6`FPE339x zzgTRuult_Y?)K_ak3|aHGxZ<k{r;k{aw$ugVI7;zA~i8KEsvdd(kHY<Nc=frUL^bY z$o_?KKHsxL%?r=3dDWKMZ_fOvPxC<6@%d*J`JSBp9<)pH(Xr^;SJoQ#pO#8`nDhHe ztffNbof?;Botg=GNt`!#EY$3L$jtk2$4W&$yDI|X{HCTK3LKodBtEW~CGs)x*O88Q z>(?Lebq`7ms12RowaVycJ<qwNdTN<^$0x*SO;Oq1)0DEt*kroZayz}_8`6H8nsBW> zb3dVC^WP<VWt2mHhn7zK9a?%Yd)41nyQU<k=#}#B4GC4#i)+1lD5Lf2F^Sfxi8;IC z<5p?auhi-<^xEC}(Q9`vr<e6%m!)$TFTeEeLHCzlzgw|)!f)Bu%-omSC9&0{>r!}F zXT**va$1ZhA8X5Q`mpp_g_&L!$6;39hZgG<`R-j75PxoR<gh5O=7Vfr%}0(^BAq+E zdKUfeKcXM-?9@`XOiQnwOr4^sT+tODB0d~&YyJFUx^W@*-`+dN7?jf`GM>mR?zwYN zy7S@8M`ruXw$6LFkhA!Uf$W`wwwLeeRn7eN?ZWLA?ib02T)&t;SY{(Odqae#MAcNe zsp|}jxU+lj9J5kRkH~)_Bkgll{I#U8*lH=^XAx<K_@>R?&@HO=CUGLC_=Zz0-4SaK zEj`Ybq;uXZk$ZCJUa3bm&m?xX?sUsbo;T6>jCoNXtJ}K=rNN(bd<+xYR;rybJli7c zW1c8(>~rI=kFZ|CG){5DlMP2B;xZ12*@c~bpm;8M_QYt5gePWvQkI7mm7iOznWf5{ zm%N+P_>Dng-(0mf3DY@u-^e{A_iaW2W3}&&j@tgCi=z*1Y_DmT@3eoyy)Y(S#>=>B zYEW2Y=-stE?sAtm7RmUEM<i|a?M=0q(I=F&VRvi)t&H!w$Ls#?dzy4VI`>j3mz>wN z2N9cpv8~~JExIPgZsVGRMY`FCx{hqtJg9YgwX~*CmF~wQJq)&$!k?d=N~`urNDNhy z=yi~rZF=NTv{cgLT;9YRixg#|O^+O`D_xqqCXBhwH0!{|FkYE8!OY^PuN*kcW~z~} zSW0B0C+CqpWxl*RG2!1h&!%oSJ#uKdRMKN(-ozg<&KB=7I+}OC^6H+tQ>vqNr&LGj zPN|O6ol-k~c<|cX32J6qx5`m)z6tx&qBV1ZnYq<xeeYzc+_PL!>|Az7FSpd8f<R{r zz08j0)33a4TdZW`S$?JAv8-u9K@@MyoIqy&_p2Nk)2}Y*nA*9VlTje~Y3)<Fq%|>t z-#B}x9_(M;*=i`2l)R?Rpy#qc-$$uO2~LlGMtS7$1T(iOW*smL;g#VDXBJn!a^P@w zR=@!dQ;no6k3XjK2%RuzPTJygMUi{5iN~C?_pDMLo{lLFc{ly*RKCv8J#2xudiWwM zZoJ7_y!_dsC5~tFmIy^i%&0gb-e^;m(b2lQlU+)1<3{6;KMa+WUR@UGdn@%QA^MSF zzDEvE!1lI<`=tuL1T(YOUOnI{Zz{05##Cd$bSaUG!JJ2C-dUBiz@k5TO084zfhgXM z8{C{-Di$j8tTt&$UfO06v2fiMj%imK9_pGtxUq(f&unF5N75As7sIT8168IPNwLKN zddE){nQqvyv2wo0gyV(08w-4#bKYDMXj7I_3X79^^kBlSOJ?^%ncIxB4j3wbw9;mI zRJZcp;iJ}B4<1gD5-AAc?Xp<NlC(x9gn8qwP*5nYluAlm)OKUW0!5z3rY*@mZ5A_@ zuG`Z4H|xL#e_o4ks~VeavlOl!%6jl{g_MXvFmKn4X%#P38*5gpyPd9)-L%1>`N++h z*mfPAkOf8i*SK1xByMEu+;s1XBDeRg<zh=iMMX<fzh3QK|72sxX`M5djiigW_9XUA zD?D?}i2d=FGe=c)KO5|<{lm&^_;XE?*RLksz4mJz`%7MgEBU^-IcLh{)mNpyT$-SE z$A8azAs0K|nfL6zeptBcwtRS~30w9*yMFCLXU^}w)5Z2}o;xuw^vAhxy<bEH_HLd# zr{cDVv(lZ*iqgWD1p|Iqm3OuooVg^>H&g0S!kT5CddsrEeKhcO=9;le(bdOuPsgN| zUsZ>cKh7~Xa$jGZec^qfZLZV*k~eSnzg>Hf!8GI0f#bpbvcm5hvkh*Ym~F80=G2G# zHP#(;;>|p?=y<dJT@H^wvPHc&9`vRiJr+A_!;YH=|9(GXS`q6!YlBPanGd2RywjvA zg{Q6Vi@EAL<>#_ClSIc|_nQSxRsNQ2+PH9HNY<Y}U;LUvFC@%f&L;M3nRWnErO!nH z?q-uC$7X(MI;L*+Y{lvH8Ee^OHeWe#(8sjE(3#i9C%l<y*-A&j;LER_8Lh5QDP8%l z?Q-Y!4|a>r|EyWCCAz{rb9<pzX1c}7EyZ&JZe&}RA1id7e*IE=*0H6Jo#Z1VR<oHM zTfulZ!}Ni~R1bxZjWd?+Iyp=BwCR4ISK8UqpDb1>iuElKKh1bDc{yL?jfKu;+p@bh z6-GEq@MUrwcjPTBaBz;vxgx;-+hj?@U8$fW>ta63)vw%l<?(Hi-Frj)ZTE#bAGsBJ zv3$~#j~n?ScPw=_v%4gs8a78tY1$kqrE7Dfl*HyrJxbc&R<UETBI})N6O`;uF3sDf zA3C-3!8hlrHxKzvjnsJ^lGY~8wV9)F{pvGf>sFpITes>A&->--Y%~8WXVpdW>cj*w zOJBa^?^1K1AZ#!DaZA&J5A&pUSgdE0)6-~tv9voY;bE85jUOSr5_ZANedn(pI2dbM zQ0UKVQ!{bjp+kFCn_q5>n^C^iLiVy-Wq5JP2`7KqO&=qzoOpvb-MbLdlsK`iK<A18 z`}*vTZdR#BiTm0_4E&wDX3Xwu`8#Ee|84gA`=NKYzD)h~dei#D`+t1W6)P+Ah{}26 z5h<~4xsJ?jE0*nv_b*+!5pv&G=Ko_et=+BuQ_7^bPc3WnpIX*;{zY~B!n=#q?p7$J zZrZTb`Bci|$;X4$46`oB$!tIDu_A@**4IjD)eQyiyg7G5ncJ$f4tz}9l>5cK=K7@E z#rq%ap1sEOZ|}Y3C%0XU|9022x^tcPwzFKf%j_c;GDQ3c;?1d9$_DBH{=UDmr$M)C z@2s5Jx?F#3BD14KzDC|sS=YP8^Njz=Z8sBd%c*ILFmT)6(rWCTmv!KRH?Kw2<>^++ z2V6EzpPZY#=)mohQJ1%;^{Jhg7H+MX^zG4($vM*8x38&#EZQ+4r-UI#CY-rVIO{;6 zQRTfI8sE}3<;IBoDBN^yj^6*2+dDL#gx%_X9JeXgCg=9blvXB%Yl2x0@()6pKt<KZ zyiK`%8r$+Wb@8PxS>kK9&tuzJ!PYzevn}@dY&*+&yUYw^i^Xy_vwOkJZJ)Co?wk2t zIllC?>P>;^=b|@V`?7N}d#3Q=_Ls6p3;ed7<-J{I7`c$4V}TcMPD~i{ueOas1u>r6 z&MwY2igfiC`Qdmg<#FPs+<6*L+-`L*J}%r@w0A>p_+(M81`dy_2ac7R3LIU<c%1#@ zHc^+2dnbkJbTF-7?wfSWtM62k#*?gD-HXFF<+g6fH3#Wv)xXkE$K=ZS;(Nf9hB_J5 z!1;?bwz+TWat(Yib<0V&_bIo#N(z_kwfLoSJB;VHnKH;DGgh*hrLAN<oMZZ6hVy<^ z5yrq*&pSQ3-`%MV7L)!PB-Zv%ll%BnmnEWiw?5w%dQ<mI@U7f4;kP80X}pzLuYPWt z@5F1(M=CdHUfMOqg5S(FO8l}~8+Yd9jIboOjf*|96Bl(mCnQdky72y{2IJp-y+Rk> z-&J|#y!>_DrreT!=hU-()!)oL!jp7avReP`(L$@6+g{Ak^$gRn%JjZ^;FytVLc}^Y z8SP2Ai#=Y)ZORqNoa=pUmeN||liLE9lxn<H&6{;{o9o1D*(bSNCx+RZZnzlFE5Wvc z@%Vw1+Zva4P0o>ajq0AXb(zQO9Ej%G7Wcfj>2_^lQ`Hu6jcUGn;Fy4Eg2XB|nZsZ! zx<T5k=C3hzjS|14*4BDbZh^<^(?{N9xKF(HA*FSZM|O+UjTfugc$BX;B!_^EjP(Jz zr}AV}@RCx^w?_@s-yZ#FaC4i+C8@b<0z@)<1DU0huQt@J_2slUrheOP;<c42Yc(&; znhLVw{qnsMY0LInRF!#5k#>y|o+R3(w6-%VA$gLNflnB7A1m0Gs*%}UTdt{O`%b)e zb&L4nKU=0pSg76>bB$^ic68^^vEkXjVK#%=zA)yEN}<es>tCoZQ*xMiZ7ax{TXR6x zNMBZK`<f~2zCY#mB9GUnLEd=1Ppac-o0NgflK8(_d`C8E-8AdkvJULNM<9*6CVoqb znEUNfg}vpyMINv7HZ2QWQtHlo<Wn54#4k1dMvY6auB*u@UVQu3ODS#9?%VAB>bhom z%XE@LCvWPoPFK3r^)BbH@cBiHq;E4Uy?e}I>D<JQOXmzV1V0;hZL#y3!|pcm+NL9w z2_l(yy{Zj$e5(s%{O9P3xklLv#mX>o*Isd8c?8b)N4`u2#o=S&a#Od7*Jgu)`K#lt z?!?qv-N~UzQ#NW`x-}gX&bfii()p_y4@YMm*l2mvY?p|>YPRq7M=!RVJhoy>XJYWC z+!D*W88-PBzwXeCT==5t&I&d&vlWdqc3f#l&IH9HuLmd|w}LD%ReF2$gWD}{mZFoN z#ayGZCxfEJG%F!_tCYc-Am+ZQplC5w0YyukKS<ZXO#L?2O#MDVa9ZpF1#tFGsg4)% zyb{+|G9JGON>oxaKv7{lY3sE4rQX$@-1cveevI0b8@QxYC32z0C95@TW_&9fcdTB? zc)Ss;emY3KG$`$6$KFzz=AS8i{01ljv+FlG1uoed%A4~hl)23|>%c~9P~^3#f)dvx zP~=I?{+3i>{r0G#9w;5&nhFY^w;{~Z)>j%5cS{xIcyH@fT00T!Q*)3f+LrB=NL#+w zMPd3J^~E09bs+OiLwR$20+|IBS2G@80(Q@2kb5pq2IZx^Tip+%Zh6Oufx|}_96p>` z3CVk;I;QqY74Z1FM3r#=&=AbLD4S4mD(UgoDXkAzyDV|N{C}Ry64A5&l7$3=On&x< z-8$MElDYi;&XAi&zf84=o};vOZ>QgLk<7JTEunLi)|zGtA3nCF^KsZCuP1>^OqDJk zRTQ|poXxClCF9`;(+3fgKq*6BeVw7-#A_cx9=DoiW+>x1+rrKhl*RA)glTkb5nIjp zchWK;1Dzn|zE)7gm4btdPaTw>7H;vp6L(3i&wp}mx6<0{V2`k7B_z+1GB^{=+_&@O zwu=V8b#9tTrO%$Uby?#!_1vTzbIl6B_@7a*k;*QfB-+JxJI8c`p*OEY+A7B5J)nS^ zH9;m{Br_MBFlsaP+j2AY4S%YE^6Px{u&Igy+=q{JS+bZ-ThSO1u#)k((XZH-905yA zO+hiSO%3F#X<Iz+Y`m=2mkCZzpTNnf6+G^s<P^r-cNOFdQ%g{!n}K~1ohf|y-<Lj_ z;7K4~n1YJJYf+{G3+GB*&|jj-c)ShdEUEcxlw70OFR4khUsh|=%@jWV5S*HlL9xBn zlQ$<Pj9GBTYR2#NZ*7?tT`}xDBP*3_EmWQ?m17+&))uSDef-4{S!=1x=vyn_JlYk0 zD>o<jmg|QVTZ(^LuL<<cn9%FBrQ_I*eJ;6~9dG?3CHR);$S4czb{)IE#>IEhLsP%Z z<?$9FH(xI23oZL~_Hc!7Mux2CmJYXToi4eV33GiSCB7}wNqRdyBhX}(nrfC1@2Znt zyE~eumbI<)+C5{HknSSJQk{!RQvR=nwk~~GV)OKHfl}&2i<udLCexOwX9@8xmGs)( zJJV}7$FeD9eK$MBE;gkWEXvyw7dbyeP&fSAG98}fLDii%pMR|Aycm`0w@B?`Q0lr% zdCBuGoim8fd>%0&Lr^!EP34u_>M7;HV$!RHbr+eQS~rFN_$im}MWUx(cg}L*p3>^I zyVupr`mnT@_3=k#j%*e;pPJ`;-Cw`zWb$6QD2w}gKe!h>Gw6TKmi4f$@m9ro2gy7s zjpOZXuO2AZHs{1#aBl0aI#KA!FB@~sS^WL$h3(o^5eGtTbso(UGkaR-&97Ut=B@p& z#kcOVWhM4E-nx;!faf>Ql;r*UPMO(VbZ)y{r4go6_2PlCZOO--a#cGPx3kJzJ*-q_ z+P+jjpz~sd1HZ0M{^d3Qon{<g`E0Lr5chkD6}^|)P8A$;u)Jp)z@Gk<S18-hHpOC1 zyNvsn3kUsdOA3AXZDp<>X7YQHD5$<Qpyya|RYu~3ZT~Y&=H;wjF6Jk>qPLtU<VYpk zslsJzx1Cbjcip+oxk@9c&bFk$g+Es2sx$xbFB=*jS4AA@+;&ht$tyy?(BNd(>+(yJ zx#Z@Q{fam?`JlYil`CZ{*DaV|koO|aum7~(wlHCCag!s*DtQwt{GBb%ZRPt{rtFup z?|-DMkYEqr&5G@7**a%BY!^SCX}YLAJN+vAlJ=bEB_1(*cQJRJyYTjCVO;ME%NuoH z8DCc3@RZ$D=+rKu_u|wei*@(9<sxM-D{_06oH*uM8u>-z^@==wL*G?`y5;<@C$u_S zskrUq>-_1`?($}d+ZMOK9_>1JE-4D~y%0FO-0DbSbZ<uD&y9SMKLXlwVuI$2L>1q= z^7iP*MyZQgAKLgLcdT<ZTlZ4naH#B~9gEzywCrA!C%*RSz3Yn7?_cws*x<Td{CMiu zxGnFjmO6`xy`0b+`f5{#=eJ#X`h9Cp{hXS%eydbcVsG1xh-HdA-KH&%eN&&Nn0*wH z-LxU3{m7({n}SBCD|Y7T_lah?*lrAJ*I9E(F_2d_DPr+D#jnSrOczzw%>C71(!c(? zqO^30$C}xt9m$iWF52viY}eVd)Y<IbHAO>@l8)rq=&Pa5b2s`{+6KnwTo;hGHfc#* z-d6A?Na$7jT`8q&T~bQdI;E7Zbx0lB80h&T%q+%P$LE@W^kkEk#6@idJ^@0zx_hq+ za6dLVax8*3QDU*8%;Kpnc?pY6jvVsf-S}}<n?cV7fxbj9r|;`PJ@#2`H)gC;<S{mB zNnSSTLT>i7wul*P70sSya2$@|eK=#eO3CqA>lMYsGCF#{p4#ZUeRb=sS_``@(FwcO z>7UBYP5s(!^6Grc`NqdCEzf0}^i5mK0>4?yUOJw+V%5r38Cy0et_huV<Hia_p4lcX z$;CUaiTr=DPd+;JX`#)Z(qqrA9D4PkokP^5|KvmUy`nb`6$o!LJkuiCCY7b8)cZl4 zd3JEyR5q==&1|m{b~f&sk-b3fnM4L-JI|I5%~S6<>Q5JSa7Ih4IdoA!@tjE_w{b{q zY*glR!@CYgc^2E0bauVG*`mv1k|-@6BA(D7xou_DmX=%_lYq8)ZDEC93<B8aOZUA= zn%`JeaovGiB6or78NPZ>;{_Z0pYU_na~d-~O@G+dW?vqe^sxQuM#siePq#lVH2YI} zP-xQp!{;7Px|H{@=*QEEEwOhLuO2wWV!FXFkXJ%&CF5}q(*gtU$moq}LAQ>63d|H2 z-hb&`Ld=iLzJ<HHe|pvPb5Hrk6c>K0JL1NjIKT9VOD^RlW`FKesFurL-Pken>Vacv zrUKigO&?VF^UBN#zIN@H)zZ0%doP{a7@b+Z!8@~jV{qp84bGYR3A-=F9hvr_`|QHK zU%Y2zn;bdh$f`O0x6B0rLs4_>`F1O3AK&}oI_u*yZu!>u-(EL9-&o8hR<^paH#Y0Q z24`N2ZL1rbzn;2vYsca(#x={f6xXcUV!dPSmfb&GKzlVV#T_VIy0>F5cock_)?2Y{ zCg4%<KB)+cg=}WuRx%zoG<{&PijA*NBRl)C?$Wu5n=k2wJ@VS!-0QWwJJ)OXj<1?; zS?2`a>b8)r^VHZfy^gcEV)IAgKSIxTewghks5$+2%mo3%wnO?mr*+gj?-i}vXl?*f zl>g)E3V|u7;}6{tuA97-<;mIaWxF1HSiLIT^vI#9A6Bo@oOHZacGHK}XBCgqmMii+ zH+4DlRO-=#U2QvF%(hE?xIDNz(}OWSvoGw(^q0bq>#erN|4=-9K<2mVnaeLZul#4x zy64$GsZ)Q>ql#b0LVxvW-pkk)@BC-Ffw<{y|68XG&17$?*%@~kKJ*V!DX<i?pSG** ziGJd~g&U%Fe9b6c-ODc;RygnSUnTp}X~$ic-aW+bwYxXj%ldHO(z(e!m)<QXx5}AR zCjNcOKe>G#{69Z*=cr!}5BuS|E%@Q~t3fLL*;XkJS3RpRGt1yOd|T?!#$aceJC_8+ z=Vy1kVCB_(P|K_NsOrbftrIS2SV{l$3$6W9wrz{d+LOsoC$r@w*8M07YyCVU`H9#w zshz!*Zs!bcp0E^~u_`~gi__T0G_fyJ?M=ci&fPN-56Pv?Dq!5~6QLu>J7XVj{vk0j zsj8_#N@`L&TkYKP7O$UZ{KdGa@87alw<A&x@#N1iIG!Y2_F)<4?uevAa?@rNFrN0= z(eYF(rb<WQ*eeF%Z3Y2XS1X=-Y^uE7;L?fQ9;2eRi*9;(g3DgrmPk3o)9gDVxr5VM zBI}S|+sqB^_tatz6bS1jwJ>&Xw7S-!+hhDitM@9qtk0c8*WL0SFPv!n#bDa`i<d)c zr62pwNNnOP{$iBK{@qGqL$|)qjl;FVdJnvX^&YL}JE&}9&pG4F!9R13M?ZZ0<Jpx% zw{x4FwO2%3p1q-UubNKSG_^Au?zdR_&EC-5-d1)qB69&xH1Cw;*^O2=@)qcoNvvp} z%NBJ&k6AZq`@F7&x0QW#a{P3^<Sc*dCAA~|b@i-cZxb%xGi#e+a5zBtnMB%(9lddE zr!L%fu>2*lqIn<Nt3~r0t6t<UVC6Bmpv0z?Vc_`O@Q#CSPe4hk$LAgEEP55U6&!BK ztw}h<W9~cSv9oa5hl!6K@own7&a*a6B6ESvVxB7pGnh*ak2%=hF}t#}VKrOS5mVcx zEw#*BKWyBmow=bd@sQZN*&BNGeP=w>7JgQduwqBAKhKnhU5!OGSqu2=i~}}Sm<I5d z^K5C@%@%cJ@3!+#>lW_$_fx*?{iPp8-}AP9?X_RC{#8ui=bC-hzHhxlzux$=<l4fO z>-;R%T(XQ<s+@Dp`iFbO-z7Dt!-_n__WPau^Y@x|M8FF+)z9B=&sY)QoEyCBZ1xwv ze}eZeS+X2mf7SYjd&O_hpAMcDA?4X`?yWthwf@zl<15vl3Qt+GwYu3ttxU6Q!-u{k z_S5$hw<obnFBi4G@sF>1lJ%9}p;puG9NhlPS|;R8!oxL5?LkxdG|D!7TnA!((=1D{ zSbU@Lr}Z1(Hx~kuLrYhmNp??apLF&9!zD@V+_y!or`#%V+SuH?woBG4*J9m`#>Cl4 z?LpFgYUL}wuij$3vpN53xy70r2akyz<y)#H8~8?{DHP1A`m{0T_NVYypKe6F_ZE9L zT}9g4Tj=nwNk=wrQ?a}eGASlU&r|N+y7v>RLp<)D&XW2(BU(CBOzoJ7^yDO|!%t=$ zGgMt>IYa7lj8Au_+_LLR-z_qqZuPyZwd&K2nCS))Hzt_eh?s3+pyMTFu}zJa@0&WW z-Zph!xouZ%Cs%73mArSm*{mL)k(Od{?aqs&^y0<sz4|uuvi;ozW7e+YPT?+gx_N9( zK-vu1Q!>TQHxKR!C^NL5Vym;__u4z_LrdOW+Pi2~baLokjollqS6<zE_q3MQDk-U5 z`)@4ucFnzRWq9)8Wc7O0?=h!#u3TvMVU13<j<ETU-MSw(6|85CGuxNZD1P|4?uSP^ zem>EEzAydTrjLc&gb%OHQSa;DG*{-%xw4{<ncF6}pWif>>xaSZl^xb!mMFZt5)gRp z?5nuIFm<IKtvT;C-t9eGm|A=Ev&5B`);sgf(!YlChW1L_@vOY_OKqX4Ns@=Up`+<> z-cVDCRdzXt`Bz(;C(WqZ?-n@sp;Sm@ub@>(H^1qMZ05MvDvQ=WTd~sbON^-7DyfWi zS@R8(7d_6||JZGHRK|3xyq;e>9FO;gU%fs}_Is-P)5O1huNU9zw_3NJt+P*hf%8_0 ze_NzF`(CeqWnukdN8Kv6O&cCpt+wj>DSO@fYT=qIg|=6Z^IENIU#00&cIWx3zT~BS zzjrMzvVXOMT}s93own?%qbi}t4R02Hx7M=iW0n2x>h8*1d86=qi1XCnvgP4do#I~Y zuzvNJP4;`hRS`YwyiKoaJ_H^24Jx&mE^8jx>~h@q!osMY@KTxSvgUrJM?Wrjd~BKC z%Ao#>V)I``oc;V`)n)#o`@NgbeT+_J-TCJ2m(@GpzmBykUl?iDZ>w9ldBe`j>z~$5 zcscEOwC<<PAM(2Y<m|buWZ$N&`{}X8RV90N_2?(hAGvh@v60E27|(b->Ph&KchlyW zN$-v-vas%V)%~=2!v@znv$*_;@`szmeioj|pE#dGKl;h@B(Ls2HfJ&?#xrh?eiD9U z&9pgYZF6-kWlkrjb^p;>lkK@t#yaZJvxI8zJ25%gowM85>K1Az6df1aA^Ndwc}mL6 zlGX3R?ncD*ZoTQZRpP^zlPj|$ot145zx?98GyaP8)v&J62akN@xmO$2=BzRKb;UF^ zY)W$L#pU}xE&Wxb^Zv`<JLOyKN~~Z1|FZsho#$)8=nmc2UwUJX?@+d_I+c9im}_%$ zyTA4sy{Z*^Y`=ue<bJW#Nc`gOneU(OlQj+x3pp*YsM<I8=;zR>5_7qXo&5Gc-}B<7 z$eXZhd)IB-7kDqr+<tN6)T?(tTr)noyP9QX^|e<SwV{!!_v+Ln@3dUu587rk*=B0! z+}TpAo@jY`Ih{yOUe@2rdtPOIa;v{w@#eWlqU>*<JhmlAz3t^qKbhdob7jt)DJwG6 zzJ2m=PtI~Cu{3MZjT)cV+=!T8sZbbRr+oY5p+`CD()nrDhB_z99!1Q0`y?T9+vM)2 zH~r+oQmjQc7~Njk5jr!yH8J*C;}PHT(ruGlO=m7G%sKU?(7^ig@uRP2+R2Hf{1P#+ zzr4Jo^1O<;+}zivcZHR%U$x>zTwr`)XncHh|54dey+`xke{wtigm>9aZ|BnOdZwWf zQ=%B$`sSWK@@M;n7G0h9Gxlhz6w2N1xpOE?dHcqrCnWEg74>cJxpOd0xqRcv6Sg@f zpP165Dg__=-px*)pIL5L+iP6Jo!oopn1ph=MCub6<=#67vzI+Hd}Er}XRG!mVLRvU z8>xrn%4QWXTKnwi;FX;$7}p{l_cAQ*?hN<;Jp9~;jcsyxPJc*{04+&cl3aAkvm)f$ z^)k_qpC9<hb2nS5Jx^)%m)pE~uFjcLWt$8YH+z|}rTsGCIq~J8#Kf166C9WIcmJGe zC-*G%mxzJ+<>eigvXft5dS<6T?P^GPQrovM#XoVY)~-L3Ts5t`H8WDrY+2e)1D}&c z4<jZ%eUy+it-IS(w%+c$&zYsW7Om0KpDvZZL{52{hx68m8@7Mf-no1>pj58*>H(+u z%R`S|m_NDhqNlIUoy!8!<)$qU``QX>)+zGYT^11MH#u_H!nc}BVzr`cPhfdp@4Z*C zM|`ulv_(iPRWx(U;y9cwrPQ{y&Emy!MZLDnj`oF8K?ekQHIp{%Dwe2PSuVKny#nt> zgMeCtzIj;>7PL$4sJP+DX;ZV7jkRVao9mtMrdu|4%|a1BSXPN$l5dF%;fwy&eZgBQ z>G7tvjvKC(b1%!c?puHJ_`y)#!a`qXo0#hpnDR0_1jVhitdAd)Eq&e*SR7nyV3=ih zML=3UljE>1@53Jv&N6eZ2#B+r9yzSYtNCC<n@FL{T}z(%tF7I8W-Wfz+!<ILQ)|#y zm-XNQvuVM{Wxs;HZ*bw|ide<wD&w)Y;#1@Q4+R^O*fu;l``Y*D+0&o$<Ge+j?=G5s zJ;-#!2A@ctyL;3!I$ArWl9D&I8N>weI4j8noBXzzu}V>IS!PH3OR1m(JiMAo({>f- z=!CylYI~T&yV1biIp@q(fwoGiLx%CrE-I@O_2RAz@L#{8?#Od^wN%g%(W6JRFP_a_ zxJ$y2=YF`n56|J#ubK<jgv@7RUw!4k(Ja#s8{BxgB384xo(W+p{9NU4$R1Jh*=qTW z42~y-KF&Ha7X+l|XL1}~E_J9d+F7P%k)qzZjE?rJr;Odq*B_P&I->Y=r-=Fb&99P< ztgu{RH$%eox9O2%c93-i>83{xTJjbax;fj-xi*2RFUvzPe}#4X!&p!N8#{vnc-d<H zV})+DwN@5u+4SxOGV?FLvY_E_R=|<YkaeB>e`a6*S<<`P?Be+j>l<_AvRGso{Z=<< zAF$SXz*(SW`(Hq#MEvo~9c>0O!LmJev&w^bW#$CPuJ%|eb4{Slc~$ep_K$t58k=Lg zk}vkN-oCM3k>|Gv`{ez?H37UbG6Axu7X_7Na~u}Ddhmttit4Ke4$58Kn4|Tyt<4}N zT(-yVO=U2zOT{uPvBg36t_!pouWAk~duM9;V8<#pzI7|O9)|n@kEE0u{95*0)%3xR z6>NNZE4T_*{P2}Jv>~w6;8)J`NYjE3>!d^qB11d8kLF(xXe(XSe9_#xd_`mPT+obu zsG^+c|7~psdzM;B)NUxAEoE_I6&q_#ps3O^aqh$O1BIoPO<dOao3<oBG4A5Jkg_#4 z)OgmKU#q`sB=oGBpcML3J2d&ppKnXs4DMVP=+h4|T|6^?%c?Y!&wH1#vDyTPIPHIx z`LLK*uyIOThl5w3;m_dt->-mXKQ$gWhboGNzM0n6A-bc@;7*{Z^XJ#OrUD=5N{M{f zxJqEQmRUsMug)71OB8vSMb+K@op@$oT3`^u%O$Z$t0nO0ugs3#eNu-mxQFuiUSqy; z;Gm(Y#)H?(=b!%T#B;<xMZ11cl5G#4SeU}kN2+fRY*akFxa0Mg<Ed5r(%NUYCPbu` zJ&4FHE7)-C&4Z-1HxC}Rn#}xEOV-RfVn@O&P0Iu8(kcq>ocN&es5UtNviQUEvtMhz zdz{AJSDePqE`F9zPj9BIO^li4h3VJ7&ydx5WBPT<9Mit^iW?RaA08|)I^6s?q^&(d zDy2fC@MwwO%h{iPpV@nG!~Hi03J<(_@L<8sgGUuNH@7RRvHzO(S7LK>>s5crDlfks z`6(4QBBne%xWV9X^W(2P{OsIDHeEXstd@T%FIzp&$jV@iiFLsm18a+jyt0CgXWl$W zTzRswPWFNC=H}MgW%GpiD%YI-uwjGhVP}?el5$E7=RQ2RG3VjKgy1%J!Rfx~bL%7% z*YDgf@ok2zMcHiGBT0AOJV;u8^Wb5=$;^M14!dk_ZcSV^ujs{^@25X(DERNWxxLp; z@_53FxepIs)INOJ@jOqz(z;pato}+J4JfWR<T11^;4!ndkVq*jFud^QL1M$n#yZx= z+QG&zj~nbWnQJ)x+^>}2_+2H})Ke>NNX&b9&_MBUb8<~vdvl?roa-F(^7aVk8P-!0 zr`>E!UUu`~L7vUc-7nMF`7fX0WA&*z_9Ebj%Vg%iWhXs?1-}TZt)Kbp*5cR8`Ze1= zz1a0~?!&~z;ce{^XVR`TFLpm>C}VTR!c9<q#yaU=cc%$H`<HYhtL%Y9O4pVb4=*@u zZtiAGW9R>SmXGy|v9*Zd!IHZd^v~TfH=SHp@r*k-Ui`(bnF&_$pJrbF!NV{8*vLlW zSXxELOY6hVYgQWF3OZ7~>~&jR?(=y&_e;E+C2LVN>zt(R!r5761%^l8JV@Mh)A5XX zTD#J*nd_u~&0Vm1S=y!Ztg(h)ODC?I&2Ma@Q#0jZ;^VM3_QS^|<z(ikR9Nho`!Mi` z<>gZ6{S&|J+qqvtZ?>$3-b`7U7-Q=lJMzjt6dZmNaDW|TqVziHpUw^QrTP@=`92ss z$4_>aQ#ZEJd2{~5hL3)2?1x|S@b?)@%JJPh`$6N;tcSZM+Bg2#xpTjS-%MExz8SJI zGA7nLEK<ro6x?_da6oXgv!J^#-zV{kvwULbX8yXh=yh7l!+#EPN^Yk<Y%nxB+?*KF z#(w+-4?nxGu}#;G#9etWIG<KO`<G;qU-n=}LRmq<<u?zKIv(mJUlu;KFs+@bZKiCO zL|)N?^f~dfv^>vS>^F)vH;Xk7HnP$2Is0M5#{(O(l=<7%8{1gOrBztGm>Afvq&I7w zbQRaH19##V%}ZnN+xIN^Q9{>CIY)m-_nF-v3~s#%I3TgvSuoj`?~BaV{WlLDvI>qj z-j(+(wIX81{D+Cjg>CGI-|+DFsY=T6T?6H>lO=aAOuqIN6yphH4{l_X6&PFt3*|mj zuDFp`rjgKhb799$f7Sn8y6+rLuYBy5_jtxc<1<a2#b?Zl#EX0H9Cl+~zTscb#M>2j zPe?A4v^;L3TyAjuMC=@cFY>M%Zhx4)GrsrZx~o&~dggvB<TCtaw)MvKW1qx+8|CC} z)IT1r`>k}tf}{KNmTkXr{cw-iZ?O+)-L>5jmxAJ>Tgr96ZQZc@=svT1xf}HlTkC#% zYH=+op4~nA&FiG}?poV7SsT|!?AUr^d&g|uuc?(W`5V`_W_#3yZrYjuCRr^!D3HZc zeILswyGipuE3n(|o$_Mm^2ze`Dqi*0@md~n3oG9^Y@6QtR`<iEjaymcc%q{o9Q|lE zss7ul51TgX-0n=w+$MbdQI0x$ev0+Zh$(NMBqnYPX8gQ)Zr6;NzqIG?TBjd&eOkHx z>BoCR7L_>Y)GSa7y<1>BbwZ5N)`<DPYGN5)u&>_e$nWYi`Pa1s=j6!R<Y3v@ZzWUx zUQgLG`)EP<qu}8B-@-))^)e$ubD5uIMNDt2x^rRUF}9j1za#<=%v)czbkVX^Yxc=( z4_eD>^Xin=yyK;^Yt~mW&bCrzFJU<9xm5qj)koWweVEj|;-301=a6e<t5+R8{>ynn zv&E64ekXsf+8Fk&?DJ2rp5J@VZ@F^)*gUb{MsGlYzE147)s34;@#5A|Z?qRZ6Z<Vz zu;S=G*ExAB*LU#W%iOrWHCXrC*1}Ck_wlGly*XXD=jc8qyNr$NyOnjneJ$8=bRTO@ z_Qrfc_UKpIkD8f5kz~JhpYr`9y7k$wxZ|D(-2J3(KUwC_#@(*1_ILN)G>mk3RX6Fl z(B|gWr7QC@mdJjJnEo&^v9_(f_pPKH&-`U_0?TYI^3p3TerOy%d~_`jKfio>g~*4* zHh01D;E8dkoLQ`%y%X4c*tS?P$?u2K;ls!N^6<Cm8{1gyJN2R9gTmp%N3ToD$;qWv zh+I%!e7x&L=Dtgkapv+)Ja6M9<;1FHb3c5MT~@H+(whfKQ*RzToVD4RG5ZXk>l)wM zX0@je>aCahA75UyvuoC;S+m|f{W6=AeSYt2v32St($|Ho4qt6KSE#zsy25_p?j3V3 z*xu<VF}(crqodRIf|rp`<n&+mewpnfuf{88*Y)j1%r#%0e=T}#Ra=;!9((@L^HRdY zZ<}R{{g3ce&hd!Und1|gGsi3Ph?Pz1LyIY!EG#B(+EHPi`mw+)Rr5j2sh}g(C*5xR zFnOt@HvO|^cPqDMcW<{Q_u;csT9Z$hPZDEq4i*z{4ie*M4xYxuwnWGE%YU~;f;{t8 zxy75e#uup@TKrz7WA<x_4$t0TvA#IX?&kBssXJfH{PgjHa;oNo#H{s7`~2o{_FeWA zpLe`@%F#pNn%%wn!D4N{HMx(AYj!tRYj$_<*6eP#KFMWeF~_9ifllU!0+URQ2N9P7 zj(p6R()s_?1?3`zyN7NpIe2WwlE%c6O9u>XG7Aj!G7Aj<-SpeZH_`D5%ihU$`V0Io zeK1l}SrygC$k|@REj*b`dh#T;wv(RB$EPegcyPs%gMa_`?0vrd&as(q|339ST%DeF zU$S5I_9mU^X=~Q3bJ};mqRD2DTICAoQ<LmI#wVV$d_JcbN~G@#eev!x!>g6-d#6o5 zb8CMud+VuIwgLmYsaK`uUX9B<-~VE+Slz*vkg)8F=BX=0XUq^&QCrH&KKXJ}w`S(S z!&kOENREnHar}#y^d+b6YcnQ>eG#)St=;<TSKMDU)t9>y_3J**PWwM^(yi^sCf{Fj z?z?i;GUE^2Y|Bn9<a;0Neub0ALpD&|P(#-uVvbnM8eeX?YfD+>Uk6VQS+2h_`POG; ztEH{+8x&Qy<Q_Tp|I&OhJuYAKpWHj->I*HDxnq8xWk37x@Pw-md|xq5_lP}vdda&7 zCM$RE@O&kgH+fgT^%A)UdMn#^xW5v77kpHqaO$SjmTwqWa@(kscDgTF_f7Unrfkf@ z6!GbrHxIjdeJh+ciFwY#6w|4}n`WJ_|D1pJ-%^#;55N4(*OGPUUp8Av)`@@F?BGoH z=%7sT=-^EL+d-M~w}t=iwCLOCGxwvrjqlR>gMI(KQZ}%ipA^x%(0a0Zfb)xWA-4{` z3%PZ4UdXM(_d;$R-<QJn_~PdHQy-P%mKS~CzNTUv(QW6sTgozh=_R4f!I|vEL7C#k z!I}J@gEHkm2fwV+@#taxIAN!O>I%W)z)zDNF>bE*3gl(H`|q{=?7z`Lfrp>2ebTz> z;wN9NzuakR`g{0dCrbu9Kb;g3m6WOVHX%~$ZBnJy+eBBbx5=qSmp>}})SIbj>b4K$ z*i|VkrcUehmPd<zm$_@_v5fWDvP(kAL7D7}gEPe+2W9d<4$hPp4tmKjS?guRc|+r= zVZKkBgU)W%dTaS?IcsC(ROKsjiyJyuZaMNK<krCzA-9fR3AuH6OUSL`UqY-7^mws- z`Lw#>W~O7pIrgPdnw+d(&TC{{I-?<U*SM?MQS#`~2R)AxJ={JTnk;6Km=@^7b6!Kx zZHc~9Qo^l8$No>0bWQMbn|NblpiItr4ZUrtBK*<DUH!U}st2C+2qgu(b#5@M738a0 zqMOCPS#dGPn)4b$uZ_Bzw@My8I;-bVVxHT_jaG|UBBlm9tvRD17`8<Jkn8y^u5S~k zrHeGCO1kpuN*+BpspnBrq1(p|8jF8K%m`%C@kqbmynf4r#pO{P7u0oIUd$F#`to~$ ziRgAOpQEfke{~gCw|&2T>5`JFxIyogtLvJssJczs)hxK=U6RGhY6-VjtMn&TH7G8T zOEOsLF5x2DEOkp`_p6o6p0Nw;S9*U@E@fw4ae0-jgzD}YE+^&Gf;P?K(6BsE;l*dz zH%YnYZ*JzU&`nV**Z$AF91t6`a_J?Z_TWtR_Ml90_TWr@_MlAp->0`LJlc}Aea5_^ zKOHw$e$l@%{Y(PyGqsITE8qGqRsFW)Qr6OGUb}Bh^{T!x)9d$*iDwe_1Vzs(`qQBb zQatTUg02`yajwr&)osf!@oignNpIVdOLAt*FWJQ{z4UcQ{Jz&B%ddL9b@U3*-r9cB zyDL2FbWm3o$Bd7+FL|w9vtsJ47guK19oZA|OWejQu0mE!Wn<JzS<j`aa?3CA&0BIw zZ{D&?a`Tp6vYV%FEW7@S*m4>Bq(H5|+;J+GvzC6_H0fd3s<r3WKM32k_P+O0)wt!C z`0g#aq<3%GCAoV`FWKE&eo6PjLL>eyTHF54)I8olW3t!kzrWZ!d9Eio3$5OEf90li zSxax%JrB9%I6dT+>-CUZhnI%f$R7`SDP|F;`7!M1z72X$e+Xs=zl<+XiafM+#?&LL z^ym02RrOnXDXV(E*KUi+Uey+}y?$Fv_qzVLM(Zw<xK^y=^61A&UIDd_Gd>AD-nUV0 z>5F|EcU>~?>Ut$z8=U@2aCOkj_yWsFfzpVXM^@#>crI04x9k$%x}}%&)-Aszm$&4S zUEZ=wx&_*mf`##s6N~<IJYD%kf5%*()%%LnXa3tMS6=^H|Lni@74?RZ|8-whq#Ldb z{4a3lll<H4x?d09f4sjsHz)pg|F>5qUrSl<{GB_OtK|RQI+f#2+!6;88yjV&NgPRJ zZ?=51NV?sY=jn$2L>WGVIfoV93M>wtxPSX}$RhUQ4N;eS9&I>~!M8eByIr>D>4sZZ ziXz??TCNGRlHoI$cvvy+Pv1eoJj1tF9R1a{-Qu~Mmb7~AMOn3N-Els-RWHs4$k$3p zEzoy%{*s*0@^An3`)l$Ry|Vw9%ltR<Rl9uDgacpszkaS!%R11(|8;U+q|&2?{<S_2 zI4g}7I`b{(7q)s5tSwyO)-Iy;#Nd~~N@u(6MvdYn9xI*rmhu;uoR}V__MwaK<%$W; zFAm4Ze%d;fbI(HOU0P2Pei`_;+a#wlvA>)!kIS;d&FYb&w2+0*HicMo=9A5jR#_%F zGr6Cv*p>8a68q7wMQ7Sr`X+xYS<9oq-=+Pug?Hsq0ZIRG&-zabcDVW7oNyr1Xx_U1 zx+jkN`pOTqv7DRqu|{;gcxAwX$8$F;Hhxao<8bt~vz*AQ3h^9|2TGFqAs?$IvAbH` zQ<WCl;d87zWY0bMW6{rr0+pB6^{j1peN<X6GxEVP_K#~DdSVVF28I7t37T%<^@`7L z;;!!GCGQ?uto*&>BfA%W!Q3m(G0I;#!v8ynG#~MOwIxfmsFiE+H2LYCv5$mST3dL& z($kx?tDSqvyT>*w*)7~(DW02D)nXiCXmP_=v^mOR&ay)*L=S6f^>o{YM1S5eeNye4 z#ZMU6H7t)fdi8Di-26YQO)c_%@)dc$9p9~kMR)kG5aQ>!dhqalRsZEzfBc@?uMzpc zP}SRJVG6syZ{+^1|9U2|&I{g@wR6gw2TL_yo6n99+;kw@Yg&c#NxpltHr4(9Dyz!9 zXL(8|-+uKJc3I!Z`m^hVRk=%+rF7~|d9z@(=Ii`rybDtrzfRHF*f>cx$0YS{>N?*k zIvZwAQr#A?sd??PHT&;v>7B&7EqK!rKCiM3m#2KyUb$N%GQnKcd(Gk$_G;hA{d&9l zC$WAD-gJb~tE}L|_nY@t?LMdI6q;@7G}Xds1z(@k^2xjQ%P&sZdTLQhr|^_F3H_R{ zee@TkG-^)KF`PO{*2g&Y?)~M#n-1`JO`G9)k}qxMrg!f*YepvUsd}GTn!^6sC-VFE z%3})@OY7rZPYP*k-aN<^eC=<or7E}1;*`#%Q{E(WYQFxrZ^k56vA|77oV?059GFsC zKi~i4s{O&6vYMy7d9XwC^}o8FNmtjkObWfeFonI{H}d;;=P7HprA%4#%Uad?M*f*| zyDH}^hBW?kJAbXWT4<qFM8+0-_wV0p-SocPKXxF_YuXLxlUb&zr*`dszbK_~?vyph za=pqn+}creS5;i{`ikl&NlsHEoL2BnlUntz?=(kfg5^T5H3}i@+PtCVM?+h*Hp~i8 zy(X}#d28FM-49coM0X@infb0Io%8BJOXsVxA5<2u3N={hHAgLk{W))F`Tp(}t%A+~ zRXyQV&Dw3NcHawi65ZjpLg+f@)q}lPY!9Bw-}pAW#N6^r<6JJA#1%(u+pJ#vS=jqZ z@5`~OHSauSXC|v!8D4QT*S`ArY~Ab1uRDsH77D$Wc=cfK)K_(P56;rQyFcFe_^P7@ z#cQI3qgTHBepgcKLi0kQ?Q3^ldVDZh`|9IEvTa;<Qdb<QZL_LKSXy<b;z&SaG|$wE zQvvMf*M#(IpO@77(6mr!y~L_-jq)${r^PJm{rc*E*Z1>Zx9wbzzdZT9e1!MzHZzOA z)qlko>xu>P6#wDh<9^)o=rO^<Ip&sfvdfLD^(2qqod5V@;kk{^iym2gFSfBd$9(Pa zvBI*AKMNmQh~Kb?lX;%m=YG8MSk)hkbBbp6bu!PDq}4kf?>X`Dg~j<ue~IIkr=F?z zJdR0v>{2*K-BM2W`N#J&)#7v7`YXEoiY8l6*7?>Yd?)l|(t3-N$28NP=k>WC-+8vG zYwsh8+4Gau?>KWz^II2R&YYz6FAjo4JwWn@o-Mzjy=y~Z*WN|KuQk7Q9R``J1yWxz z{qe=Zdz<DZt+zM<QnYSkVb|VAp&%!oH2s<M`R3WPqDQY4H(O5*ej{qVb9GVI-bYr_ z%Wr7sJ*_C2vo2;@ckfTr@`p#a)%0%`nf*!boy*Z_HErENIVav%cK2PHXdSpwQvQLi z)v3sLE=S$&^mYg39KLJmy^-_%V_mCLm3sO|+5Sw}{z9WX$e+vP*#G7AqS{;5uhoCx zmbG=(vaIy~3+oK$F4FyXZ`Z;=+5PIr6*v5L{;Mf{>SEa2UvC56{<Zh9n-SQ!XvSAr z8L=Dx5?5tR+A-&6Zlze`+G(Ez3%_kQ2uawe+pKi%zYrg{&el{vlc)8O^IQ{l>b5&Q zuV-~;4ZCHe@%YdBM;i`gML$ak{&)RJB+Ip1MH;35t{a9V?A2}doAaMnMl2%b^qk96 z{`*#nHJ;rjV`cR}Ht4(HrH}>1uMRF@DSiB>{LzM6@Bg2hWVv1Qzw9R+ruVr&%S->= zHw;;@T(>!H&i{onViJj`=Ukui|6!$AW8yZUZzccM-~9Cc<)8Si7cG8=OK25bHqr~T zdcRht{Xp*N2NLCXt;;kDu20&YbbRmefX3g`YC?CtZI)?wxPJfQ%x>$q5#Hw>+uc4J z6n|9j_S}U`wsr2$S6@oJA5|3ha{XQ%%l_Tj`PL#Yl21l&-25$dg@e8KIqlf3^?l9> z4XVY3?y?!}OwBr#+GUpy@`y?#oeW<(*>2O6%BB5%+e|ukboXs`Zu~fH+MDB+^A7|x zc22AL>1#QE%42~UIVb0=FSa|%$GR-)lh_f<`Nskp4X4$x`dZGP_*h^@=E;}^#dfFp zSkt0Ei5;_?e>k9V=d_wuU(5N^9}CRLKN+*4c;5j&R<Wp0Vn;3Kp9^RVoL1xNYbihT zvA~UtlQBz*_uc1X{g(P^nb;5eD_ioz?{Ay?Z*OAsvUatVQ3unO-4qGUHsIs-dA#9B z44?Ik!-?XXE#D-H6~_uiOT0=jc8<+STG8Ii^L1n6LdI*xCP%E=+#<4<{<=PQCXeWh zMBP(A_{(}8Z;(1}p<~!}n9r^F#!(5rYKhY^(~p$2S<N^VARa97DpAF`)+Z^Wp_7N# zuyLW_vHyPc(%YTtSNz=lFV#uc&rYH7z+wJL{#(Nw7@zC9Jebq!F=wj)d%Dh%qnX?v zH*}xda>P^0Vuu^EczEW6hg`fhG0PkqyiGU?C$b6ZEveJbx_)6<ZA|{!FTX`tZT>XR z<+AzVys0sLMbwtMBMDm{J>B3E&S(ANP@?!;%Qp*!+iOdn6g2S3@O_-8J&oi245O(# z&dcb8#y{L}tF|!W5pTQfo@X1nkIQ`f&~?}@uE^p5H(z_jxrZF*XBd?h^jqH0e4yFR zI?rHZ?48sV?bbYB3uiB6%ri7OqTJ^8;$z@TzaRDHW`EY?&k)Oevo`nMwr#GjnZo{S zo>$)R!T;CcscV)u=>Ak|Jo{6v)Ay$uyYOdUy|yQ_ZFtU_|CE@P&t+hAUnD7HpXQ+# zccLanOqcfP6?y#0H%oqI{-hZb@{0^C?=KNk`>Dns{MpxT+0)rfKIhF_XH3lRGO*qr zCX;iXcge9?mOs_DUOsI*yW4iB9RKcOTf4HSRab7=T^CM@UZ;NOn*G$g=qMXr)-QiF zjw=}$q~~M@IA6LD(|=$?O#hJ&G5yVgJLSZci*4n8J+G?ya{4RFi`lO^FREV`URWL% z>cMk@d-1V_aXaN29e2ugO74_nzg%pqx9n-v6@e+QKN_lD7fvWzr+#EfjDJgKW$43% znm7s5A3dkOa`>FEp4welDYkX{+08#BCZ}^6Se+M2DwwBwXhOf&dS5YJ`@bLVZ~glA z>i;OQ5C8hbR6acGUwXZrcgd_T$Ia9}ToVg=w3XMgBHdYUS51zi+^$;_`t!_07VPG2 zeUa!aSQQnJ%vhV_v0(kuB~qc9c^ixxd$&yx+M9dgpqO;g##3!JYqlxMXXj`fh?3^o zc&<%p&Ho)G_KI#^*%x=s&D*+4;J=4(Xxqwd6BSr(yiDJ+2vz(Ml=WXU|EUk(ujr0$ zMzKeU3tE3v<ehYE_$?;%sIk?h!b5QDTg?ZZtsFK>yWA%;-McyAK()6`XS&#;vKeBJ z9<{bsRAegJ#YK8FTo)61)Z6M(@jGzSd{Oq}|F315GJNyvE%H>m@VbAg^k+5g1&d|5 zzHD)u`XV8fuPS0@_q(uX3ujOL`64ToaaTlS$GI@Eg_C<%9xT|tcFWa_9siZR7ruP> zVVNw~oegeNZ=|R4eT$lT%Q0eRJ9pT#$LprD?nq8m%!`g}iDt>Zl6lole#x^=72zG3 zsY2h^`g{$S4mPd0ev0K?#LOeP+QkL0A79d4W^}oK<w`xN`!f0us(!X9wX&|OUGo3f zY+mt#Nl%&7BlHUAJmu@#c;{%~v}%h(Me^;Dat|0zJNNunK7RGx-+rHuUNKJ(t(H9_ zqqpbQ-ZQB?kJwDJo^ifNoICPeqTuOTowUjZRUQ6~Q=STj6&-#3fGd1YR`{kK?)7CV zr+0qP(<`n$epr?7_l^?}8Q3jl9+|W&&rw>x=i%})L;VES%BQJ%8(#JQde0SE$>?0s zd?4VSf?<!jg~U1On4Sl`a&Dj4<QG>sH#p00Y!g`glSA;>236gSvv?OAYuLEQF+m`I zg2Z`g8J`EddTO88_$OC1_n+LOctGTyK$2E|he5AyCVTb`hAfL2=cHNEHl!D9oc2`i z+QvJ_tEREvIPghebA()CLD^S{;<H>4vtrpz4I5Q&vL>~zbiBgHT6S~c5kKpa4Tt$+ zbGAG4-+pu9c$hWMhNFDWIol3%aIaaazVSxYf>(EEzX?cKEbEoC!I}N_+Y3jntiNoy z##g&$<6(x?Zw-!US%+NSvGt3L&L+7PRd2YgLpE<WT@`gBVrKizuxH7AQ(0%Erz$>+ zj%?ZaBxGG=_21-|YDJ9khnQyDFZ)#^DZZioDbwdjJ;SL_`Ic?GbF^ey^^Ai>^2U*J z31X+6&!knhSjK$xTJwZ2{BOD5Y1U^OW0JT|%jzWT%sQbHu3a*1wW!tUt6X!RX0@LC z#BqAZqtXpitz$|*Jk=48IM`agvG=gtw&EMd`S{ph9DgWaZ7GvD{qHHMFN-sepWM0U z3~S%S?x|{V2jcE2Z0I!i$a%`kx9tO)`0UE&_TD`QkC)vONMO$o_<BG(#CL<LZs8PA zoZQ{xn82Su;l?p(nK=)5_4Gcm@o%qe?zi5fcp&zkz$3Z*j)M8Rne6VMIH@=w%_6rc zy`XT$Q#rnkcaA$wW4Ac)N#J*+Tw?sUXJs|BgA{A6KTVY7viX<%a-|NFSV7l9rs;1Q zRp;GU*u1>##iN<BRTX*dc5ycn8>W{@Jen%&wj;H*mvzoI=SJgepDvqU&^Hcwu*7!5 zkx1*3f^&SaF&mxv!{1&wZfea_aF@^d&W6Jr=KmwAE^O!d`rhGJYUgM9i4G5BSidxX zPtRQN>fz!`?kBVyzW02V7v9wMzwl-DM$MfKi<jsXv|Lf;o4l*p`ATN!+@MX(##7!r zGEuFza6M_~7gW?BJVho+Ue(>=Tj8?3S&{$U`CV?zjooo1B)q6cKSjE_=dt1%*X%QA z^3t?ITn{wa&yozPeXlUl|LSW#fv4+!-{uVx-{JR4sM_=H!NONtgnnz@JZS1wR@gYn zHfBkR{B#Y=1F2qog{_m6V^m+Q5B#sbZoxU1zoucY-rEG$_xgS{?_RNA=;~K<<7)Pm zSN-=NSs1D=Gxe|Ns!mRye-_3CArXv!-v%TsF<o^;MZ4JG;;9&)4MzNr*Pc0Uq0Mb@ z?UYl`#!VcHbA3v?1;f+~?u2FRYA)I0+{kxrh0x(OXATBv7aJZqWy7=0NWOWE&H)E) zZo>noluCYC{42hky3AhoS;Eu#JQt64tyvLY5S7}cC-!IM7vDn>SMIA^aV!^D)jhY~ zt1N2mG5MQu-Y500&DeDAXpvXh#xoX+&G^+q#G83S>+k35EZilLyyDmFnqtnYM{S(1 z-oJR;V}+iX#HxSa?iM(e8XgMx`u!D~(5i0FwpIHL%_j%Mo-qpfJNJ}p%d4c73t#2? z>#2o^d;gmfv*ZZ>|Cd+yys9-3kod6t$z&tZ0EcpJ!53+YLb19>9L2c{FWz*rDcK|- z&mZjH7wXZ#H!ay|&vqH%hZm2hJoNaoym{r{ugO+3ZbjH{6zE(o_UOUP)*TgjigIxg z9sSeAgdR+7ZK=pr6uft#_3;AvSc@$0KhyY@eLM92MS|Y1OK&eb%S-)u;oHoxH$z~N zI<L|f58Ji(zkCbu{kbu+_Vn+%b?y%wUzM_53O;bUX7Yi%HIt95u9@6?{im9E{wH5K zxo5L$_MA3neeub2ou<q6n&6ha`A@EP9oc(FXXU>;w%>DBWq&_m?)l}6x#f$g`9&A4 z?=Sh~zVD>_^P1p>?LVK2EDEk$!XaCnee~esJDXQFE^&T$^U91*cH7f;Rm^$)@q^0s z$B#4DsUO%J<KOXo=R6Mmp!e)E=e04Ndlt!Z?#Ud_{qiLi*Od!2t}7QBTz~w)Vcqeg z|6=;vYj?`YA1|_HJomK9Y0mktE;}ZKiwZ6Od}u}Ci==h#4}#XYKk{35{NUS|{_g0V za{T7Swsv_>s+eNVe{I<@?R95?>3S`hIj6W6v(4AuDc5+qa(Pjq(fLOYUFID<{?W#} zVQ=L$0r$^3f_;Bp)|>pU-{N}THZ%C&_5&}>{$G3(|8;-#*3~~9w}t;d)Z!l$6x)4G z;=kvg>shCRYO{O1XV>XmNr-S0GL@J&F@?7+O=US-veBgI=8KXiS07x_^W=I$iW^s! z!K2BSrtQ)&y|#UAe*4DxN9sd<$iKb4<=66@`+FL`W;!OsMooyAEp&x-{ZEyD#k*A( zGOo7o{Qs=LGWPnT`9iCC&K^BgBscZiqJ?hrYpcVAZcLkag>(C~(|c4*6Hcoy-J2NM z>A!1cKx~dzO8ER@M=f0g>#5VgBbpUjYs((3+|vH@<d#!!4;Afvz2)AvSGzx23q^Jx z{o!xD_C(ZUdx4~`EaN%0cK?LUy?I0Pzf6~0_3y-=DLD~;#d*tSExNTNy}$fRZs*gs zRrXiyHCONY#V_V_uI*~QZ$eVomDzt(=TEtJ`eM@4*I##?FslpNb$g22NBM-;>4{d0 zFYEX`U%0>Z<@*2pn+*O=H(|Sc^UBq&2is(PmQU3Cp=ff+)oOy9RX20SlHwcJ+hlzH zs{H$X&-F?}WG7$PD+}Yzle#76zmUGR_R;o@kL2fsw7xVn{3pu!c;A-b#<%gWZfA7; zyYQ&J?VGOY1^FpeAHtpZ3eHaX+TNKLP}E>se>M6}mrS`p?4syQg@P~ZtJdc=G4Vxo zILKSgI3gxxal?;U+&beyqB^hBo5hYTe?<yHB6*iNHaON_-G4Bp!D_+h^eJ)+E~lq0 z__2P<UZrya|L1P{H-Bd83P;9d9hU@#TCvVTlLCWzY<g-J4jguoYMJ5E%#p15VAY{l zj?D~rH6N^0-gQC2ft~BcJljcZOv)>QzLfslryR9jEo;9==(K;zs)t{0wvcdR7I)5k zkjTLs61}7`tJ{RbZ~~j4TcE@LgG=U_2)uBe8n(jwwQ6Y8LcO(pB?g`MObRZvv+4c1 zbimnOs^x`SGe<1vgBRPb&R-GZzI|<^$c5Z&GhUWw0;!7}8TabAe2ul5aU@2{Vum}j z_~*<AiCnxjJPREg7MgGv_OJ==3Ut`Mw$Vi3h5J;`JKN-<Iu0mX&2WjAvbf>REWSJA zL83IT)1BpxEw4o`baxy#5vXvAO<c16?~+!IIg6S-ZI(7Rr<)WM_OZ$JT~s(yDCJ_| zc&4L@%hvZ5<2ixGn7u5v-pq|NT{<%Y4is<;&hTNMY~jz$E}rq=krJ;>%%aBr(<U4S zbJ-M^T~s&}!u8_nO%*8)pCzYM;^y{l7ii=xG3b;uDKKba({sCY;IM|&4+-C9hU5%^ zBpqI*p2ZFe&TX8>#&}I|ZHRtg+2pE*vn}hsOR#&!J`gBO@(({|B*meluIwqJR?6<~ zardZJ(Dw}!uGH!%eP!tOv^^pe)W2cMg|AC?HeF#26JizgpSe9lp<s58%EpE(vTM{z z#j`!`9*zq7zOnHNbB@Yaf!Q9mhkTu`n~S`gu<JnVA_b;cC)-Sg3$uGv3j43f+NhU` z>wDZiEE@E^aOxH264kE)cRg*L`u9&OJFIG3EB`p6Qr$P8@$UQ=?)!DNG}Ow7-8g+@ zn#G|2_IAlvj|7};Wl}Oan0Z2XN4!?$w3UAQu%Kaa*_!Vwzgy}QychnfvV&K=VCqw* z$N$c>Oy6;O?%jj3e7kp?P2}Hh@#e8wJM*0f1s%mQeFbOx9`BC)mUOZB&kXx$Z_{}% z(>KN>n2E<FnY7FHJlYV&Sa9Po2j6drbBSA<9u;&*%Jdmr?)w^h%~D2eMz(&a`n*e# z>(a{f_8hU{vz~D*QJmXiO<FDA>XY*iXYn3L+$!>5LzLi+jrWvgx0kc-4Yo>@cYO3W zD7<>*@!iYv?%Y)MD@rdf=sK+DSA65JB;Rj~(+?T0TgoglE>9HP_2;Hp`isQ9*3PU| zH=JK9y+5DW$mo;PIgh9GLia*FE5j?!@@;J|PG8{oyJot|*Gb6=?W}B(w-+2^wb{Nc z@hodxM0V7x)Z+>7-tfg0x$mwxk;va~@#e8%JG0Hhf{x`feIL&BeHHnApzo{7&#uEv z>)*D@`A^#WcB+{FB<r_J*QuX0zjIS|&(jUv<uczs%sXsnRS?O2Vd7y$y@G?vbGGZ5 zeO*}|v3sK3=Q8c9o6nfFvE9g8`m6nzE|2JqoV`im;a|1*R_kgAM<)q8%dSaS(ap>A zb;G=ciq{NG4rOUy-L7nA6!KunR*Of`!s-?}1#>!e3%eHbT{F6J)T(Wj@A9p&uWpM^ zmy~)W<g6UCC1~$T+qAP&@7<Ey8dUrKH-|H8StPgBKJI<94>Nt9c|)jO^3?+Y=UADP z746JCUpLNQ$oS3B<Vakb+l}O<Ric(t7cvE}ag|)J*j^Nopd%ceWaKRCleD6{ljo~J z<3hz_#wLe0*uO4cuC?WCy?K80{Tur>uG{w1{=>KRFITSTytX|r?(!zN{C{3@e>VS< zQjWXK{{K#dRYl2V|F+(*_S@F4%)GyB<G=QAzZ!oq-oNOQu&dSM%mx8=<Nez4`_-O$ zulT?4s{j5K-wHlNTZ>v83AkFmPdB!%``Xd&oO_!>oo|<YU3+WGZ_(KQi{@t;KH#_C zn$uZaf6f1iN<{3!hUN8!E{*ETG(O%Ck+m^$xtbt9Ytez+;3+$jyZGKoF4B3hPm}A8 zscYxi`BICT)!SaIXkD+l>gqa8uRSKN?CW_h>OR=2$+gGOwR8Kj7Z2ttR<Z6ecWvaW zH+AXkTlQkXWX)YKa=PSp%~;fL{Ol(495a{rv+Bz<J{(w~9KSX3^2-McG<R7fbjjt- zT-3jMnZ$!GMK;zLGuKA$Wg3MSMPy@)js$G=4-V-T44!f$zl%>!Dk<cEa<E86PM6Si zpNj{-`g`|>Og;KY;NnyLUy}P;Wcc~!Zoc|uv6PI}%ta3F!Bbu&bn)FwXP+a_tv7Gh zqW0~}UOevAWUI*S$U0D&+Qp>r8~CVI)2bq`OK;xHMeXIwUM${S_*Th*-#Mf?!arE# zMQWGOUf+w3^1&q+uZ!4zF?N;z>uY#`!8wHau|u$kL`qlI=lm|dwi%1M7cP6TaJJ?z zi(HXS!Vi`yt~&UwQ*#wxQkPJ_PhhE4aLLC5BDQzTUFE;~7#@gq4q^Tw8yq6MNYm?% zkt=(;@5Q6M!CwmQiqys!A7u#V4di_g?i2W6lcraUkt@5n@5Q66!CwmQiClF_?qZxK zt?R=3z}+|SL5Jq5&SN51{~Z#!y6uq2)x1L@SJe)QT)lTt;OdeM*F{*(W-U6B7hJO8 zv`Fk56IXs~pNq%Kf_XNa6}alM`Kk!(wi%0}VrDLC_FeYk(G1O1owr45@0c8AF#k7c z=AN$V=Fjuf)b#giA2|EQC%oqO!*9!%#xFQsbo<t`wXdVEKj35iBKJU#m*w3>herau zGBSU+Y%%p{V*7h_=j-}~od-<0C+^5n<XiX0)t+;n!Y^y3wNkHMWf#1;e92C!Q|k5X z>sPmCL^s#YpSEqP=da@A?y|3jb`y0kKl=A7WgF9%b6m^qbl>iZGFvtG-ubNEL5t@< z__xq4eV=P~%&(aGV4btkTUX_VnrI%Lxc}vTqg>+^8WCU2|E}VHyyD=I{k7NL2(Mn6 zySvRS_tk5Ihxuu7r}bj5x<~32_MFV>zLxtsI!Syo|5J^pkL7~Z3axkk-}%?&RzNd* z4M$^p4M$+Jdkx3dw~w7qNPYP&Eq&;q$Fd_^7P2Y-5YTJ;%*KDXqPc(Jwyd?me;00S zaMlsGI8oHetW&;W{!_hg8}A&BoA&$0=j}o1<~y_)-~5YyxvbGK^u29Pt1g#CW;@gJ zwd%PGr#(F`xr)uYZ53nx!mJC)E4okfd@VRx=a_Xky`4|*#=`E;WiKAik^N<n-OhOK zMq)>BnZ&~uQL`0u=gM-GY@0T}Zs#s;XVzUtA&aD)WlPdmbj$I4y>PkCQE2a*3y%5L zB^QtL*?!sTEHC@kz@grn$M683GS8N2PRV!IOQhI-d0u6+rB^{$I_v*JwI$c*PZwi} zytizvoKxIwzWmGE)-5cxZM#u)ZP{9><a;-sHJIf_Z&|l?_4=dH*?;Cszpl&cE#H=% zopYP-eeU7?aaUeUjcwPDXm&WRuOq1=88ZK5;flV=k0-pC^tj-H>G6k)qWcazf0t?R zm$U3+uq~ERta@sp^yL|M>>_@7C$SHwuH||0HA-9Zb<VcrW8YpRqnG#G!sgD&!XG<k zKjtXVKQ8#Fu21<8^FD2h9p~B$xGJVR7N|Hg|Hx6{dk-FJ=70FuW6n}>PTFbD175+n zqU)0D59Z$t+ppSw;Ou$b-;*{iZji1NYrI`4)@fZS7P`4oEL6WzEY!GCEY!SGEEFVW zUnv&qZ246Gqn3Jylr!7yZGEZT2k!3C5X%3g!*}mlWXzt^n=2~jr+>Vt_`ZwD=ltfD z8?(|oH>fUex41D!*o9Yp_9q=C>CZYs(w}tru04;8S#x@GMZ}!+j~ms_3ni4!Q$4cE z#=B*z<<s{`u6_dB8=h8*HKtaIbuO(GWB2}~qgVDU(&o*H%|C9;OXu96dtN9hcb@8@ z7jr&+H&9dW2tRPcru)DSo9-h&Y`U90E5*bof7X#(_9U{#=j>*d8Pn1^4Yke-CA#_j z{5@l)@Dcrluz9WtW%FE<{N^1!cxTQXwi(kOa~Rqm7ffs{jlBG7dHfV+?%8EQzA?EE zPMu#NQt-C8k2@xH=Mm9q);oNPx2ks7%Qa=kPcfgxmVa@VtlllY=|>N*zr{EG<3sVB zcI%^u*WchPe{}eKZQQ-IWgGM!FrB-#@W9OTr==5w#R_IEWKx&Z0#&bhHECz394WOf z*>JwjQg7YO{x1br`D$Y}9%h*S*5HV$bx*;>w%k|J^%@DAWVw7cI(M!tdy#M}-M81X zKK9LWv)of`f8R=XM&2*HbMVcl<b*<YUAt3P_tfU@-ezjQRrkRi@iMJ~X-i7&#rkaz z2Q(_1=+6DF;mq1M)!L)!)%@yN+w%ig*E4Fpzv&rs{n31jRL+249)kly3pz?0{>4x6 zGJP4KJlo2>xv$_C_g_h0ZSnnE`nfFryJqfL=KIS=Jm>jJ&3Vh`>$*&QEl_)UyM@=Z z`0U%J+j8!IyMAr!ufhYnuI)G?RhQL#eEw7|XZF7OAaA=1<v;b8UYoh_qqFt&Q~jSz z9RGUUzxm0(gfsL3+d{87$|3Ch99NGTJB99cb^3a7dI0km<Iul{_!e^UD1>x2w!BIZ zSh!2VXN6pwz^eX*Em8?HR<3%RBJU(B;kZIbne*yF4X0AWSpipnO$w;(QN6<O*e5i1 z|1sfJ2Uwh@&hS{lXC<_%`+3^y;N8o`TV6d@UC36Ey6Tspmy>9P^9rGS&Z`Hlok~B> z3$QIQSnr!_Fi-Fv!(5;BQTNK#LK^j2v@T8!kS$RT5x>iM)tTSv>&3|d%wLQ`x5~Wp zUBPsiGc-wcp;d(I3cWDlRqduNuab2avPGn?a%6nX8JfVn&?`qJgnc$g=-WA*R}YIh zecd=U;Huo^ki$&dgjXHlbDDa?a|K_S;HvJsEw2*!7yi28y@K)CtW~o#)P+|?84Iq8 z(h*!0r7F0p`(?|kM7D*iVr3Sx&G?%6lAFgjt1xI!M4iP7&;I3yx!smu<=;3@J*2U? zMXPXHfb1N@(7g-PLmGoyv<fE$$j&hcHQg(t9@1#rqGdQcK=zDLs44Fmm5@fs7A?cM z0imX|S4pi~F0?Aku0_jmW`L}ZQK;z}KIIV8T)|aGDx69U`T}BmR6|V93a#qD*dmoM zW#y{GQk8|PQW+O|@u-Ke3v*sQ%I5TS!@PjnHOf~QvVB8&S7&pCCYUbtTB8)guI(Gj zTd&O#n!vZvOGi0`-P<>mH`tpaG{JD8myS{hJNN%dvVL9sqUZGNUroF4Iy$+}@Rx|Z z_MDKqP3t}N?ZYklRen8x{!>h8!vQB1v8aw_*ZI@t{q&y5_P6<J)2GJ`ktzoF+f<(2 zoY1`Usd?9|3Ht;?YYr3?{uDeUl3jJE!1L$I10w5el6G9tc<{)$?R3wt4tb-PxVkGw zyL<0_Q{`mYmgZRbc~2`F(`?=VtK(`?963JCyOzbA{n^LDRJ?h?oYj>k0jB8<mn_vT zIq*)tKecR~>w^1UtFwRXEY)0D{(48;y*sybOm}>2{g<@o?4s=DmU+*rvI<t$>|gjO z)5=@-+tm1S<qX+xb<tVLk*l1qC)u~ZE>P(GYCEff``)G<6C~#AE#t~tI=e7OGJN0R z;4L9}#ar^PUs$w|Rc>m&{L%_eyFWUmzb>t9UlDMK|5W+(pdCWL_X$RS7TPnt@z?D$ zU)N^O-kuW}d|*ZXnt+5~<||kCC3er6FLirccJTdO>s(*&7vJ#nwoLbdY5CsF&X+FT z*z?tXmiUINr&zY#oN?+~nRYODhLSh$%b53PHgmku+HX5^gUQ}$mJ>C$F57N&{Pw~l zJMu4?-Yn5y9TF+M?~Kfx9M#wReYQ_}ba&~pFr)XUbKaC_N2VU}+cIhDQ~z@FgJrxQ z=dSn>cBf0<+kCmks{DN2?Xq(B<)hQA_tcc<WU9U1Ix|V~cdXTG*SMzSecs~Uxw|6V z(yh`2!;|`rlGM9WpOi1P?ogHBkIdQ;x<kkG__F+BU(*{ua;>C05BhKIV>*@+<u;4E z=ijm~8!S%VHea9lBfXX}d3C|c!1?7@uWZlxv9rN5bO(!C`scYTcUiWUd=#tXe5b!; z^*X)SQg*JJH(su?&N$*1a(AIqW@JdyRO`#$MzV^f!BropFIDvudiB(ev3sA+-3fk+ zDl`}Qt-LNjV}9lfRrlK0n-ULBcU$IFzFU0O%vE<@#O0=~Qad;0fRu5t=}Vurrp8NU zr~0h@ZDcF+>gKk}-%lz!e#bAp-IN*lS@B)%)LD<c*WGHHAGLjTe$LGcG3%$jpEOZu zUcFg<<bk<bF-+T67kJ)Tb?E7XG*LZq^Hl|vJI+mQj{CN%XvZ<vOY?TfgoQm6iU@4= zPO22uV?VvBU}r>B>*>Rj)?fO&{Y4P(F4x$-byDA7g};0k|HyvZUq{wehn+S_h=yfv zYm>iH^8b64&JQiyk0Q2TOY5&!9uWP+;=6kOVi~a=U#4E%sui*IJCois3l_ba)RNaX zE^eC}l`=<Ne*?$t>wo9ZzqM`k!JX@S?ya`5-;xm<|10Qd<R+Fa3teAF&H35&>h`Qv zGZ%bhH*HCls%<;=Oa7|C`u1g)<0TjS=Vof`oiX#fw#L7^XJjf(LjSK>oxfW8z|S*# z;!U=!$`7^rthuS?vCGTEFLR%L2rrwGG)F}{QeP}|0+U}s!~=_&%|d;*4{VfaKajfV z&m-M8g~fIkJVbrhuV1+-*rmK*Y*qP}KeM!|_R3#xtd3Z@kMZK)>{X#+`O*t+Uk_QD z5pm~i`PR0uze4UOPP&M;z7po`E!=$H!_1=BtN%y&zgeq<b1yjSSk?N@b9%VU?62A8 zkaZDX9<=m*Q9btK{i?u{gq;VfixRYpJK1&0KTLb7cW=X;!`ah*R~-GspdTssi1oNM zcZJ8d+eYFQ2Z}m>>y#JtKh^8oc;~S7wBHryKQYMOc+G!tqRiE={a;u8*I8(Nef`JV zhTbR7Eq-7A@^<}c39Sut-iWD29gySWe)DX@5j{TZ8z-OmB(XZnu1Q(Zt;h4VV8TK> zJ_C~jb!}}oj$h!YUVHT7g8cb?%IUpAViKnlI}K&Z3}zkioxe=#>r~5hk@Ux!GuP$_ zU!450<NcXPjd?99YW3-Ui7#!Bq-FB$^tJ4Izc(r9m)y*4SFSE87qs4I7S=1-ea|)L z|E&m7&G0Jmja@xc?z-lzJ*2u}DcAHP&$MFr%t9X)ZQRH;y`4A4a!Q%t>zy9HyE`U6 z%eq^@*|Xx1s^K24>CK6uJ7Rd&9(tPCVJV><o+`fa+?!Rirk4vgpLH!0R4)^3K4ZG& z)0A?-?32PKZ<VeUL?nE@?)H4ug9_H2y2lj@4$lcnYM(c;U~Z23%h)5P#ilE5I%AK7 z7Mtiue$ji>weykI#bu)5_QiqAb*IK2dAh#$<MMSS?-%n&{(0KC+Fa6dWA_VJvk9m4 z9tlP6D_pdt`$giqho}33db(3o&EG2N6-4BIIU%D`F4$fq!<2sVQ+K%_^I1tp<xrFK z6IK3il>8=tjl1VMXH(GLZVS(Cr!MI|YT4PMckq$kqaCJO?z!riZC^V}tz7W<3Gd4* zwsc!~UF$SEvb#e$W%jilD}B$Kt3R5gde2p6nf}HdULn0ncBfg@HpV0=otE`U*xCJ2 z=et4QQ@dlEYz|0FYnM1%xV3wR7bv~VI8?MXCvj)9r%t)y#HVs<8}1yJnZ~{&>79{~ z&5_j4)7C#b8hb=zSFvchpz_Ic!7;nV44M`)?LC<nxQO-3;|&h+eBNIkZfJh!b55nr z@XEn}wp|j30{ELHUnL7TGxMZmbTIPtUbr76^HDEpedY8;O|0JvBa-afWn~l!FY7&8 zQ2Bi2kIOvEX6^1+CSPiB_Kxe7qmw5zw?5Nbq_?;GM$$W_Ey_E)BPMjp+uH>E5#8v% z%sMpSqvOAKGpi?xt~0);TO7a2<1u^nshh87?VKL5Eu>EK<)ojoZq{D!8A{ZO!d;Hu zDOD;8ue4pDJaPA|l6Uu}$G4w|(AxR>Lu!@c3fZkQ<%`7X|5k3c|17tcJ$g!H@U)z* zyl16vPn+JuZ7f`HYH@fkx6$m$Qx;}Us{NVz>-uH2@S^?ZGtZTk9X4MtHT%GwtQ?`@ zRU0j5#P*&&x+W_}E-ftiv*BW?=!Tb9Z#en<p0&F9Qe3f?^y<vbmETWm<uH}6+UR*_ z-LYp6!c5J?%~x-%+!5G&_W0MV9L9B_$=n~6jN_)~E_L4#R~Yf2^x5)hLhIg4TAs3O z(WZr8d~Wuf2{LbGO^YnhEZ%IX^P%Hhb!C06`er|!$Di1Ko1JFOOAY&!DVM)~SGruP zkq*=0eTK)c-@2lotGr)PfAJnqxsSY-Y0Jt^=67hiRcqaT^6>ry(a4);`TnZ?eG`0A zz~5<kN9K|vuU<u){SVD8J%6z@a9)SrMai6u+gonWn|E{8RGFh8hTYCa=Ub-e_{Kk* zKl7*Knlqta4wgtxH(%2HCgQyZdtI{MCe=05t}wq?wDyhX@7s%4*FSnPy*~S!IMem* zJ+F7foOqFb(zP)0{&ly<Q)}g|xR0`?GVZn1xzMfmLrCv|>fOm+F}+GU(<=@avFAs= zdn9|>w&aPP|06xyHqnjE)iPxtXB?KBS8(GvHy?Y&sfPmREo2@p+Z!YG#VwJ4<Bl~2 zjdyjX-#A*tZrxFu`_a;3&l#KTVU9;j-*$fq-pRSHee&~}>bl(~Ti4xH=nPG|91&Qy zcKWjiQPY1`F1&31%w_h+7h7}Fljf|uynU{4_HDf*0T-WKY_7e2=5V2=^W}p2wusxu z)-8KFJu19(@#eX4i$yOil$w2@I%#U!4VAdi<l+mPXHDK!5$9{d-@j_(&x(j%-2=^8 zIg0Ouvu}5;-LmcN!MOCxx5_Sthu&bZ3QwN=BB=MQ<Mpf@y<MTnpD*l{n%zI|>J6qZ zYmbR7vfpTTW!t4sg$(ViR;hIi8V^t1`X*zzE6e$3EvHpYWv=!0ChwWj=Mx*3AE{ck z?$hmy&(7E$GSXY0yM04Ug7(H+t3EtB?_Md^_;%)fbFXPfZk4guPJO!d?YT4k8Ju@a zZp>Ai)^{s7^7gw$+M70QyZd+Q&TVV8?<QC}uF3UYvuB&F%XQaEBP*YDgN@s4z2+~T zp_NuB9GmEyeOvuV$f})P`=;wX{&cHszU=F*Z~vX?PvKk>HkJLwqP1&s`EP+@Tyo>K zs}{Sr*`}OyEzGUH;r4jzTR|)CqplO8o)knRn8tokjX9t^joT(|=Mmv)))l9U#Lq{( zduUl+DU@yTCPBA7cFp4r?V&Q?HZ~q+yjE;+#G22&B13;V+um)pertTSV;<<7R$aI0 z&cWnW&SLFT(vRHQ*3p%Hd-;)D+g{4D*eG7xR{C=5TdSnp)w(aYzKxo>PjvnLbt|sM zvmJVT=I#ffz{Cw!#oPBbh1~eT9M-?zlwa@fy}cbB(Z6awO@8mCAFVb)ZqK~B>2kBS z#;tk8G%s@Ifnx2+6^W^QaZxk7!^56E+&cB=j@(qnywtj{8k=|Y>TPsxTw12F@dlr) z&UR;U?Kc+=ds%<kc!rPp&C*i~zBulfJJWrK(3(3d<WleLxxC(Knq5#!`^)JXxBp*p zd%L$T<7!0o{e9c^HL%Nw?U?`4k8An;g{zK!eqXFp`Xa=m{O0^GvuFK^@BO8^I`#hT zl?Oh(zuY}XMR$L~R+H<>8zz0861OgD&TGMlkF}RSd|4e8v_0?ojSHJ<Kku-*^&)KN z>Q8CX2U){5#w3}amVL8w%VMte`noaiL*pi#acca0-z+wkH7!nd@8s8lJLbNgxMS+; z!h+48+Tspfwwk-Jc53<G9JR*3Kh-*!f2y&wpPpaiJ=-h)m|W6|1Buq5p~Wo=ncUxQ z+18NEBN~yvyp(lK;)(-Nt4ycHv+Q%+y6n^J1J~CE)GxWj$GR?R;jSy%Wf}!HxvsXx zZE|kxo|?FhNjrLu^?kv!rl0FRv99t=j|<p0`}M>ZGphC2W%p%AEmE<u-|GE5!&y|X zu{U&w3aIwqF!NOP>|2jct=v<2?&}KPlGJ_P75{#R$BLJ)oRe{FUFxIPmACh2--=3& zJsSSMJm7K0MFI93ZoKTSaX;%0*#3_Ek~<}S<GN3?im!dxoHhBorOt-~^N!E|di<+f zP_eJDxWv(-&Wk$b25nFE*f!od95L;;#PLrIk0a%hm`*GE{F$d2*V!KP@f4F<;lrf| zQl@$PB<^fpsZ)M&?o+vYn|^-(*!Pt2-ewyY_HTa#tw8Ii1jX-ZE)W*KC-~w_Yq{Z! z!*Xo}H;xDJu}d6#C~(+PCebBzZpMR8i(_K<sV`e>rW^h0tlX|Te;&Ikm(JtIgIe-J zey-$Py-wtbZ|eRTPkw2;+wN08w)T;&w(Ks`syct3151Cq?p^U&tUTo-*LL&MtiJ-( z*MDG2`^eUKbB|*ZUw(nXbn_iEj!WB|NnandNdL6#m*kz@|8%|^G(5Fq+hlWqXIlG= zlb<*)=dy;}dl9qn*|9Fiwb!)FojsfxpBKwyt)20Bg2l|o1qC+8A3k#FJABymUy`cc zM>clx%I0qFJqHh4-h1#^C!eFB&Ai3pthCacO_H~+tv)(8OZ0Ni*<~35I}W-}*x|YM z|D*SPs_e&K`$|urR`ufQ>@TOT?{4$Z7Lo8d_-TDm^P7MK9Zv7(GHLBhi&HCwud!(R ze|WT1L!8s`tM6IyMK-dbJiJq_mz{U5v|3c~aotPfz`RFO+~+@8slm^&@$vOH+c(G; z|5<Kk5%W*a=PAGRi`naZ>vtq9d$QvI&opnJ^qtL_Ci#K?4zsRKSt;PV?tjUFklPQJ z+Q)3TRkovte}1a<o-gg(*KY9X|9jXp_35?A$9`4&u&&wQ-1zkAGS>r4&x}J7<eXKX z8C^LjQ@u=O+RcT{OUqs)b;?#n<h0v~-Art_StgORP}VKN;qW7Qy%|aES-%t7g(knb zaL^_4)tcVUhYS9E%Ky0Y^QYdnCCZ-@{_p;@_qJO9yAMy<Ym-jj&i77Uo9Jox@Bgxz z#d=Rq{aN+t*X>LBQ`<EE?mo5p(|PNox3Acz{?|CX%*$PAM|1Bd``LeIKbxhs^}@b} z?)Vhbt-pRO`qF+<JBm@3yG_F|Kx$Ex(2VwKi@Z7RNk_Lw`uaY-pKi*p%{#w8v`s9j z)J^!+FDsEvvtI4Kzsy*pgv~w8NF#*px)fvVp7SXID|pr!*VtW?j_TmOKh5sL%B`Q$ zk6wv-SL`IV<3r@j>8q#2I9xxk8M}acrFV&1Df?WHyRPm*-!C+tT6X03d5)Wo`lsF| znW<LKa6M_47PKj9L2yyW%PBI6x1M*-y0A&>)5<nAgQuTeHfv<D`k1*kdM?v2ydom& zW8f<O*!SXL3uSkqWZts}C7g>5Z(XqIF*1=?=G8sG;T&9D;oNPYGxcSd{oh6I{hcS* z#fh-0)dc?HD0C8$Q1=Z@3MpdHTqX4Q><YeLYSy`dB^Qs2*nTlP%CL9e**S497T9uL zb>(-yy7<`C_mkJIULsb|JLziF-)iHw<~)}a@xL5b563utHEa*KD&xCCaGg};1TB7J z-t{4sGaOggZ4(k?$}tRa-`eWiqGe!Y`ef=ArfbqmpS=jW?QmqmjGQNDFK(86Sh}$* zz}81a>BkI*6@u3!1!GEOANRa2_*yDswC?cL>xDBq7Vg?yXcu0d;S?G>D<E{aT8RAm z{f1W=_&H4vIVz{mTeUm4W!1W$-EWRo{+iV<b^XoDY&*9j6L#c3ITU=|#?0D9<LXff zr>_RH0&07dt}v8$Zf<=sTefP7)WeR6@$plS<T-_ED=DvAzb=>kVX4856EoMZ%jH;D zCE>Edj!h`5A@;~kr!R)<&W2yVc0Pc)RMfm@HOH0EH^z_HUTJ+3Ue(Rq^6H_L;PvCC z&b*;Nzv<3cb>M3LCSR>9y15LA({?zqloiYlh%GU+@>yYYXJ$ZciP9AYSx!@@dgb(q zry|)8ORt=>*Stt<<($3BA@X}Wb}-(nn>_R4ms4dCWz()qzEZIG<%@MU?`QB;y_20V zxBBa(@)pUfj_;q`+OJVnk(zeuxOXtmheIODJ?G<AUde8MezR@CRi<NN9FYk;g3ga3 zx1}td_exzbPTZekef#m2SC0i3vQ>Dk5LA;&zbyP$`ta)0IXfOsU8T~N#vZ>`?1PE* zkF3a@n@x4TKB>NJF@K(4zTm3n>o?cwel4kL|4_BVeTAKzz$&Rpy*sL`Waq!t4Dz2a znd9m~S*51#_Lf(PT7n0Un>g_r%neYsF?{rDWkF|QZdKN+JeLcHbFRM$a$L>2$CT;y z#qIWjhYm70l^RY6xGLkc!j4Tit3k0v>fzjp#<mOiO!rhd$hxht+a={2!9VvdkLe}n zDS<W<_2#j=seS3NI%F|dE^xWPs%}v?S=Ut+V#2HXSzDwMD$5p3%9?X`qO4%)`<U6X z>5tF-ytISuuDQBIZE*j-$D0?g51GFEoXzxR^ZU|^x_739+2$C#%18Sc9!LxB`Jgqs z)}8<4>rKv^!mfUqEIVndj)7A2^FFyr(^I=|Uv&QTD@W0)%Hy8H3OO^ORsFs#QVFVs z+XR$1Uw!bRv1-M{c-!7}M(Zr^z3w$$XE`s<UD!}1OnT>q;FlVQUMCqWteWAo!tR<t zA+wI^6%OGZj$N+#W?sJLFTWl$J^uDfufbU%>7L6kr|p^;5SybE!XM2Unw!sQdZ5gy z*Wknsi@m&elVaw~<Gd~<{`^Jv>(nirwxZ{Z{FbY4vUPi3aP{RjspXe1-`@7-$=c9P zy<;!Gtl2)7<6*+KiJZ2t&#Hv*f9AM)yvB)l!-N3kHHLbA`P|nfEH4*7^n3j1(cgG? z&l6d`IWe2>y~;H|x7<8_ch8A^d>^l6G&LH%H#^g4R&I1|`SNqGkNy0TmeMb%bVV^v z`g!-1%JqqTTYhpTmTfqpk!tHaSNRIV^39KT=N<dK$+$7^=<nWN7ZzXAeSE8=w8Z$7 z<MVChW!Elp9}2#C(xJSGIgKqw#>ADs-RI)*#Nd$dta%zP{Knpbd1>oUUX7l2yG5%& z?e_MqQ3oUs=@gnpv#yhyDxSi9?dsxBB2J;+K48pSFv%jiqx`1Y<5wGhO_>-U`}BEl zclYZ*MP<?pQX9KncsjY=^h#L$&5PD#SFkOtn&G*^E=@42p&OKw&zQM~hksU8-e2`) z&fK?|QMw6R3(tPsyKSorPp7w=-j@Yd$4_jO3wbWIN-Bgily_B&RDycpHi7=0s%y?~ z)0FpDt+?yCf@$-n?9{bl1@|>ND_GvmoGTDp%bquVqIoTQ-ljs?s)#s`6>?&NtNM?& zNF|sTZWCDFGwDM4gLjhG*Sz2Q)-Y<%`t>`j%ib<cZInA^`r5j<x;N%Ub-{0rtB3iV zzFwT!A)R3Vu=m2z53h0`2rU*pe*1vpu~L>_R&GLuDtr>pFYi8l+->Je&3A$et1LWR zJ`{EYFw3Z2;n3f7d2-x0owI!JciopW?7J@h=gf)e`|j;+(Yk1AE&gI%jfr*ki+MH% z)!ts^PwJMvcsQeSNB`qx5)WE5-Cj)YU0MBlQ_k)F=~nMukFC0Ha(eT%3eU^7)eEa! zH!EfLikr;c9JaT}a{gM8TJci<Z|(ZE+e@}<TFuDs(({{TXscr|*G_C!QiJO<iH9y` z%l0uB*hGK-$lI`aS!hzB*^0OrT|-xKZ{LfDrGmd~JR@@T+I<n_IokH8*BqV3Tc01e zdj6S=+xt>~OZ|PFzWu!S`RIU%t9K54if3NDquK81_TQRs7MZu#&e7UG%{lSvG)tL9 zyKP@P#Ygc^<KFXo(U&{2XSrYew9K9m5m5K6*TmzC&VDxEBKG&E>YXP&S@q+F_J<4Y zPwjqfvT=wvt5=MxIrx#|?~ET+%opZs9;nc?@7Hv&b+J!3THyXV-AD8o^Y@#d9=k1Z ze56^;#O@#Q?vdeXTbrbv{l9hi4H`5P_u5FG_{5<;^Y!(~2Na%F?5(i=zIJcJ<J3C| z%eo$Ors@SBt;+n~pvk!Rg!G|j7mm72tG;olNIp7JE<yUVb543?i!9Ie;@5uDxZfmk zS;U3ROIxK87w4zHfvq#sNA%^?7kOsgd~!yiE3U7}k9_x#_jK)^w8{qCoPSPlQY%}G zebg^z&pLbNW7X6@U6QSjzJ97(#`k#Y=L4UDKW=eM;tF=Hn)r8;rqbR!b_e2`x|?;r z7j!(e<J)X=KyX^S#i>sm$0gQXpL9G@ub^pV<B#-TqI(>Zw1Szddab6PZEUX8DL0(| zG)wSw(bjpXJELxGvN@78%{}5!L2Y)SW^ltt-(xqwO<l6D*7V-KkSpIeRu$fG-~Va$ zHW9uJZ;IL4Bl7l}tqh-3{O0<y`Xke4q~^;6-TxAOa*o2kwQZJ;HOd91`2sUC<)3VI zOk$hu+PU%D)&*C-Zf@JlBAy-jE>ZS$?V9w;23{Thi~IjrX`NKgN$I<8uaTSNW${li z(=NE7YGd{7eKY#yQzU}_NtvAGj`%K_J>kZgXAVgw!L7gYURIg4>zQr1bJ%U#?;A%x zG0cvXOX5DQob&h0t?p9ZyvG|3#4IZ*`Iw)6Zk>1i;@Ur#_N$%S$RF@4{pi-1XEAS{ zY-o>_`L=QPVaBxLNN&SBc4e>TYka@a{5sA4v|P;gUo*c2^-KR%_<gc;^1K7C<pw?0 zw~hwzRnIuc`rUBuVa8>J7Doi$-4SHFz42bT-&XePx6f(Hg#E75t7wpu2|Jxy-TGSh zv0b%&r+LlnH-BB;Ust=mdENHtyKgHm?_T@&+n$$auc%ZP?43R<BfsZk*s95YpH#`4 zbalT=&}fh4dAOndpv*VJj>C+61y6;NINOy=Hpg$j_g`j{{MzmI%x{-Uy{{{~A?>qg z`_1%xgR1Py=j)zUr5iV&p3^;3=9@wHVY{?q3zzV9ca+X-lwbQ@r}*ttq4yTwZk=|$ zuOpYBFJ9ZequVzBSKLRN>U&D1sh{n&Yh#p-{kpqeK1*b}Zd9_rR`gQyFSkT>x%l<> zn`I^ZeR|N4XR1VCo%gi`xl!A661IOm!^QpP(S{>_eAYJ(CW_y-e3K~MUYql@ph0(K zYpifyRe2TjY1V0*W0E9K%j)P(iM!`hJav23O5>aV+GqVReX823KdbiC&r|uq`sd8W z?$3@3`LW?<{j^@+^{ZE3yZhf;h~>o}SxzS%k9~*3t5(N;-PAfoXM@Jiq@>9)2a<xs zXZXDm^7g!Y&?u;U<D4tDYZh$M+&F2H?3zU>;;S`p9+vX@_OWFW^PNRc1lTn#56wL< zwEkvhr0CCBXW<<`BOh&FxhU*FaIi>4T9?py--`#igG)YM60zN5RHX9p)&-e8h9=_q zyk`$vI)5%aa)C+4bc?`o9^FG9pA_7lA(gjX{kY3t-&4yLD$C{dtFd1Zxpw%iVVjrC z_O`zE+qp7gH%=vX%F2`#v>evsE5319k?*&~iNviDTKkjd9rHc>wr(2to8+Cdc0|5Q z=-1gBF#nnF;^df(=B_XA)~xn46}Y1}#f~MR@TFV9;y<+ltKA<3ox2&eB`^BE5I5`m zk8FPv7g)E(Og<I7&oim)-^(jv2KS#NRqQ)?m}BA$R?gr`u{)Z=lck?(pKsdzx%X&f zLHJMpl>x_gJu5BkWz~6@W%!Ll=j_)NTOHONKlmx8zgu*t9KUw4tzFpE{XWiBSznij zpSpisQTFTFjcuk|G!k_41tN~8Eoz;$>e_P)7P03RDrV0tWO7aw?zl1M@rMnq`y)En z?m2kS?A`*g!+Q=M=DYVGSv{X)L$`U$jkD59ISIVHSu-c?NzIdDc_+GKQ(nN@M|FM9 z4;=fPA0_r3KDbz>z5BQ&AHV;P?x_{0q-AU#^XkQYVB^=XZ0--=qv+tj&(HbGLtepO zoBl4}_%56$(fLnIf90JOVZUbl4>%Y6Yt{dncP_oTSD$sv-ulJ-@|W^|{m*8zoGZRu zeKO=gt5yt?`04`Bn01GqKJXIN6AxcqP`M+l_4M(tS}|GtA+6d+?rOzkwNHt66x#mT z`6Sc1(1$`dqFcR_;zjk?f3GgsX%WzR`tU)m7(2euhg=0axWYS>Lw6{>Ik{ee{rD$m zOIDfnhg1uc{<r9fJ(+DY=ZyJJi>dis1y=V(9{KFkJalu9QAauFdhVZkjpnVF<{LeZ zVcoO#nA(SRQnQcz&dTAl>d?y3(+d?o>%2T`(a(xF-z^N!S8r^!2=Dd1nju=MTDYt$ zA&bX4w%7MTh^blU<f}Ji=BzmO>`{fO8UOKB8-H2^_39pI&B{^K3rp@U*wVGDs9<MT zLYBqupkCjE0@2*-6D2}=&mKIGm7~WNlKlC?eyQ30=Rjkn>yC*n3OC)xVY^B~Gqz;C z(u`<_?O8cYe^+hvELnN%Swf1bnRxN)jg>Qkd(R$Ula<4m7M9En8lG=?8G2=EduAxh zXRaAhy}k)vre>WduilXHS#|7LQj)0|zwoM!KPBRObr0;w%27NPmfUTytZUaN!(Cko zb&QKwZR9Ljb4=~xR;k&|(V;z$81up&aux373UA52!+Z17eWl$|8Q0$Yzb0R&myneF zaz2x=)c^F&|IPo&Y`NsVEz^smC;RjIv;X$*+Ln7u{Fb?XLSBF;rwqscwKw-q31*$+ zqjvSnd>^kleri|Osmv@XG@JRQKyT)kLc5tEmvfC)F1wmybZF^@N$#D^oGYg3O`q)9 zsC{zDk*g_}4sK1kbo6V=rNg}`myRz>F*<N5#puYU6r)3*T;ybByq3hs_${%K@mx|P z<GX}O#(RmAjQ<j)IUE<?)K3Z8`b+I_*A|5hMt|bZ{!4e#NbqzMyfG(GWm}2}d$e&^ zcdq2o!{2%yCA+(EZZKZla${<s(i^|{mLsdSxL*A*uV<0jWl7iEImTVh#*#-}r)#XO zcrh!mrsT8+<F5260=7n8E%O3jDaowyi=WzUntAZ>tt}6dW1~1W*y*<1m>{N<;}e(E zeD477m&;uT82;PO`kNi3`C#hwi7dNPW3vLzc=7E@Q5B!NdD1PDR8@J|%|Q)(k(x(- z=j>D3^ZC+s<%{;kbF$>~jgPNn`=qArx#yf0->&rJoinCRwK;Rf%PuWtDZ}N>K^>Wq znuo6V{Iz&7Juv3WSq-^gDI)TFjlCM;BvoC`_bi&W?O(mw@9mQ=eNz8>y^s5aXsT)B zy*9Or$1liy>78|K`7EYiszFSD7cYCb(QWHa!IMi4oJa{u3Nd<_P-65l$;asB!^qSJ zYXfs%hZ#veC`&!FX5x!ECnn!Iq7tOXrWz#2rXFP1rV_;1rWT~wrWz#J7QU;_cdgvi z_aRj)#aP~jRb}dk6c{h&usN?Gq;J&KJYDkW(bYYV9+tX&{HV5=rDFEE1xNO7dGMev zs^Fu7Zbiimu^JmMZbrG~tpfg+oLZKjoMZapVz$@PqsO`qJn<6O(#m={eQHSdDK8=A zO_Q1*MxH#nVa=1ojIf|yMQzp{;gZvnJd=Xd-t(!YiHHjub!C-G9zDLJM<^l3jnhzV zv5Ul8^Q|XuG2ILO<*@d^Z!h+hp-;=LJyclVsZ16spX|y0eUhj6_sO38{F6N8`6r)b z=$~{_;QFMK9R6Cb&qa0eP1TEA?e=9k=X$BL^HnDcouBN<u0P3BTz|4B|N2Ru^6Mv` zWXPX%QXqe_r|EB%PcIfEEA{&^z88*je~@CNxlw&)$p-V8B^&i;e%W9@^Gl(^Or8RR znLLFWGkXdwCc2By6{;-ZwwUx`7nA#B&(7qNOPU{_T+%Ika!LE$lS}%8Po^|n?u@CJ zF)yRuZk>8WgLG#|$L!9Kmgs}|v;VFST2-0+c>UJ1w^vPMN^jzy_1E1kY^|KQwsF-O z?bkPbT7GcOWI7kP=|H8|v>gI}mfhU1{)EleZ0>iSzz1_Qz2=y@Zk77_Z*S!0NfGPK zqQsk1q8<fl#kQaBw7D`}Ehffu)))C)-=(T@>RL<pi7h_t(o@9QyRv7OS4gx|k8cnE z*HQ^_Gv6;;zv@OESC^Z=Wy_(Kluf*9YLj&TH+oLJwO%`+NNH)<;;G+O{-5^j&Z&vN z{L{~QtEoOypUfwwGFeYdZL*x0>SQ}H^~sFC)FvxhsZAENQvIZ1Xv%ms;)>-=kr$JE zR)rQBy<8A&^m0+P(uU^D&MDo2om1K+JE!!|><np$><sC+*%{JenHVguaV?b5cGDz> z^J`QtYHM4*m^(G*3+MmUl3hWo=SOYJzWwO^+ED3N0%l)lZ(8;H@k_7zoQ&Wz-ut~y zELtMg7U`Mf5EkUUb<L7%*^!>U^CLZduT6b+`@{KP$K<2T{`3UL1y9_n`5;9}wct?p zs*Pr=T;KVtv3^sXEL1(&lf8P9r}*y4p8UHfdCDK3bdo`T@<{>xNhdkZ3s+9DnAfn% z)y`Xub)MQ}A^%C9?ERBH#h2f8xpa83N~m##k>mqMBgsc~Mv@PMl=}I~)E3N=eXlk- zYwAQFuRDHfvUj}HV(<8<+1~L`yIL~Ihi%6+pSB&deB5?SI<dHWG3Sc3<EKw9nZ^6Z zCFoI%(aQ%pMlT<Ma&L&y%f}@~k_o}7rPq!fp6D{KM#gUmlZ@9ACmEk5N-`hnuD>x~ z8uGd9?JMc%>sPLB4^Fl)`z3Go`@D!|<KMc=vv&Kgy*Gzvt<IsX3I=P8WREy8M*lC5 z61*`jP-R=X2)ngWSNB{=*V2xjN6F=GoEy{^x7?TzsPyLd{gvGlB<Ahoxa#_CIe*aJ zCk}2CEoKDD$ehy9<4YF_t(H7`{9=#Lg9tayLYu`d78Cbzuq$5@U-;|7$uEwpq;=0} z2wgVrYL=8ddh|@sqr@<`kA~KZS!PTQbUJfZL-5&B{X<7jd~sdjXJ%hJ;Z-`fQP+_} zJ&zIs-3kqL7gt0~3at6_zh`f1h3d(<?|xQ%=gWK{TYc=C#IbK@=I$*2>Eg2Jc-i(H zPr^L2Jg>gn#~qcHb}uOn3|<_1mz0)vuft}F<IJB6=UM&#{@pDtr66VA;+Ulxc44O_ zOE&J_6D!`-p1Y~JJS>u9gR_{^o1Xb<Q8IFR`6rZG9|TmMpAlYkW2F~Ma7d><i>zzX zkFcpSHH*FU&aIf#etFfA*~_$8EmnFd`dNJX`r&WSq~LqU`?OzHsQ-?dTA?4T+9tc| z$%789ofd1n<oH$!$?Jz`9$*aR+8Do6u8sNB*AMTu`FkG!eLF7nk@I{l!#827?7Krw z9`y@-ByAh|>7&n7CY#Wu0{&B87jB5(DR=L)oc7C#>(xuVMEFBH^M8e&JXjlAROmm| z=1!=peEF0)yKXH1BFbMadi1!QE9ZvjK&LmKyk^h8w(XnOnxCneLL!C9$%V%^f1AG4 zG>7F=^~%!yJ?iTZRcWp}))qf)o$HaL#ajY5T}imC@BMpq*hk&fFLumU4NlLQ_(*Jy zuKKG(%U}Ls`no81#*5AhmGXbnpLXcat&5EC?$BuW{y5>i@?%AL+eeCruU5Qx5M}eE zds@YdhgCLT3eDx%cF6NP%XB@R_{fjv)5M1vh11oYnv_3IcvN7MVj;pWE7Q}i$9Ke; z|M%mC{cArO9Jp5@k>vl;O;@o0N#60IHxI&eiVBUzDt8EW*Ti(VGM+oSNI<+hn7Mz| z(O+4cbOhdL*=cKWSjGg1G=1)JD)bAKIH%RsoZR*3k+kc_0=K}LIbl;6mW!w!Ir&It zX1GS#DY3Z2>LRTVb}S00S*yX5Eqe4|l562ck3bup5D|H95!C}VuAGMc`#9`gpJ-}+ zyXisFY@Lc5>fCmEr=1$SHywy}u~9yol)GV#1(TS_J_aqm$*!%lyP}^jIug6eWuwbf z39;3anq5~tNm{7&k#)Y-&l!un7@w^S>ezWS`aWxCy7x}Gdv?z>URE&AT<ayWIixdj znU>{@g<g8gR!?frT=gV*mDb0yMP7>Ew5}gM9kS9eZb{c6?~p*7GvOlg(NmP!BNl2X zPTSpjTXe}EkG#B(fzh7IGZtrxOg8CF468h|`cz_?j?#=kIk}_CJAFS+NJzF>azlV$ z^4f7{_ScUVcB_88aClb53Gs&;V*-5oU3o4iO>ADd@x;+75l<3}bUqnci?QAi>UPS} zEBE@iM6*3~lf#Bhcf6Gq+Y^tP);cOmtkMv17HLh~u*l-YN)0_Wt*&;)u1AaOD{l#K z9p$n-UgNC7%{T32Q}@zM4<1HGelQHO^KKB_B#=~LlYTbo%d4oojX%@lXT-d7s#I<N zx5~vJXsU+W3ZcUVp+yEhQ)75SRr#GmP99HCUB0JYgX^%1YoUQ-U`$WA2>)Y|qsJp$ zISt$boqF`{?NNL*F=qd#T?=PD2>tkPLPybzxH!8`_oB;>6pvo1IANeFXC)!ZAM4ZA zE~j?PSzh>2V#CLe21j;8$Z7sMrXYXu_%Y`u!;ce^N^DXp!sQr_DDPDNc;V>diWd(9 zZN7XokYlS5;CH^G|NTo=o889CpJeasoiY9Wy>&;N_j&ZT*Vsz%|7<dl`y`rZ^Thj5 z#f!&PHarEoa&0@LPA_i0x6$TP?9IC~roTT?bwtzkd&P+hx^h-8r1)cNdgM<XZm)Rp zxK>A8uj=TlYljy^JV_4I;W985Yn}1&^r5wNO16`#rNrb;9!<ITYU9E^A{u%7@3nmr z<m!KNZ$?Vfb+ws~LL+XNiatp*G|T;BGBIJYUQo_8*EZdwyecQ{Csj)xOYRa6ifMkn z(PoO=N!#w(KV+<pJC2K7Pqy8=O)5W0Qqy))b@ZLvZi{YY?(1H@>frH5-^*MgZlss# z+PxNzN-ybd*R7DZc6glrBVZ4s?-Avl?~b;wbFrE9I?zv3?j&zdJ4^E;#iI`@P8g`j zeLATxw`)iAxt0o*a&@_1J7oEp?{u{*>K!fe`Dn!UGonOUPAI0kT_pUm;^C<kFCG-V zIl!|w$@SpwES;i@>SC2Iq`Parbh|SCI=)DN`A?9%hTWvy;d`uur*OPmEp(JQG-=05 zFFv`-*4vUQ|2*Dp41Z<*(Y@&P>AxF)c)aucIpL^#k$-6CqnTQUHsPx5`XMKeW`}<I zu;EUPcmI=XQ{Pu?d9uMwOi)L|Zn01x-}*<2@`{fXkIt?*@xe^aszRJUc29qM&5@pV zJG*0t8O}dW?8tlib5CnhDbFXdn|ps~c(&~EKkD2y@8bmXT@@#yV{M+C)sy>FCeB}Z zxVxRv?dV~F)k&2p5u&G+=gWp4-&0c-Bh0UP?U-|0>BkEPe^tCla<=)h!BFnk4H16k zoW6F&uw$QIB&6!}*Oc9n`uyo;VYJPX8*=<V`|RYbZb<USzUgY0D?9EiU!AnGz)(S~ zQbMA;hNsJwG44oIR>yR|hZAK2<xlFCbCoN5FJTC?SZcbxV%CA}iThLpbUu1tc2xQB zZlMOtYmwHZy^9QT0z}xQMUNg$a{ag=GVq64SRhkQ=oEq5Pr4UGXhm0u-(07`VlC2| zw0x1ln;;SP-J(a2%DH~r5FS|bCU^?NZ&B4FB0rTRrkxbK*WRYdb+Ewo;cpq&M2VFe zHCNYZ=(%ZkwHtOF+AiBAlrU#ei-dlBOY_rBt>v3IyPOOH1ApA}43tpQ?rL7x^(bl8 zqKX;IH0+jvs#zy7n>ABd<yWUD9>|L1*r--F>(8SNHP&iDKTd715q~ySMsKCi!PL-2 z5sSTe!b49UGz~2(jGg+!&1q`Qoe<T15<yD^o=<uGusiDLk>5A^K0TQ|ouhA+&{4zC zq#sdJWn{ut#nnSj9`+3VROmI8X-?Quf%H?|_dFiGE__;bM7Th8?u>0Aok<I|40VE3 z*}Fqe9u*4xv>{|_jZW}VhSQ;%N4TC=$>fBtYyZAys+ZNhuVE{N;-gmx9cBtG+7LE1 zW=*gv|Lf3`$Jtbu>uuA_J$<;$_2KDKSI&aCK&O}jui5ioOuWOh--Pp2|C6*|OHFg$ zOuVz^(RE4Xtz4Uz?vN@z#r^t`^3I);ma={Bil5!{=ulYZzLZxztU-60TA#W+buWtU z-hKFmTP|O9gpHcT0WRkNyK{*Zg-PeRS(H;6lcwkx^h{%Aw|&yQ>xCw_T-E8Oe!EQq z3)btjyik8S=h1?c2aDb6BNZeRxJ8xtq!SV-t_>$RO|YG1hNLGlb8770CW#k@-u z=Op<&!yhH=68Zeny~}pbgiF6AqH^X3$|Q7%w7-b%SsYza^Fwpl`TNO7-X*bpF61jt zZA|FX2{<#2wJmbfgM?)|J7y?y%ekFs>d!p-x`$=ojY&yuszGPk?KI0adUV<9Oi7aG zUSe^eMw8EQy-5AlNv}^lyt4k0;XzN$WfqD@d5$l=aq#-ah&?kN&k*@+>C>gz=V5p_ zQL}7=vRHSyfXtMnd*w@KB<&Y5elt0Vy*hd0kJrDdm(1ACt-0)m>QNr+r8f>PjL?Z& zQ5N0BndfPE)K@cYhvHGbb;pxF9)Gaj)9_%Z=CU11M|qYny>W19gwDT)->#Z!I~0zJ zJYPEF;T#cb3+e8o^Y#9Rb#d;SnABw)bY??bm#ogu(8OO8-r4qPZ#(ts*m)Q2m>3_? zqcy%CRy&z@)oGvIyfHwGL+k|8(VEDF8S318&yKNPEPH-}DL(o%(@~wsgc+LLd}$)Z zap5;rjCO@~ac=W4jOX++Jglbq?DxJ1oliR)yEvzL86LILOpB22cCC+6K3a27=_t?Y zB{T9rC2xFTuf1eO!cLK3oykdU|MvGC-*W*ZSiEFL!Zwl5LerDt9t52^Z7tUQ&p5V= zbD5{%(GbmNzpXXP3@kxno?VrbCnR-o2Awg8>yqv1KDy|C&(x$Q!yq5Ss4hu2&yCHM z`}OW{ugqWdGULe7M{5NIw<Wz@&wVy<&zcaE{id57HbjYOgq>tM>=jwC;nDThql*L< zi8tzni!`Nn9a1-QeHc7@(T9`1K`x);mT0hWi?${;E;2Y1F2WwH;%f4TfBz)Wrte)& zAN>L)?rC*3n@>{ObtKzWv0|x)h`wm+!`4L>JCrpS725=hG%fFP`sflUA*bEd>^@0p z*GXqr#fk+QBHu+@AI@83u|r#P(P5i#k$p3!y>cp<^|0%Zflr_e&s33%rEMBq2NPTu zN-WXfVHQ1lkik<>zwd{})5tyd*3Eyk@WpBko?4Nkj`^N~`h~BTYOvUfwk~R4WKa?= z!hZMB+@O*v>oz$Q?6eSm%Gx#czXoTGvKBX=*uUgACKs!3ifMAq?-XrK>Re=?6Dq=f zTE+EA`OZZGYXU^<L^Qb$XSo&{#0R+?zqdxCrY}^aYi-w|4ZeXgInzZhZY<Z}I;i5h zP-2zF9!>48=7*D%tiEx$DuT+$(<?P<&ac$qJLc8(;>Kf<)`UHaK3IAOO0;Qk$vddJ zmb|&y<zx^ZsPRmb>#&q-;Ra8T6)QAYUW>M_&lYLrUvN}^kBA8SdllD`H`}|MKDY(` zxUfcphhOyQ!D3HA+21o4eOMR?7OTk!6Jh7?y8pOSr0#+HCWi~^_i|m6<#f1f-1WKn z*p6{`clOyj1akb+=5n=nO?<IhgU{}WenV&9-RMspeSf_^2J8tF*{2g4WO+kDbCJq3 z4X%Sat_x?Z)Zj4|IeM_fQ&6^g)*=C)P?4^aU55+;17&(9id@`St;r?-UbMCUd)J}k z)vga8?_JW-cQ-VU!_I4|mescnKWvV<9Dlb|gRctYe_N5(1-lmolmv^kt?PR9VDS{^ ziyQ4VxZ;a7!T7MU>%(k4kgv8b`Vh8UV^6X+m%NckYk7`mr`5JaANtmUh2G!jdh{T7 zPjHu;xuTt8x0!jIn8vY_Oot;PAMonMz36*!W3gy!!jwe;Js~1(f?bE6Yk;*2@PvwV zDRvz)hzOM7nIv*?BfA#YK?c`_FV<`D{1rLs81E^VddEGGW8HD>V}eWn^e!@(6DY#I z927^vT}}l-ff{}qT!#x?3qNdK($QDz6Ufo0#dXxrHPK>?1|Oei*NYqMqOA`a76rTs z7ip{QdX%t!Nk`va_dt#^ZLXtwu89_lH2CC>S$AqYW8dUZ5HF_j?j+OU*vJRJSL{&m z`ms$%;Lar0uGyOo6gZ2?)JTiFJdB&n+O&F;L!qmfgx=|<X757BDX(246?Q0ai#$(l zd^ktP!a`d7NW@ROeTxK~pKklHLCNjZ+f4@yqQzu<y10{O%+cXy2~KHDTBT#)Gnv)S z@c*h0J(}Nk?a?w^;hX5@U~rR1Lv1GG{4Hk>9ApXm@y}hxrQG~jG{?aR?u8P`iZvJW z6#3jFJ0+^zqc{%MaWA})uE<lZd*q;=vY_)9KW@brX^MQiq&g+0*#{Xb{zy<1d9T}| z|GC$tM=oEt<xy{|!Jcgb?E1YfJQ~rx;ZnJ4yY6cRaU8DomSX?j<I-cuuha5iVQYZR zCV_o!8wJ??dt7)t{B>I%%xn#?*)Fi}-zI^$Yi>$z>%+yIKHPHBco)TSIGX$6+EX4! z0>0mKs(8IspsQc((Dk#&#DwlX)~tK)qh!N;_iV$0cFBM*Cd~Wf4Vc;Y`rhn%$N6=m zK-1@C-97IFWj6}6Ef#z9V8+Cb*Z*%har8xV#CJz>9QNccEI8$Hq@d!K6UVs-j`;K_ zj>Fr<4n5CP7Ic1L$*pLSqbMS-)ADdp>j%}&%zYxR1vhy(*3Do%8fu)dBaMx3-Ry=P zzogR~3NG_#<jrI}oNN5xw41QN=2Ozf3KGe$e3G_FX0!1;K6Buphp<5K*#u(+i4-;w z=Ccin4U!fw@|lwUy-a6gIeexeX`ZCP8B=EVVjl+|+s$Vi5~fK8oH1c;lT3S%u!plF zGJTh1fR8bA+sd>D30<5n-WkRZx+9GX3@&Qc+}8YO-57o}f0xVsC#MqKKSoxG2<Pb6 zv8u{H-{2?4aqSe-QK`s;2wiTzu#-*QQ#U<GY}NS@p~uZwb<(Nh`K74=Ig@!z+S5aX zIOd&VIw~Cbpj$4o;Dd))jLj5Qe*Tn$$7>@w3jD>K?o2H@x54L><>`lgQ<?<tOk=f6 zILUNaGP0n+Su7@IIxGM6l!M1ZBRL9O#hhZM6}?O7o>Hvd?j6MSar?HEMt|c?2MXfE zWadm}6;Dq+czFA!2a9)K>59#nmc&1M$&KS~ntU4^yPVggx3WKt4+$?fNvilb-Bl^) z=&tzxo=4@cT)VXDR+Zg7^XW|cPUx_UtUtwg__$NR?U2cbLqCT9`pkH6Ymr0YUKxX! zQ|x{v^A<jB=wI=)A<4xuK_Zf4(dRY)_lOAOXt0ZTpJF_GNh3q$Vr7*Jdk0ff+|!0d z<=pzMH5V=(xv>1eB4b}&86~UB9Yqd?n`8`ne$5Gwv3rpr9Q<`^$F^tZujyJPi3F7B zv-8+44t95RkLIi8*0K8f;E>{?V17+@k;A7L4^Me<CGdh^?e!<Op4W$b^nax_yC~w~ ztp6?>Hht(!3VkRnf0k>$%o@#_qK(@=oIL#Lkh=Wq=>J<D@&8=jcgoFf-M&06cb5Dl z!K1e$j(pG)v#gNsj;ZNzm9smhB(FdF2W#hwRXe?dOLO^lN2@d@^y>t?nabK$yXir~ zew`f_3fyvhr<(c?>(<QD<o;Q8_s34PpgC7u*{e%09-evr|BgrBTW`!bylC2^^roXr z&+p-!ZueO%=GU8q$d{YDpDsOL)1_JaZR4h=>Z0~5&ezwjS-ecMir?Km+c~(_-C6kA z-yh3G?M<BQ%og15|G(_fdREcz6<?0ruKTmOOFnXghuwlHbKZLt$;L)Kd1CE-vQ%dh zzn1Fr?#yL#Yr{2n_PKsiT>7NO(r4@D_qwXrH+tCZoH9p0Blhi^YA=x5^SY|fy@TAX zf4>VVlI?y{>~-?zqD$A$?OQx0=D5<&H9<xDbiQoaoUaeox_OGtiwDvLU*AN7?K!WZ z%5S~H)%N!^u-?n{F&P_N{#a_NeteeHe070`)!K@*jn}V9ziE0jlQ%+Tew5ca^HaZe zicg#LIznZBkk>i$%BtqrNUwFt6Kf(gE#)1)u<c#}ws%QT(Y}k9q~AOO8!YU7@@JT5 z{swQbi~TiJpU;-vdr%MJopn>@<cEJ@+r0*?@kUV5KH-bfZ$5!FCVQRyspg!&K^v^G zU(YOJU+eXAw)<}+m}*Sw)0!7qv)Unl!|JYdvxJE?DVmlq6RMhHW5MpJFnqknHM8@& zi19kdi6t(ED=#nHzIE%-B~l`u$HgaqlHFEztrW~l7oS`uTO}PG@3YEr;+A^DmG>8J zU(XAcY8RW#CR=s;Rs=|@B*8HA#=`BZeZf-f|7Wa!!6I7!`9;<1_>e!IiZ52I&B;D~ zZO13;c|y`hPjCFhb&z+XlQv7uYN2k9bJHeI`g8Ev@u@EoxKFV!-Vt`pWPU<oOs9~J zrh3ATm}5Hg6EZ<OJ@tZur9E?Nnr;@VdKoOAbKvMzqq5JO&yG&jIJl^YiC_G5V}J2e zg#$l}1RgnQ$Je?$CWpM6f842Q_59C!gEh}QTo!R*>f;)>uuj(`pPo;fcB#&r^q_Cb zrT|{<B~w~wMQDFxcAfYr$i|&V{PDtO?vEFa{;YWM@Y?hHtAA_`idgYW%(L5Xr)`~= zy!oS(e`+@6eoU#eyLqi*6T|mMC!1<Eo&A{NXm@j2#U_FB$0rZfY~uZxl4y7H*R-y# z`>WRr&z{wJw4Y`2k?IFW!uQo*zYx{ESl52tkGk4wZ{4>m-i6$}5_0=SU~KsPsM}$u zr%O(?%Ko!v>s#)R-~X=dNl1HoUb{|b^Q?1ceM@;u&;DdSze=QeQ(8twe%@2|gKxYH zHKYqS@9^IG>9UI7hF8Ile|FonpFGLdwDBZcSLR8!Hp7!_?A*foO-YqX=@HXT$m)1K z(GycI;x`uF*)Q2?bKr}MT+*rKQOv6{b62ienRzy`@7@lso`iK%1J7(WYWo@XETM7g z&WMauxn+?v`;Uf+C3rrK5C60Dn9Jq(EEQ8;OP$REO;4xVulk`ad@`|tSCD6mVwa=Y z5rZ3TGCUg<#hrC4mq#Qj@@VTGIjF^5xbc>g&6<q@^4Yp72Q;`jFW&c=Rb278bEd$a ze@7y0&hDA^?xw)O27ld-N9%M&56emy6`XF1vDx}$?xU%#279&$u<Pp_Ia<v9@xvLX z8k;Q>82EKmj{Nnni}+~Ud&Mkq#>QV!+4~Ny@b~qs3-5k7wLbi#xzUQhtG2D(wQ1$D zO?x+O+q!k9sIvXlw5?6*zbt=o)P`w4__M@f(<gCJd&5uPuC@L8bt~-4YLV56Cj;-x zsphTDJ(98af1#A`wd9<R^CiCwLLDr1HW@XoU)%F&$5g{P8;sc1*Pc15d0RE0XR~74 zN3$mhO}sm2WH`&I-JH;W(o7_wi??;gs_k5TN4V>bM(MRT{xXqz%o6=-lEu+JmvbAI z`{nF6nU_Clx$n$u{q%Q_)jj7VY<~Gf)o-KiMB_JRsq6Mm*l9dfDkmo|Z{?~r|8&~( z-%NNAZN0=|b@`@gugn4?AFVfw^;^Jl{Yz=?%x4<{<rPlNc#taethqoatzvGA>>kfU zdiUzG_Um=6<qSW&LATNB#yp4EHy#V*%G3hncME4U1apRqBu(FHG3zK_a)6MU#G3L` z66Y3{E2+PjdP1{Gt*A||^N!<nmv@VzFFg(9@jS%y-rn;7pPfpg`1?s4+7msWe={`U zWPdTc#rez6kk>zS=kCkOUZ3;+Qro*<L93T;G*l0JTD<D(wj+VrxpNm3ulD8abokuE z>8bdzCfUia$Rax1kwrb*_xKrkqxNLZDGwSO%hriJRc711wC#?VrsI7r>!mN|J4mvr z1h6x&{t|Wi@(SZ`#vxgp!e7jjHs*D4rEK{4fYrx9g)^AP_s||?srB9uZY|T|*&Y;l zYq7A#!6htS9}gLP5!F2WmgUt0Kc>=;3XN42EA%<ILUamOe>h^m_^dKy^5M0iqF0}E zsQ47#HYl26aCouGn+JtLWgk^It9PtiU&6Ix>)N^bn%BZJmA$ukW&T^VmCc&x!|4TG zPgYf&nj2al{V`ZH>{`gS4%fXq?$15E&V>8mJFVRMMG-|aX1-FKG4<8L8FODH&X_zc zwd-%DSX*<Z82j-{I(qy3Hdk28OZ&Ld;H*$W)hyK`JbR<J*F|1ks<(g1+~}oSH+4Kq zUm<hs<>#s%;U51dBEoj2DH~p`{&uTv+o@x1zn0yS^11Rp`kC(9trJ-%+g;tZa&3Ir z^(~*gf7sNEe)c&#*V@X|{93|3Rx`8k`nHw77z7+Ic2|T)CTQ98eO^8{CiO(+#7{Sw zx_j9Etz5Np<+8Qkiq9B{_Wuacn|E{BBf<GyM~$yX*v!?6mcF%WwsrZsGl_9s%?XZO z%}I4#&E*cYKch=Ncovp0sYXn8&<s-#XcJA->Y8!=K~gfW+ZM%kRn931nM_L~ra4GX z3zt0Yec{+G{Ui66#!q~t5Ha)71J&g64?CO671wNhzG>$A{clpQ`)p8Y4BGSeh@WIz zT~f}rz{B}#{wxy9_EqMOe-vWAJ<R;0W=4zM|DzTMJ(Tm`-MMh(Lfm2N=Nif1T!KYQ zwy2i6RBn!3y8e*Ty|9~VllnKR{EvN_zO||U>if!Vb(-&Pe-ymh_9Nj}XPNn`hN2mX zsVv58dy=M2H9WJ;h`o5tnWHh<pAF8RsyVZL69e}eog+@s6F>euI<4o~h7+ea#G)mS zhG-{O{Fat3zHoO|-~0NtXPm>eKYzSfwU0r5jn0wZ_anM`5*NKK(P^K)&Dp3ae4De? zjFi<1;*)bv92SxOWO$~H$!GIIfy=&ogBIxj@QIv!`1O62!Y1=$+G{c&JU(c0IpgSe z`Pf&{yG*uSO<I*XW9`b-e?Ms(a{3xxYTGvL*0pOg_x>L9<E)x>Y$Mas7gHT1t6oR* z_(|_y^=sAAO>24GSNmMQ{X_KpN5RWKjt3^jmfUE%{fT?A^Rm=T&g&-TR~PkfTqm_( zs5@-qWZML-O}CESdc|h<ec^lcHer#>e{Y3<`&4#Bb9|dME&c1(scS>e#&0t<+mbLh z^W}||)6NU-x%I0ysg>pL+X+bxnR#apuTV`|u=1W%v{m`4?yxPleSh{9EUel#b5*;v z)aRGmqb`|?UCuXryM4#Poog4a+`Dq`)``_-v$Z;^Kj|h+Uirn^T{x#M@pemZ=>7FS zxUXJn;azsd)O7o2<M1%kPhGMpT6;G&CKPOHO!C;&xUfC5C-YUt$~6bgm+@V{6Ek)H zo5W>_i)QBElK#A>dTL@#ZeDlR(%CC7EfQM4ZuR+v*+ObRR@`UTOzz_Mobhn4sQcWn z3s#!C&$#~BI{Vg(P4}V<<tG(aOm5+fQ%~#)_nh%?>A$TDnDk5@MTa>rRJXY<e@BEz z*R*1py}ho(zQ4J-&u4FUUN$YPV2fG!)km36?brT@Y*N(vcX<Av&0YI_4MfkEJrTZr z@W_g&9gB|tdTW-bb;13Zch|hWtD#|A%|afp$jmuq9kuFq!5Ncv&kJYY_<HC1`{ze3 z4(@gFE4)7A@1w^D&G&B$Zt5*9^V(?IX!K@{aZi};j0~ygQA-N*nlcxNoab01m{>FQ z+n@Qt`ywRM*;tZ&CkJ^i|8us%aM{Jp42!pY*}C!<7u&p>fzO&hch21Yed4l<x=lZ` zB@>^uzE5~saOaAd*PI6~O3N)~o{;oYEn;8pn_TX_-08A>%B(L@R-LU5FS*XuOnRnh zG2zw1A7)n%7nogr{9w+<F01fouNTjM`OaaY+uDbF3~P&%Q?s3ahrdYnxgT})nE8XB z#$U|L#NRzQ?ayN_H|KDM!i&^5VwI=D_i|2I)c;SS`*KFzzJyu3qP1`TJe1g}In|-Y zI>T9{`-^)>rHJSQ*UP3{QniffiCY(Lp6dO7lIHq7b(N=BueR06^nN~Dn!9!W^Macu zo2T%yg!>eiecbjg)LLanTD!<~-^cE^!+mQyFW<P(a&y@wA&XrPq?fH-zQE?GPV}i2 zD#t@+e>$QmzhL9ZEAMab%hEn)X}oH(#le!x2X}Xv#e5cB7ApPe&Qjf9w?2dvI~~+m zHse-EUG4sm^%Wlz++~V3&GS;&s5&df?a|45F49Uf5}ZXI=XNB{;kA^=cGkQ0@~L9L zKhL{Wdlk6(cNax0Gw)$ij>t<D(O%P&xOA#zL~5#D*z2{O<q`KJxcuLSzn;5m?Lxa% z5rK`XzdU+)dP~;Fgo<8X!8L2H?Nhj+7pFb>a1pm+M50a1m%a0?f1R`I=XiHZ;Ha&1 z(vEy*zIC@Jbhn#5c{q{xXGM-P<GdRI9m_+X6)n1vpy}AQRqRp1%+?(<G8N_WB8nb7 zUe?O8BUMo`Pr5Tg(r&Xr({nMW!h22<eo-CG!M|i=1UJU5I+R&kcwt`skvaQ!9})M~ z<ZH?glBroZ<<W!FDz%(J1s_cBS_XewrB`aoTUvUt+q6LGsAGZRQOCl-`0s9gk8*aq z$p<FQNmyHFzS_2GpK9Kdr|;8ZFMXHX)cT-kR_i0LS*;IOz0B$svpey$K=-KQ2Zf`K zhP$k89yIWZ=X)Z1@$!+0oKq59n3hV+bC7I%xwv|F#irov7p`2m#`*fo*1(pd!RkC$ z(|2%e3*DC3VQ1>*V`F$I;jo9?-5KW+C-4gLn9S94s!?8ZKSRr)X?vL4$8)D7?nTdR z<_~-JsC8=Pi>$qD@^{zhIFxI1UpyR^BEp<=GK0@{ZO?+~Qv<(jGitNl=DnQn+ADi^ zEd%l6Yt9_b)Bap|=M>YN4VwhSzve4FlXR}X{nXG->n7jH9|bAaOPcGpaTQ&>-eyx{ za^|n#r;nG~nD%U1D6qbFYH;-JolAw+z3kt|Dy)Ak_pi|Pulc<NQL}>cR1=%3J!d5O z3#C<L=@j=xXMH#_W6PI4&o^%LTJ+qu|I7Q8ZW_B<e_trNaj17PyI9PQ!=>^6`@DJF ze;@j~jMM0w!HacId18MgJt_Cyk-TcnrOk`Df0>8h>h`aU%GUlVmfxHipl|nVXQtN7 zN6GV~%TA<6|GiiEdpfW0T&Yz*VhTh5NBRGrK37|M)~elGwsl7PhEL*Usr_1gy^z^; zehRbm`;_M9xRY$+wv&0~s%D&8P@I~*_r|S~?aobkWgZu|2JM!<{V!G6?A7mA-wqYe zPG1(LaQ8;sqWd>Btd8`)J-f_IYWK#!j{ntSdW84*KdE_UYWnC>@7wFYdf(nyA7}P* z`?}=)l{cq3NS3`c-*$U{&Ox!i$HJ<AuKUXUH$408#n{)+(;Pm041SxpjNv2urW;AG ze2-e+e(m#Du`a!O^~!&z%D0)%=AXBJ^WL)|mK&{a-#)7@?i{kE@i^b*6?ZOaMv1*M zk+=D^Xwr!vX32r#`QG`a&vq|dy41LRcF#|t4HK%GO-=W|`npU_-0_~$$E_QWDcy>@ zed*c<{U6(&)U0ZM5VNZNQO>INhqpueoAZ0FUbt}W%=&LVAG5l@pYor5jQwTY&$47w z(f3p5n_P1-&X;Zd_$Omi;{%UPjgJa8H9id3bnu|#<&YRN6O-CT=3_+}YqM5o=dE2Q zy8Y{S>C+o4qU+<CRBz07&^-70{6Chpx}u3n&sArfsAN<timU6KBR>CMfyuGOmoC?r z-HfX+*m%F?6TjNGn*#a<(vM!d{PoO`b6s27zH;j{IiEF`=g$_edm^EFFVpAFQqMQ% zif>GH;4D)QnD>(9)Q0OOE!(fw-CJt*i@7=4XQy6L(^=0MNx?#CH)gcR=6D>^yQZGV zzuTu&)OM}leWv}HtDbDTZ)$S&aofioOONYb?>(+-mv?jd{io-G=Y3kGn|j4AZ}zg@ zM~|odT{bn~P2p+PVDm29yqy_QH$~;Q$6TnNsGIO*$I}^>iT?^u@AUe(yzP_0|EPPV zbN5AmTwd7PudsDfr+To6-FXeJ`Jp05k4n0JEQkuMnG-Zc;J2#lAJ-pWgT#)`k2$iy z>ZVI!wCAx6L4h)7f<(kWPkCH1W3`5znzmPi_^CptFRQe}J&K>7JL@YO`t|4E6w!58 zr$?tx6rJbWoqN0amwZS~_(5IM(29uoylU6IQ@3YqOgs57kV&;-j)Uerm4JPvehc{K zU7bFAt=G}3HrMsSehE7&Pck_8LB+>Vhtqh^I`0E~ZE8!)3{^O*CFZo$@~qPD_hXIu zv)VE}x8p&!^dgHqXCC#O69+w|iwaM*Rczm)D4+i7bo5lAN4;|QXEglSdSZK;L&2JT ze|S{+igx5Hvi!B4$$6B4J5eG-k&i91qx<08o|(^-=l{%-I5)eonLF*lqeYSxJ5t!} z^kzFYXr~E0S|w=m+~6n=hnwW-xIaH<yO*XNY8U5TSdpN}!>@b9k>8sy=8JjytVeZo z1r7EXF|+HRJ#duU_``=gJT*4P%?$o$6^=}{D(zU``R~D5f#B7`pR40@{Z@4TyL0w} z->VnV_x1jriTN1*%-nkF{@WQ3WOLuft}@X1sKByah~McG`^)Pq_ngw0^{V@(L}EM3 z?N?V<ZrV5H|HQdDJ?0_K+m6n^ti8-O^F&$C^Ubza9~D@Z3-Jf-_+~iw%EL{?wbff{ z4t})aR<cNR7E#abcsPaE(jwPckMH(`c4M<Ak5}=s{<zlWbf@F*#u+w3_00>{bscuw zmwAj`cjbeN@4fC{pSt~#(W;fbc@n)>rGhRVs6KC@eCGb9!_jN?xo!kCuR9jfy8q9I zb2Ap&^yocHZc>c8wttPkiNGE&vw%I<e!1UMc(;Gg#jyA}6N@6xUsWqQxOkIXhE;wG zSL)ww_W}$iHctO)t*kTUt4;0<u?f?^Rwu7=iu5;2(+Im(EX4D3HfR8(|0G+N__9rE zKR3O*<{8Vr-KGBJ;<ZaRZ56xgdsZV}&QhlPZ><XJC)4?yV(c5E%RCAkZ>MnF5c})9 zLGIRx$P^Y^-^#O_Wx{5?(%|O|yP<g0$T}q=shw}yt%cpT%d9#TT=idv8hzPj#9q7R zjBC91XM;PZYI3$bGd{qk&Asv7u?)V~YkCsaP7PeM(Wvce*t3MGc5zSsH+l29=XN~k z;0=`7rr73e_T<3=-klZ+&T`*wPw20`CAY0%k#ELz7OxAww_hC1;JZA@;NTY(A46qM z<1;>o_|jAp#WxGD>t0mNlv*KN{by+qyRGo0T`#6O*naU_VE0Sq0>fXSjE<FRQ9rs0 z_^O394yx61oC+=!PTtPj^?c**Hxm+;%LcvK;N14M>_x)Pw^56}+1NJ<>3A#<;TG07 zyolvh!bY>bb#cKDe(D?NZ<YR3KQFy|+gIW5lUzh|u6ZVQ*(}Oc>Hhrq;;v7d*IPWC z`8MWH?x_>MO!yBntkp`gpBJ^%ciG1KervZlcZrsr*sx}DREX-0ou{Y&*~31GmxX;Y zuSokOUY_=?Jjdp()!*veq*~^&@dlsdwCtH$2mh4HdzyWS@;3{R@isH4x$F6-_uC%F z8dJ|Z331Wk`<}~W@?<A%U#NWY=;A2XPaoo@*3^V7W$3<gxIH3F{i)Kfv$oOOI(Ofa z4mo)+BDBcRajK0^psIXusOEu^P%gvGI-T2Vy4%^ZPpgJas9@HOPmNn_vCeDHn$VL6 z1w)HA?uxlmdGdJTRnZ3%v|249wC_0wOgi#<?vF*g!cOIWDS9tF>)3~Y%Qkneo5+7R z(LE6B%U$Sx(kEnTxX9uamp{*X_MmOq&K>JA<<@0N-9Mon^<`bSukwy1nLOgAXOFV_ ze%|1Fxn@n6$;ZBpp<apxfgHxBi<?DfJxkoV>}SNXOvY(hQ5~vXYH`1Jd0gfY(~>N4 zVH3}G>tdbtEMecWoimna%00`9YOtIomb7h>WOzzidy+_g%iZOfJk_SX@0XdJJ)GzJ zd87Abr#B%cAAj9=JIif@+sQ5JMtePtgL1B#ut%GmJ)Gt$oZoSG#hfGJ>NCUSjJcJL zvgvMY{yOVf;@oAd5vwy5^R#AF7`~Btx`$E!W#p`9$!*J8B^GBqVtq8(<m^!w-_M3| zmz{bhx2JzBdi%4>SLCYK)ngmtrpl}dR+Y~V(L9h9%C*tUF7=DvN72%tshqm)tg|L2 z?ObN)Gky7t7p0H9P3Lz@&N_cs=&X3c#$~M$D>5D>eopXR{9<7y->&Rg?Rm4r7OY;> zSy;YoQu@cVx0$n!eQ?aHy7>A?dbXYTdz1O?+h;v{ymc9C#nMd0IIUR~g3pD0i$A(u zuIUL{7tV8D*;MxkhpVvj$2opQ&7EzJ?#-H*)UnL)%M}xLX4A7r8GJuqh`(I(<?<GW zT2oyY`K43coxeTJx~b9jW}oS*V+B#4Eq{bvj+t}Wg#Wqe+2gFf+y#M`ooZrr)2*a7 zZP#)$Oo_j0(k4CY*@I=vcJ5f3DfcdOR{!r=Vh<)QYrU~7<5BOUXp>%l(NjlVgO3WH z^)U2)>HTQ$BVm)X2UUEF3mvTI^*hfJd$3{BOox<b8<RwePbDm^?D*c(f6YWZ+4St; z3SVx6oo;r88h&?T4ZFf8+Xovd-}Cwxbos|Qx63s(7fkFPUG>=998vQvM77D>%WLB9 z#8tgXi}nZ$EPix5%eBbB)%V15|Ikkcep73{Oh4V+nVG%EhKp0ToxP}R=8SE>GCC!X zWz1?mS@bzE>DH^YKW)BTGLg46(LLa<tFL%Zb5;b~o@*ve>a*NFdR(qB4>+g2Y){~< zWA4GepACa9Gs%RWQ=D}`WNC~`?fVNgJi(^(n|)`UKdR^ZxxoE$&7Eso7_OV@9x>hd zdQ-;hOQG{V&!|ZKWbTz@Xg=@C7KXc9w<zw?oHgU#J#AKvW!uYVJ$tZrS!KoI%$j@Q zCezLPp3ido;3H?$GVO5gS&zc-%M$CdW;JKeI%d9c*0YCg%UE|TO;MY*Xr7jvq3hkt zCgS`iXOAoUa({@p>|_(@C*vzGJT*%w_-Ki5v4QX97@w;q@{>(<52(044rYC(-5h9A z`{hcH$Yayq#5v0>C01wZ9m|;2esPvq!jxsL5-Tzk^P~5g^e))G>?32yWr<%|vzqH> zJzKPYS*3)+eF35E@@%Vx4hpC))wp=}(-j4)uK}j2hYdoD3}U9n^aQB#$FFYZ-w-<0 zDQBYe;>nMH-d~bgQ>$zqu<+>yC*x0RH!S<;JAc{7*!jzLMy$@1yOuGl|LQEUgsIC~ zBUWZ4HASt<WcfU$yW4Div5E3grpmB_BWtz>T$a(fWU?>wO5gLuWy^lfSemJrradd- z{+X*LO)F2ex@gZiJ!@jpzGa3w7fjf>P0t=x^8LIa;&P47#Vriprn*OrR4)fUw^%IU z`hLfXOdfHQvj;1EAJ3Nb{ag@s*{No6#v{IanZC*vOH-_FnZ3KxBcg8F`><u1<&4!P zk{fev*8Qx>xxPifTEzFv*4-w(3EP(izPV`9ws+REg!#*M-dL6?$Cojyzj>C}g9(c| zrEA?ydmqdQx^Qtyji+&t%ye=4z8j`;7i;+VvS+pboh9}t+EsY=_LsAcrN{ezHt>*p zCtK*hzTL*9yUo;bW2g6SrT&YHcKQScTg_PLWhb|alljhc)r&gsRtU-eeKJj4_kiDt z-?A42@>Xd|#;p*#e`MvP?(kJdwim2AVlJ^tBxy;_#6_)hCVf_wIw869-r{c;{q1I~ z__jIAOWZp2<l(Z=Pa7krGQ9~~Do~y3c}Gn`Bi-qas>DJsk;9>#i4(LecdVAXceFV4 z(*<AO7mugD;`-n;)yZb+(uU{%7HSFlOp31BqO)hwEseGlI|aHAEnM~F!HiiK4m*Z^ z+895T=}qubf$Aq}0(VO*^vvZpo{`qN?<BT*PvWX4NjtPYmaf$LS+PdW?m%!T*T*$~ z`qs8BEBm;9+tziPc5PeyYPA@@y#40jd+s?~qH2^M)-tJ9e2;wTZOtXhU-!|BQ+Urt zS?j9WAFo+nJ?MOUVUb6X#ia=ioPK6g_GM=su&kMU;%CH!6PkYNMQy>IcMcwOdH1M5 z>3f03#Lv&>onV&nc%t}CRaF1z(<M))oZsI$=ls#mIgehuoGY-IXl*g^L~M-j6S;M& zMe^5$D;uOcZH}B>@+fu3lqqwb7HCftzT@{qq+H1I@LiX858{-{3+*RX@0fI=*2d!r zqnz3&f&NKd*AE_D^2Cp?U)b{CWEa1WCKHWqyr1yRQ!f(N7uwlAy>pKLQ_rK1A2|tq zE3o7IZ85!td5-U)nzeTVesOm9S!ZQQYwtgm7`dx6A@lJ4@IN+3k9>b78N;&KXop5x zT7lu26Ay|EY@1IX-NK{CA8fp%#^>Y%E(6PE?ITORa4m|MRHtD#+2_myr5O_rx*FOw zcPEyyO>ZugjL{2ADX5H?dGKj+C|h{LRmm8|YfHif4nO+h{BdVSE}QtlT|9bSUnOIB zq>XmS<eYg>v{AG9^x;oDdi(aDe!#UswORYfwJ%&n8#Mj~)R^6#cF=W$ZF6^$JDd37 zb3A(NyN!0lygB#a(+2hC)5q_9aVp(taQ8`~<F1p(|C9tbRK8p$P%Q0Lf8}^WR$rai zq%_4JH&%XmTiC7IF1}K(>G?{zuH7r;+VXfa<=DRm_dk9Vx9a%exgq`iw^z#T`{eWW z!`1ZVQ&uhbF`-Pt?z64m*AG)OR<*Bp3%2Fq53=QBTN)}p|NXILRW?1IUw=r<eZ^^@ zbyYYiC2D;_#r(3DHGR?(%e3qc^ZI`c;PL)yz~lSXLSoXZLPLwIj~_<9+WK2!M%5e! z<{(?eU&}*Vo69qAKHMC+e@pPkoon(}wJ-8t)xNNN)p5u5A^qL+R?6|)2HD!}S}wY< zdwXVbf4h06nEd+7I*f5kBc1l>{I_JfdFe*X{QzB?TuYw6I#*o`o*1A0B4_;cf^~EE z`KJB8<$+&L73j3hlZ@f3N-5a+V&1{03!~Yl_w!4xGd%E{XPv<Ny4g{VBF&dKA5Gt~ z{iXVo;#;$M^t!G~#_+^mjut<>pk#7$<4ZMh=1abEzn0Ig`QmNP`eJq-*Tv_X>sS16 z-L!JCR{QkG92R{$?Y+zO4o*9K;FykG6XVPpk-x@2C4QxTvaFc%(kkZ5*%BN6y~aOl zzMOi(eZhFLzDxfxo~v#jZB9S^BzMd9bY`Xe+i53{r{DCkYYUHzl0Upz$Bf;7^NpC2 zvq5EYZD|{SN=!N`mQWxT-Qg&i(_3@>`)wYxxY+%^>)YJ!W&ck9^f7MsN%xN%6}$Tr z6P7*xsOzC7AOAb;Q>DbDlgFQ5_PKsA{fdvB-Ey;(6=q%UA~&f&yQ;HX)HqvBetxv^ z&mUo`-mjBiOg#E*LAu!N?zqUD8i#X9pD(I+_nzMpnWOmY@3t)(d27~o*BIu1S@F+x z*3sjqUA(_s_!l~>^})}4Z)vW{s#P`rb*?&pF!>mAvH8#OOfhzUq4O%=cr535e-)Uc zyWTB#p3FW!b3qwz^T0Vi=7uu9=9U(d@`?&A?ml@Ku<PXUgC)TYt6we?*dCnS`snr* z=8u7|{C0Id$lKNVC~jBh!@6B34>p%f?rwjn#@~O**Di1AY^FOt=B+y>MrrfhUn1A> zw7~YROM&iPmqNw6M+#K$K6y~I>*Ud;C6n8`U#iJJzwFCcwq&-`8=tkJ?CC-LPo8YB zz3Z|;_pZxE>$^ubsNa2(kiYBX(S0S8+yA~)liwY5`qI&tA^q*aE9K<bgKQc7mQ*>( z=<DCmk=qz@BGFS#{@|ng|2}u^_x}FWKzsJYgfuhdqdhrpZ7*;3@GVO<-Zf*^*%ygk zW{dkRZ}u>5OEYe}F=-{6rdX<#!hBitbYr2MQ)x?X%s6{ugW~Lq2Y=<biND??X{&QK z?aM~9*@g#Z<s1`OZG2VX@F5@eC&n*V<+wFXz1bt8y-8AXTDq}S#I&<7l6=e-w@<v; zW9OD`%qB7QtVB}8GO3ac3YQswuCZ2{Ju#ueO!?@I9JjW@n>~ET(v5e`m~i$*Vv^b7 ze$SbwZXf;QW5@s5=x5EDvro7UEGO$9d2@f-zhxOYzvD#z3Vb}d-n)KUa2k(%&WVH3 z(nS~Fnu|So*rz+S|GAmSgPFXo6~D3{w+em?KI0zd_Tj=QjlAjqbJib{h>N&vxg*2( zdD4~qoSuI5FtMbL*3S6kZ(EI;?(Nt7%WFUNW9RiE!AIApKi3ggtZS{jcIb${*7?Xj z&AQ0SSJ$7NaoN9NPTo$gkKU(?!;WpQ3Oi;l6ZY)UhN+bn38{ATA|e}>hlwRkZ0(e{ ze!tbI>FqSBp1OxSPtTf=G;`|5(*CKIH*!+-wnfZrUmNx;dG^$gZ<kK}7`wE!Q~sat zW}|)Awu?l4d41sWwHA@jYkTzD*PJ;Rs$E=o_ms_@Ek^SFYjqAVYjYPKIdsHdeE(LX zrp;k)h4)TL=tW4%bFV#fG)en&!5yQX4tcwI8#XaCuRYFFcxjT~AGJ?grV7s4$P@iD z{$iAHP)%|w&;6*G&6~rXCGAgrBf5W+O}?-AgKTZ?!i$HFtk>0#*0cNdSZezkPR(@@ zl7~aJiwn-4s_@@r#BaVv=YTJ_@cxT?a#LA$ukA@{o@$u0#fW|Onlncky`Of)7v^nT z_PDxSn|tHgLr3oazLA&8!hSm6Bp{OS_Zm*kvIxn;e%i$wPM?aA*(B2QBk^Tbwv~MK z8l3}i+``w(&+NG*Qokx`Kd+@lg0mjqtqJYUW=|e(;AOQ)a#rk{rQg%F^#iN6@{2?{ z>&5%O+_-#7V%-dF^<Ss^_pW&v6SHX<_r>Fr{MKatj-1*2FYH-T!_-QNj8r?e$jF9w zVrtV4Ij`+Wm{HlN6v6jhcdE*?Lx<P0r%EKI^0`IN>^2O0mbhjr>yGTR=^fQ#YSVV} zujzTPv2vfFPJ8*a7Ln_^Ydv+!-$%@Bo_;NPS4C>7+^#4tH=RuTsLQPt`H7Ey_01|j zv*@4S%?aJLW=|fr@cy*ObFNvN;H=m;bG_B7x$e(W78UW`6gccD{U}>j`cuK}wwgQJ z7Ygv7-PvEpy8X<_BZvOa<F%~FbJmNyF`+%(?8)PGysSHtofY+FPK>yE-ypvDi@2BB z%}Itan-#^wb50yimHt$Cp^Zsr>p}tVGdugI-7`LO^2n*`MqbMq>CSr3ZcS*nG<%Y~ zjh9s--7Tro=4zY7vKtecPntbRn#5Z<Bg5HF?M6VuM>CP6X_B2!zsN~HTAeBV$a?9g z-Oo~vNH5~Gw8(eX%bPVZ;!B=My7QXK_p_dNW}Mu8XIf9vUS7jBn-$r!b59(VlK!;e zR$I-QO$!;cb2W~1-Jf!A)5WYE`Ew4>JTJt{a=#|5s&LQ635TbOuphiC!hW<-ggswV zlaKv+u#8^b5(}F<K7~JaOnt;rV0~2Zkzdim;F{Sr9J3DJ6=6TPSA_lOUlI1h%_8m1 z?V5bz{XsHve#<Rt-gp(V+?fA}W264g3zFy5<eQ#uFhA<JLH(%XM(d*oHz*%{m{8qy z_~<#2_V!#&KD*`1Etq7y3R^7Z#Ju1;rz(Hw<U^0H<_8&F&5t6wnjgLvX>We4$tSK3 zGJTmv%^WX11M%mRdFAv}q-$b)%vg3z$>Av6`RBLHWXCi1mOZ}af<2o5_npc*l~}|k zesBtp-aeDl50WHi9(<Zm#WuZLQZhy^Ev?|^jHw4j6Ux}aJ1k#{x)@r4+D5ZxA9OX) zZ|+X2V-r8z#G}W~ZM-8!=hTBw20G2BkAL7<$DnMq<Ht9Ry-gBsOXa)H$9Nm>;Fy+L z5U6whK~luTgHIDu*`{}gO2*WDoOAGLvM*bB!%<LcZCUt)=FFGvk2c@g#iQ5sRWe3I z+GvM_Sz3YRjVTA8CKa<yZ`YNKvD>yxd{uYhOEvz-mwjvc=j3r27~U00x|D9e=0}|R zN2bH8uAMq3t2=jp$G`kz9mnQ}{5imoCADvXL|!{zo9RE_qw%jdtlhS8Thy6(o-_LI zdd}#V@w{>H#IgOW99mZIT<ksf$Be_z)M8a{T>0|1Yk$C1nPW3jnl82_2jrNn;F0E8 z)%=z1>QSraSBbrjUpHP`z$TF%;M@~><yuytkTS2<L5*fF!+Q&idOB9~K6<<M(ei$0 zUaf-$&8MXM9aANe0%Up2R_L)whVV1<uIgvpb!*mPuV$|erxpaQF<Zgo&AX~ul<n%# zqUKkLosM5O>Z~hTyU=sW`;ulagR={Qd<<6b1oN(H)?~YSG^P1f;v~nfhJoRgKl4mi zu-xX+I$G9z%6tmj)q`Tqr_B4<t{&EFewADvT=-(uyE}TbN}q?>DTG}&GuirN!*Y{# znG+JqlM7e$9DXKKHiPltw_~6YcD6qWmS5iIT;gOaxyE-z@M-q+IyOd*GP8eM9<_62 zybQS+b~`G1`r&0Pr?MA+tQM^gTXgj*@1G#QOsRvZzKcPFT+5~Z?|P(vIx6SS-E2jc z-%|A<AH&rSZNFgVQgEZlrt7cRp@IWWGBR5P#M^a_94_Sk_;G71i$$8EVxN>p-JZH! zMV5S>mPcz_4fbUHIo)O7FZ@yHQ{!CIi3tJEgYIi~TF%ipzfN`PgFTK*cO(Qz>b<gD zAhG6o!+G{yFCO&FyK~fh*NcbIv0n<q#F^(@IV$jc$+7V3QNMRq>@0T=mX^Pj(~;24 z8<?|Aao@736C!4QJfF08nsfg-F_#N>+cbXN6mX8ue4?pPw<z+GN9YyKG%sd<&+~~* zk^(*E%w2_P2Mn%N<aJ59%}v}D_`F(d@w=o|f!_^|uJ}D8=L)lr(JMu@Y15Vz?%lX; z)4IiN-`A^p&PdoOTmDe_{~{Hi4I4fl7rlSI;Q6_$bBikyQ(3Mr>GVsojM?toBwglF zc$!agUDRjik9ST<%!{1aygcmLqt2<76*;MPa*>e@)5F9bb*+>y-(vFV>1|(Wr5(A> zBIP+957+Tp?nrmmdv|+6JG<GF#|y8q9R11_7k>3Z3+p8%<-VgXM)r+CXN-gYE!?Ko zesAvEDGU6eOGe86MIP-}Id#2#X`p4hX|Ly;gi@vD5i?InhGp(q8Y~?@`{Ucntuww& zS1W2t?VJN%FSzjYv13tDt1j=1nmT>QiYu0$Gm^HMa6J*9lk`zG_ExpE%8!J05&5?h z7OpmVzO`fL)>S*bCr9M2pE|3IM{vz%#jdYrN49^z#H8(H^T4#HylPQdoDEZ{_S*DU zx1+nV*YABawPusYhULM9Pea6tZzKh9nn{Or`La!2Z~SF);G1i%ZLzyvBrMn4bz?!d zT-l37{cm?kB+S-pyRquozTz)u?k^CkxxBJsv)Zy}1vgcMV~kFUi2E8HW<4yaxzBV3 zPde|a=3=(1M=P6OJ>25>weai$wjEgkKmS}_dBeWt)NMKTty6Q~UR`wi?PlkuzidBt z-*P(jy6i+a^U_7x8F>QIy=`e5mG9lUsUR$4a#Dod$LMffXx`b6u||GVr)@CYxluIT z)LQUczNvNRoBJ7MT5r-#c1v7~Dva7}oM^xNW@L)}{hbyr9DA*{iSNr&tnm$#IwW$4 z-B<dN^RlNEBIY_R50|xC>_}DATNmBYt}gcI@wQf$ifl#2dy*b?&u*kB)`)Bo=+YHC zWDvc|(ogEu;>|inuUL$|zNe|!w*O3X*l?gir7O~HZsM}Qa)YZYcF)MWV(Vl4%I=xO zSBB!=s*cK_#n}sZ&ps*+Ew1KUpWE?Zs^C`h&wI~!ODk1e{P|w%-?E-X`?u)*dn9^a zX=_Pl&T3KP7vIhu4Q+q)aDxAAYp-l8=5H}?-o(fp7r7VpS+wk?Q1{1mAB|-;spVxK zXN`Wgd&?A~{cBDBy2}+lwbZ%d+O%|+$3_=%NilC-{rFiI%O3BP6xd_P+_gOIfO&in z`y*!K@S|Dk?T3p>r+B*a&hIn0IcdU!4pqgI>T^;&JqoW(n($26Z;MKbMVjY{Pn^p= zrb@qE>&kz^{3~afhrvBQ&0{we4+mJ67+m9v?b&!>MR)Hu=cd3i55r4*l5RH^Ha{$T zkreU#QEw9CXXc-7zREWiWY%!%o!vQ6?Oot{<C84ezD7r-CZE|5mGxobobz|Ij1?j> z*+jI@G$igU^W{0cWSPd6zE!t&J-c?RZ&sUr(GM$5VHy8JBI=V24twe@zS#UgX59?N zgQ>;~cBHWJh%Y^<!gTggcj)e3tNU*xO1t*D%}tD7IoX}pw`Rqu@++3UX-)>$oiv_B zavWyjE;P996muqD>s-E(V7=6}HjZ641zgLelU^h`^Vv;X7RkEvMdqn$lY%pw1e#`w zIT;>yk`M!3rzG|$Y2(W-i-$|EpKjx*x-H-;FP-!v+nMjz%?aKAmgcj$yq)-c<%`UF zb1!iSPv(3#E2XPiZRW$em3yZ@J$6k-PNKA_-EHopiGk@AI$zlK{aTwT{Ch{#Ek(27 z&>i9D+j&Cs|E!st|KXO@tHSO7^0n)-j>_h4{kkLOxcTC)rHqST|4dz#C3@`2=Sx?g zys#*LRe3rf&?WEse^8z9GDhEQK~n10_3y+*?KcK)G(J|iF*Z!*tVFg&{J-0}k6&Hg zBxHS3>&~T9UOB4Xsinc%t1bH0J((94)-wHS#o9F;8ml>@A~`Ls&hhHLUlI^@ed{Kr zjhk+*o*LTYmRjnht$pZp)}n_sKR3+^i4Xi({WEe&efC4;gALd0*ZuhWBAHLwYd)vj zG`rv)t=cq+*k5lFd|qzu-njI<+_9;4za`>-$s{<vEbiz$suRGYbIPRMbLsh-9lEv4 zDn3+xG(OyYDV_bi_xxBJ?b>f2wtTsHeDbB|4E^5ooo#;YnZVlScr<vUjGUNm&x6#L z#;*4#wJ-F4xw-k?((`&%Q|+o>ME-iS*!^X3!@H&D72`xVKS(GDEc+o7^2_JL#u=>b zkDOl`AO3wQo&CMn{MbEuwckE0`*QR6?MEwPcr>T&ZLd4pZ`USf&n6M}M<S`>_56@O zjXyN@-8NdgQ$)Zg|KH^<dmR?bH9f8@+DU>(KSdn59=h?w!AlWOl00=jZLkpg86ncm zq|@W7C^p6TnEGy`N4i;cI!ZIdyEWR5D#fkb*b$zs^GH;>yW;9GrMR6NJHqpIxGt`p zW7sw`^~mj;tCtnITrfSRaqO5<o8iV22VX=yNea>VWMCxrQ$nztiKpLH@z*rtX9;1F zAFr94hzas^x{5R>3%)noc;euJh$l%7I*;D!i2ZyKZ@06%@aciWbBZ1$=UH-W*d@~v zarMvVF8R~(Q`ThVtm_M#m-}d|O!mIX84s#kQkajfN@2b~z2>=ijnGC(DObH)K{715 zntWa7gJpQu)<=Id?{coWxXHVE`n6?;wk@<h>vZAid#$=@IVT_9_x>vI=lM#xuK!9` z4}XYXRweT%e5IWD|I$^*57$q7_3`8UWmQan)K|_E_+J<m8c;K_Ou}x>U-y-AP5%w9 zI)9X3QYG>4Z%BW0Ur2xVvyguFX|Fyy2HP@vtWtN;TdO5eH@!^4Zo>cQm2yq>##fy` z=7ZFK4e4*L*S-4qQM~WhiXY)Yws!w2SE(Pc_x{@QWA>I+1s_sxCH}bbb#e&*!Tw-d z5qqz%27gLd9Y1(J$X2gz-m8xRb6<V@*u6!m<YVZpqlfo<Z2s|M`(+)*e{)T|JL<o` z*RIRky2Wjo%(Xi8yRIAFS^p3C*liNdcYE^<4!*RFfikC(k}c*OefGdZY<BnJ$Q(Jo zl#M@c%s(oY5HA+pu~+g{S5j?T^T(ID{$kPx-|3ij#YX1vSZ}@|^X5cS@kXWYvxk4^ zn8`ohbc3nqY!bJjnscmS&WQp8yZ^oCl9VN;9(6M`>h4W+5u4roFfvE4Ep=n1#H^#w zl0C$t8xDfTpHf$7vr8Mx^!QJx-F$=NSIWk~FXxhyUrasvY(c%4^!<pmjg>Q|AAOdb z&~~YGBWM`)8IPWPw9yWxoU;$OHompKzy8O%M?(3he??Dz^Z32V&L|s?-^<lzK6qar z@X^+_?_S;4;KhCcOJ&unPKQqREK}H*tatj+q@o?yQdn57AN|b1eB$B8R)ITP1iISA z4t+S_By(rGfcSTvBZp(TKNcQ$V!E?!g247Ot<Hr<=d_$(60X~lu)a0m&31t{X0b;P z7PRiLNKusIi|*)G7881~qP4{$O;4sx`k6}2t6z`}dPnWJ6D=|o`TQa~x-W}8df3zY z<Hs>4rkZR;#dEW`j~`Sn7P1SUvss|&vzSxiK_>~lsE%e~u}4Y$tra^`6z%k8>tDfG zoT2vdjwjPo`<YXn&%akmXp)opf1<1S$Nk9$2meoN(fqeu<;;iuDv9j>i-pQQ{{O4P zSp8yFMXKGu*(x#*_WK@E{I|O$Xz7c2C3$uZ|Gs<9cu?<gNaTO=q1MkIH{C9;%Q`Q8 z`2Q>i*+2U^uN?h9*}?YDea<V#|4(&b{xhG`<jDVpcg6LC3olo<)jhV!Q<(8j_G8B7 zyc3CKUCjx8UCl{(UCoJ3U55`Y5NYrJsmaIB9VBBXw#<S_$E&a<VouBpk;{|#5B@$l zNre62B@y<cn?%?Te|pkm<l}2*F=J9rfuZHi2Z=$O4jw;};@mLvWShX|eV;e8Fvq+6 zH<&2==fQJMR!zAb|2#J}KIos!D^fopC*jB9l;&o8AF~}l;x-*T9Iqx_Q=@)U;6c2X zS<8><!rrqU{qAIcAp3Z}iZn-^{!NFEzfZEY?e{vP?Ch#k{^736yGQy$JK0r=<m>01 zVEz-S#Q$;mE$1^9;+v1H50YW|zv6Cin2cr3q%_4JCTE=jXQm|<T+-qDr+D_!BDJ%R z7Mh)Xv{>(~&;q-&LW_dEeg^+BdaAHzxyh19j=I@t3xDXGJ#@iRbvsXD*I~yAj|v_x zx3H<u<de_qQa-RgSVpn#^W84{+>CXvR%EVNo&7>!zgx}CRURz<kAsSzR4wyjSs%4O z{9}6R%E+3B-#0E3w8<3xy}IezLE~$YPu4Hq#(DSFk*wPeR<~~L4p~@zdw%Gji3c88 zXIR=~^|rF`M<4zEoJ-N(DLOTD@egh7T(+4D;%DDTEBv|n)~#u`u05(OdXde>QhV!& z_&ke@a|_E~8au8}TK9?dva#H!+VI=+!$Y1NUg03O<ydml?X@d@Bnxg2x#rULdy_-K z`mg%h|7?V^PVIbiYgs3Yy!Sc1)aUH6Di!jzoITxtTHbrj=e#G@9bz(5=H3zKrt3%d zY=6G~={gbNJ14I@IKPQjd306o@h4M-(=5wNBKORBw8c}+VzT~mw!+PUdsZdJOt0`U zuoLT^Uf??+si|{g#GdfXxgx@AI*!^sKfQEDLZqQsxvAxRoue$1lQvd-zZ;~f7I8;) z)wQ-iwSIXKD%>pIkD4rwXhkYS#M>;}@%<#PeDX$*3y-@id@fjvbuW6Wew5{J@<xrz z{2L#48od>JyvXPN@f{kM?F{wqJ-iy;Rq6g-s8^7;Ro?lj)vAr_ZKe2=f^@fSb${&W zlb!L)DaM#@%l{>h*o88){x90J(R*#i9QL;p9!!u8lG*0mrd;;oLB{SMacdc$?arG$ zSIEX=fe62_#^J>*uO1Z6{;{m6=UT|Na5IyK5!F||p008ZHr*GNy<j6-{}ri>HJO<i zE1xQVx?T44!rHB0A05woe{1O~)je7FPqX*cmHl?KGtdlw>%MbFXUNvr-4TlUQ_DOq z-sO|5y0Nf%UhEPjy~8WA=ART*2yBYxobu>4%c%mrMynkP;kue(x$pIYu1;E!Rb3jG z)e!zxdMle(XtndYsGv2sX1~3t5_9G1{dl>zO0ovuE}vG8Jz{>f^wCa>>#kPeFWINJ z`&ykpeC3am!=+^V#WpuoXDznun`XSTZ&lm3ZO7Wg?&xp*!6aaRuwp^?p8c6gkJESD z)7$q=uRF9NMr*~UsLLN%=WWs6dE&KhMU>V-rWHR|tvqlmOZwv)la3V;7oNX88Z>2v zgTB|?bq%u$xA~sj_~Wcv+&1xN7j{IohA)+inqdFDxM5k~m&+z?akHK+XkWJT$Ax8C za`!T4^>3dg_Q16+F!)+j_WlFeUsZC~TBuLA(Y*TKV`tb=2^WJfam`~HO8VO`7X5Wk z5_LQIRet57f9xxEYFztovGZSN(0}D8zxop$+fRRuU&6#Y`E~psmB*7}{;S0QcYgZo z{OV8%C2>{3ul2#}*p*)Wm-bEGu{e|OUDmAb>RHbob}sw5V_7Dn-s!LUZcFqH0?wMO z|L^#=b?U?lBc(7W_Ac+GdmJ|GKMmT57}ycFZI6E-$2YI82!*#nB29BADT#Sni?k-} zUeeKe$;?&phL&d1#x1Ua9A?M$oz^@zJIQqLSjDUtTPur2TNCCj={Wo*Or%MAlG3`G zwnYMGric`VURQOEIc(~xxI;@bX<@BvAcvlJSH<VEs;-JVB*G)UG`TIg#&@>;HS z>i_v0)o$UFQohfXFFQYxW#VdkcX#6}4<~pBNoDQOp8HwpQoRe~`rQlN7&90`uiBcp zp3T`lP3FF{xv~4{Pxq?d@BI!Tey`HoEco+7!<jvWj~^cP3IA7TZmgW=)^>9Gd&h*N zt{1c9&IvSb)?Bpy<4hhwi@8cx(#wrpbTfino<FZ><B;(doI8K9q*8&3>zAaI+%}Fk zK7xP0_kK(7IB-(rV&%IlcD^jTR619GzN71Wg~{BtWZv)84u|_97c2Kowb{M;bB(oY z$-MU|9S81$gr+MpeGhhd{?oCI!^TH&?sIoZr4JUaCG+yrIu2ZZqPwE|vkouIJoQGs zGu<Wv6*F0OX{^8Gpu1+WgZL9)sTHl2LN89+8u4Dyn9lMmX}>RXqv*+7rEAuoNGx)m z#o=>OpmU|<qlBnJ&3j*diyrPeeQ4SIbrQ2~O_P{(tIS}Bg>UcvsMYl<3hj<9oIEN% zi_{bO*aV&}vQLz&+L|pT`nYk)&yPpGJYsHF5t|V>r*iv)3YnRiPnb6I#N5{w?u|+4 zYkXauv~D8z8RLSx3eTl?9$;d=ezthwL~b3Ug1Zso(mM|bF<<8`o-lFs>dXhbX7ZU8 zH7b7D^rD2%G_f)9i^j(v7I#jtx=HMKx7bZ;$3CsaJuzPoZ?V|*SvvU;Q?lkYcKP%} zOpi6Mi5E0+a?31;_^x8@6LD5Z<C=K>bWZL!%Oc`;*{bPm*uHS(<BQv?qeZXV^Rlps zR8ROJo>uf?_ARj&;d3~y9dp;yIcFxS{+#m$n{@Z&&n#Cbf95@UvU1k;gTEd%S?Jnj zHJ2WpnRYRRYmKT;5^o}3nDDbC#zeU=p=ZggiHy^P#gZaFPV}63^zX~#S3`S6=RZg? zOWi73siUh`)D_^rVtNYyTD2?Po7V)Ea<q8rsGK>dbts1CMB4t1XT^Jeu9CWQI?Y~e z)zb%M+n9P+F_m8y)mU@s-4bc1%UW;Cb}5>yzm&JMJLpO72JP4>ivoBP(|5T!nYYNc zc;={|IcRn$CP($mVX;FsZxnsjPUhe)G`%a}vPyA||MS50e?RS*bartb$MntZVZ0B6 zrmbu%m}t<+-C}9sHKW`3<{NS8LmzX#&6Ym&Ifu2x*&-}PRJ`uP=H%qHt4fY*-IYlb zlfNUlRR8~`vR|rN$5yRNkNY@N;iH$Ip4~;SlNFt|hbrz=Fny^!uF-D!_RDRpHR0^@ zvvs!jU3fb0klg-&cQ20>nSWh=__pa?#g*|IH^XbfCj4rg^!MmQ-JiczR`K7<dr%c( z74f4+=j7_wUnf+ZQ2BDBW`~8(lKRC@IyhH{h3xrb_;1Cwxc@hT_dj}kPHe?B84<59 z3okgnSISwj>gNuNT-m$x98CWOYZ!Jpu_xa$4>fP=T$xk9IbiX;NDm$pZuaIghmIy} z{$Q}A_HwRhcM4a#k=xn163T{i4Efx)<Y#$r)Vy~k`L;Rl7gvMDHw0!o{F$kDZ0rB) zssE$Ds%Pzu`<{R5-}hJkEk};*lK6S!_s#96>b6JKuec$yRxIS#;%6#B-FkmF7WLe^ zb}hv8+O_gE2Xzm<DhRH>vL<5c<u59cytCv(!|E62<=*`-(G$gF=$2G<t>e+fGy}H; zwPcmFmx(^J`=*L&Ir>huU6y}|N7Ulp0;@$#XY11Tt$SF0>R;%ZRYeikHYsk{^D`iH z(~saB%QY8@*E03myT&gKPd|H`N&Bnv&u4F^oxd3V>R&@aV<@k$XwJE5|2mw?pUmwF z%|DrZdAayz<7FQ<w%vOk`z&Ko<$TMk63rPEF#*pH#{8XQd^RM@aKGhd*O=9AUn^V8 zw5-&MBSJ-d&dP*|@L6jXGYHC;DJ}f9LHLXCDW=?x`W=-uQXD<rj5814FcFw>ZAI8U zUx%k|vQN6=y45=lTr~-7-#Jf_$$VDvtlH~|rpYYVOw3iTmafUYDG+gMkAGrK-aLoL zHN|ROZqJubpI5cyW%>(Gr_xJRCjT67Zr>cDtEY8p^~N}U?#%C!yG*w)eiXAXV%p}J z!XoQJr~5><=y|6LtvdZ_i(>4w8D>*cotNo2O@G=P_e3P&Vb}b;ee(<jET%HO3YzP~ z+$elDe9yL*UHdcl&0<yhVC8!yF)p>^!0Bh!_CL>^aAOv|am7hEzc<G$pi%cM??S`& zwYt(ww;$blopR*#0hw(tu17>Q9Jp2$drEA<GiAv|&y*yU3Kg7XWX=ePw;LTf{E+8k zp^7t;%-IP7$Bk4DeJoK`*|yX<<dc6&$AObP6D_7H^7$?2f0Ixxwd2M_Ho3B8js3Bi z0tw|(EjK2!34S}KoN0XFJ(vCZ(+Z90rMtX+7RkI5QY&aT=)B!xxx;lv_qqA0Gu!oA zep<MG<NYvigTQ-^?hj_srk%@g8kDl`SM+(nn<%uNv-pEnH5a@8rV~dW>wGFO?yj*p zvxtFzlg5$1I$Z_&^Q^aqXE#;Z&dpkX$#AWmo8UQ-fY~x?ukPOs`X3~o*;Mz~`)_dk z|G?5;de{DkC8fm52>)NXbC-ph-@7MDeH;E8n+jg6cXpl|Q@*Wrf7-=my|0Y?YWoA3 zmRqV8wgvN@tMk`g=(EaheVW2A+liU~ugWPJ>~(3G)i$-Gz~J%zf0;MsHwkY27+rf` zUr?!Fe@MrXy+Mk6@9O^dpZb^l$K0pOF0}7recnZ;#W_*2b+f~Y|JjEx&h>h|>7{*J z>AUJQ<$`&JLhh1}A3XYg<<j&SJ7g?H8?N3@-+Q5s_x;ju*_H1z?4SN#wOenU;;GeF zm(<j^n+RG=QWR=8a_J3`eDuhnZN)?_=aB956j#OjPcnNeweP?;zN`|xNnQS@va>$D znszsF0aG<k2yY78tAzE9yKY=}EUh`a<wMouCjCu533iD>pE-&RrW=SCb9^?iJ(`t$ z%iQGAf#+FS^U_3rwcoT4H{O&cvtLisP;F;@YGrC_Enl(Sd85XiEh~$JpC#BO>WK*~ zJLNm0-*vwFy2Pb=pB|mR`rwYw6{kE;t`$e`Trv@em}ccMRce}hTF0)V#x6-EZ59%f z74?p#b+jwKob0XR&&;lU>A=xbCLav+cx!lkn;8yYQaJL#q{Bdo^-9u`{B{w67xVYn zikwJvY2)ZQBhVQr`6!{JZHL5UMY&_k{PheKcx8Ben8lkf9XR~J<b$CKFB6Y%v%uj? z3QpHUPJWqP@;FFQ_S22YJ~8{YG0mPN`12N9&YK0*57a(*=GI>2x7wzk|9z)a`2D&> zv#fL9TjkdAs<r3N2`aAl^Q`&dRDMkD>PD;ofy#QtyR9ltXo^Q$JWVtXHa9I#?P-?n zDg5}3+crhYXW^IYGi7b>B$a*Pvc8v4_N8#LA>%e<wIkKXTy|uem0FhkYP`u|W2C;_ zZ)+p(9HEHwnw@&~2HP5UB}wl%^!C*Q!{sq+9#3iC>a$i=&fwU=pkq6J9IMfMJ?qwi z&@^}c?=xj*-#TEL<}UwTLO4M=*{LLLU3!apyoy`x-)Ze%uD<yBt=LO;kFrU?M)4DZ zOrq8I9Bk((htz+lx#OVPC%K~eFWal6w#F(6&lUe3?CNjy`eGKqzL)2Ut3UJC3zpuG z&95($T5&{#xzymML#&Tc0KYJ2?|##y1wzTZR}NY*mm1!4u=P<0+5f`eti#nQhaF_k zm;{J#=DBh>$LVXg5I1i~(!@rqh@1s_(<D~3*R{Md&$g0W;ULaD^+nbKzFm?ly5F(A zTFAceS8D%0Hmwhe)#6%O7w-$aPH1S{C6Tc}j!kgYeFmwV1x(I7AxSG5tt8SG=(S0& zXcugGbv=SlV#NVR=1^@z=F);24zV(Z0sQWqS8K0uGK*SdE)ZJIbLC(rb7|o*2irNS zA>U)fc|#sdYV>+z9Kb%C=gLtd=C1|Hx6eH?cL`5Pe!Sf!Tx!J;E#}e<w;W>E7zXfL zb6(whM~_+bM(zTkYMzj_rMy>;YA}ahZ+hbRz4kh9$b(spUNNQt?BP6Dj;1nyE$9rm zs~l$%(8$fERd~-qc8+m?xIgce!@pJjzVCf1f81YrTiFl4o7*Ra)E64Zcj}(}+WIUv zTYI(Ls-U#uc6*)Qe;0Y$oXHX1pSW|IZGP;UZ4vz05z_ku@;5R`>x3mOKDz5h&PKUy z8^X3Po-3xk;dGK}S@fFjy<)Eu<+DpqTcvDdD%J^0YC5{=X3x>88E2ww)y{8-Xq+jg zZFo9K_RO{jQ}s;|{Kb*0kAGkl)823)>FS+hNmpf#CtZy>o@A?&x>oM9e#Dw6#;7$% zxVuX~97&3`*%HCeAF=v5N55{^gOx|UY&J)*m+M|TTHO8h!=$ZMejl$TvBpKNIU?9y zT5u&PR%UYq|MAGx&lS>j!XB(S>NRI`#MY!O5#sH-*ABa$JNCYD{<et5U@`5&OG&bG zHbjWuk66=gzUJ!UtF_&tJJL4_Y3p1&Skzs*@obW<PV!p0)61gQ95CsgIwNZ%-?E4` z-JN2uA1Y*j_1JzmiFI4_nj>D_r5mm$#pY~^;D3Fqe)}71wbi?OL-&+yY@aUotvKlZ zP4<eHNpkwOXRg0z7F#+?Ps}d&<;*`eD?7Qq7zT8HZ&S9YNME40tK>&{YN~70?T)#! z`$E6%KKk}ojq^Ui{>k!DrcdnO-Pp&L&AIyUB)<Le-`;oo{GYh()2S}5zf$S*&-Xed zG(>_MR{fKNpQtUg-}f)!3DbMt)UJ5VS1A_Gf3hxSewNFU%@X7Do7LJaoc8cxSLBZ! z`C^P_v%95}wnw_W__b40S?GPw@(Ee888(wdZv3oF`Z!U*QYWS7fXXospOl_v&Yr+v zw__DE4ob*xmJm)bOLme;^=V0d_QWH{n45j|nL|h2Hh(BMqEjPd*3Hm<M(K#-=8g^L zZ)nNvF}-J>b-}dA^p4cd{_AdX4;D^rugH8N_)AJFV0HXyiTsRxTYt6oJUppd<n-ji zOhYDbN#%__hFJ&Fd%8t?K5m#~XcuOvb|C9mOT^(B9NlM6PJEFr#%DFNwVVIf)(S0~ zFJ|u_E}kCA@*+`8ao0@OmUoeXfpOPwth4LCcXGP$mK8aZ5AWh*;acP0*W(*-b|p_p zLR+KP8Iypko{}rNZ?e5g<kOvTgpEt~%%T9_BN|@}I-TSvYw{Sh9uf%F>@nz_vTxs` z;4kOPM1M;CeZqNn^L2;+r+l{OoWB_mxUN21xqbD`YqwHZb{YKkn3Mi3(A)6X4vX80 zA0<;SZdtqb%<53F<t%!IUXPTP%g8*Q(*0O1bcXxa6Kd-mr><3RW!kF|^4*}7PcLPC zdb5^ux>RIuxUA6n-$y=7Uez!4u|Z~mR=c<em(B73-EW#DAEr2o`*W;0o2b{y^jTv{ zgv-L_R*_v16Iy$xhR&Gj>zSOY`z)P(ep%_%r@fUve)~$7*xw8lKBL*f>EkQ6<v>w{ z@4^F8T&mZCUL?r2>Rk(dk;tq$@rcPGnK!CFTZ0bS%uzUV-189A93`D2nTM3-oZPfa z#!OIcgKD(p-*1P{K5^A?Xp|Q5idlZ)pea}EoW&OoKYik))+Q9~sVSSIeOA)7nO z$3GWN-@s7L!Cg3e1IKnNmAIUY`RnuF-1y+R_E-Jd(9Jb-Yo4pG4lRpQyWf4HN?bq3 z>PT>RSor7Or%!%e+Oz5HyGJv9+bjOLCJ70=US@UQ>l|-%%YnB~8YT&3iT{0T-7L7{ zq(rCtnTs+uX+7Q2XD-^r_@7%I)pJC)I9KM5;roY=)>ZOf{dUGlSNp8ef!xoQPBsSb z1s^U9TygBf5uK2>-kX=qe~Kn=`!cs~rlC;&jK!8e+6?vd4BbC}xD`=<mDR@hy4zQs zXSOjm=I<XqY>oU;ktxQwZq|9hM^h{NIo8|z+Z@e|Z+2Yy){5ufA5d4oH*VX>`Zdo^ z|ME8xH@jl9Gu8R5Z?u_APR^|p*F&CN_`3NSZzK1wZoXFDPGMbXzP{@V-`p27vpTl_ zc;U_s^X2DPR6p#fa!znR-FD>MG+y@Iv&<d|=Vx0v)I55tD=lOkWhUd(Z@$X4X5QbA zjE{I5nRTUw*t7rlZ>kTz@nS{ZMrU6=yUTscoR6eS-Q1*T_{cbRb;aU<)xsOsYA*Tg zQZu2#`9aF*wj(#E@lKt!toKLE-PwEs^Is>;IauNBTN`aAGpF;iWPV9cJ<rpe#QjcI z=f(RXJf8PX<LzW@Z5Fw7b9tt+-N&wF%tzGY?rmDQj89jZFYUN#pSjhs{i4P8+rGB` z;p^#_$mih6ycw|FH>Rt?d9ChjpPEN`zU&?TcXp>bFUmjN);#Z{Tf0-*`Xlb$<}B;B z<#@zo-z+HDpQ&tje$6uGBhPmVPFJ`$!Q$4YhYt$o+^krf{O7XkZtmZrM%8NyjyR?D zZ_DwJ$-EiBo_VXof6w}t3?DnUZ_Dw}$-Y^z!Q@=do<NI_FVFv5@aA@@Xz0&AQTf`K zdF#)IUkMMXnY8|gNqqD7_*H${kL}J~*ZBPIw7uT%=9bR-seAUt#wOox>(9Qm_3Xm? z{%aVS{=Q{gC3urrX3vj}rU#BT%m0tOIbVh8Rd#l%i)(J}-e3KzeD|%Y-6xd4|Ig=5 z|8qE32TyKVHLL%t|BJex@u&VxajOft7ZEqt=Fx2Z|LZrszxgPN@8>O<sNiVlzF)6S z{}222S7@Owd)>@EWx20bYuSf&JBS80i^u<4zR6x|R@bd<xu>(WZg0uXh+a4C#;Mou zn;!q1{(tA2|7VVvJm3D3`|*x%Ps>m5o6Nf^*Xqz^{r?-@d^g^dyDxWg?Wd(t%hGa! zKgy~5$Ii@;4~)JX5g)C4`t@qNRcqe|#I4_-_)(+s>?FtDt+}hUKFO~Z&3FE@`f)`@ z@0#=V*Yx67n9J4t+`Gx%%q8qfsCn4dtM(a-wEBL>t4U2YTvc0H%J)4=Sgl~YX=2u- zYqJd4w@)rlRa&!ZQ<&>2t$UA{L)1bJoP84N%@Sh%isx=Z*Tsm~@bLNnSG@Y{bZUM5 zPxaLMOB1VB1>9Ej`x^Aw)$mSH@V=1Z8mY((x361gocVEHTKe?Yf0HMD5AQa=Ybd<` z&*e?_OBUvywqLLPf6=aOF{PV?y;?bX^hK2n`*>HLpB}!7d*b06L5fVtUacNIX5ss8 zB&%rKpSoj|l_i~(mHj&8fJd>zUG=A?ul#}-l_$+j_IB9)MpX9S(x_CmN2@~O-2bVZ zW?}p1qC5SvPW$8iDgh5zT7}d#OA5N2#FuM+DR?&hrqIfgNkLW;&NI3<wp2>E&*=Zy z!aBntqQlev^d^qG^;6%ik&-$secROJuEW%y$7);`OBuDL=G|}#+4#}C{p;B~eXSdg z9JnNN)ATYI*TlC`OuJOu=bmCSUAJQ44&Qxt?cMuLq#M0^Chj;R!5%L0_>oq!O-yo6 ze{B!vhPTr@4cBdQOy92*zvfa|+$vT!{i{vQ)3X>4d5iC^|LLCkf1_*Nm37M&ZCtf& zS;P`sGu;i>m#J?1_v!noy5+9dS8VlZTfur(e}Cw=-JXlW(&N)7I84`>^kR-{R@XdN zJ-ZbC_YZ5uepXC(WxSUb*pa_U<IrrM+AFhkgjI?w=DISi-{kQqRm`$tf~#I$%Eb2B zkxw3niLvgO>#F!JC9q}p%wH>9Q`JrcPM)YEv}3-j(DltH4j$Gi`l!)uV{=YP-hY$E zfy+8vA9cEwY|dXfe)OTwgxQC0=?F>8aTRjjbmHIzogzb>ZX2HSO7hB^G!C55;WE_f zR^mBz<@n)=J`<)No}nWoG21ok)&y6+W9bvSIU}DWCW-x=F~ya!DmAdfFH&S-@iIHR zzO<%4GP_bHI$YP8^kSMT->&pNxd%0EEie9+&T@J2tu%=td~s-{t21xaA0xxbR}JF- zcg_-j`g(QtRj%F3k8;)ga9uS0%q^K||BMWut5~%!|FwSara0eUYvUg6yt=w|{ngzS znP27NBKP)R50ih;dv$f*^;gO{KW|NnYfRntW!0DdO|o%s?QgwOJr=RId1Ki7q&Zis zCGx-8sYTQ_bcV?%ExGDGBgcFFk)r6Ts}IbNmQ|Pv`E9N0%nW;<u<PpX8Hr!z(xUeE zH-^bCSgvc!7p9W#5&1T0sc&^e>SepI+a(RAX0l10zU~p}FE6W9MVEzz*Y5S@&e@RJ z*=zPTVY2V;8#$Ndw%yp$Z)ql*(B<1cBkQu@vdE%W3;I*jP2bMSyUb*q6P2{g*Lp_k zWxcf9TiQ>Wy-i-{%RVFhvf{JbB`vJe=InZreKXScuh^|E2b5+{i^#d0m9RBayj;h& zeU{n1ZJDM=x4&dC&$T+jJG-yoZ?@fXxnGg5mM)urUFUdM@Ws_;x`juV$;NEU6rY}R z>#*zWZ-ob!U43$Kne&{@FFD+GEx%s8KPlLh^<K8+wpo1dH)nSKHGBJ@!FRVs#$`Fa zn_K!1o5?=t@NK`5d|9wBvPdeSL3Oz!-*MgJd@O!9wjA-CT~=^vS?ru`nf&QFw~j~7 z=KFANne(3QFFE9OEO`wtOuB5)?zewaM&mg%-HS(;$(C%*6u+Bu>u|L9R%dzJoa)t? z^L*Q1q+S-R`rmavv*qHnIc}C;Hp*BFy~w^SbYACbpUj=jnd0AbZyjcy{q5t0Wz064 zUJCT*S{<6aUif^U@h-*t5zX&T`u{6&_;z?tO)76yy4Y{gtzS)Fd@g<Tb8TDc)u*{x zudf>ZuKPQ8Q~pxl+Na{CFFvL|N`B9j|4U^p+u!|uHubtY%1nQrfBi|+i|@xT`zeZw zU(+9%N=&(_`ftsaWij=OLYoiH+#`~@dsYPhNs)~amlwvX+bM_c$*uhAzV&PFR;g*B zqGx|f{wv*;WV&@#$JV!>zplEZY?Qfb_1dfzYcE#&o|>|7-L{2+pG{}(Te@+VU+Ut5 zn?(`X8<}1kUG=*uv+eel1AMcm-N?MmS9Wtt_g%BMi5q=?&&a*Z`0PeW$4)cZ#CfXA zg<k7guMWLaGg~Yo;j$2S?yZALv&#%mFSFIzm?`g_YjwbAHs8iO%aqq_cyi?++v#&z zqT4SmV-35x<;dYPi;qkx+wl3h*xRJ(zSTE!FWY^)QPQy2Og3r0ultSv#dgc}%%V0e z3M*vSIUcrphpd@y;fZCkGTSo6-E(do4xIh1@XRu1ne8b@536}wf7y65iFwVo8v@a~ zW{0+|7nWDhzU|j*rCv8rqW9{Kpo!PlKfH1+<VE9>Z;SgkG_pLg&p&fL<e9egz5wQM z-mpJ^Zl~^_&Xo~;eZf0%;jr(WoZf5v)YkmuUHqx7ZI9gE>wjzv6IAcn2RZX6U)gY2 z;%cSQv4cg&R<xawC^eK^tf!L9lw&yimQ0nJy}7?cV_eU~7iT5dYb72p;!d{tlH3#Z z%E(Rk#-#lFCbPHs=2t#7xbTXVEpqRXgw6RYvZ{J>JU*4SEcw4jGa=)X=+=+}?ztPE zo<6c<dE~VR|IQ0<UY#cAFKw>Ay!Wive%8R|-S+-I@vB#7+3D{4ekI`gwwLoQbG*(K zo^@oBp8Wo;O7kt#Yuhx}uY3~_dh<u8T{W+n%KZ->Eoq0YsmGu6YB^e7J-4nlJ@(Vu z11T=v>l?QEJe(}ce9b`h*OF$vx)`;;a(A<y)%==&RGcSVb@SYgmFqK_r=I!u_2;o) zpH@lvZcv(i{LpKyl_p{W)6%_TAKcuKdXvXwZ~0}v{kv}52$+68{F%Ylk_iVUrCiSC zT(xGM-ujF+S@VxS%3<YZ{1sowyE@D6*rF%a;kt&VKl`(#SD(7dW2aZm#~m%YT&-hm z*W?-ZS6Q%so@DEOyZ`pFdTXut;K|P0Q~YNbFx{0@zSwNYSM|?kqsA3Ce!;yOA}{`a zKJsZT=QD#N4y^b7^aORPv%Pw-vT;{M+5)+G(kuGK*`yvUZEUMZT_70uzb7PO5&zm* zQ5rVO*aKcS=ktU-TH9z<k-k9hp7e@#ezsSOCofE4w35!~*vBT7Xtnnc->wS*rrq-s z7cd#WWQ_T;_=0miS1r#1g98p+&OD0@4ka*s4G-F{5|D6i`prwP<=yvx6J5#_&KvTm ztI=vl&H_C>$rbJ0Y_A?SHL_XcFHk%unbER2ej=CmnPsA3YrpZ>He_vG&KvS*N~4uU z<^nxFi52a#Y_F1+H?rMGU7%PdozY^u<q%)mMXuFhyQj-JY`s<cg+VXvQrY7~wpP1k z!4e5lt%~ykB_93?2X)|sH#k33Z{quRNP~rMX6uo}%>@NVbYf&ox%rQuIdr^mGslMe zI!<#;x;d8DO^i5{v2cG^K=bAO9zNpSJDh7%*Z!Mz=HGgw>XH@LtLOZ6O$v)T@N4ed zTeGrEkJ_Y9kacmbbgpfen)BavlcPz^n*WR9_-+TEUE;vXx6JRJ%heOV?Rg^>tmgDC zF-&Bi>wCjhT{!IT{)IJJlKBg=zUD2+x|p{hYi-_wtj@dzS*dvo6#XPJTHgIPzR4tI zm-mnB-0$^5@9(I)B<@|c?AFtYaIGc0_oi{JJYc@mN$caY)kk!U1%qb#PkC1Qa)DVz zsMQK4eQ);AN5{fDmn(NJsQEKT?)-kQGnJRE>MqNi-v?qb9^HQN<CoP(W*G<uU*EQ8 z;j%x$Hb1pKx+p&V+`F~njELg4r&+z9JMPA|K6n`3Y5r7ro8tW&0kO9w>h~|*wJJAM zS<YZ(M!2y4(s$~YSN@L<jGG=YUu>UC`A2cpW|m`9`6fxkTb(%Aa>-Fo?Vr*{wafo? z{r+Dq`hPEcj_{7tR-M-Is$!3_WbXv5FIv0n{Oy&svnnooy$SndB|68iF4!|KYR!R9 z>xFE~VsHP*47uEAJb7+q5a$`MvNN7bcYnFLa?6&#OZMyv)PK3UnAdd1WV^J@!lpBR zOrEl8ea8A<KmVjh`Fe{7t=zLuEXvpS`O-+w<!r(GymTfdE$>?{RmQ8f+_yPu)A6<Y z*bdiEGU|PM<?gqO?pw3wo7?-HVR&_`Oyhby_ufB~UB0i_e<S$Mr-PQ81A<L92W&Rk z93X7ExuEcnj*W~tx2fC=*M`L>-`~3O`u)`>1uX`R#x0&Ps%H+G9*T)kKXW+uP|Y1h zodb8Dm?wPQZrgo-XVz?eo2xUwgeFPO<H-2oR6l*w%hL|OX6MG&?tf#yvGAz=&lLwa z<Nw}Itv|-5wohP5(((GKKde^0`_skWyy~;)tNpp3MdycvhMR<4-?}>QL~=}#(WMLP zdaV}ueQ%pDvEjh1`6^Z|X>$(qDU098d?NI`KIai%ne^k>H-<(0)xJlkMC2Xfn>KSp z_foYti5oe8N8}!2+%}`2W38G@V)UkJ&4jC=A+OH7e>~;DzxgV=rcXJQc(C76-C%yH z(C3Kf33{(%&LqxlziFSgN};e}_1xy>IYosX!E);gP90}iC&75ESnG&|pR2_0$1d$x zBjV#OFOcV4Racc$6yn`+=vQ{nQLdhC5uJfzuM?IW-6fH@QSMjtn*Mk3s>iOh<o;Qt z*DrT+YFcuw@7#0#XOEj!d@b+1y`|PQ+4XnVwx^|*UwqCf&)VsruRQ%l?i0RW5<9#1 zxxHIBf8y^K(_&VL=kK|9QgLg=>94;ElVmT|Z0_~5Gtb_4_L#(qBN5D{2Im}Nd&~p) zg(vm%cbf<KM@6w$Ym{u9?!^CF<IBeRhZxv3cnVv^G!I>vZ(5(f;@9Pv<G=bW@BBUf z?BeEzkWcf3)jl*CbWZ<2!(vVcfBD<_LWxo<W555gI(>!f+jWiK+gY!Loz=a1Xx~!l zyxSKdLO;F~H?+HBw8Q*bxOi91qxqYC5-bw4p83tlny(qA@wx603!i{m;j{VOSJ&n& z{@>F+>$BgVLN?AbK8xDAUi~WS`FEQqt2r<-q;X<oNawxCDaSwP{Ngyg=|wWn*+u>a zD&4CLEV}<$v!z~KF7adg(P>Kpcbn~+Rq?C5bH}%=os$nYJXt5j`Q`uZB(ZLd_NgtL zYZQFW3UCBpW<GS~PJ;ozH^=9VGd3^;b8>H-uz`bnQ|ROu(|Iqy7Z6l4=r`!x*<v}v zc}DlmmdY8fGx}$?u+DId=y=)UI%C?}S<U-y8mPCgZsA<>cel>u2<I8iS6g;QxXx&w z+VV5PF{0sVOKXH%M9b2fX0I0cZ<EU7^WL<37gIOq<Or7;&6+JcBOGS5|7`g=!#$#5 zXG`l0=ZKb@H&<0kOk6u_`r$Qi*3El0pJVb2#~IBpTXxQHo6)|q<!6LPL_=~*tA$rY zi}KA?KO<(Zoi+dP!#C^p9Z)=^!YB0Xfx|Zu#%n40%>t`8YaCj|leL(w|IEf)9B)p~ z3Ho32G3*e_HUYJbGY!~ha};l!XuvPc@p<FC4GhX0+!wnyaM*4RoqS_9Z}8qY{zELg zgw-zg8nDN57GG>N;GfI+`C{h=26-dhrM<7d-V+vk82im>)y&9m1*@)C&$t`<wd%fr z+Q&8n_Vt{_AG-|r^*KL(Y}&wZo|F4y&jt?v&7s8`^`cF$zP3I2ZdKLZ<1L;xN@os6 zAByo&d;Y<o(?DLBliQ$igTQ0XZUepB3bt=fwXKZH*GbHJ=0D>|#vz#=r87r84%vKB zJ9E6?5EGBG&XIsaN<1gS&K^IPGvn1)Heoe`4uj6d7E6f<wyq7bks?XeVy-u)If`0- zIj_`MH#0bFMcn%z{F{9q9N{<K?e*oXobTDon@=2l{olO#%8co@)A|obiahWTTiK}I ztt9h1vCuUrGB%>>&lbyN`__G!^B_QsQ|63PCv)VJgmAH)H)guZm8DGV&y5sG$QEmj z_;mT%rt8;Nx{8^pH`Xmq50>J{`IGr^y8@R&yQrkn1{-IUX{jB}OC=vAdAC(m%(2^f zq|KyYgB@?k^r>ue*OoQ*n`R0mluETkOl1=cTjr3<ryjj-CmYl9x*0mV>w=#YwQ<Cp z6zE(n`RGAZ+m0Rc6y@@k`0Eu~@yg8cWEM}qeBkg-lMjUkyi743%>v?=6%H+x|F?V7 zCZ!YCAJiIof1MitAkAojv)uc-s6DGcPwma!w?aEV<G}6tV)7Q6VIMs!eSP=sa{Mnj zKmUwV@65D?Y<`;q7B7!np?F27#>TXpA+|0}L{aSjnje*ZPA}{)Hb&c<NO#`uDJ*C= z)H5?acG&3HkBD<K7_=pXlTtrlz2^3nhr2GUrec+2?pgOx`R%Lq`{z$Q_doJuarn{@ zGrM)?#MiB}N($wDX8M2HtV_Q8x7%<3SvcdL?V4BLTIX$c|LpzwSO2nSR$=955^Fv; zFH{nq@xlM7I`>(f_L(AFJ<9@;%v!T#eHV7`6selwzOetN2wQ|hVn?fpTZBuNrr|^b z_Q@Q<#u|rgd=$?d4>`o-qwKTx8)vtH^5HL!*B@=+)HxZVSEuvip**L^3m0WotKb(4 zxLfsh1-)1(-D<ZhSYiQtt727<#6t0vOOFH{lF?B<bF}D?%^HO>?(&D2_T<*(MWra- z6BK(`tF_Z9ew|F!@+=|etBe07f1H=yXvy<e-HWwNa>bDX=2C-04p*OCcd+d-3XtE- zV{(9#nYZwggYuk3qG6Yvxn#dAzTjHVWy`bR!f^*KW}Za`M-sTMme_KC?Jb$*)M$LO z>e%*6q0FKdc?*QD^Ikc~%UoJ`*}?XXQGon*UXuf|%)Euy9hC1Z7M*6{y|B4hWS52i z!gg+vUpqV!8@xr@cK9T=XlH6zy{dj~*UH3y>1$6S-)o+bguRWcR<3Qdx{<L!&rEVf z`&qVE$=!{swl*}j-AG#?xa~5Rx6P6Z2bH;EZI%VBjnMeAvCGNSdbz<7DK6zTXPs*E z*DeW2NZ&T^<KEH}4y=6AD~<><mlj-ch?Ox8;QxMUZ=p}EkKqM|U|y3WCd|DCwzhI2 zc8#}OH$O-)Z`J!2@FG#a)$UuMM1p;*B42>S!vv{QeZTI_ny9y@jmh{j$c>YELlQPN zdg&MjY-KVH5I@ax<v15J?}kebS3gY*b4n6x<x2~Gk@SDRz>7q^-Y<szhZsI<_G~cV z{<?N!n^WV~n_5S=yXiBFzQ|o5RLgV4F`l{f;&}(#FXjRA%seIs9GH0xPdF&^EEAm; z;l8l>tH>^k340BGU6XK9t}R(?;84!x{AGcG(|MB;t5?yBwOg5-!H#;&6Ou5g(M!iD zfL)yD%28M5uZ1@qm}3kt2!v;<s<Z{ZNU&(tD_gd{p-s;1l85|a4IYD8hd7cq2i%vs zt|_u(;@Y5^Y#XD1#^Y>SACEZ5+L#82pXa%9Je!&K!!d`@?cUmog_E7ww`-Ja)SjJl z_s;tZ9`esMc?#wq;^4o0;q8j(Z)set+m@^rIV@u)1)AEOYLT^ouTOGC_hUAx2U8l` zEPT7QH%@h8zpYWS(RyweZ|$3f6B)myEzFylt|?+MT{q*7QTg9lL5+9Wv<mMygl^&q z;SFGWmAt8uEh1%s;<A6ULYx+9xAN@@T=7ifrpT*lH=Eslz3@zIh!b&pF}W@7j?wa9 z4Fl!ar4r9JoN{0dlU{K|in(;dHHX+W#upfz|INH4;QW84NO+Rn+U90fkzG3`_^ocU zn|A30!|BZd+s_2v-28f)rCRib9jRqz0gcOFRu=iF&XZixoX>ORXf!kLha(QoB@2&E zmGEBJTqv?@#}wabd3nZ}DGiaCFOKW3)^26GdnvOsk#9HemBXIQyao3hlw%gC7hi04 zD%~F-u_#~c)#}=*PK~BFFCP!Dp4zx7`mlrQGpQB3OnI&xtz!PV;h+O^j>!c9YhIH> zd{L&Il_FLV9t*o&MSey2B{qnPv_;HpbG#F?EoepC37sp~pK`S_O~3TDXQ7llPsoFg zMlTt&0QPpCD@Prfc{iMQaDKD&=+qq^3!9Z+O8YsByK8<a?0YBoAV;dLFzS2C!gCK! ze>oK5+$jFiPNj|M^5xR5l}A#TOAR{bc|WqWo!<QTrB~*QM=nxsg+JYw^h+LnI)C!J zIi?~rrpmb`tx)r0)7p65K~`p2`_vhp3t#DPcD?#k{=fc7_v^nJefg|s-+!-b)F}H> zS!~yV@RwCtEA%*9wHAt4Rk$te&K9Yv@LAa3F0$&SZmZ(EAc=>5pQR7FYHXZ)<<7yh zLoqo@XAUPEs@bFdoZ~~^27!Fe?hk5*OQd)C&N#5v=jkK6l!|9XN6%(Sv<f+EmKd}+ ziN|VuG3aoTXV&B~XgDPBSF`7W{$$U)i}EMTTFokzsHZle*0x9e%yElDOg*YPM=}m6 z_4tTJz48|*dNI58SCy>iTCOCG0*kF$8V-$f*|atuc96|k-aggBWnuHamDP4_dcXd9 zzgkduja6-#zi4nLC)=xpnqM)k-dF5v*BvsIa#+~im>E&A&A7u!y!rBm4LWkZ3%d<7 zBO;cqS$^SYn~BaD>GCDN9RHT+wfn97CTj0`r2WbXfz2{zOD->5cz}aT^;p1*2STl@ z<d<AHoXb^fv%uhhHkWhGB7;NguCz~=@;akvT5h2BHE~6n-Z7zPi86_H&n6VJL^wor zJZ*7}n49=(-!0!62ln|qef4!}<cxjV+`6?wtwP!wB^xF=U9Hmy*;~I#yl-LuL=iR# zkHij15w{t0cXItwyX~L#?g2}po}bXOhdhaPyO#elKg;w*P3MT+AtfJg)kB@Ph4ucf zPiYTqu<Y?X8_RX&unRM9fvu^(_5}{}V9BnP-KiqKBpka%lS0H^sTCVWU)yok=Hxv4 zeFyY;O%7x;_kQr*7V)d=&f<W(yG7b6rnLR4%-|FMC*H{P{IY0ABH!&xnY}C97qh*3 z+|kHpk+49}Y*}$c#kbp?PW*E<zZkY25{TC5*<i~3)wW)5HbX7z`7~jH_=Bl89~}=b z*xUH)$Ao=NzW#aak{K<DH@O$Df1MK*!C$NiDrN<`HG4Lw@N%C|&R_ES)|ZYip;@dU z3*_RYSM-~+Nj;j|=vFab>P6Bjw|gZ_T>gIQ0W0!yxtM(x8XO7X3cWt5br<WK6KV_M z)E{L03Oah_up#r;!aEMmbBr!<EYB2_DOrBORiDfD%Q6E8d#<Z8GY)YuU)u2DPI0vr z<5BmCFCQJha#)S|>&BZ7&N;>xIBsVO%ET<YaI}WYR%e01fg~<xorMO6F6ms+UGVz< zbj^%AOjZAFm&*N;Skb?aO={8nMz<ILzc1n7>-*E?AbvMvN&7nywik|x9rHxoUQBIk zeLng9rMU?Ye?GZgvN@y5&e9}y`LgGe5A$3(Uck%?s>ENlPxD^OtNF!Xf|Go+29M#4 zLmbYV0=75gZ{obL_`vKOrbmGf4zAbu`r(2@t&PzIhJId?Lz7FmHEd#KT)AIAWbOS@ zIQ@{oca5F`dtUB-nfT?x0ToYvXw7Yqc=kan_Row}3lBP6y^yegai8G@j{MA>F*Zvt z95&{vwOMX(M3zf=&zVC%EECKacd_m{p{9_>$b5;{Vwv1Di52}z*`yL?Ho8U3+jpZi zect<wMB6>f1AHwtcrJFz89O~U(Xo-OowsgvTa}2%bdzOrV$v)6dD*0r7B{-xaOr-K zz}l)87W5)fw3RU|SR#qHRq$Hc!aT<Fn>bfo=e#jfx2|U6a_JTQhHO$vYZ~1o+`Atn z7`N(e3wV*J-O9KvP$J2?Rj_PH;=`YxE|)NwgmBK7rB~-;>th@sznC{<trM@wA)hD~ z_Q#qb#*SQ9eI^`Yn5@BLIP(xkFevPP-()$Wo8Y+ZM<I7a#sWJr$&8M#Y*L9?zv^^t zeCNBKUV4p5q-ut9V#7|6wi)h;EkEn$$5e`oH5=DWO=U8^y!47rd!`7N&a!|c&Q`u@ zK`X@Wid04TE$kN+VT<rg><ATci}20TH0(BD-^^KT*lw^@L)mBTNe*s<`5OedIl4C( z94<K><2>WQBA=&^HfAccGBs;Vk#Jbp94N9&!gpc2p~x=@@5F{ekv0kc#1_sa(}bEi ziw&9##1C@@Zw@+C!=tKmK;Y1oJ97<8i~Tnq`c$cu$TT@<YIh{xat#p+--Smcxn$=o zy>Qf$%XZH43&&Zxm}Qn498u*`j#1k>Wrh#9^C#gsqrJQ3r-fTY!{e4#3&)6-$&zCA zW_~jcT=jYSs5;HIl}TD-$_<Z&&AuYLZul&0*A@A7!)u|`NzD*t%hoIv^{rEOxXoyO z-Li9s<Bay%EkAd-Ml{@RX}#eY(Nd}zR`FoNG=s+2m8XvCv>z7X@>w46=&HTIiv;CX zJ+r_UiN>vV+X5sKv|AOo1xh6Twol2{+&KHnor7|RV&15pIc#^R=8d|}0meg4GAcTU z7V>n@Z%sY5=KajMGfy4;enydtl`mMMu*HepU9+UH$7$=DMHi0ya50}*WN;*hOZklA z)+sk!XEfh!*?GfZM*H5DpEuki8veGlS~x_sG;4-cxEN12Xsld$%G7p2q~F2=TwJPQ zK`#<STlK<%UnKIj+Fc8hNRVyK3i3&8v0XAv=rd>Wh6V$1ZqCmeIt=8yIk^p*Hwbuh zc5l!*{3w(;k%`}EYWMq@(?z)MEDm^N+RAq?;Kd_pF55jzFC4e#V&1dd;0QaHa*0>% zspSigI0qH8_j43~oMFK4&++-=lno5$Ik-R0*}$P6wB|#=>f%*rTGOLqgXTXsv%O?B ze^nt5t6qRc;Y26)=^7=4bDa3YHNF&1J;ZQbgQsxrAr5nov#NT+&tPS~NTQveu-F5h zM8$JLVh>~5URFO-OJv#(cHZliTeEps^8z%WZN0+TPW<H>prV0cx&}`nsIeE6ox`h| zH+h!z4(A!&yIU$Hyl3>Eej>xTPC)FDR-)j#r4bJeA2=Ukkz1-IzFFp5fX0UDPMx(P zRyX_?b~B4qS$Hh$cNSr@@JZ}Y7ICxi%F_He!+_nNqxj<#1OD?IpFhsoz@X2;UD&gM zW4E!-edFC6!Y^j6jHx+4XL-QaG?7*JdYst5Ym^vjlolVma5#ahmS>^CfdDRNp2Y@- zK7>eL4|rs;a_6hF9Km}f6IYol`+QAnS$VI=;OcC_WmTI+va1ZuL!bTlsphr}<T|xL z4TG6Zoth$6GrSgdXNpwK@LSlwPK2#u>aJUcJRfHr;>h<ntLip!mbHZMjBdl0N(qk{ z{e>;868;e#oGq?1W+Z-mUNzI`b@j8{WknxtFWXL@{bSxs$AvEbT(VylU2x6kvi-8~ zf_pp{^Owa2F7;f>JPM}ME8Mf*J+MvGvlDo>P}p)_|G5^{7ful!)1Oob?)vNeQT){E zEUB;mKYf*-8ZM%AMd|<cJ)#!>Z$DbkE1AQ0JSXbG3g6XRSNdAtNWHB0?Z%e&yJl~b zm;18cNW850?Pf{K-)VEa=4^hWQ*4oNnTb6&>d^#W>l@jZ_4ICRX@73^_VG+#_KK{_ zit}!iv>cx{$I2r6=FO82C;D>RY{~3oH+%bFpYQG+>6hi+-P+P`Z6^C*n{WG$)XRc- zQAMvF^`xeow@aJp7TjH?y6)zd=GA6zA9eXw@5s4qr+2fYp?lhz3X9y0c7BmL4U@&B zAGI8Hv-o%W(R{we+mciF?fTO#b8LE#;EWHqAITp~N-mlaY2}d+_EMqq=F(=FGn%qb zlJZ_E@PGDV|2ZSxO5;G&B}c`yDSTZ9%btWzoDuQKAuB~9*2*Ix<fTIA#HGzLJ-V__ zk`iAk@Za`k|9K<KO5?z_OOA@$rto!b*!d*1&|u}0#w#~Att^>v;MgTcA!#pm%ZPZZ z6GwMla+JF^jqj7;qLP3H)1}Q$YxHDA5<^d}ew0*nl6mFD%+!|(jiO7NRi;hnE84K8 zWJ34SrOh@vnzB!l3tui^==OSc>F|_EhIY%Q^>7-jP4Ve?shX_R^E>xrf4o%Es^S}e zq-TrY_&@v6{3*u2WVYR8X4!U&S!LS|W|=jc*mp!E^MBZIOYTAPQd@-sT-6R8rQaGj zUay@w?MAw7gVb8H8_Xf|Gx#UmNZ~Koa82&PqXydphfAv)`m?_^FoeHlR9tt1St({) zTGZN`YuE#nFQ`^G9B{2}IKo=p(ERxugZT3|jB@90G1tu5$j)Ms%g<4GN>1S664T3i z-y--IxJ?!Q#?biq8$)OEHwJe1w~TsyH<)c?wz2=PNa5!wxG+ndfA`vZ$Msh8Z@B!* z`OSu39JlK~@7-jdR-34Ea$&vpA{Mqk&(5Fvw<IKlPdQq6S=#-zoRcN)&N5(6juL*B zl#_kPrYGBXM*qjPoZJQrO%oN}wy5bi%@2#w?rdCZF+-}dYP*`xg7EA^DplLm&N$w` z*0OWPjadf#r=x|RB}Zl-V$#X=jp$Ha%h|nQhv@ZF2N#6N`1HnhM+vKK*kGC{#2qF4 zETJy@P|TTZ-x=*Y*K&ToxY#t2@!1wNog;6qwYbi>+Liq@sX&`=ncM!EYdM8yT%2Xl z`Eo61vB6r?M7?F()O^;KM+rYmF3LW{<dfxEX3LZ5I;DT%+72#*HKKuvZQGT!oc7<3 zxR!iXHv6{evx1-3mnO03{k^qGLifm%f@LKW4y?T7C^WY|*yzN;)tB6hKJF@+&~Co8 zxuz!AO5?!oOOA^7rt)=t*!LuK;to(^UGgWu%40$B$(GfDpHg2cTfPYT<)OE08sDc2 zYfC2dzgybObVon-gFv`9yX%gyPpd3;1blMH^4Sw-<?+Dnr9$WIrOh&Tv}B(=DtoEG zU+%^Jb4Rq5#(}+;9J5kmtuzibPYOSJ^yeh@pvCtOUvgyX_hR?7(UX1h!1JYoxW6}h zWks0PiQ}IyIWopgIkU9AST(t3j)tW0gOrmq1eSXrbFujS+FtXwo_O%;<2#P;-{`(# z^{?6Xy1$*HUHHnqS~>1$i7FZX*skg%^F>Sa(W3H|0{pdJtv_BwYpFQw4^mY8HJz)| z;KBB9f4psUCR&<K;?*gXGwtKm5?yqyYN?Y9kEZCOq>PmU{D-|-e@Mh>sT|lHRLS{q zONd8Hy6Ua{&Frdti;k^%zSM~&ZVFf6=8ho6th8_~m$R?ET31BIt`sm`t}VJKtz@Z_ zlZ~e6qO@YwPwyYqbvCcK_|kc$fN8(>#RXSRT-h1YG3)SDu0Z1*L5f-b!n9n@-t%f@ zc@eni`G<n_E_t<gGz0}7q;+-(Z1;9?+3|b!`zf{BeJj>Y%RQy7y?XWQPhY=Qd|aGA z{cl{8x!8x#@}mDgEj)BJ)O5a?$u#qA*(V;(jO4H}<?d8Zd-z~u<c^9dBBfuq_HGs3 zdUaO_|Kvq>@e|i)&0l~0kz>@Al}}S^*NS~#nbC7}?~;rY*F&b6UwLkSS^k0Pf5Q`M z6R+P4NxJXfU2kis`o%ie-uFqs>|NWQiq20u?=P&GpK(24xsPuy`y0Pj@rt+0cg)ra zeC#e7Y8u+RNb$eqJBgMnkKXimy^qQYj17;!D0h4I|5wY>=7)!dJuiIie$<{dI`(s> z>(}K!rSAN>C_klkcSDx_x>Xl)mn=-4eJH=L?DYxD#&@#&qrb^+KAp&*&&u{GC`mwH zmgm{hZpA%c45m!CYx`()pdhYI;^1ix<(qFjza-3Up0}p>Vt1)r)#h{V^4C~joZcny zH)1(k_kJ_$zq`I_q}l(y^)*8+^W*F5=Kp3*WiqeSEbN}nm-qS7QQ5ev9jCqJzgMiB z_IVG_$A;;GdK+g>l{lPs?O?>UofRkKE+0<4_H)OfT@2xo;*X?WE5(?H#l1+IdRRG* z%jVzfN8Ozv&psSWV%@hfqI0|0>j!g>?s{>5;&!=fQEU23#iSGV9&Njkuu;%V>iy;$ zt2WL!zo~ioo|2C})8*!UzI2>Bj;-S4X@T_>k`GPQOWn70dN;l6G%Nnuy?c?ZnD&P2 zNvhu>*EIhXd;O^4Xq83AMmxU9oQA_<(vLchx>=l34@%<Jm(6*)v^#gtmko2L+m(GV zI^Y-AcH`)2j_fl*|I_ODj#p?F+?lgK;+etKB-Uk-YmSt3ml_;SiaoO>f`7Blwc|P6 zyavaUoO?Fk;Q0K*#cR%krOi9{lo-yNE~ob4(s7qKwi!oG3rwz*Oyp88y>BvqIurMf zKSygXdv%LOq;3>it$XdD;Aao<Xw&~&B21aLMwqg1jWFfj8ez)6^#;e^v&s$+&$H<~ z+59F!P(N1Z+0u5^Jzq9Xna()vqtTI~IJbzzX}`ozT%C1#PRpV7%lJ*6uYY;{vU}v3 zS?i<M%<7L?Gizehn(jie*NJ<M{+f}nkx?xwr^8cBI?-sp$5y+iOQYt03R>%5x$@fb zJt41y<5qpu)OY^!WNFm=qM+}-!4;YYQ%}d=F}MAteQ%ZIo};TWR~}tex$@|$&Xq@3 z&0KkO)ykDeSM6MRv~5T3#;mem;W<jG%RWYyedxL$yf(6O<+U|?LbAi+R+(z+U!65| zx}e;wrT<i&w;DWN8Z~|9XYtcAyJp^w%3<9Vy~c&VyVT%N($ynZlCGY)l63XTm87e8 zt|VQ3awSRmjhVSb?p2{_=Ql+i{B-GPbzIet1K#p;e=xDWICEMcwnB2DwtDIPE$!2@ zVvjx5e>b}?a?PxR(Q9T+i(1n>S?u+rDMzb7jgxZ`ISre|q#w;W>Sl3XJxDjPa%I?t zJt5o?ajU*+>tFpeb$Zs<Q>O)@D_34ym$tIr(5RhzkI0UUbMZP?S?(oW<++!1b<35c zt9!1XxRTjtdxWXw)(BJUr^1>Wd!}cxp7!3_@N{X^{!c-=@s%sX*6-mloIXA4?J3W@ z=4+oUjhbHgv-|h5vtrsCjwY#=&Awi;v9#cSe#PrYjYq30GB(=DMdmad7n6R}dDN}q zmwAp7*yGKndrCG=n=W_l<E7(OacnmZoEDI-luVo)`6~X|nTIniIX}MddbHEBe&d;k zdyjI}Y>4Re``^5s&nkLNx1QMRg{zPLdXct~aaVLshn$%7!sq`Jgf%}lo!`_veNV~9 zuIX}dpD!Jkj$_+#{Io!RrQ}0d_0s!Sy1kp;%|2JGtMqQRU(}idn%z?^ayRmwi&)dW zS?u-0IY)n4By42Vi^%Ed7L$G`G(WYoc~40}$8<fu&zBAh#{IH5b(-OLh2$d(edRd@ z-ha7QSjIeCdO)=JU((FOvE8As9lNK_NZofn`E|m!qq}A#Z<Ko$wWhyPOgdrT(YA<$ zje=sc^1X9a*L{jCE9jlBx9;<$!@P07cAPxTu)RX^k(s{oorg=_%@!;4yij{NNw2=} z{+3%wtk)ve9Es{K-Eb}`cFpz(ercU+$KASlH=Imz&e?W@Bl?Gnm(Ihb%}e)`Z0wmX zH|_JK<34e05eH8TXje)mPK|sOKkICwh(7DD8K9Ke7PaPpLHAUNyp4Qr5o@|9ioIUA z{pc@=+>MOKB62!5ib*HR%=hTrx2NPn|8%`ypD!I|i2EgR;xt2Zg=CU}zA_KUdB;8n zB`{6jza#%virncW?GK0cWOc7S;@w^P;Z#!Wo^28Q`8wB*n~O<5SbMasB7LLaz1jBr zFNGy3%}-^YT=6o=NZ;1y;nMz<dw2|brz<Y|Y;=fcGmrJFeJ`6WIit8rjJ8jYcy{4- zQt1A)jjz(SMwD)kTC*!wH|*|uvDJAI-J#`cj;@NnmUPuNd!B!W_Qjdg`F4G{<Qg7V zRdFufM*i+kTjnnhQak4D>ABE7c~}0fgQ6baeV3mpPsW<uZRc!>Fg4v8VQRh=p4?YX z+ps4@J0flstB(HFUsI>so%<ZLHm-7|*!n#o+2Nb7?4PqnENzd-j`VZ!I>j&2_Jt>F zZ#a^q3d)wLVy}~S9<7Q<+-MgTmD3O^CY`kPs9QwxzVxkAcbreZd5}47SH%f${{D)W zj}7&iZ62m}EZ@`fp=a{0{HW8aj^BM>gG<n|ZqXZw8-=WOuN~CuF5P%L$@b002>EJV zvjcYByc_Q)Df>JW*4#L6I-l8xOGn+}sv^#K%g?TqOyJgc&Uu>Jl3Sl#l^(O-bNXR} zYn(jh@*%rr)IJ0yDCw)JeY|uqC2p6*DR2JZ%9qJf`piDhQ#&O0^cYM&JMRd`HA{(; zR^p2zo+p~TuIWjPZP>Vm+pw=x@R+e*x%1`-b%WWSQFl0d|8s|}DwWs28r3#EOZTw% z)@cuyMxFi;v^KS3<u#){A=#2~tG1qrFSt2*2B@Npxwdo0aV!4Mk<XJEUo+{X#CEu@ z=`J|-qpbT%yzYs_WroHZMP_^zUuL&kp*SLEW0qYCUM%?QbLW&9XT8~rD_$na=-Zxo zytM!49-a*i(-p-&868scd)4>iFMovQhllJw{~oPdAwK=?4*gfT8-;3ht~thcmtH)d zWV>hcl$*yHPVZx6i^}P66q8Ogn4j9|x2NR7%;|c&K3sARkNfrF*lC8lm6D6t^_9Pv zc-uaCYS1#B$@%Qh?o_^F-LMB+j(W}67{Q*dd+n%Y_t%1ZNwqN>ZZL@JnjKN??k$+< zzvPHPoR!2mZ*k>{mx(I+wLOng8!qnQF>ISIcnnkng;!`6fNP+;n<E;3i)nv6kR)rf zB|^Mk=i1@q?ynz@Br)4;y&-U3$LvtC-9(}56)zuH>BruAu(UmR&zHjP>5O@wjgH91 zx$VfF_G>k(<(p?q4@7~>AhT}K8+jXrtaYv(^y@C&csj{;4Jhg8m>r1g=G}NcN%_rl zVa<(w)A_D_zI2o=uIk1KZ~563k_pE8&N&ZLTh`9GQhS*(j!WjrC-LvT{AY}(+~D%r z8qt|4_BvtL(OokVH_D|&t?7>xlTO%nv~5Q6M!{#Z)EC_Hl6kcG%>x7dSeb`Q+ZXTo zQrI({vG23d5zaU_i%hT!&lsyK{%c}=HXBq3g+;76;MF}fB6TBQSoE51Q?b{HYmfel zNZ-hKEjp)zS4=uldA>&{>z<Mg6Q=8leY|v7B<@$lq0<cBm6A!4`pP=bm%N+Z_9<wA zG^h}ImA{dRStl%M!BH!Tl#P09(QDcj#a=I7eUwciZKGmabWV$4jgsoF&zBte<959` z>CL~l;^ks<edaF@Q#<zU>ACQpeOY>7mB^VymDen8Gx=A=@=dPP+%RLh(DaIz4~+C< zV;(MTU%lr`VefRtb)Sun@W#3AIGN_3Wb#_3Cvk4~#x<V}`bzDNZPq)$a;<g7iCr9% zC6@1A7`;Y#$5|EKcP;Zi1U=BySDp9q(!t`mT@?qt`SmMbJ{Hwy-t#QA!+cNA2j1DX zoz`oL3#OOqz1w*1aP769J5KCk;Exo4B=}m%#>~og*V7NvN}1YwJiF&cJ^mP!z^||R z?bD@$c5%CIocHEuuXy=bL7zG2QEJEGJv{|2XXhPByJmUgkd=6J<nu)7*EKomu?@0o zxHnEN6)ZFK`)=tTsb0|I8FlCRoaajqu*P}aG4XqoyfV%9n14~yN;TU(A;ofWza&n1 zn?8QHbk>ItL1)YVb)BAfq|j_LpWlXaM?J4qS{${KUmhv`fakT-oYdHsVz=e{9alcH zJhtn2yz)_v<V#7*pIPn^nQ_RwbLE~AgWl<S%RXN^%oF!(#>vwRn=2%f%=DGdByL{* z%yLceXPIj&EBRhuv1u>Ons;~?19K!)_}ELkbiLOs&kQ}gf6oc8Kk3zYeb1DN!`|%o zKU_K*9amLx+*|&ArQ`!<{i`Mo(*><I-<<s7h!uPP|4GGq^ERA29DMC(#j#xs=Oe`* zvA$N?V`BC9><O7&60gPUZAD^xb=Au(zdT;*P#@>T^I&N+=bn;{{nO>XeZF*@F^<jR z#A$){3dx5Cvu!)`))ZfuTdG&J;heMowVyAJ?qb**DZYsRwbGZ=Iq!=5Huyczn7n1j zdCTM8ji2{S*>S*|UA*$;BO!g;m}g7-wfFE8Or5T{?xWG6QnPBI;}OpvSiFvzlQ6e^ z@|w?uU8RhEoAr*wU30a_F8L+hZ+RzS?g8KAOG(oY8^v+yJYRZ*E6yt7q__C$ikFF| z`n78wrZ#Nd!(%vqy5P0VHz)5nYQ_FK;&~F|Ya5-Ex&5wdxHrrwRh+g#?@$mZ?3iD( zm>Ge>E_RQ|jkDgJwtGqnZd$DESlTVP=ZnFl>2_`(jSghQwMiU4&5_*unL$_8Y~#$* z4Kqviwrx0fIPBWb8^?Ar+>R7aVt=jl#>DEc?=hKUlCS0J=L$dW_3V!2Gp^7ym^@u* zbH&R9GyT{z50|#@-1Eh-e>&r{&qha>;@l!mr1>W?y_WGwncMBT=Ci@fQoCgv^bUkv zYn3>+i{rAScs=X<Ql{>iOODo_vwj>rGlFZ+^QA}F<E&mBnSa?eJ+A7-A#eHEO34M% z`pzZKQ(MH3eP6P5O2nbGYmWTac2=B}yL?#t+Ruu!yBP8##2-mtbFBacVwdF?lWU6q zW~o(4P8QoO!}d8SfkR)l?bD@$264M)9P{RPuYCDfLZ4aYd1{C7o}Pk9XXm-(U$cC1 z*h;)M^7%sf*EL_#V;dOPa2rl26=VbDmgN!Z1+zf8CFbGM1EFzVF^`uvzur?)*gRb> z@6)B@x^Zkf&Yl)1uaJDGH`}(;cujG^v{Jou8_ylCy!La)fn5yZk>ZbpUMtBMTKzqH zSmxbEzX$saGdG@2G}ULl_8}-qSO4m&=IMIZK3_V_7WeDMiPH?TD<qSQ^_6oHH>-ba zDCLvebna-_waSQNR`RDK#S>UxJFQ8HZ3*qUTzf9)8fQ-OB(d+7zbiEh8m0@eSH658 zpdTyqY-#(tWBK=wU2+$XV*~Xh=2l2PZ2tKESBB=sxo6ij%dRQjIJs1=Y~#7(dDmEP z9Nr~R9Vwo8KmK34(UEnzp|^jXe|=h8`*rb-kIG)G>&*Y3+{1GA;T%rxJ7$TUivRg- zw@5AJQ7<XD>JS@a7{EWB_sVfq=1|!!jcq#;76_h8&q%FIuH>6u=eY8~)A%K;WiHFS zo3Y_QtnjoQ>4*5<&EC+>uC~TXLHOIn<1NfzOdksT^|5fOcfPB-PNFH5kJ%?8VF9N% zk5M9fv+oVpeBo~fS6XU$j2|)__O&>|A>3zhDWLqSAMZgwzQsNf30pY5OUx44*VpGA z(7QKd!}tH@W%B}yVs6%&pZ{n%&3)6C>074ho}8BAy-D@<q+g-y`kQC6S+pD9Jv@(X z!=IMTBIP?Hn(j>P-_Myi!I)D?K*3Szxr~R>L>DzB&V~~cSv&+R)rBsu-?eM^uDrk5 zY5PBX`1@~r+@8Pp_U7;6t~j!x`8dz+ibEUPm-D<{`{DY@jnO~)N^YN8FgLMP-s9@D zM#nR^jwH0{@+96k7SJZklYHZ7L7Qz)!j0n<o8Fn76Hc~nR^`#wNxgBvsLfX={l+1# zw%j!-Hx6pG#jZ)aaX6{1w#Mbyy9d=tZ&E%O9_GrHFxxOKQTVmQwhi+VrKKgx3}z>a z2cOJ{nWK2+u&YyT%rD=k@uIw$X6@S_w@01-AR_C&;@+>g&ac`_Bzck}9y<OJ;oaD% zxFm}?Unna{XQ9|JfvjYcg}-hnTsi9D^p(9MK>jc*Z<<l_!4@qZA>+VBl7BSP7PWls zJG7xuU&8F8<YBAC#2d%dd8}_7*wFozr#j;J2ELn*3tc&1J2&*i&R>-6A^S_@?~Fud zdBdDX&TYDD(rz5nYWuppD^Xlq;@gHfiSnmU-0<BpdE@Kg63vy)^A~wbm~EJmDBLZv zZNr2_X>N%!gZYW#pC!H-Oiz?AK5;|WM)}w;k=T<P4so{e%B0^oV%VlDlX~NrV4JK= z+Kr=%ZMHHgH;#v=yfK?6lw8{-mk^O?f7t42%8et_d7^Ke*wDL|$NI+M4SqW%zHR7E zl(#;4L)J#|*e{X02R9sg&hy%4N}}*>iESIECQ3(3lx>)qC_ei{MvRTxmBY$TwKi-1 z-M*uEb@_`6w;nHuIP1!Jb!W!gw7`W{;;9i2RSvWHO;}Z`=e45mBj?qf8Vjw&RYRUH zYIzkiC17s1(5m7<$L5(=CLYfATxIZO>yHWECe6q9D@8mMJk0jaFy|4^>f49v+Hz$Q zZX68f*==!RL%TE2ZwsGe>lP~~t?|F8%%d%ndgFj$o3BjzjYFJmxpPu(9Mo)!wNbge z*8gJdnOn!Nr@XO>S1ElF{6#vb-OlyA(VEGXZC}$H66Z1*t=X*HR{H3`hHh@2*8+1A zWt%5&jFxce`KnnpGqF{hM|#Jp4V}I`(Ge#%^v#nf+b}CpT;Ax-L*=&G8lPi%$qI(o zmcNi{6TN1XlOTIo?V52;BJ*LZth5^km-FoY(UZt8E%|L@Q_o#TYmmaUHqmVcISJZ_ ze~F~tI8@e_TXSwhvn<c<8z(pPDV$J<wfP-7sj9+jMZfy~RhBW`OGQfk|Gd#yzT!B? zRqLcbJJu{&5pT5S1M6Y7Z<AK>$|znrlIWx>qjcq%qtn++rxo4IEmb%CSM=95u^G=i zSm-3m_Rq%diO|^x?1sXsYl<t{c<-d&IKtYddnfhAG1)fRJ83tL>bBY5Nx5;H*Qkv7 zZOWTp1$+HoU1)!8+V)lL^oCAtp6DHCHuQS)SnoKoq1#{b+lHP*dGC`qd_n1~AokFP zLrHDCI!QN<IJN2OB-}Vw(<XZ+`Nq+hHrq3aH;(5Rm2vxbZnTR@H(X=Tn8<7_VP-ft zk^8d5wvUX5`R=9PI2zJsyXW|Z{_>MIazW{=VD6y}hf>;j_Z;5P>B$p4<HUyEnLO4v z&Ti<A<*B}Laznpt${Rj8;pEyKb>}u5Dr@7-NxpF;tW7s3@y4;VHrbq{8%N#RY-`-u zUOp0BC?_ZM>hbaLr1tCyJN4?H^1rTI-x4)DYBTRy{e+*=^?~1)hX(z~T=wwiubANI z+T!5tG1~;Y@BO&EYd*)$3s=55v2h2Bw6f-hu!V#*y-P8epV(TN|7ZCwd#?vPa(}uC zTYpcH-r>EolXbmeULxDmTZOAP`th0@O#gcCh)kRAn>WrIdS9Nobx`BllJ1K<)e?s{ z^dDT``SpZZy7Vlm*_&s4y*2;p-{VnBzy6$ltLy90ceyik<+IM3zYf*e*Ww@d^L%N2 z%<2~Z6ococS{i4bHZ@jmI&8b8-1Je}{2k9%?s$Iph4A|*h4y*nwyqc3cg!dgt-2T5 zCffJc>K&uvxrAr=65%r(cQ$fQnZ3hvXKVD7+dE?aItTEYZ&>te`3b3<N5N^i59JP< zJu`fhpm$jBnZcTVrMB8Lzj`D3S3SS`)A!EjkSCSpPn|>0-&U~k54Cy!FMq{?$6<OB zKOD;}zU+~&7yfRe{H~JU^*WQ~mp$&9U5An{P7<uTC)_4FkBgt9kZpdzx(&TWbGd@@ zlDMCWnFZ%13%_1=u2I5l<Mc%CYKd(dXD71nmbf-k?XX;#!JEg$JLN*p-`21>-(mCK z_-DY?&)2xm8*9zm9%~U18DMdcl{x+tU;DiBkJk&&8$X_B{`>mJb*x1%DmMp-w9ZVb zHgG;a`{0H{B^#f&S~QnygwJr<+1NQ{cE$W6Zuy|RWYgEn8Z&uj|7b|$7M9p%I5m;| zz2Tcjv2C_Ki8qd?fNYNb`g+InnG>I{ox&e#n?Lu1&E`jyr|W%LTT^2U4BSCJRz382 z&5q}~VzJy$E_@bSs=>wW>K*o?l3OB7LdQnoh@{NYn1|V?c;_s<b0qVW?wmz;j(J}5 zW%iadD{M^UzHglK*yb?bzT_K6xARn69N*BtxyW|aymF_Cd7_o~3c>#6I=@+K-uK>u z4VxAebaJ!lod)?gv|^s@_dTx{WjD%H-Rl)`eYh;+B%kejvBO;3TiIJIn%7Rz?)kaD zM_R&hXQ%Q1LpxfXr`)#qs=s75+q(_-X74z?q3^TAw!-;|?EDgC8~YRaZ%cmL=)2DS z>Sw=y-giE~Iq~_P;K5z7U-y_E`uwKrx$hfi)>h6K1A{o0A7w8p^SgNtcUIrCxVY7U z&o<wyYmtBS#Yw_d_jKAs)4q3BJ9HRFIPE+Xbc$DJ@tq?@r*wIi-#I2cv2*GUJEMsA zzGqTz9I|Q4J(GUpAX8hcPRfnLN^P||(_ROD-E&-Nd#Td-YL%ks<*zE`xz87C&HKLC zBk^?8A^sSH4-V%tUQ}L>>#m+B{e4e0#OrrOTp#vjoaD8AZ+4h#`;oV99mWz`Hp)-9 zoHfi1W)ulGYiu`|P$c~}Dbf4R0pDxY!sZg&3}%HUC5s&9b2ECA#Bx|}-X^tL9^rY< z!))Kr{9t4LX^-Tmg{z*|s@R-gJ8xT3wl=pF&!eWk<|h_T=dES4cSjT_et)PP6BzN= z>uI^TsOtSox9)_@&%W?5=WE%fHLZEuCndIuuD_yJ&zk<>+UXwcJ)S!oH%^%?;k@%3 z&#A51dwh0fK9GC8w==)aZ9}W)8X;+6$ufh+MDfRx-#(ZfwmY_0=h~`QW&L$(*H)R{ zH;&FY^ZiV!!K0@pzKJ_l81C|up0-GXNA&ATsr?d4R&o2Xq|a<Pq_EL$>gsJ%r)1kN zx^tlDl&{X>JBNZ!<?1BfH8GfwDEyWux<c*F(weK^rX;faOO$<_k;tzcIoU4k<knTc zjwbC4Gp*aG5qjBk&I-d_Z=xnF(l{gjRnoN1(7$9)jiA}g#8%6sS}pO!V9oG~{vzi0 zL3v4BPsN@E<t6Wq=>6n+Bj;MR@Mei^29pz|jU~zq<|c|?miYF8@vz;!>Di%QyDum0 zH^}<)C}h>Lf=}rmr53MVA*|VTs4#GT<YV#UN6t)Jzp~G0O~I4&k6%~D1lKz5JQR0| z_szmPN9s2Io0`4q?v;DT^sf0bw@aE8b|iAMOK$rpdYF&T;7yYKVYzRHZytN^3=7?V zL+kpgWBS{cW^v8$mRj7sNLI7!kYC{Z(8uD}U5<NimyxtdiFoL~(N6K)1GT4I<{IV& zbBl!IgYzD0JvCdmAy4W@W1=v>#I}O@iPGOC$_l0@ikC}#E0~=qzx_#6=-)@1VpdD- z-!WyCW{ilb+jR@^Ad%MhuC-;o@pHsw_3t{Se2_iNb-(K?XCYhjznO)tjZ>sEmftyI zyfMDz&Y|E_rHgd8y%aJp==*x_NMxJtoWvW)mb@~*o4uhqk!QEWsSWLlJijIWoKIT2 z$R&Et&$8Zl8?UP(a$S=(cctu{wCF`n^p)pVejH^s-29Y##%~_&JHoGncQ)+w+IcTt z!`#68hwD?OG_|K<X#si3yJmd*#Cj(7TD5Sw#I}OjiPGC8$_geYiknM(E0~)oe;wqR zq+9mgTUUMT<_|J${ocCVHC!P$SVZ=3=dU|b`zylF<ov8k;X2GU`RK2Zb?*0;#3brG zWjhv-mt^u(%q=i4S>^Sz#&QWW!#RoE!jjtz8xz?dOO|~!J1lq1@XcdgP*g}Lf}+B& z`+WHl%^FRa4TiIGGi&AIJNVPQYZpdOUYDi+l&f09e8caj^RN7M<ki0-zWIh~4>zwZ zSMN=wvVAc>qe5q<-!{4XvFNF(%-XYV$-#=Z1J0P4v0pd3$)mP!qL!AAm-yGH<=tv( z%e%#v?FsxjZ@SoQ<JLFbKmI(mU-$d3R@SM#7xs$Yj$e0A#Z-D%TTXC)j$*V%LbS=5 zNfWOBQO)U9bG<x2OuWo{&h~9n-1p5YYW2O?WG0<^_jc6goyY!g-;mICDNdfAr~A__ z_V^idp@761p;tX`dNUfNzMU{j`4D+_f%CEtnR{k7ysTYW&ZOn@T6ezL2hT-r!qP%# z4*g=;yixC<%o<+<@%O5?z8aVwEa|$wyK3p3n2!v5ji2pGmHxa_XW934f3*E3%IMV8 zeowM%f4cr}>fQN*rfU?M%dM`6-mB@ozAoJOcE|Qbx8KdUwRz>nA2n=`ttN@yODh$> zeJiTy<~+%s_YR>mE;ME(B(bmR^pss8cC2i{tr=&YtzPpjBkzca*%OEB@?Fo;_WZt8 zzy9}n(a8Mj+@nt0_Nm@^b?ehz?q4?5>zDmq78AVD(_Y;7WzVdu(^efi!xtiZ`pSy4 zUoH2tzc^nVU0eJ^Fy><8s)8-$UljRQy_t4alK1Oci)+90U;W$Ew*8uT*RPund9Ux? znx+5Z<Kv3=8O&VYm$a?B+qB&O%C)F@OB1{;J$D|`JjFX_*_|Vjr*vz4clPF2xl1R# z{;Y4x9((p-&ihxb^~H*J?4;+P7w67(o%6bP*Zs8~xkqKTxljLm*0lP`#Ct5-HQ~*- zH=W(J_tM6aeQEogV&eY3-?^Y*;j-?dPPOaSE?pV%?$N4Qa-xgnV%KEu>Jrs6%z04s ze*dc9pN-z#ld8(iR=gc5fA68;-@^H?&fU7Sc;ia<;0Hn3lL8WRb<aF|wc8}UIO5`R ztA1VEPj|a_2)(_cYkRB7c*Tr^ZMWQ=SA6gklKQd5|L9C@?rU4C*Dc)XzQZGY_31m; z7TE5+)81RFRh{p^y#0J)@cdKPm)(xb(ze~b^L+gEzpkAkvwMG;**ZTwc`e|CnVG2c zyz^#z8lr3eZF{%gYTHHjhg<JWP0+{_m3e%9_2KKY>{jhI+wv;I^}zGy`|h=~TDazi zvP&G~DHB+=VRAq%gHTqI`9iU80$IuW3;FgbTsb<urRs+JivGVR8KrN`Fflc<a9D9D zyhX~wV@0QPOO%DrieBlf^1EEG95ip)W#O};eR9h$i_fc%H2CItl^wkD+j$dH@I$eM zY<WUikFuR~=crvdrs*U*N8!rRNGIDG?-l*;Coyl^U}>44-Te6^mriSOi_{Lk6`i+R zqAZ+N^e%3(vT$3`{kWxShuez&)h8Km-<Tw`VeY}HDXiNLr8@D(C|x<?>ZBW^aOIeu zlkAz_u9J4n@L$o++VU&nNB5Bi*&JWK8z&P2H!-;<8ZKm;CXkhsxyexc_N3h%?QcC_ zJpO!ds*ib#?$@)ipIgObuj%}DeR_d+>LLGTmQ~rs`_!lXU-WF@wO7~HmH!pp%J1s6 z;pP3By+s^ihl^hwd;Nd)yL{&f`EQpdFRQxiWfy<tf9?r!F2~7FFY})N_4oa*{|9|{ zziQdL^V+t(|Lw2+txezN_~P1<;077CWwW1u+UfGP`Pb@Q|3631aObso``!Nazv{Qb zyg#Q+`xTQs{avo^*W6zRKeQa1@UK4n`}HI1G{r;i?cI9g#+5K5nOpmX)^Z%K*|{w7 z@Biq#^@?n|H>YhnT5vNgd7HblUAWGZuaU7Cm*g&N-Y4L~q5SK=^WFPPCB;7L`tsh) zYt4y{p8jl4ACFsE)>_$x)n+m#ZeRcZ-nHLzW8Q_2k-^?!$GxhqNZgID;M)2o@cx{G zGwxptNqm!^y~_N0-TtL3JEi|>X*yrpveIz<+OHS#%W{^adrIGZr9N%rF^~3xw;q-4 z_gWwyX=0jIuuK23)xj6hoEwsDn&-v@+&Ih1T)iV9Nnc#2<|M21?~Huj8t<L=9%*hb zm{cUYGvM6=g{OMkg5NzXK2`hXMYN}u)*fB)ITAe874sA2<ptK9Z_nh>K9h9gKu(+Q znU~r!p6}JGZdchw-=Cpt@_hHp<Q2l)wJKlNF8QV@Cb{SO%+5pNbGIz!-|46GrSW=a zYb(F?#tnusbz53mo6D_N9zMFqPshgR{gFe*uk5?3vNB8X&61nPWXis-y>rwh$}gYy zp=}!9?Gq*9v!lPV37aig{Q13=abe*yV`2XLC25Zy=cub$RoH`U`FYNVr{@05&Q9*R zGb47~nc3N^J$I(Xj$1Q3yJydxS+V2l%+7x1xien)JY0RwN5rfoZPDdN=X`u>uFdRh z?VUUG#*Hg8JDbnWow?)2rR_z$L1rsCryBk6sN1$Gi!b_j*N^2Tnlkg&9*lMUSuc9- zoAAZNwTa)8_I2~E_L?5z_|$UF<U*(3od$om#eWr3O}r)WhH<S`#Nl$IiZxp=1;*Ni zZ#w$3?j`f7e<9ni&&bWG*1X;zEc@ld&v^$Yc-8VOTE{KBMfOj=s@&ci{y8D0Wvy*` zJFYm|w&rXMxIcxPL+|_QG|`2z-rJ`oCC6Frn6zlej#-N;Zp>Wt<Hk`@<>tGZU5Dn0 z96fMP<meG`%`U#yAQ5TnAQAT8!6M@9K_dL|OEv8Hid^4cZhw-+_FsOD|4**31?{^U zmH!?&?pHtS7T2wrTg~NtZKAI=rSIPUaE8q^XWJjzSwG{xSE!3|{r(mD&n0lHhRK%| z`Iik#H(z>rk3lWHd9C6Ed#MR85<|_-$k@p!Y@0J_-RpJv6^iXwnYHTA>8xIQ`qi(t zq+q-DP-d6w*+)L4254Jv42Zbc+1mJYZp4g;Yn`pFH|Iv&h`7|*+I*DRWl~gfV9iR? zZdc}(`Cl?L%)Vq~tjs@~khp5u28B>#1N%^8L;cXr2Iirg4b?-7H<UDZWNuk*!p3>y zOoT$*U+WJ%oz*GQuZ(ZlH6*m=GG~46<vN#O`;_aohWUnRMZ(z{+YNe)q=Plf4O)xD zCu@HH!1vTnZDXdvhN&}OpRH1Q%C$JcRBf9<PNMN)D~H4z2i@9YYtC$F_m}v#v9V-N zcii=lg`b_WfADSff9xlm#Q*wtSpH`xnIkr@L3PUgS9=ph(+c_nT6ecd?QjN{nLGSe z^k$#zlZ=?$qGspk#Jza(g<~sJN(wYMW8e5Y@wZRDaQrXJnRJ6h2c}mdH4QT9ap&)Q zI`Pg?xN@Y>NmoYs%CU<n;yh=3oP>=hT{!ebWy?l$PT4t=HeC22#CO!j>~_SPPeIwW z(b8ex*V_7+UJs1DzAW!9_t%G=;=2tl7Ja{V>;eA)?cdkrCZ9d)Hv690X?2q@gN-$I z$(1^f`a7*2L|xln^;2f$THRk6#|}jp33xm_TB3Jfm^-EW=sMnm*SSNYzq!hE$iAGI z!Sd+ejXf+$#jE+)4Yud!mRuFu6TkD1(bHPy9g9BPZMb#rx`t%UT(y@wOqSX)-(RL7 zc5bPL+__~Mc7BufrtCYtyjpzrS1Hej9<x#&WXwu=6frC1Va2SJ$1by;JP4Zg<Wb_R zCl3Q>J$YQ%wdC+~^~_0!E}NV@u-W9KOZ9${lZOtNoIJL`<mABzCMS<hFgbbng2~C_ z8$?c?dT@M7VI-IGrFljMM!rUdTE3UkU1p^u`^<WhkTdJaqq8O_4@YNC>MzusH22`` z%t?oSn4CP&Vsi4x5tEaL_%)65Z&wI#YdC5CF!K0+q<#M%t6RN)g-b)@jCNZ+Rb-yO zRKv_p)$77m9<wDHT(3RFy}Z~iUsh?&%$(H7e@R97{c=w$7Qe|cd#0=XtT0cFV7s=| zX36%e=9g7kPi9VPEWe~8Jo%CexA0{ZY2iyM?2j+2i03c&lsmT6)9#+vOm*SxU^%XT z6W5z~CWg*RNl2ZQlH@uoC9!f=N^+#vecd&ll^*X!y@Ta8eJniXT(vr4(x(Z{{Xrt) z^2;>j#FlE<rG4?w5k9!uO#J)$z0-O3ImAuSzb+%(eMxEZhjM}BWb5Ea-dR`d=BsJ$ znW`o!F<otDg|+V{13TYKcJtLNXUtIBIYWfI?R3yt?&KSjAMWN=JHBq0v*(`USzI$O zy-@FB_PqO4UdHanbrH{p?ItG=^k+`$Y|ot3>Yh2N_ju-{X7$WT-OK;ansaH^c6-}o z|F+;;a^2sVHGkzEJ@YSf)}ccoXAd;p_^u~pA93Qrzx`zy3k+7|x3jUamFFZRc^qdG zYdaRye@ou(On<KCMtR*cuj6MQ-x9Wey}kK1%PV!WOCof(cJB+c+LQk_w%+jV?}ZYA zzc;At(>5_G>kQC&!qvO2-}0~Um6Hi(3$1L`uN+WsnN{JiqV;#ntsU+wns>MC+TpyS z{dUW*9aER&v6*v*{+JiQe4W#@us47^oO5eoYXJLn&eFop0Df`KuZ4=9dwKE%Rv8$T z{S^#iR^~7@oD;x(m}9HqlmK>Tj#9%J0sPGzUq3n*iPW*Z^^A^xD7n$}!;}DFe~zsm zW&}w0bCiCV5Fl<Z^y*>B|69?0Lb-W-df818FB5iUJX&3H@la#Q#bf_UE*{)ha`EW& zmy6o<FS*KB2fNN)ljkQcTDH8Kt!!zxTG_I0v9cxIW_JGKvN`_Zu{qx2wmH7ywK<-m zrA)ikV?!+F>q-64i#7R}yDQ^i%&v^bIlEpwm|b%5==GP2+Kpc>>UUnb$gan4X*ZYp zWmjhPORmDle_wB_7u~q_LO@21W^=Oa3NH7j>iZ;W9_N?tR|)+wA%Iz4FzZpWQ|UJ6 z6}?+qtRmc3bboEBy5X>*-+xlb@^kZrR(+6M$d)FY^+?u9*GKKjF%c)(J>Dz2zjJ&w zm>MAeUVWusxxGS2qSQjRWx`oWYzxKGgtC&w7V@bHzDnX-Xw{-}<@g?ztI{88oJ7UG ztp3~W(peQTwZ$&%o3Q-Cq^HVDVp9`Wt*}iC+_hqZMXbpNl~|LFCb3&K=)`W>XcJqq zK`FLmqhaiq4VtlEHd=;WsoSm^8*;<zXaVz~Z8ySwy^^8>xz+lPY}+&6%(VQx>67A} zHQyJ#d-d?&RZ+bsyUa{ptWjy6da++tD<CoS%kB=XGYQNKx#p{A8*H%R^sSlEBKAye zVQ>HB3kPSZlx#HPv|Z!vB>!6YL*?G}stfO3n{?s8F%^@Inw+{heooTSlP(;*rgEk6 zn7-D2EuDigtK*h<axOD4{8w<mNMc5dmAju!Zh}+OOo{mkV)y(Eq#p~NIT+3P?9o}4 z&mZ1ApY$_hdRbK>oBE7Hia*!HO>5>{#{7cia$#}P%L2=TB~#`kSXun5e7crXRZQoE zxX?@goIfWo6}|B_Xg&NXkhxmLXk)Yz_imL<8-tbDtyPLX?sSpc=KUmjwnLR*pT`ns z`A#00$sX+CLXj4V6MH8MSz4%0?7sZbGUv2e`iI)D)3=K*D^NVh6Z0lGIQHf7n--rx z&+=V!Vd=gPHNl3>>p4Szv;{DybD9?R1#sUN%6eS))-7ropV(g`&sd#*sb9_G97Sdw zoMaIY@$2*{|32pl2aoSxBU$EPbVGgOp}I~UnF${CGgLMe1Sm<js}vPPD2cnPd@2Z0 zl0SZ=LgX5Uvh9&rA<a1xP8{&;^qDj1#G%a2oH-Lu9K0^H^M{)ff4b_YLcgBl2ci;} z9A28Q8uY_YiTSyz(Z{_mVtO7aj~BV{)m4Nlh;zsFe!p_W>0&weYu1e$9R=9ub3A$! z>}XS?*3sWvc-(A*PTr#Q%*hH|vsn!{xC#hIvu@nrD<FNFweZ7E1-&wkM~TZ7?C$mQ zA3E}Bhv$dqrj8<N+({2)9esR+jvR7v%<&O8a<IZNrbpn&VGGBaJ)-h0t)hj(b0hX> zb{vXu<mnMSawNl1r$^|>F%L(XJ-Qu!zgRAE&R4Ly+0B3G*r^?zYmMz4ORlMRocqCY z@#Z`QtINVJ>6J|uGju!7y<@pJbFPBb>Tdo+2UqRrTpVoUSW>0l(OB9vV~0*ht9H|k z9a<gD*-blk=ykM9H~rWlDc{n4wNTi+Il4*Xj@Xd{vW`A?M2{S@b^H>k-O<e6wBv`P z0RMEBj~@-}IQZp{`R?J7<6QJ1LV($y#qeW@0QY&8jTi4(`^oT#9674sXu~6R<oJYF zx6{3j9C!b?><>@dG5JrTZJbF7I~3I1IFcUjSaI`Mo}<hg!6QfG9Bpb8JNotOBmX)_ zI-IgBX5)@ejY+(?`s(^A*FW)u6+1q3)|2?r!L4TZtf}>9%!ZBAxcROX9z0s5S8?M2 ztNi>6l7<^**a^gZE_7UeB<4b<p?FEw8P>US#g5BQ#9YWU5-(YGhIQ_}f`iAOm$>=p zEaZQ2xXMUe<le)kM$Z@n!-?EtY6S<6Rp`ACp2)3ttnlDr1HB&=lh1XuF8#t-`>FqF z(;*2x35m0;!i#q#B&vw#^gL*4ei&2mQB2&%=V24y!xud_BHZLJBp#`b37Bz;mHB<~ z!6WQ38w`56&6X7(JjkQBW5!8V{>?icB%93@WIz3q*-CBZVb<22F$M<x+-lb@Y>Qp9 z;Lc&MQ?+ZVwpOJ_J)64!Ym8T*h~ECF;@M#w?;|!o>b+%RdpjWGgc#QjA@8}Hey-ag zRUL7=<n7IlY{MH{7jB)P`{>>4turImnf_;bw>PeM=EA@aynVk7H#==pZGOE`#!tCb zdSl;~mwk77wyr#W?Z&l+XdyP|-Lc%=vAfFGzMpt-u1d&`*)44ER2O#s_RM(bDD+Ck zlude9+3RWAk8ZwZVl)2uc(rMw&ql-MZ{|r3i4UYrHwXNBeP_k1)&8edns;s$mQrY~ z6q1~wKe3ZlC^AB0Vy~-^WrWVeZdIYm2(5|zsq2p)Uu+Q7<IZ2Wv%)WW?SvBtygGe& zCY?Am_txyq3^#XZPi(Fh+POo2VmrIg&mRka&DtaIG9|Fb-Cfzf>v@UHrvN49eifsS z5lY<tDw{rrD6yYcDY~eacJD~U!)UR4B1euzH(5xicXV%Oy(qmw!H(~k?Ck?@E6Ts< z$adK;QGdBXfvt}t>Cp-Wu|CeE$2%1G{5T#xTB0E5$NA`SNsWLS+i~BL8KrIlt?OA0 zKlCc7o#RS+sO^|jqutT`o~5ubPJsVD_oK&7H3DL7M|?|CN&*F1y_+O<=yY^yH%08w z>gdgGve=>5(JkFnu|u<?U-zTq4GAgpiwDnSIhL5Iboe#1T>ROuAa;#ok+Ga($tvZJ zbA>DyPxdNUt?uMMba2Ct&OUoPQ5SWCrWa>i1?FzzSX3<Pn6pO6C0)Jg#TFNVx&0iA zgeU$`h~ep<y+iVifJ@?X1-3HIq@?W%V&6EDlGiKn?Gre1bb3?84fT%xzaJedBm~Vr z8d)fG9NPJV@0sKbg$}=|EE^3x1*G3|JWAN4ptp?U(Zg8BnmyusUGx>~+>Y&jlWt)8 zZ^HTy`xMyh1dbdran$h<KXQ!6QRa-uk)sukHfO|+9G`PNd(pjD-#LsVJa!&>`)a?a z#AC^xy186dyB{|-Zj3Sbs3xwn=P0Z6;~fh=$Lj5vag>#xedmM60&@kKn_n{js<A!8 z+NvC5P%wpCt*`Llp+dbI76)0`)ptI4BqDAz=UG#~afzD`4=8{B%GT4Uan9akFr`TP zu*UV1Hc$230^TL6JhgLEwU&BTz@NSO?4*Em4d?d7O};aAf9T|ci^C^}JTzR$Hcuey zQDzUDXYvQR)9aJwKUT>6V|HzypOiHB&oG<rRJW%gpLR!Fi1T7U@4e(h?V3&n**yZM zSDbqJz?HN4<PMSgZ4n2aYQ4NSP1nR^e}nc_wbMrqTz!=_W#YNG(ysRG_2Hl1NL>G1 zU>oN7(s$Y0tKRo+=^MV}?Ja6`+`nc`M$ha1{L+=lO@|cqBrHy_3da{7JjAGX<HkW& z_TM`e^vCK|{Al8~`xd5elNA5`P=b|mmGf=q>`T(O^7LM4G;^z!6&*ZOr}tt`6SvjY z2TkV;b}SI))q7FXe6Hi(g%>?6H`&)}dN}P^P%NnTVoD3Q*ttSSb&Z${m0aQ_yAH9= z-CE$Nu3zHz<(QAXZ&mWLnEW$Sc3Zf;{$4PpNZ#EmJn!t;T^GFbS@!M{+ob>P)upB3 zY|_Rt7X@p&k{(<ZIeJ8W=7a6Ao=dlUWU-Hl{^>6(rLpGKjROa|`gnb6e)u2ko*TsT z>EOJwAM#e)E-Z9Y7h~fqO<HLDubyMyrF(Z`rY6@)eC~g_>d*_m5az{J0lqzdjvvs> z4!OJ5XMK!x-pVBlm>#ML_BiZtWHA<4xB7u>a^b@88;rW?8x${3T(@DL^W6ix_)3Md zjq78+|88AYGd)iCXH2kR{EMlFzcZ_3AN|Q#ANF1N?5s0!zP&e}{hDsHch)X5v)2O2 zNxzF@f@VxnlbkVWLdeoX<(E}jzh6>e4!%AAGNXsW*Q}h3SD|l~F6Nbu_#^S>hgU#r z`$1_Rr(0LHW^;baIwe}onx8E9p}O?{Iu+B@0z)m0m{nm{Q%bm(ZJv>T_Q5BHD_2`X z{Il7(Z_QuL%Jup7{L9gvqF=Q3YZ^9dALLFJig}oox@vW?*pZg1g9dA?{$yA&uhne- zzI4ede_l_osmtv$>ffm^U3x93cwcmIa~}8QCcgiPGOsj`^?ZB&Z;26O>b&>2ST9fB zvEpMy<koir_a=s}-tdZZ6JO}6sv05o(EWG+-I2fcH?QONm$2yvEgVJebxnRC%hjae z!*}BSRL>33FDfb>=Ddio^eb`oX9=v3+M~7HCGJF8^yb!0`Y)XyMs+PJ*!wE#Cr_RW zYb*EFuji*f54Mg@4(?w!L;S3}E7!72p9jC{1&-?{m0Yrzu_y5D`M;HS=X=~)ZFxW7 zeor)WitABvL!(dgC#=e9e|F03P^q+{^^zt&-hR7<qEaO<B0u=qdY=BfQ}@^6rhh!W zVn*_M|79FS(vEk=ZH;WwIFnQVuKyJGOnv?T37sVsdK1=VEpXv_d+|n;^x~)cgYOzC zttv2IbXWOyb&J-W^;K<lujE`<TjiUaqOZM}^mf|8do^1ZY*5#dxFI0w^F~X2)6Qc& zecQvhxzraoH7*Wxe9o76P;={M-8Bjt8acgOY};H|g=e2`4YH1oTO%f7=FZCOy|}4U zb*a;4t*x6^hB&TVu=w|iga>{h28Fw0G`1RVjd5H#_xs|eM*c-jotaCW6xaL;TiFzJ z@W9y>36I?VeSiJ0HsZz7^ABIAt_}J5qeyh=spGD!!k^<^?!Iqpjk63sUdZm96}`hN z+<WR2F0SxJO^v4m4<1=sw9sT#@zR(2q9W(qSechEYU)f}+UDIFx3eejhs%wE9XaBM zr4Fa=77*o;>E=>9H=TQFoB3324bwe_S`rarqCRUlrTMrL4^Q{XI$v-k-P?2;7uS6k zR_1tjR^jwhtwD#sdq36^6_ImcmDO@%6<+McJDn@>aC|FoyRx0=HHp58+7N>RC9N42 zae7mv=7p|XaOK0P6$uHUAqE?*b1bAjt`z_8eE0pAjEomoH?N#<bl-x>wx{d%sI9Qq z(OA>VWo5wqM_VVu|KN*H!Atx0h?|IuiQMbuvdZb=QnTZ>5)!L=I_2Go#z|3SZ>yJH zJGHLXUTa20K-R8TxB5><t$ca&sg{>-e+L)aF*jD>WG~<Lx_isMyxY2e$+YmlnJbTd zT4mqK#dc4Vck`ZClR<ttzo_Y+-|4MWrS?f`s$H8ZwJ!4O)`crWvnp~jq(nrHxv(-T zFK+5|Pn^Cc=y3crP#|e&Nkqtq`kdjB=Hsfm^rhqb-XGSb|F^CE{{Oe-zq9|@1H748 zL>L$tI2hVfwno*;mKz*kU|_IeWMJT9;ABWFP07p;D9TUP%gjqI3JqapV4lB5HzT*t zpTEg~=ji*ou6w;H(gqh+x4dxesXw>mU{`OlN^#bs<>DcGGU9Kk>A$~Ta-8?}t}_>s zXKr5?bL&)~^R>k%eXI9Rob0$rB<6&nRNaE-*UqKASS5U)BZY~n<obeLA6I|a{PcRD z)V0}4x6hZKPGU6*P}ESo+<8Ix=JNlK6-D~8w`FW&TU~rSQ`XJO@9~slPG9PI8eOyb z-cR5-60kmiN4($k?~mqR>Qftf`YKH8?%q|{zBB4PucOF|m)#wc8zpTSVV-6HdwSvp zombn17#Kj97sb;>DT#1DYi!m3o1h((JC)(p#TLzg3zK$ODs0P;nRu1?N}gb8z{76# z7vAy-$sM*H{{tE9Cvv@=8f$WCTkE#oBRURUWmo0CeP)ka&3)n0rAfL;72CH6tFB)W za#v~bF4veludTMrbTCygRWK#J__=mgOxujWtfEWROHb`mE3kR^LH!~3y|s~(n>DVl z(Xp!JY*ArV-F>xb{i>7pVs4pVwX%w&{D1Wb9o*pjlk2f`K+k!B?KSSjL6dKP_xftw zQ$2I_jn=ry&EbzXCW*h&TKJ$P<<iM~j>lq4UE187?*(6dVzTdUsBi44S$95b%m4bF z6K>C!zWbwZCHG_FJ!dLs+`hF*js5#kqkRVXGG%J(LnpTzynNLaTjKgD+;pe<{fQ@n zvp;d~S({zHnbqCrv3_>Z$Nhz`Pi=f~S3ceN_@;HfV!225{&bVh-Mp!L(d_qG9~vzm zZp<p;;gbF1=6kPmRou-4F;DyTClAK|bda1Vc=(BaPqW>U$C@h@1g8i~$_e}yGu?Tr zy`^NEKZlp;%Z8)?tDi6S3VoNDJLikKbc@USz{%51RyDc>dZo-L*ruAAcl*r62UoYu z`qR`<;*(b6rY_!EzWqjQuJqFjr!H2Uk(cG`HR%3km|ZlL+rdUvK~+{#@v5<tk<qVi zzkhqfyA+j0gf4x2VA@kI67%8Yf~N3zn|Eg}M(^2qS<<Gpkgx9P;j#)I*K3b!;yN#< z?!7r<_q`o&k3IW)_oCY!8Gg@>9>2FOuh?6ayB2o2UVQL^>)t9g`=3+!`8k#(2e~@T z?eAn?lBD3owSRW*cL~#<wd=Qh`e^vEAtm7Oox%r4m6xBM^|^AXDBpI={>hB(brDyV zIQ2*G)Se?&vwt<CyUURlXV>WD(;E{a9;Mh8*;Vj7{<f8Mr||E)6E7Xpt7<X7(3Eyf zK+ik$=Pm*N)u*nrKKOrYTZ_`vzTZh9KD%zUt#}aKbV&9>N#ehj>(V;~`T`fSpG^;c zqkgoY{lm|~)ZK@K>XhdS1e|^7@zhLQNMCNT_|}U(^%a&rH^uLB#@#l_4U*jc;A!_W z&F)K^bRO%+?en>K?N)1~tPkI&yT2ysWTYHk*YquX%Pf)8J2(_Ct}xFEoU%x|?zyRM zcao%kL(ugrdRmWl?aF`j)n!cyi<A`K@0Y)Cmc-I+J?Z>4X2#u%UbLkvTze*H+w~$m zVp?qEG368Uu4|Ug{%xUOl3uecc4p{BUiHZ6sew_)M1S-i7f6X0RKLAGZra((s=!FI zmu=1tk&{oUc{a1!w8nnkWVG(U>FJSgyWg|*XWm@1p{M+PWelt4-=(=xt*JKqYYIOa z?>5suZNXfxz1`CP-yRdL%|`bQ_^-|Vwx{p-%^1fYt5@IJp#50)fA6iPZ|1W?1f=G_ zovwdfLhD1ww%pLEg;9y&t+AoUqW{R<4Lb4o(E2KiEnRV!pRHNbQ-Ar^j>j1??`*sF zNL_lowKDedsfzCPit%}(wpD+QNEJ0+H(I@FMNhC|$bv<YVfBk6ocEoH%D=lK_~))a zS0{YZ?OJ4}^O$!}&CM-2Z6Asc8*rVrXlvp2a&S=9`gdu?no~x32To6qUU};J(G}9o z1>YpDO<=ZJx@=plr2m7b-mYt-@@p4vz1QU$v*Uc#oGj-Z^5x%;Zg2bYK=bsfP1=u_ zn!jv#r7p7Rp_H_VB9DWm%*Qv+iZmYC35u+HxX@)+CEL{AS-xckmI^mx4hDt?bU16T z{n__s;@`9j-xFl2bNV02+0G9*@os`nMs%*DY}v&-T=%7R?_B%R)t*CO(aKdX-3|7A z6npaFdv8R8`N4|g<=>8)H+)aHGe7R~^n{wn-_47ZrG@6z+_%_tSa|i$bB7&Gs*0_j z@FlVHdb#@gZPG3;zR4!-c}P6HZ|SXf>msI~X?(CEo6qsa37Ka(+TK5Ucbu)fTBqa} zpRa6azUS!o&j<1j8(QtzckAh6gYaUFTXPOA7cz<FF+P2K33J!OMe5Ph0%RVt)GS}m z$x&~3{C3h^&p&gD{oWiFdQh6~tQ2#US>b?~^Y;K}^UK={)N~#mfB0Yc^P;WdHBO2$ zd5=yneE--%_K;^!#kQxja;hIaoHc*Ob?bKd^GT8qDjq%5sY|+NoHXgJZmvbUC%en8 zMZE7{=xOR`zMZ{j|C;;ZHm!+?-!*nszW2+#FEW|0L-mi{x+S3%jQ-}AUtju`=f!8c z$nZ&}?D=WC&NlvP(*1Mv^}A=e2VXr5=9*>Mr67Io<D@*Dvr3&`=1qRIX`Sn-xt4{> zOGOUvooIEv_wDhucLZ6YU9&^&)`ysHVm^QG;@dSVUh^5U3phOR?0$cuN_ywvpsf~D zEcWbv{3&mv=#|C2GQCcohs=vrV{@k;54gQ&VsTVa{D->Ie#K{Q(v_Z*&Z_R6csJa6 z&rG)p-%~Oi^L>6;+N*KQcKy*Q|M2(2-8uH^at+(H%gfE;^;^pKv{s&f6`0k(d1uR^ zhiY|6{~nudTX0rZ?c(;s|23cKR+!5<N}u}}_w)lp7>E0eC8|9OFBQ)3ILv>cVtr!v z;>o*=?j4v@oITO|)iDK@KW&Lur>4pr3*LS75f_(l&T2ia$G<Z<mxfBa2Chn!*t&uz z?zT>ElH~sfPrWsiA8hSirJ?)SAZ}mA?ISOyMvA$b`?4%$UL`r9C8KV3wAuQe{XZoA zO<!-?)YBigFMw0-u+H0CMt%n;|FAUeNs~<f_-W}PwWVi!Pg&G<9~KbW7j;|jOw!km z`qmGhQrW8N7jIi2b%;UbkhMv1-i-EK(Y*re6t9Z$sXUaMwlp^K>PLC+yEAX^>YCTF zt2pA))>h_~{9KRr_j@Zo%sv!Z!&hEzJNu}W&_TZAD;BJD+j0DIZdPVu<qox0{txRO z#kO|WtUl~tvExr~)W$>H7c6>~r*&`h)z$a2;O;&Ap}?|1`@;>+bi)Si4^po7I}ZHl zStK9xB>wi1L$NCgn8GR;Rb`GQPdV)tJ>!Fj#vKOlom)Pz%=7!@SgfRdhn2hhW7yS) z>H#~RZOtuu$azCV)bD`*nP{QuZ@JXBZ_`w>IT}8rJ$u_T7Y*_3OZ@Mj8oWvAPT{nz ziHx!6Sw7>wrA}*BW`CkaEB}XR(^_u!zJmVw#atgA-i?_iw@+tM%VEE1WqketI~e1= zcXY^@M6lh{t$MOgL89rz+Qa>+iMP69G*$NZGdoX8@m?}TTPC>q*(Sa1xgMOyPb}D| zcluYrhR~bS0z;Q3UY(XWBh@tgSI4mlXM$E0@bJz$f8yFg4SSV^{Zoosk`pcj+)O%C zo5XiG-^I)GkfC9Qi^VfmUeP8cdx;&%F&9!oI8Jr`NtjT`!#%54SHs><q)sG2{`8Z) z@SM&g4|N}E9P-|z@bczbUCwp|AsPRG9naRkZEg}!e9UZg$lqqJyzmkBw8W`tsbWj5 z;-*K~?Pza5e6CHWB5)rl2IcGx?VqhR^yGB^Xt8Iu^2D2EcfLD5oFQqVT66Sr_acWs z(?6|?{1}y5ThSYAV*mWl48}djem56~NPKf-uIP=lvtU2T8UIn_v(;^1ratxg9QOj+ z)Ayh5)jB!t3E$+9wU=7wuV_-vd&rR^@$~Tr*_F{>T6RA6@u}dtT{!>5LwOk!iz_B} z7W(1mM6B}<8@&}is9m@slcl+7#iX1z#U&Fa&YURdJ1NCt6NgaS63)~Mv9dxAA1rzf zS#UKguq~G8C=!rz;&5v0=;%1nv5oh}fkmrUu4gctb+LWpi8ieeRgGg;-J}C-or^mr zuU76q@MFejrDYW_zDKRU^Sgh!VAIUc8PXSCEPrTmB74Tcm&<c%<Wgrpn6|xRTBpXw zt4|h5^*0-O`=)svoG@ExlBt0XW0Js<ppycDt7JYMS(x_4o5>;aNuK=4|7~3iN(zS$ z2=(t-W-xJi`nhYaue=Zcj+bVYt>rXPkZd}~B&%-7Z#E@+d1b-zu16ex?~Gj1x20ru zyQVPSX^VY5Kgl?(_p4S7_pY@P2P5vuFmm-iU@w*BTJcKHXX4}so=XEfjxDI>PSG@B z`Z_1~+Esf4p6spXe4@X&O}iv;_Q0h6=4sm&tSkv**!W@nTE~+M%sDzPNwmnHQRw){ zb>e?9>*YPF9XYD!f2%+AeZF)O$11zX;~$IPKTt85FUe4#yuHn4&E88flihCi-waGq z&t8$$wmnm5@6@@i3wn0^4D$<~e5GXTnsYhtek|E~NXC2Vj+!L?4jyCAJu7Fvc`~!< z-aOU)A&V|e-8fNHo#(}UH}~^8YvlG$S)R-=`LM{^AlakSUT1kn-432)=lVEKG(snI zZsLrSM{hNpTKn*z`xTE5ET?T7^*%Uvyh{yOao^V<NFdN`b${}F2B!(Pw~1s`$RFu? zrjwMrzFt`Qps{+;R{bTfOnDo!x-CTH*@N~8m#&$2zx=sju<{I};s=wb#6922qNF|7 zN^VYkX1lQ8OxD_#$?FSu2ki6+KI$s&9L#R;?a)QBq_}veh_$yAnd0ouy>`5KL|r`2 zL38mB*`+J@h`Ge&u!qiM$^IcwKAoZVOa7{RCS8qF^`504xi6t7Z4vCX<rY`AVCLmx zYcI*>6tzxTUmh}PUSCMVCe?yjYE$Mm&ucif=|c$D|I3`6yVpei%FAW<U+OL%wkKFn z*ME~u(s%pbV3k)9d4Ga0tT_Ad%Rl4bc}B0dvD-%d+Vt2-|L(;Zm!mJuvkG08b9a(< z4s+RoONaFDM@=^hJk(*QmC7T@?~{A7$k~t0=*ZO@=ft1&|7icZt@mafL&OJn>o}jC zGnBqvGI}Jm&Udxs%0Q{yCZmY%N3lnf_ge<2ci%WQGd}%?#)Sk$_77L4-+FtfeB;L8 z_g?cr*F3CdoXTVu8$02`?RVNIk8NEuZ`B*ANe)*Gf2NeW31}RdICaxT`_gs6AJ_R< zJ!9Ax@N0&2kV@6z$*RKd!;)5OzrDvX`S2}v{>X<3g8NM5O#g3X6+L&cNa28=!oAp= z0S?v^1l}mmu`#c8Zu-5=*Se^d>0S_n$*h+<Dvvl{JTI1YtK}PK#cWPv7vJJC3w`Bf z?=9;#A8+3IW}myr(bBp$fwzBS`&Cw~ZeW<6Uvm4S2;(}7$oNYWCTy^p)cxqK;)ArN zV~L-PgAC3^i%eCmv8%I^zx60&{VrLKDR%=LE8n`EuDF`?NkZqlfW%dPrt7X#%lUsN zwcQR1aj`JV=!#tR{QHT}tGm3;rF~w>H1Wc--EQ4#-#pj9=)3F?<?bISVy-&bg{5uN zn}?bY0&`+(B5j4cH+#RFwORhjQ=cglU+*{VUHwQ}ev9!%xqVD_ZW5K!>8FostgUEh z@&6*zF!!?ixt$pwbK{IcXD)r0R1~%%h&fkW^#nUl-@)CBImLYQxU^O-$}$bvE_I_Q z{Z&J^<4l7~Y*&>})Ma^kDC)VMI$v!O(^BB{<B^G*hv_xOb|K9RK4I&Z>W5l+N}H~j z=lb`NvgT*q#;Y2p+b4bb=)`y3p`-FuPBp{Q(7A~d9x?XSEYV4AHZf27?V54o^NvOd zVa94ZUhZ2T)_zF(VBGF0eC|Uy)0P19BuSy8^Bhl43R!PtAhe{SL$>ER+wO^)i?5%o z3T+m+b!lnyyGj@NHC;?A^fL2r#-tr&W$UoKb?kuMkvE$prMJ9nsr%#8XtXFd)vxvM zao!Y@oGk}$T8CSuSpGA6(6~BO^@>R9ziYO|-=}!E?O_s8nXB+_veqfqjNh6cINv&p ze&w}mQ_T<&6#aOP^(c>!NqoVL;Cio{`f5rsDhGcnbX3Jy8fXNb7T3!N$`{yL5U%^_ zF@L+uhWIC|r!v1y-2RlecYl+|%zzx9q?W>-DelFS^rz08IP+NU#0MSOi=?DwuQYvl zy7827NMYy4N&V>=*XI_Q+@9TR{_tr}!IIV0%$6Fgf=xd)cv58^Ptg6^YJ1_yqP+!A z?rnd#=t~|`<U^;K+r0!@jvkKP!dz_B;9!(8Wy)az&0XtfvhaWKEq1#6BH-cDV_L;b z^@?W|e$QGmq1j$nGBaTI-3fhS&T*ViI9}`MS;xP8IW3iEFQ2)@))@`ftF@hvzW8Jw zw)ZykT6Ot%%bNw?cI3Q%WHxQvb}I*tqWyeQg_HK|a6EB)#cJn+>yOw^XHEKlv3L$c zAgA%1=SGH12{#M;mf3qny}2|`x;!S>?eX(RF?$;GBs7wCZ@d+FE39bJ#vF&hTZ_Yt zZZ9>x@L%*=G<&Dds=enEMc!Y!xRWt2!S;S>!lid{Vn3|cZCiBFCd=Z;veWjNw{mU> zWv$6KNs>Ke#$))*Vv~$e`?u5%OS9}8wR4w@RDu^heVAj_U~()$HR`3r^Xm!~Ld!C< z8k|~=O4WU6|HqoAwLa{UjF5m~e4Mf^!^Q*I@$J7pvDjsE1W!8Tc=FavR`=-t%uP?Y zwYC;ruMG&!w@JM4e09O!U%QvsP1j>~T-#Qk86Kf|E$c?2R{ho%)usRZ?`i*8dU<7a z;@;2vGud8-U28h^a7kse+P@0#O)s7291zTDV9`x>E;=|TX`}lI!L9OBqAai9@440+ ze&Kp|#y^2ON^4<5U6AoTw+D(F7?>FtTDTY(gph~2;)_xe^|Ffd^WfuMZ>L1(Up5dq ze*dS4`_u_-CeMQ|<-LwxcxTB*@7sndEjs3wk$Wx6Hq6~*^mcdh^4qgmy&iJPo$wBw zfB)z6n13J73K#gN_bk06cJE}&%cjV6Dd`O6rEB{3hdd4X+`Y5(_-lIs`8VG^&0m#0 zR2GkkdvDch(y&m#;9EnZq0|-bGfWZbKUwBaJzx-RFf)nmedQ|Ao`QqHCi??kzFC(& zOH1_ubJpfn+denyM=VPataz!=CL11TU&*GgrDycQwfb*!v)!EE+c&E2nDzMY!O#B` ztQx*AUa7B@xvGDL>-t{@jti9A%73@HoxLf4>Pq&>+)3U>mzPXeS)i@Cb5BE^Q`((F zH`h$s#uOeog(cQvj^8XU{m?*znU#XE>zmRtezY#}G1_QyhvDRoMB_%2<Y`ZxYL~9q zddXp*hOh6_OJ6rJUY)!oe&)Jth3Rgd9M4xd2*;I8)()Na@JowdTS<jkRk_TrO~ra^ z+?uwXvY0&WPV&;Q=?^c+t`c5*>A==hr?@Fi#}XfGlUsMp?@?a<ecu@-=>ln)lI?Ho zroZZ8-8ad-c-`+Wz4itDhxZA}@ALZ}Vczwo|D?gj^3zqZoEuw&56)R`Wm>j-(fgCZ zrfny)BKrPsDXZZ1ow>6~IqXVQn&#U-dAz;l*B%tUv=@AAs3a<?DPVlP!jW5V<-_{| zRSrdJp{Xk$v_{tpxjL9gg=TISH%`Cx-u3Cu$3Bi9Z)HuZ3Jef>856nP`^-+40{b;W zWd=V~rY>D}WXf}y&F3A&KKoo)dqp(K^P~6EYbpUzuTDKVw(I<|yH`50OH_3>yjv|R zYyJBZQ+Z7LvzhFV%nIvFZtm>f>U{a^!q3~KLDa{WAJUKKx6CqpxK7IawsXva2IWs( zJ|}*fRh(kA`zu=WV&(nIv5TWa)r;$2|C^W7e0NoKh^X<Eb8!iCbtA*_O(JUr|Lwe+ z8}qL8nDXn@pZ@*J<TmfQ&-Zs>?IN>}_w8~^R=={(nt$p3{`#v9g%{r2=O35W>uS>Y zWmvyC{$F$^^X|~{)l=>4cmCx=%{Z$}wmoDJU|{gmXJkMfBQ8%#L*$v*x0_b);{AJn zIj2O<iQ9K3%clKWJ~uP{*PpAC6dyXPwDjsu{Pw2$-A%^ZQ9{`_HyJ*$|6c$1_xt~U zj&J=j`}@Lg<-b<`eS2#Ewu3*f{l5~s!s#V<{qpno%D?>X*LQM#ZdJcN|Dlt6me$st z=dMl<nZXkmTe~;r#r{3lWNS5EE-$@$bt)gjrsNe(+~VOok6mA<AR;BPXT65l*Q=G! zuL!ho3#^FLziIXJewN|`?FB~;Ik<W<xBC6LeS`nNzOL^-mb))_^gs8){7L_}2efnT z<^Q)lZGX%E)^i>Lf;+Td?!UCzpDV{?J%izfYd!W~o)<`p2>iLwzj*&v?bm%>p^Seg zatU?0KHkeM(I0xlLLp-T`&7A)7v*1n>1dqu{oR6(6Z&=r)TaEuu&1K`?_=Y~wi<os zd%rKRu(H0_`EbLZiuHd#9pI_dZ+l$y#FnG)%%tx(<e#4O;^;dYQm$xW5`MS;!H%3# z`?kfOuKwldI~DO=*+MHFB)F!Ozb&NlwVn=p`nvrG3qs!QVn4j`=4*WcmXv%gj%ku6 zqAPZ;6!N`j5mfAKvdk#|{g>}zJ3c;GH(z93e#)w3#Roj6?yq<wYiYl=K++&^^HYA) zJC0j3K6I6FRy)?+^*B+#bN?&*koC#&nqQY}-tg*WzVF+AGd{H(zFRPH)}r3kRb};2 zc~7GM6n<#bnm;#vjfBW!rN*uY9?Z<@-+4B4<f?yX3a$5h@9N0TAZWZMxcU%pxXH08 z8C=Zje~+EA+#bJC!?gF`&V{c+GyLBP8Y#V6xHG_=cU#EL1L;QBm3pl<m$PhGmc}Gi zqt>?k+X{!pJX`ugPq<XC{XfIqkJq$z*O|^O$0y#<-%;=>aLVu87sm@X>u%<~y1}m` z>->%ve^-mN@Xtw~UuW_vqw7NN--mJ1!WW*s>H2gh`iK6B)&P#;EBQN(LR6oXO)via zP`dwedir(c?8ixeS251cxR}h|vtD)mw;IR$f6v{1A2{>*y#Bv013G4x8y^2q@ArOg zh3xrvp%rUZf13K4@!r+ido6(t=jYsZXIOfe*HL@N%};m!6&yZ4wd?(vrBVkLJ<D9@ zarMK>`HwdXisYSpo5+y!qoSyEq5P6#7yIYbJ(D=FMK59PoLLFm7Jkan^XyBIS#T^M zEJVug;(Lw`)&EKM8=qY*4iUDgy0iK}%boUlEOT@jy0s>~tiHefYIwQk`x{#W;{<}^ zYEE{jvFR}FTDK-`?LB?h|64coG|!K<G!VXIY0z)QCK<m{n&;+`<I}$$_^rdfQ0i`# zdHb3L{V#s+di*Tzc*0}0N%t08vZ?=YbNl`7@Ej$j?|d?A`wzM<)-vpOSID0AK=O#N zylKkYS=(%)HW}5NnQVCIe&6ov)7h3AmT<mHlW*q9o^Ho-r*l=3lhB*5m4)%bn_e`O zvM@L-dm_Kno9#%A$V$nr{1cNVM}JTaGdm^!#$N7Qgx6K`ZJoA%b9Ws1_Q&vTsdKgU zrxRblo!a{C*VWKna~|qHQTXU|?$&hft23@Qu=iDdh!ETD$G_NUGef3HRY~~nqEs<O zX|G1MD~IGX9xw{{>M-(KB*v~<db7AYPH=@(d7!(S=x?0~|Kh9OY+ZCy{9lBFxrxyi z1B>&Ez2;bbiuw3+%V{x&{mzmT3$Hx7^&xq#g+^h$>_oo**FQ#FIACR^Z582eVUiNU zy+i%WVc)IErYl_YnvS=KEfW;Fn!r4JN$aUfo2%(84=-HN)_ros%C4uaKq6c0fZ5re zs6(pjGft^pest;LQR|RRW?V@NmS$_MTv8ITVOwi)ci6o18hMSMy!ROQ@V8dyJ-YP& z+QaS3^Q40O-0s#i1bRBlE0`==&Mz?g;x+ae+h3kxXwQ$iS^4Hy^^4a(WuAyFemPMx z_^L(PwyP$63rj_PXP)p~xAOV5=Ov$J&Et7dzT)GQl3r6k8}DHM%{!iNz8vi%)9dUm z?JcuOXw{lKQHv%;_+Pd7eC>#-$%bPecDz^AKD47v|HJQ}G5^Gb-5&=${%#|uwBPuA zllTgiy2t)cvqEE>ncaT)?hoeVu}s`|vL;5+#-e|sVRYFx!MR;RW=X~i`g%QlHPV!? zExZ`6#q~3+(tKgsmc=t!;#kvp=f|pk?2dA~7+o#jonyssmwmCia{7|~lxx2Wc5j%c zu_`Hk{?~Ii^zOvH_$m7C)!RdMGaSDBJ>@asW8Hmjc_x$N)y69Wo(J?wt6y`uze3>W z%HsGB0(X>`8ol|fAU?I)NS;MB`pbd!)dy5(e!u!6w<kK`WwQCXzv3tS5C0Xno73`p zg;|bFYW<Iz^FMO3m+n81J?AO+p5+g}G3^X>+kZ3v(&n31L63{MysH<KEfV`NyT1I2 z-<xK^Blp&y(e$a>s1vr>owLF#X;WR1-J5G7r#If2GW~Yfud+Mp60gH2blW~VS@Yra zzsrl*^^1!1GN*pmFOYuzm;1@`hkv={{SH-!M9(}qmvL=jpZ<q=Z8y1}g#ENRyU(d+ z@q~2Y6oIROXFuDSe7nfA-QMxv|22UcQ$)UVR!tLqviwzYSj~o8x6jyA82&5vT)n5h z@wUbLcm;dj_whdpgzo8YVz$el`j&gkG0S}is-@EOC(M5Oc?FYC&*rst<=N+-ukkPV z$Fy-L&qv<4iI;cIU;UG{uJNXn|Ls4Anhy%BW9?h`<j*^YW9qK)l}66}i!?+&xEbzw zbkTTvK{~@ytu)bl%I)_b{Iw9`Pkr3qD%<Q{m7bS!`_1h1#f*zRkH&G{vt1wi_CxEW z`_JZYUHv^`VZy$z`|kYoxL$wT{Y#=`tJyb$kX`%J9<L6+xI${*x&8Y4t1eaiZLRzD zbjLr{IK5H{pEtfX=Qh6&e(=BP*BWj9zsz$Ft=0`>)jzkVcw=92dKSmyUzdebrllXs z^)-zy?4D(?SwDNVIMeG~+qF9`_8(MO$Nhy@Si^FjZ(NYgexrMz%ARt3+AehUMd72o zjS;-H7n~PzD*a~cJCbcGuQ1VcNw;TMi@#y`e}=1Ob<6u=jy&~dQTf#I?-B2_n=|&d zDX1^MZt!A5C2y}-|Md?N$pww(UK$^!y<N4zv}(=ii!b&bI5R;lySCA(zkkYx58`c) z=4U>e_aO35>((oGX5T)2e8<kzndzZox1(=_E{kZLqi~RU!M-xr-kTqi(mlAgZ7qE9 zGXD^pp7q~<tnEJR88bLd)85UU|E5p7S5aE~nAEnKSGW2DFD1U5r84tG-IVtt(m!G? zvcl^-RQK^d-Pq>Z%B3+^`u)|(Pu7OFC&;|)V2nDV@#>^blw;(ph{{g(9Tj!?6XzQ_ zdB2$=c<afso~t6p>3{bg@v6RYEVA9UL`j_Ykw}GyZ9r43>;5B_Cfz5h6n}e|OW$pb zesle8qVb9a<$HHJ&Y9FC^rrTgs@Lsb3;TKBub+Q^e^B^&DI@M%k%!Z~EweRL@4k4t zFI(g7>ozS*g~gYz7`%UJuyB^Dp7yLrrOnG{sJc~GMJ8=oD|Y^>GJkE`?@hlAA_Gne zP1SAH`RMt|qgXvze&0e%mrDzbe%)<U-g0Z#3`L`bU6oUEGLz&Cqq}AtKetP+z;t7t zyS$~eiJ|nwLdlD54QJ*!vGh#x`|?Rka&hI>DUF}(jkV*1ZQQOH_DwJi?OCk4V&Rc> z0>UqPUN`VPo^V*!bB{j9(f0wy=4;k=iNEm4c*1Iz+*R2aa;bk-S=@syYnK>A#(uaX zp&V;D>rb!U$$;p9dsa?AU%a|q<M*_2`jjdyezxYCBfHujTMLHu&AjqH`Uu<B-(s6H z@9uMbubcf%x9WD)*T*x{&qz*l4-k<&^4LN;^xE2n;z}2XJjeY^m!1kT?$(v%o-y5> zMSstN=fCDiB`n^dr#97g-b3~ctn+3Btm`<_VdR?gY>N7#DN~m2Fpocy5ofxX{ey2t z>K`WtX)a0k6SMS=U7U1f!OxbnbE5BvBxMA!PZkf9xNz{xQ3<gUgWd_cvObGbec6li z3WGZm<76KQ^44u_anBN8_qRfHN%elsu;Tl-{m*{>&hhEvFI%zB<9eQTpXE+lUlUuW za!;)?`ht|zw0n~`?$p&TG_6{D_L2l+<NVBPE8`Yc96!_Z_a*;di#<6?=X^8N3~nx; z^T4)U+A!t$st%`#Tb1NG_h)`Pl5_ZT-$_?Dz2K?RN=n9&+>Uv2-V$FTbQWHGyrJcp zZ1d-j+vOGOl-lmxnHzon?CqVkw&%`G^r+DdoiO8Z*5VUa?rjtDXFtC+(#pm0LB_=C zOa1i<Otoc>-i_m}`xKidnz!lrsXZz0S^b{P$^IHXZ*@xg;~LlAlL3oe7<!~6Wal0; ziuqMtC%@S9%$@#^DUUxNKjAV>`hclP%7mXSlh&pGzsT~N)#VkJfPrLCW5YRaFOLm% zTexpunBiy|vgAfnq3!HTR}L}t?-CO0;CY$Qcs4rlAk)nYBJ!J;GNxposmeQ|dj4j) zV$k)*hLaP7SW42iC?rTrIrH?cWW3MX^1U`WuD|J=OW&3zuUJv-9Xy)JtP3Se*F2Dx zGFc&D<sq-HR$_Q?(Ym(Gls6d(QmTzVIlpz(bYx{5le_=Y#BY*aqj3IF{#A40A6<O* zS7@T_JN=E7jsK-={|nu`FvXGgf$k$#Db9>@4(Ig|F1Xhv#**Wl%y#vHKpU5O(>gXe ziz_q#_jJhavtOLt`ZW1of$-0ry{9i<Dq)`9w*P~z#jIwAs|NP{;b)fLwET0-E{yAs z?Cy?a)-Lv=CzSnJCNr7ekJI+o4SsRT`{ilx(#Mz2saNdZ(ldR(?G4|u9aGm8>Fxfz z_T#RrrE?$co6*7Nzh!E$*~9F=LN(p5q<=>*PHys@+_P%Id=~%tdp7Pjjf=b~a`9+Z zZOJT^z2CE6t0jMSJ}T|M;Mm2Fp6?p=Z{BZe7qj$};^tjydjsc2N6UY4jr=Km(KpOw z)ty_9zH)EgIP=L5z4@EuuK6BIyYTnP?gHay-O9hCA5Y$U|Kamr&m#qVo^Rb}YIn*~ z;KLLLBiWb6ZaGZ}*;nMIYQ1TE!d_PVF!!<D>B22tHC#0j_oY^DdsUS9ddZ2D#}^Op zI(XvFw9kKfCRRq=my&#Hr}=Kp{3q9Ly4`4hyLMyMn?vVXH$1P5FMP3HbWMJ5fZN=} zFMH1%`}bzs_G7M*siM<-=ij$jC$LenX>H+QCnN2?MPUnKt?mZgzF4-+CgJVL2Mg=N zk8U)b##ycZ>$_aO{zs<j+q2z{7e3E!l`4_5V>l+CrL|z<uHxxOCLY;udhh?C7dH2q zl!HYca7T1nALc7({uH_H1()0DFY2pPZ5$UqGJeO=`cF6KX6|B!cR~+$@3OvQxOmlz z-P&ss(_I(k*ni05*uZz^bY^7<uawov_l?h2PT^v+YMpHE^q}JEB^JI?9y6td^6YCW zw(dLI)y!7)tK<8nS6?S*oeJ6g>%)#mIhx<U9t(9-b6+m9c<sgCy-D+~zB750S+;!5 zjd^Z1tFJH4*t~A`nrnd%EMKkG>{XLXvEClKG_K}M@wU1B&xLQ>Y!BQtclLAPvgnJE zbux?V`ieDtZ)aWm+`mF&!;W9SI7}pdxEMV@EPV9u{JUG1%vsGV`gHey)&OrtCJ|=D zayrHHieCbm7#I{e7#P?Yco{%|k%57sp%KJNNiNW<$ju22;bZ`>sspX8LpR|$n@-y@ zMh1qx%nS?yC??c1F(8)b#TS>9Ch3)djheScHv@#xjq05&!=BH`z!1*Dz#xobR16EI zQ5h)^hk;B2VRX}s?=7p{%fP^}g8^Y0$WslCtZc}p6(klV=EA)RG6{syP2=k7xfTvu zg~-UjAPIFF#I!#srey|XR2KUel;{<u7UU#W;`Q(MAJGp#uro0HS4FrG68MwZkzJUY zSd>$#SCNv8*R+k#Q_7Ph7#O<PK?6X@QMs2N$uxrIxNW>qq!!J<(2>r_z>nh7U=3t* ziZc=mQo$|)B?}Nn53`cs=sjwT3=D^v(EYc*8Ofyhr2NF96g=*NEP||?aZT$t3nK#v z^P;$mzZJ<ey@He^ysmQA+jyiekddJvkdc80#T>gXMnr<utH{G)48%Fd<t~3-lfuXV z!l=&qf13%(nBtN|9Ogg<ygi-Gekk8$VDP`qz<_GbeFqjKb5cR0cv$lx#67RPAAGlD zU|;}Y)Mzu4Vns41C9Q%$07--{R2F1lVBiO1NJ4@n^`$5#CFf-0GzsD+Ho*;-I*b?? zK$s6DsNbNNRFMQt+n`hg!ibavG3a^_mmBYC1_m%jF=(YPvge8jI7rW~Kap)F0|N-7 z2GT1DHl#qx%gf0t#v5&4>g4X2Br`HdY+^&pC2E_HO-e~EBVZEhie^Y~LrQ@Oq8vzW zf~;@GlLAmz2}2Bm#9ONvvO(ZA!&uFMq)4|1$Wt&7b07{X&_pr^X+j2%VW`8>$cC-d zK{8AaJV=dV4ycr7U=U{zXIRO`z;M@wgF%nM)g;MOyD+QVso2FNzbqrfJ2KQDr@}cc aw<62T)yp?DIj7PrHPYY7D9qT?)fNDuOvQ%) literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt new file mode 100644 index 0000000..77746e7 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt @@ -0,0 +1,161 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed May 21 12:37:10 2025 +| Host : fl-tp-br-544 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Design State : routed +| Grade : commercial +| Process : typical +| Characterization : Production +------------------------------------------------------------------------------------------------------------------------------------------------- + +Power Report + +Table of Contents +----------------- +1. Summary +1.1 On-Chip Components +1.2 Power Supply Summary +1.3 Confidence Level +2. Settings +2.1 Environment +2.2 Clock Constraints +3. Detailed Reports +3.1 By Hierarchy + +1. Summary +---------- + ++--------------------------+--------------+ +| Total On-Chip Power (W) | 0.251 | +| Design Power Budget (W) | Unspecified* | +| Power Budget Margin (W) | NA | +| Dynamic (W) | 0.100 | +| Device Static (W) | 0.151 | +| Effective TJA (C/W) | 3.3 | +| Max Ambient (C) | 84.2 | +| Junction Temperature (C) | 25.8 | +| Confidence Level | Low | +| Setting File | --- | +| Simulation Activity File | --- | +| Design Nets Matched | NA | ++--------------------------+--------------+ +* Specify Design Power Budget using, set_operating_conditions -design_power_budget <value in Watts> + + +1.1 On-Chip Components +---------------------- + ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Clocks | 0.004 | 7 | --- | --- | +| Slice Logic | <0.001 | 1613 | --- | --- | +| LUT as Logic | <0.001 | 525 | 133800 | 0.39 | +| CARRY4 | <0.001 | 20 | 33450 | 0.06 | +| Register | <0.001 | 903 | 267600 | 0.34 | +| F7/F8 Muxes | <0.001 | 96 | 133800 | 0.07 | +| Others | 0.000 | 25 | --- | --- | +| Signals | 0.001 | 1206 | --- | --- | +| MMCM | 0.085 | 1 | 10 | 10.00 | +| DSPs | 0.002 | 2 | 740 | 0.27 | +| I/O | 0.007 | 22 | 285 | 7.72 | +| Static Power | 0.151 | | | | +| Total | 0.251 | | | | ++----------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Vccint | 1.000 | 0.039 | 0.009 | 0.031 | NA | Unspecified | NA | +| Vccaux | 1.800 | 0.078 | 0.047 | 0.031 | NA | Unspecified | NA | +| Vcco33 | 3.300 | 0.006 | 0.001 | 0.005 | NA | Unspecified | NA | +| Vcco25 | 2.500 | 0.006 | 0.001 | 0.005 | NA | Unspecified | NA | +| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccbram | 1.000 | 0.001 | 0.000 | 0.001 | NA | Unspecified | NA | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | NA | Unspecified | NA | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| Design implementation state | High | Design is routed | | +| Clock nodes activity | High | User specified more than 95% of clocks | | +| I/O nodes activity | Low | More than 75% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Low | | | ++-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+--------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 3.3 | +| Airflow (LFM) | 250 | +| Heat Sink | medium (Medium Profile) | +| ThetaSA (C/W) | 4.6 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 12to15 (12 to 15 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+--------------------------+ + + +2.2 Clock Constraints +--------------------- + ++--------------------+-------------------------------+-----------------+ +| Clock | Domain | Constraint (ns) | ++--------------------+-------------------------------+-----------------+ +| CLK100MHZ | CLK100MHZ | 10.0 | +| clk_out1_clk_wiz_0 | clk_1/inst/clk_out1_clk_wiz_0 | 10.0 | +| clk_out3_clk_wiz_0 | clk_1/inst/clk_out3_clk_wiz_0 | 83.3 | +| clk_out4_clk_wiz_0 | clk_1/inst/clk_out4_clk_wiz_0 | 20.0 | +| clkfbout_clk_wiz_0 | clk_1/inst/clkfbout_clk_wiz_0 | 10.0 | ++--------------------+-------------------------------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++-----------------------+-----------+ +| Name | Power (W) | ++-----------------------+-----------+ +| audioProc | 0.100 | +| clk_1 | 0.086 | +| inst | 0.086 | +| leftFir | 0.003 | +| firUnit_1 | 0.003 | +| operativeUnit_1 | 0.002 | +| rightFir | 0.003 | +| firUnit_1 | 0.003 | +| operativeUnit_1 | 0.002 | ++-----------------------+-----------+ + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..345dcb4c14ac0b8cbe007079ee8be87c057accd5 GIT binary patch literal 527512 zcmWe*U|`_jl43J5Ff`LMRN@M7iVsRHF3l+^uHxZf;9?NsOe{^w%nvBaPnNpF$-yAN zAi<!(t?`!El!1YP!GggRMEQb<(EtDI>!TdF*us*NGxJJB85kPuWvBW6wo#qAo7+Yg zq{I}&wFOByaCk5TFvNlcQ$a*2h^Pe-P!keMD@4=Mp4rRJaQJ1TD(mfN3o!x2CC-F= zV`EWc<6s9_uIr1fRi}KJV+An*#3j-MBU4cZ1_h`I6AqP<Zi1l|l+se%ZI8$c`~ z-CziE!vT9)kQNe6aO7eSOHR%=G&U7Idu9z;MnIx7-_S^O*36k?nc&RD8J3)!SXvRE znNN0DKzx!^l$Z-iRu;=0tdIfdA*j$G`%?jeq0$;l8S$O})f5hW#LhXy1(6H}5Q zLBVx>u?><xKwJX;NMguR=3*<#FD*$;5xuNmYcIQc)hb)nr;eF6T3i7|`6;EzC7Jno zMaLPr__)}dbMlk3i@|x8flHW+J2)pZIaR?YKRq)UEXc|w#>L|k8lsR`3>955l|zD2 zfsIRmi_O_FC@9iIU{x!V1fv2smk<|6P-=Q+aY<^Cz=c%;5{wG$T%uguZsz)K77D(l z6{*Dn5Y2pC{9J7QB^jwjAe$K#?y+<6bFl|!rspN*fJ7J+7`b@3SbTk*eFeBA7!<^~ zc)3_yf&+>Lp0G+VC<t@$a4~!8`wPrvl3-Af2Nw!WjETVosmYmXl?pDY#hK}O3IX}$ zsYMD-r77vDB?_g*nR)3t3dN}<@%aU*MTsSudFk=V`FSasps*-b&`kkriZ1|Zicf-Q zvMEc<DNR+#%u@(YEGa3rQ<~1g70M-0k!+r5WMEKIoRn^2VWMj&#Fd<%o10pcoSB#- z#a>cbkeQsAqa?@0Wn^Gzq-$WVYiKBh6!20kWrlhNx|>$#IGH~3>~XZ<aNrQ?X5<AW zH&F(L6OOVsLsvMd&RKEJQP*B9#KDw-VS$6iFGeTv+|-oJ(p&`%UkDvgl%JNFld9=! zY&_W^fFa79OTy5oB;U|fLBr5Up(J0y&{V-Eu`;!&SW`#<rq|Fwslw1eNmCYNlLChZ zhXF?dM+RpBX9Y(CBS!}##{@<WDQzysa3RJpDW(E9B}PXrX8(*_Q&5=<PI<0NuVe)K zg1Dg|LP?s7)7i({(7?dgBT5Ltlx1L0a8$D35=zd=j?XVGF^mT>$}_9t4TNMtOhf(5 zyy6ml5EE4zU8gaAok-HMYgR3DLbB5YzfL4+Sq6p$FxRFf<(HP=)`=z!cCFUiP6e*H z3S10A3{p=SxwyeKVti&^erbsiGDk^(gGGpkmwhRRnE(^0z;;j);9wTw;gvkXWiG%9 za)pDEAP18WFK>h26AOWvjIs<2lFmwk9E?J|yxMPmS_muv2`M-$32-n7@$lX(JZdSx zAj`n;0Av!k5D)Lv{b42oAT<s4N`f5s*@bv`Kddyj0xM!*P!i-|72@Unb~emX;0Z`o z3dkgoqLcg1n+cT4GBCVyQWD@`6XM|wkP5IA$dP4WxZ$KE$Z-#(2$V*l4wu@@%*6_d zJVPM}C3TCDiv<*=LR?^BaP&gG2i6C2CIf?#00)y04>+~M+$6xkh#>;<+_HQNh`S$v z0tMj!W?2RX2atb3VTo$AyburX9`iN}SO^GkhzRlUu2WcN3lo8ciS*|6wg~%KVfMp~ z7DLk}z=0`(t_wMk;06(8Kc=%Wy@nnl*g_36WI>4wDTt)*FmiFEB$bww<mVL&!CBCB zc|fPn3>Hq1bZO7L+Y}n%(1@$h_OlR>0i`%cC5RKWPNrH2$jdS?`~Zo7ocXNL%K{v@ z@KhPXCTuPM4HIY#+<&PENxI-P0Se39m~aaL6<G!b0ay~O>5{Y%5RheHXmG@m1eF9h zK#B5R(+M*Hepv>F0!OLa%v=JQd6^}di8+~7sqx@^D1;#*^@5R$ucSN^R0EV0<>%z2 z7759tYtu(n01YCB$@@$Y0Rjr$;BDK?U|AQEwsXYTECl>z85rh)`~gbau~~8!0%5WY z3~NAH37jdzwWh<p56P5%N7^j}T0ohS1(qofX>pqgXvs1#Xn;%tWy&8}%@zU?vJ4Cx zKqevb1iUl>h5v`^P7t%f;SWkmwOL7~2uFgPZNFoinE;zC1H%DO<^q*C8W-3t1$Ke# zw}O>8AsKv@0!KkYt{^9Y%x>4=M#_|6ue8b~LWJPu%aV9cOMx9AH_ZVVg>WVdC@w)3 zvI+6>ZrUztDX;}(x(Ud1Q0e8&%4Q+J0V)|mX$cg&+@=%F1uA427(O^jE#=^1&q+-y zamy?cf-$7#vvF~zWfq0zWtPMn3JF5x_2EKN<IQlk@XH5e-!&kD*8(MFA|X85kJA z$rzkML4kH#=mT0@=Po;HCcp_wvmgh9;(E!QD02ZmSq6p#kO;^Nfv=iP1h~Kz1*}N6 zdCUvRAz-6HiN8B~u9<+aECa&~kTy_MKa-h?6hnwGgk~d11>nNiZVq<i0|%)kOkDiX z)=gO|)T@e^UPV)a)3ZATZH-~20i;e^>6>gR(8?^!&~OM8t)M!oDl*wppbg}t!>~AP zUaMv%0FP*PAzog7^F}kI<N!`Iv&)sN!48KfnrOX5OM!PFqrQWT0wp$^h0TzZ1y0C( zLOi^$R?IaMFaT8mupF^;PN=0oy(|O6KhzunG6-Bt2!jguy&YCCzX))EQW~-=1vt>v zAQ$EEOae-?J+4uf0<EAl%L)ntP-SW^nPw@_$Rf+&z~G`J$N}>3meNi$fkUA5zYwGf z>|u@dDsT@&ngK}VvXTG?rtd%@;JCLH9s-b7fGc~UrN9)B?@oct2IbtlRhgCoeITLZ zppXNl)PFr6krEFUH(_x(7XKkq9W)d$g9<Y^p#}Vad01)%2Ny?CW_kv=pn$Wa7NV3C zLNFCbMa6TBqCx>v6_I5{8{1{HvO=(FBU)ME<KjfPtT_9Z9j&a`moOi#tdLZijuhR9 zSOcXAa3%nyCFHVVDVDNA35#E`7Z&EHHO&#(36$QD(=w#6m~*zmQs6g}EJMRyP&x$_ z78+X{Ed~C7vc*17Iz`Whki3Jfu$U>S49`1|$}-c|+fv{e$a|kbMuEJCSy=2jKL@R_ zsNH05DNruU!0?W?g$1Mq-IwiRDNrlR!0-!X8z{%+TLf4N`~;Pte?WnPC0~Neiiwu0 zaQ{Ne3e*q>l@+L-0|fwPS>biO-BO?n6iP=y)`7~3wUKp}0xck+L!f{I#T{}=g~S0` z`Gw(fY~Dj8I?{`Z0rRiad`2$5;^droPk*OSw|H>(1&xojegrkx!ATyJm>EEQ5l}#a zMWEdrXd#F!1gWv%BH%g=)M5aOfU1-RduSsYNd)4;ltfe)qVNaUg-Y1^MxeayQS4wL zAOh;tf|@j-09v|;-9kW0mVw~{s67u#j%r_etOO=;$}%`i0#%-%QexS>$yNf}IAs|Q zOml(Oi@`Rs<^l<z#ww`R2c>l*rV2<70f!=}i90K5wmGboCBOlSpid_sSPMvi+R7mN zadgD)2AzR-1R+Js`rZsHfwMfa3=2+zoCM0F7vxkd1lrkU84e_ZoCIkta9G1S0?4fe zN$|*uk{}1DHl1_c-%4O7i!6f!vx`vYVjd1wq2|RrTr44#1*u%z;ITIa4PTEcO)f47 z$1gEAl}i{h5~dK8Sdyxs;agIqU#!W+rmEnPnVumO&&BK$8p6e`Yh-9*Zen3<W@5p` z>R4Qvm(0a!WTt0kVqs!z!NqA}u4id#Y-nUA!3l<}5}XRG8qB5(t$EC?q{00n$oPc5 zb6!cjQ*usrv{7t)X1t+L9BvCZEcJ|yjV&!qahq?F!-dOy6Oj2vcs*cgs%L3nW@KuF z+XBxGjJPZ?09jy&*8&quJ#%9dV{<$q#NhSL3`YnV61Kq5RL{`B)WqBXw-0({aav#u zu^<L_6mS|F>6sZDn424MaT*%wSz1_H8XALRm{S54$JNbm%&a7k<2W-uJ~^?ds4_k? z-bg4KHgJnQ5gD86nHZZInj3O)ni%LA8(12fqbH)pA?wYoL}0@R`pJ1EMg|ZIgd%V| zox@zu(7?>V(u9lC(oE09%)r9Z9L?owr&pR;iJ}-BZ3s@6#&}IO(lfL)Fg6CIOH(}~ zV+$h#bSK}5nQ3MviX0|BLC!wj;1r*ZKR}F3^$bmnOpQQk#!}DRz}V8<1kK&MT_>7Z zDPW8sq@?B~Rwn0_zyb$fyqcKl85&s_S%7RY(=#?PHZV0pv*r4Z325=^1olE+3D_GY zxPzY4)IiU`#N5=>n2QsX1uP6qEYbb&<X^v;l>x!-qJD5td~s=Rw4ntgt<u+4BXD9c z6sjP}uND?)A(`;5-^|K{aB~;tS!0N`@$m*i#e{4&G%_@YgtCc&g)w?My!f);%u1i= zP&T7VAXn@oDQb-@A-0kh$QTLg%UaR`+0YbhEhvzQvDp$5$k>wdq#J!CMX!-LNjAeX zk+Bha0XC6Dn++jV3bB5M+iZf7q)E0JQrD1fGe$g<YO~RR*=!7HA&~B8GxWleR6iS& z<YyCT0b*`xVT@i0OdvIdA^e<0pq?|)Gq*4?G&KQdEK3VR6H809a<k%OpP7|1p?VG! zzQj}ucyh4Cu|85NBO{0>g@~~go`*1VuQ_S9LNhOEwwjotS4O1T3aN`ov=t-Ql4>iY zh$PBZJn?#M21)U13@ILovK1b$CK$Q(Dv7p2GAmKG;_>T;K9c+jt%Qhb+Q4l!GC?ny zz_yaov;lX^3_*F8m|7PR$`~o?J;=W#*laX#HbdI3AU_jPCK?)BfP1`_dX|<J7AA&h zWnyqJab+T@jaH2CZSo_rRfA|x5#dV%GgAvtmk;DiOG_j4LQ2DfIA0nG5oMQ=A+(mU zw6w(V=xlf5>@p_KE<;NTE^r6P00hutZwirinGkE2Ipubl;_YOZnClrE8=9L!+6X2V zrbfmFXrB6hsg0CQ2DG@t({%@R8ci&XEkTwVndq6DVhKr++pXw>0@2WFIS5am$<RR0 zz|g|P)SQdMM9<v7(99gY#nXJe#mtI7rzklmJ2|f;8tPg+W^$P6S%QWajJP<BEc7f) zj7&_>he%ei)?t`wB$SBTogAimCKeVZW=7z_3kyp#ON>zwtBL|MD>;nD5lFx>8q$$7 z5Gu!Q1*eH2Xza)oG_+%4sb_3tVqlKmzo?#*WoBhee8&~iRsa=r#59fI)e7e5faIb~ zQW|82By=GO*-A>40!eVh`WLA(Lod}ONc1nHE+eYRfMhFr<xQ%skP@EGwnFP|I@=2E zyAjnqA{4@;q$o%Wl#CRG9=GD8r6_1G8E=6B8Pzj^*4yUh#^x62RRIggRuT#fM9YYn z)*zm&E6|up(in^(q=W<oG`($xlvTvqj3;sNk?Lnir)nT<CM}++=w~BDJQEyBH8(H; z&7)ZAnVT6KS)kY9RSlV>v~nO}jlXGSsb^_!X=-5x9sx14G_}AOX5%*`$x>(mjkne( z(ozyKF~n9-vLdP0$LvgA4ofGc);A`r7Q_hSOQhLq2yGD(<5xThnM7NSAUTMbTF?k; ztGT(E33}u9Bx!y%hD0siR7^yzun%M_@v{(6uToep7l)>kR3ktKe~3-S&_KpEA5a9c znfR$KBWNH~n4n0t6;d#g+Q30?xPomZp@Cxv$+MtPCMJFfg)#{?L+A1a!e(-7e2lT% zLQu*g!OxJ%Z189szB&Ptg-wm1?JIK&BLnn;BMW3R33UR(%fuvWsKwYa(>?=|>I7)J zj+k;1-|X~W(rh(?G}}qE6{991(N^d*F)_B{o8s9;nqLheon9j91iT4q8^~7T>jX%8 zBBr#$SN&}PSxQ3wf!2SZGJ|mb!P|P&0NG4J{(&?fiLw~~3V;yJbdrW}Ak_lMVtnmo z6H7f)BSQ-#&=MF+Ju^dNb3^p8qc+iWQrgRqdI4|$lL$*+yi6g<w-8%FzOBMDQf6qN zXKHF<XlB91X<@Dh9*sor_+DL}Xl7*w8hk_E3xu|*N8c^jH$J^6u`<56B(WqFI<{zx z-_wN0Upv=Fk>qJe0~X}zBz&H>)HAj)Gcz;b;xsqVGc__b!Kf5Wiy{aOL7E7q;IqS2 z&&0^m473W#%mVv3?;KrMGb;t;l~gW?C5iF=r6uv1c_q=1kw2nsF*ejQ0>>ZP5H<l@ zAWbwAp<sM|G0`(OHa9T?HCfE{%uFl|&Ct7$p=~b6!@$r=15e4qVXg-n2RAd~;;_&& zFg7taK%Xf2e%sm1%9O-(2Og$1!n?%HK+nL;+!!>KVF~Im8X23QPtNPgJL6b9XGCnA zo0?&djd_<G@x?i$wl%?90}(N}EnVtBN&y89G($pOGcYqYF$c|+7-LV)(iskTy#~&k zCU~R9RL|7N(gHMWZ)v7yYHVnXvFi3Ww=IsSF%indorF2e^~?+{%nU&j8HRcm;At1M ze3HVhZ)T;2oEt&w^D;8iN?=>@qYYxAvk!RZH!Sol&5VqU%(*x%EcHyxjf@P@OVmy4 zbqN(NrUZOrYHVx@S{iF)p=Sur_GrGTvDYTTH_+}V{(!SE1TA9a;xshXGs9+;Mx+)I zRza#Gp)9;{WMODx4q9_<Y@%n3EsjbfHO;Kl3B?gH%{W6NJySyyQ&8~?>LP$<;m~7g zhN7mKl|G^JCn>YUIlmNCT!UA>31#E)pqZYbsgb3r2^VPjH#YyJ+*C8O(j??RNOlEP zayfV`GuJaWG&2UzGvY`iFWQK)4U$Gcwq@Y4%~a3Q)ZEnA45!zgZd4^Al|Z~El#9nE zP{C?sW&#>nG{Bx9!)~gWS!odpMWhZSzC;PO&Jet80f%)*Zm1BGD4|}%+b#iBO$J7w zsZm@-$Hf>0LPdv>P$k~rvoJC?H#X<uG`7&QFfukUK(GDGLln%c%m^>6MQyBrTlL0x ztv1y&H@7gg0BuRI&@(bJw7^($Q@Ki>bgLobhsHt)czkW7XJBk*VPwX|X=$NnVQFZF zG5+xIy^NWaEJkhz2|(wZErjrAHw!~!Gf@3#grkDdc`QRj=0<c<3i0^IK+nL)!qD7+ zi^D+A$iURd9KAhOl`BI;;gynDlIT=fk{S&ir^6S7;MlV?2dy^25qtG2pdf_rIY3Dt zkVrDZo3jn|j10_;EG!|BWM*oHv35pETE@&u3lt~#x*{(Aey;KU@tN_)c&#(mGcYzV zwgeR<X4snqhBu^%DM%ny8>ocDSCAO%85)_J8i7(j4(pP3N)uC%K&-=?)6DeD&CCoz zgI>m#dS>PZW|ruKn;%w7lb+KcI~DL}ax*;>Q&SUDOD;}hb3IE7V>46qq`9L-iVUm4 z`NCKz8BeA#*E2CPvam4W;<Uig7dn$5g|~?c9{(}H+q$#VGczzUGB(29x@)*1NvMd% zpD@8Sx0$6e7bmWEP=kOZF$ojw9q<q-{*uew(9{f6!{KV-P2-m&qU3_4OHekzx1z{I z&&<fk7++Vi@rVR+R}$Q9Fvi<IHL}n%u&^`)weC%D^iSWs6Gt`_5;FJ-bWTG<JqrU9 zLo-V*PBR10_AoOu^cG^4xVV{>2BG4pC^#h%ysZdd)6iJYz{uRx#GH!*R8D~U@n{YD zWF;{o;sD|=P#oYZ%FXl)4ULSTTlb93Of8Mj$HdKEh?-f+;wZ|&RlO13tZ1fZVQgk* zX3E8BYNlskYHo_L7HwXXDBi3Hj&}SD$w2k2kp(Cz;i}Zv3X2lTiiSdXT4bQLYR1ME z29{hLMtUX|<|bz7jiqx8c)bCgdBfW_0hgHO#wNH+O#7!IIAg;QTrd(CmoS2k>~V3L zo9co4I_OC`=7I>`=m5t#fw3rrEk<P80v-yd*cP=7`27O5g-{3E%+SIR)HX20F?bg( zB|=1h2HLK|*Pk)bGqW@>HUZ5O;TTwnIwDL=X%EgZ;L;v{``^IC&=^#?m>KC=7+V@) zOx4716egp72K&^AKteY+Gcz#)C3GCEl8}=^xDz_KBZ_y|Gl!v`g`uUTr3DuUXaT5& zF-8ZYZY#(Fj1n6p0Bz-4;vWV>q;Xt1Nj+2$UrsWF<|M+4yDcm%%|P9ET;mfyfr3PB zAu<yRz|&|l)iX6VH8C~g;xN@SG&Qlr7_4Hm5;U{oD=5t=PBpQ}_NanZWlU~vTwI0* z29V;!kV`l@Cp#YPBtkAu9NW;DB@lb8-7g5>*d-ocl$suG5R12fHq<jTH8r*{0mZn1 zsfno(dPDc=83DY}0nRn}n|y|Ph9<^_76xEDKm*n2mB8bJ0%lh7$O8`GzK>@fcpTpt zIv9>`O|*%gxuvOr893pZnVVs*K6G~=Yz3i?zp<f(A*f+)Vy0(mXkdUb;J*70Kj|4C zvI-6VM31q79%#JM7_uwE(99HbT$k|}KS>ikkT3-`bnq8;MutX41|WOQ^{_T{+&7Zr zXK)_I-x)F1votX>H#6hnG_=&SFt;!_L*Ky6JBJ@%%7iRiHOALQ<uKQ?Ff=wdGUVdK zRZY#v;U}Wm2rj(ARR{k5m4%^+A!z&t*Nj%H4}LF!y9g$D55_RjGd2e01yE))F|jZ* zLT{=3Ud(4^C4-#VK<94wfHpNmgUvT25M*YCmPVj%IIan^FLU^a2r_UZ0vu%cTiQkj zmX_cXAaG>5{4PG6RURa7;cwd+nduoDnp+x}fDbJJGtoQTVNrbe&QyTyEx|V_PDBpg zUByevoD(Di<6HH>VXS9iW?*h%0PboVo0}P!p?6b+LU~D_A_UuvzpOL`caRJqOJ)ts z&CSixQ(+)0FBxSeIMEmj;TuSYbOa5JaCQXco^cb=@Pu>(@%GWo^$ZOS%ndBLI88zG zROTk;=rgb?n%wwGD98l0kx(4|-Oz?6dWJ?umY`8>6VMhVOJj_)9NtXhGP4qgcQ=ua zK>?NU_%fKOo{@o}A!yju#8MAyOofGW;m%;-2r&}EH(+6*XJBS%2AY+^HMYQ`%7Hw# z03GPZm!07KBiviuq6^rG7{G<kK0)Ife`RQD44GUtG1fD%Ff+%P_tyBpOh%#x`xkEm zfCJo0G&Z*c4Obf&7#Lw}{q&7wCOspAn{F2PaucVav7U*sp`oE67pJ+go|%P_0mdH5 znOB(1tW-cf0F*Ihv=e8*=l$Rt-UZJSnV1-Xd-0(08w2zr<H2S|Vup9Y#gic+Um04O z7=y;UaQRBAje$5{8RKnU8|WFD8Gxtt4D<|4O${)Has0U$@D&k|wy!b1lcYe2!`#Bu z)D)aJjLZy7(2JqI;QyvpvdA^QOMDP$fIqJ!8q!L_dsG$30y7iPSz92Mk)f#}x&^}4 zm=^e;S%AM`WMX7y2HuDZYRH2o*w9ArUL^cA#k150vJs46gVNl>0CXabp@p8Yu_5Mg z<ahQ@_$+}G*T(o}s6hu|85^1#fm-UYh6Q@F_1)L^rdH|%^Gmz|cmf9Bn5T)JnT5Hf zfjJikDD!}ZrO-l6XX#s0!qef93<Iht@YSne%Pc?>)Hp25TJqME@cIvkWrV`e(8$op z&=}DoLl46sl{Z9(p%H$|4D~FH%?%6<a9g&d_XSaw84`*x(2%&Lk%@(Y1#Zhu1`ux< zVV@bA8iRUJxRT;VMdB<oBFblmpfdn**Au+*#9Ky$&rD2AOmJH!DNCGX#zguIJnDw4 zuJ|uQyk$iB43t1{S@xDl%S?#%89vKikzg5dJ~PK1XD^Ag%oN;~z*8`S^O>QEi2;=? zBT(cU>RD3BGBfDdAntQD4XJCH5#Fx8DX9Nw0NNh_TI~g@U(lOmAGbawqJjapGr?W` zFx&&FpkW>Z0|PVA8WYfJZfw@E{=aW(B?Ry0c!t4F3XLSrTvG$+JPukt2{sq&VULCc z2IS4mjZHzbbhy?6b^g9*ifbMaGLmhCccCJ<uC)NQjBrH(-|o9aRJ)KUAkdu!E$cM| zols(-XJ%w<iqX=)xBfc5_7UXhEBy1C=6c5F#wMTzswTKvdSX}cS^^%TGQvCVVWeki zU}<h_3_c*k#K^$V6n#+p@Y~C#BrlBycM*+*^6;fK(7c_cp``&Ar>T*iiMfTbfjL@Q zORT(LN_YtlsCnp`2QChZaN7rJ_n8}7fJPK?O>zjQoiin25hl1VihlqQlq3y}O-#8s z;k|G)FCOYTOGK#v9s>rK3i!GPCVD0Y78anA+`>@L#LUvj7`^jh)OZSSf&oXHF~LC= zBRvZfLqkyMgDWT<HXSv^HOOKpgzrRELnA$NLrY6@P>a@F&%naM9Ai9et<_;uoG0ZP z;@t*fWB^(vZ3aH7*iz5H6trj+Ek+(ZI%H}^!WJWNn1SO4-`J6vo~enUImlPI21NQF z9>Q7tK{j3Dt${$@DKm2uQ%lhBgOQ$vxtS@(5yPz44w@3Zh6H~P(L~R{)W`tT9x^h} zGcz?dz&I6nUF1Q$X$?FNV2pS70THn^&2yhA2`95cA`5Rd0$#mu0a}WKYtVkp>^($O zBjDjayvK)e80%S@ni-fFgWGvVCI*HWOXs*scaUEAf-5jHycL*%o`JEkA!ueEv@gZN z!UAI;#3gYHzUYH&-^ZUuK---xOhAjhEG_j+jZKU&(ny!mCc>5&36<h0)-CnGqf^FQ zoMxb9_LjyN!$zAHY&5kpBD}^p*f~BiB?WRzYJ6tAi4dL^7>BW*nTdfpXe*nEsh*LM zfw3j}MD6lb>rJhM;SI3-(h^t;3{P_$v_A=CE@)k$nVz|cp*hAXpzAE_h|0vtIp8W0 zU)Wjb8Cw{cnt>KcnCqDu8kt~}7z-CJBPZ<4@$S7a(KE3$F*O0rl;T*0a(v=4QzG_W zfD^hQzA+096FoCCOK=TrW~65XI!qgVe1zxiBBH_*ys!>`TC~tJwlp;aoqhsNi-r~$ z`B|!U0XgAmi8l+F>lvDun?pD3TAG`hS)%(<?%iB+ti``1&Q#Cb+|U^0TN494OCxg& zjP($ji|3kRIa>|15<ET=HWi4kMPsICZf*+R5MgSfXKZ3>fzi(9<d}=E1cB^0#6JON zsb^wgVhS1|F$V2QH8jFF!u=cj98)VZV$N?vEkaB|1BLjeNi6luEg&a2f)fmu!mID& zEV8UN0a=YdXe})*z?sn0T+iIh!VF_s{|WY)c!L%^JP8`fAZ~dM=mdK#mpC9V&xtmi zW{Uk12k`P7!&spdE}|_1?f3*OX+l2v5ZSWY@~Nh1*CBvyF^CoFWW-zHfHrr47G)b+ z>KU1vTN<I4{JTn~np(MGNiHbY)q!_0=jWwn<d?(;2gT>5R+I#Twljkr0qMDc;;;;l zQ_S?tj6l6NE>3e3Jxfbd3ycL-TUe%;S{ajE_Zi~PF&28J7NDh2T%6|SdM1XJCKl+& zrv2JG*_6zE+y+7gxV;Q&<(e3P_EU3#n5GuSm=|ee%$aOzr32~}qD-=tpxhj(kGyqU zs2g8|o9dZc8W@0@F`y0XW(I~9=w0Mx6DFBjd0>ffw0pSlMmeNA35xPoe6Fzs%`Ac| zG+fE=bHOB2D<{l2CpGoqt#iQ3x=lgtA0trGvoONwrE#cDBB#zV!@DEGRL|VR%+S)5 zi__Q?w9U%Q61|IC|9JwQItSWfz*jID>w&f?fEKJ<80#6Enj2xXz)U7hz*jIDLVGs& z*5H}x8Jd__ni_I(8k^}E7#bU!q8B2+bo%l51Cm(q#*m4gg{iqEXz>xaZm}@MXnjvQ z-Ahgk5u61#u&}fMtr5aCEPriQuPITp;D&hnFeZ8imIh|V2H;h1h6W~P7>xs4uU>o+ z1=#>^EQEj5$k-gzj^hFil$%-_SYmXPdam>kF=|v?nglN0VXYo~8vzXT%*`w<&B4oL z%}p!}OwgNiY$tp0`U_lp;O}}G=$RXsSXhGYBQn&pFgC<&Gsb!KklFP#63QU9n#O*8 z4stc!<lBul*aN>lrzkaD2ya<wu4iFjXbL)g!O#>`Wf>czm)r#bT@;p;&=CcEV?RcE z1_q`k2A~b0W_sopmIj#TeSYpV#j+t3sg5EruVSQUW@2h&VgVjWz`FEg{pk*(W@bTC z>G+o@o9G!@SXzRn?LlL824<MUjA2F{c*-ttyU`GTBMTIB<_3nQ;FADMEetVZPPU+( z(nb~}>OeIvzDAY_D0`TKj#w}@2kpx-#@H#kFQc8TMwX#a74DV}hnXIzy8}Kq22{gh zY21C5ZZowqC!*PbHnL%81oAV!fdSCjohFuM=FkO3Sh_^YPg=>TJ4^|z05UVOG&DB_ ztw%IAHa9m#pF=b5Yr$9WLH3Lq3K81PY-wp=0bT)QX=Z`Z*=z4;CZaqC^<lxgnV~gt z3nSizi5zBnCYBav;8mWWi8V`8GxW)h)3wc}R(6=pHuN@Ra8P_&W==_JQEEzXVs1fB zD!9V{ZP>Ju><sjlJJ=ca#3U+w?!cF!O!W-R4UNHPUf~%2>Db>yPKGkGBpCB1riLb< zm^U=GFtad48~Kmh+C)msLxUX8XdbAG0;+&O2T0&rSMuynBhlruA>NJH7J9~JhNhqb z12m3-Z8YytYa>~M3Fe^k0^c}>g`Tmgg(+w%58T!SHJH%CHobv7t1UrR;|*d%&=EM` zSub4e&Apk#1u<w*k_o=iL{J;h($LZnwDJ;Hd|vp_KvaB!EW<l=39-x!JTQgJXY*bX zXBlWw5)nQ#0k@TKS!VTwc*}_JnTZLg^@+=}_m7FQ474bT7@wJd)&=0QYzGOJ5#=+` z5;<I!Z6(q&(4r)wd<L3?!)4iK5-cOiXQ0_-N-YB|N+QlOJndOxeFn0OxM0My0*YA6 zK#P)$@eW{snsOG#ps{*mV?85dLqm)^Py4Ud;%PjC#}<r)>hLri&GjtIP0T^3O__kM z1+uWf7@)jVSYv8sO->yTIcpLB(JG)t4@L%{#WA3I2;15u9pfrfA`VT0bQC}p0KVQ8 zs5@c+o^&xX)H5|UH^;c%(e+RT?%ox+{bnSDufbvrnm956t&ssATZyGLTv%5@PJ_kJ z0B?nEre|PcX$jg5f@_Rzrb7i;6}lNHP-`hlL;9Q*rdC#DG*@9s2!EU!TNs-_PxJ@v z;lY?)-Rn?}bF~EI(h(!Py(<$v3qvy_=+vi)g}E_CukNRM8F{@c@LCXj8Pr72z|7Pf zyiw0m&&bTc*c81H&ZAdEbOtR-O-yl2NhwM#E{;az7<{K;8tWN=_81$3PQwI^8eq)Z z9o>*i=Fkt`l9s~|bTFbRsARAJEs?h{HbC#-ExMjb)MPApa@$C#9p6Z~sh)+Q0pwf- z13go0<Mtc2XP8>K5KhCO^Ja0))PTzmL%ih&=q73dOVC(3uH{k|OVY_HKg{rtAVBTK zbGI4TUNS}y%)lcE_{vOEJwqciGtiiq362w}&QBxH&lY&Y+C<ON+}Hwqw+@aY^bU5W zk`vbECWP%ZG5{UqjKki5cJk~sCQy!9nj4saCPYkd*xOl~N>({$4xU2BKTd67Y-wg` z#sxZG*VNDwqa0b+nu2e*269dt{zGvL^vppEhm1f+Mi?6#nVX}J;6@1Jw*%TsPsCH% zndn(qSQ>+NJAn>&GBq^CIIvIkUoxIH8TeEjVzMG=y%nw^fbU2$S<z$$jwXBqiQr<u z5VXn+m)(C4kZHFus2zd77%(uiGzK5!hhwbg$B!hkvLpT(YBN1cGXo>g!dp-q7~7JV zDdI_FHJu2Sd?tEkrbg!AmIaQJWp(}~kmF?w{H;`wy@n>>qn&WroA8r7d+{f2@c6ia zxhZt47}VZJ8~b6H6HivswlDxCFZ?BtnSr^XsRiiBEYQj;3-m$Nr517cN+8I#0{s3n z)-yIRF#;uFT&@32uVcybpCQ<P__B|Qo{6y$xNn1NE$YLIv1FwsGXk{=sDTJ7GH}^@ z{sMV^wg8VM;xDcU4G+7z#E=!<=HT#d!`Egs&@(nSw=e^b5u2Eq8DaD#kIaptxXB2u zlJEtBiJqakA$Xkwu0ywX2t<(;2$taP3I0rFVF22@4%#YfYGG-LF+;LiIs#v&f}A8m zs9Lu$G6G##z+n!)NETzEWZ{``ymml#L<n^eh)mG(4e(}ftdSYl5l;EK9Y}0~N-BJP zE_2Yn0}EqlpUd0?<Fqiv@^CWyT=+X5gi}(1VHjCOh$Y?zG3Y=QGegiU53a2*i)VzA zW3MIthB(MxQwwuT+*@w;Pbbe_V<AHGiY6AI<KPVRj7*J;4bd0$*c=ZgWp)YLsKj48 zfC~xGP8l4hu6G3olNH291g2?CEey=fO+dA-skwn6#!c3fmIUF;ypUrg2^A2gCKe{f z;KMKtEsaevwxzY`2jH~>JWz^vGZ2T79%ykFXha*=VYIpuex_C?ga-)FC)f=v@K&$T z&M~O7h@*3C@9j%g>23xd*~3?}fb2Fk1}$I3)iGb;MyB1SphB=3Pe;pG&(z2qJTi^z z-10wPeN3$^h#8qiU1@D-0Iu8d<rY&tLR}G)J|A*&i#h)GBgkG0V++uIHaNOK3%h*C zi)`@ZD85?97_>9M)YJm^nQfVA-sGilBO&}TZD4L>hVQUA-Uu%;V;bB8!<WQCD<6$a zKs_*AV<OzWo@9l%IZ+*63lq?J940tod&?RRvQin|Tj)V2Ih&XnfX*+&bvmC_y*qg^ zO|afGGy^YI1f92KVrqguq<bgZjg)#1+^{poo45#<+e;H%$xK|}@)KXm23u}q1X^{9 z<Bl4wIC3oq7ohmcFmQhmyw(9%zie8kGdZct!W8dJl!>0XxdC|D19*K0mbJtNR?cJ% zr&xgM4E&kd40Jh@8SVq>6>d3^m6<Jw=n0vb8<>KQ5(6D8XJBfGz7Y4=5+{6(5Xdfn zV%q1R$pKtFJ>x_6<V2|@{%XlY&(O>QJWPel-u9jLWQD6GxGKgsy=SOrVPRrwX$0zF z8d;iH7^4r{2tKgGGu{ea-i*HwYi@3CY-9+s!O+aqzz}_{*W(OZyfFuDK6c>mUs{+M znVWzI3rsDIEif#3W^POA8db!M9=<&bCVIx8;}bv)N=rSg^QF8-Hu(GtnKC8fU_DDq zL(uv;(22enD;Vdmv?j9)i~m9$Lp@6iLlX-_&>Vn;fr&ZBg@E>Y)_9T&xF<zuxY!)D z93FJAm7yN!>`zPd(?1zrSdzP;$pr7YD8_n5mPSUPJCe-J^(;({EivXq)o)l3SiWG4 zw<m6>XKrd~4!Xa`68lYOO~vM9wG0eR@b+?uvzMI4zM%=BS_5pik%ckNE5h~{nv>T; zFa$RN@oZ7!u+TGrZs9gG)-y7-Fv8e%5IW1u)Jm7&4z=VQ=e!cgEr9rk{=xk!6Y!V^ z=$;DDiI8YR@Tcp|$f`rk@upofJrmHe$)JT(W_l(@1_l^2fC8_LaHn1H$eD>y3q@sY zkh~FvJ2)UkEWTqyI85}+O-w+?*K%0s85tT{Vr+KP*l1{KWr{gafV$@{I4B-@wFcP# zhIpI0#93^KrNc~w&7e$#uc-^|JzC=KJr?p9l9!7Nz-_MzJYxk0dS;*#z|BEta~qm~ zjtf9b(l<64kXs^~5-7I}jLkri&1qtxXJKM$j8U%(s2P}AnPbK_mZka_@eMj*n~A9V z42D{CYb>%YuFxf;~BLf#zpXOlkGJ<LY2_?9t)jwS_7(}8bv12aJ8aw6T6ARez{ zYNbi2fN~82pNtw$Xe7_V%-qz-1hm@7*x1MbquEf>t4+9R0y=e-$Y8^MK@)PY@h#Uh zwc^hK9pj#yR|4KYVGxV={x$>9k|{ILSzMs=QcR67w{Lv4(<I`oD)@DAMuY~F4Gj%V zjX_N<Lo+iobMyvsFux`#ooZ-{8Q)M5=-6ZvOUTTZfq|(Z#%|H}DH>$9?#%HnS2fZz zFf}j-oiA)+sb^?nU}}WkR^NY2m8{ipkfm9mQZAdw{fI_pdS;+uXA3S)6LURFQ)5H$ zrNGE(r{S{#zB3ApV)2&eMxgb6W}wMrTxZld2Pu$Oq!OM@1}zY>GzQ&*jpN=g!vF=c z8iGdn8=$na*Mz{?cA!(F!J9QK^-Rqz49(H|?~XPKq^D_Udm$g3ra0kiYPhi6N`rJ! z0umE+X99AP%Ksu~YNdct+QLo+hm}wG28N((e2j3P@$T&?M@|dS%n09c44@l9&CN}~ zm!25u85vp{S%N%=T$KbU$`Rkzh4#AfwXO~HjLZ!zK^rVAE%l5H%?vQQYEL*xuo2uj z#NQqO4d7cELyvBQSHsADR9z@b)<}stxD|wN^b2IS1$gfYuHoc$bIG(DJdBQi48_dE z0(7hphcRfP4D(*k91&T36*uGzaDt5k(9UQ>&=Num3rllL^s@iRPZ@l67(q{2!xx4o zdgg|pP5ihQDE)peLtgxWCtzanv|`Qm3{627nR9V~PAf3RyaqvkxeSi#1a`p;KCgj} z&@?s#ZE(jmnI}1eJg=D%9GErLGcYta18q5n7lCLk^w@SX?FMHHe8nATm9LR0Xnhi{ z86LI>8FGp{b5p!SDIj|dOe{h75`!u=P?H?Z&kF;{vzO3%EHhIR6JtDs;J-P^3u_DT zPzwHH0CcxIXqB&to~4nAr3w1b*cKUSyjc{|48z;y0?pVNf)6Rj6`u-=rO3;o;0Yak zdB{Z1!o<=Dx-%P;S1|_Q*k_YzHxctW;9*+}+(na`lN34W*unsBItJxkQ*+Sjd|Y|= zr6pPRn&DrZ11hhKjKL@W;aK?Kp-P^;W_bMzUZZMm2+BX8Bi=xLUbKAc!%mjH=9WUa zM79G>K_>thf(Ge~P4!GnO^m?}7vy%}9|kGh!`R?fpb@B-3hD+EZg!gL85^1zgQotC zP4r9+EDXVS!XaCC`?&-G>x^Rw<XRJB&`LorPF%B*oi`=O%C#2Y+6R9PU}9_p9`eLx z_nI>jWaS(Sq7RufGSxFOGX)K8aN;^-&T*y$)&UyhSfM(i(+w!Og32f4yowx=TmOj> zF&F^5z6qR=3}Ol77gG}h6VOdpxNZekwGbmKbn%xDCVHT2qwv*pUEf8?ve#HBA5SyQ zSkKbP0=zKE7_=VM+!Eu~u>3QkL?0>#IadZWuFdA`7!(v~!o{s?WN2azy7R-tf{WF$ zxH2ypbjFE=k%<Lpg`}}1s17p0IJq`iR+NGxLBK013_&L(k>(7H^UlD|Apb}Zs5|iI zW<zrW&~yzauF2>iUb5od(tyB9Ml(x8OA8}V^W4<X(h%bUNS4<kc-uD6u>gD>R?ztr zhQ^@t&`izsEU_Jycw)8)@m*wSYbqLVYBn{qv;-}_0_`z3GPc0DeYLhj(9}u-W5gl1 zI6Xcy-VpC_ogwJhJ-nmiuU-m}HCzXt4gvQ{@io^#>wC@2OhJoYL9Gc;*@#w^|Ed%q ztJ1LqHx=<EQ;>y57N8T>ado$Z7xR--JeipjsAvt$4a`CI;>sRhBKXPkGZEo!Zf;~` zhUW@{B2_Z&2G6A8k85*t15426MqF#i?<kOIH@Im|Y}3tH&&<@^5PX?9=uU1UGZWA- z1#%S}_lS=`Tgo&R@2T^kLlX?mj6k<tfl?WkWX;CKN5rY~(83m9s1hD<_pjw8XMPJ> zG~u(`M9;#=(in8tJ+8AM%)CK%W0}yzm|R0$0c;L#GREU677aneg(jedxTZ#Wpr)|_ z`mD<T*F2_HG8n}ocpw&f!wCM8-q_RvytEouN&jy#5Ah{ExIDzac+6N2lsQfDWX`Ww zxyUJyEKTvwhZ}<?{!Ku4B;Z=e&Dz67)>MLl0p5}jWU;XsXlXAli{(1G$SVoKV|n;W zf3V%)^8|3&o!3rYU|SOKvxS+d8EBgVu2S&rHcs;V3?BT!Hw$m3XJ}wyXb4)oZV5WI z-x#AQapWKe=_?<gE#w+Jxs^zpNnZK@u^Kcfh%c@|qkEQyW`>|vx}g!4aX!Iy9Au?$ zBmAo}LAx_d%s}@f<7#2_RdA3M*Jj|2mju=`f{rscH3Q8$8=HbQF{8Dt+~=@Ux}Fg- z6AcRfbRzq|Mta6321cN328@mM%q-0ez~}ZMSH2eS*l@LGK}W>mtB*i=+5~Sww$+A> ztnju3hxY*44Xz9E&Gv(q_LzY$*Tl75;I=6nSqa<#e-9s&z|Ad;K`T{pi~ySOv5-?^ znc*L~H`OyVwgheLMU0%GCGNVn%w*YX4C?6PyoC@lLu!d9Q|Vt~qHqBlBv0X8sb`>P zX=!9`Y6RM{XJKk)h_R%p@(3gD25dC6Rf*>uM$l$DGZRBllfc|W&%nUKzyf^(q)HJZ zQD^&rFIq7nFzsw&VhKKC40L&g1*ms~7MmJ>8HjIbK?4x~S~x-*n%>@IAaCJ@0k{^% zS5laOE)N4=tBPwl?p+20S*4T(xDVD!;M7=SJ!4~I6Eo0h^_F^|n8lc;ZFXibwX!E_ zMI4sHYYm~pC~eenhXXOa2dt;p!W@D>GC*@SMwYneY<NHYHzDU-W^-_rfv;Htn#V9O z03GdztNWDy_P+@k7k-$V6BrK$oz;cU-aInxwIF)_#8A)B#1MRDsELK1rGdGD1!y%7 zayy_T@xKYq>xN*%-uR=I(7|kiN}#AEDxD**{Dh`&d{GM;*D^5z9ifY>mA~ElA30HL zNoZ=!#Msc#6f`wvs0VK8qAv#JF8@o8y%y#Khn`LJ3=IuTLBsu^%YjVIEzQvFR@nT< zgo1Jfx}Jzoxk98nC@5E;4#A)J%uJ0;Km(h&3VAlp-(;057T`fXe9b|~rbbX38`n<w zM>D^hkhK#Y|3CuBVpBs<-xim}TPKofu^EB2fd-&n9b_ekxv8ar0s6wV-DTfRNWS+L zIs``SHC3PsE-g&VK$VG+i5`4y8FHbfU-aDs+ci}tgch2bnHiXyg4XWi*ru9(@T&=V zTkQ!}Q=t7QX6B$9B5;kAv|s*0PMKnf|6B#o_E<yEaZorXr0cuCke7wP<Hh*1uL)=) z7pRSdqa1CkCDU&3yac{c8UsB`14AQoGcHaOklmIh#-Q<O<Q0mOZhj^`F++w*@D2om z)-xNLfF`GLt!MVF{zO*6VMyrg4kI%|BSTR71`Ww$8881|Nv6g4SG=0)nVMS~g3iyt zHOT9p{n3Qz-CfWyCUPdi&{EGFG!zJ${jvb>xB~AjLoP4Om%by=2r`SsyVu)D&(PA$ z0<^Zy&`i(F!qgaJN58tqI}<W5cf&vW1=^ErX$HD_3)ei?jC*g$%fH~!UHnxbp#-)2 z(o3>ZvVkSxEddsw4WPKP(693^$t$TL7ro${vM|;&G&45>-A{olHK#3mNqlOCwsY~# z!hi+`%q%TH85gv#*US>*GNho-FHG>x!r(u8#7NJ`z|;)1>K|8lZgqS?W_W@Zrs7LQ z#(D<k2A1H+v_RD7$R%<9s^{dTB10h}M@$VZ^$d-S!DC(KpoJwy#^CNcav@>J^xOo? z{C{yt5~!O*U?j=R)Cjy9-qKvp#Ml(`c(~|A&rGmfW(As7bA;?>#XnRB-n)x$zw-63 zkI4!`L;OeSg6%c3Fvq!P*zv?;GSdyX^?>ifCD4LQ3-IDV(0Qd6MwS>WQEpW}HnAf8 z7HeanJUo*uplxkNhQ{XL5q|@7V~iP5KfT8$B;BQHgm;~lk)DZ(fib9VF){$(eU5Q8 z!K0Uth_(>g*v8kZHq<jWGzT5t$$?|<yXoOa<fSG23${V_nwePQPD``)J|Z(Mfm?$F zPND-{dIyeF15;znTeR#}JT$S=2jxe67o@^(xPlhy_=-Ky=0O8f6Nr@tprtBk!?7{< z?~&gA1W$ky(SI}rUCn^2|G0a?U9uwBz?{Gcw6Ue7iKzkZ4Og+>?hsLNCZ?nm`MD&P zB!U-S5lG7Tk8$|neVZ(w8k*w00n<>=)X>bx7*t`K>KU4w8DU(1Ybkk~i1Y-%0TY~_ zj0jXR1_s6k=Fp=?%q<Mi_Z;}C-NN0<jfRf45E{=nFan+VjcWqz{llx|<xm6gm^!{T z5IDOS7+HdfT~N=(0R8@lIR7gIs!$WW<t)SkQ11w|UD?vo(gOXOT<vL>h$v@4WdyX& zHY0jjw4piZ5M2Wk&=H(C1~n31T*Nx4VH!(lTePXMCFoE{P{n0oim}=7?aB*8q(peh z2JL*|YakoynHhuHlaPxj%?wQp(UT(Qqw_@M^J1jKJn$d+02&fA1J8HkD$=?xohCD7 zg6D<t7cxeMCPrrFpmUS34h9<-ohGZ0F~Ywg1++HH1awFu2WTN2C{LhOAPUQlk!7!; zC4mNznURqZXk&<>v7QB}t$-fA9PLL<th7NH0bgaAnO71YR9pfs#0k{R#zvsS(m`i1 zn3-FEx0fS#59&A%6On>pYx$$WO(6Vd8xd|=uFW}QVr5p8nVwPNmRY2qmRS^<mst{T zs83+Y9k`ssHzsDFXJ%+(Yy`f~6YDA(5A#FhrDUUlv>QBMn}feuZD3#yFW*hU{V(KL z_H8_9Vx>hmQDv5ZmmT77R2%9Um>Qabsxo6^Jp)s7bMTlDvUT#=2Z$)_A-4^KYiC2e zwX>0)iJ6%psO@8J0lHz?0KByb*}~t3`?1wuNH!3E3<{_eG%>aWT`+)aj9lR79<0@g zS*#Ggb@E1f<^~3apf$H(f0$t&>T%`ec3k~r&^mcykCiYq)iVS&j}34iD{-cLn~9Zl zVrfcdetc$Lerbumb6!cjQ*ur=s7D@c97}K**1!aO`X`RjP>+9G$SXe$@HP%XoBj<< zK@-C!=6dF47Dk5XYige-Z6TsSgICGml_q9{2Fwi148U_nI6P}vx|zIU#Q;1^i0`IT zNXyE=fQ!q}0LDhEs$@krnUFkj1n%ot3dMsL#Uac##CEELAs45iiJrN!xrrHQVWzpB znTe$#_)t9LF`kpGn@rFSxiO3-z7Yqqz{m_#Gvdm1r@w8)n(NH*?-~SG^=5{aIGY%^ zcs7z3dxqfH!&f1J>^3$r#ofHAU?J0PBk-Y@`0X~dFtq^PRf405bASJOGW`v1*5PY* z80r}q7?>Lyfrhp$%uP&;(YphRm(~%HOHsRUhNL7WBQsNTP&XY{VqytigEcW(#NsV~ zz&Fa78-q^cHPN#$Gc`3spZV(fu!;zup_V`RJH!Th2F6APpf$Rn&Xutd=CLxN4@kGu zgp|-U0G*l&+AD&ie^pws5^HE$#tNkpTf!LYSsEIcnVE6n+}z5-xx&OsG$pCDq$EGD zSU)+h#0Xqj85)pejfE*_jX7>>4h1a7ZjB*n))*NXfClDqrNEEhmSMNXh=fwpSPwKg z2%f>h5j9FPmSK$=!&o7FC%hVgwsTv8W;KkA^vpo_8lo?+e5J9>#7Y6V1pyvb@-Hok z&&(@{HUuwnG$PO&0^e2+8J93LwZv%G-D+P%L_-qPu7!>ofLg9Z?N>K6)&s3WGBo7k zgx#zST9AQUq4C-+G9h$Kk};uBG&3@=0BvV5HPtgRG%++s55*%L3-N^_<V0svyjT1h z=owiUnwVICMt6*iEle=RxObeKZ(=2nGp4{zAVZ;ia7INeSH{*7FyZ1fG}be;G%*I9 zpN1>oP9)AJ7;r{JRNBTC1~@D2tM2p3th@-WCV^Jkxb2pAoKIfc)`EmUHn6a?0Bz#M z709#Y<`E2JlUM>{%9bV;CguiQoR-FVW=5vw7)PzVpFEd{u_oj(Wh0?<JRMbIJyTOt z3lkGAPF#0-tK6GuVx<Dg(I~?o;4N{<c_jw%$%#cpmGPPJpaDtZa=MY88R*toQ1xY| zXKZM030``IoYU{^pFuDzO^H510W=M4Xaeq};5tF!qV{wm8VRsb7f2<C{{#h4qBX(S z%58F=MqZ*dCpyuB>I`!u&@wFK*hLQLmXlKm2DDi$2^Ja}85)6#S6miGXHOz%p*blQ zf-5XD)bxw&!z-#230i1DyoI36{6^pl7;u#Xjg1osT4)I>2Z+lohI*F9<_4hS?r;U^ zF2e~XR*E=tC?v5OkYuBg33zJ|E*t-G6JetvNj4f<f^V0=Wn<;9e!_k<BF#q7iCVZD z8-<^Uu+f+_8%>Qtt!Z3-JpGOc8^JXQ(J99ebm9nZKWe-s!bVfl{AgkdI*krj=E!+N zgpFn-+6dkgfXhY+Vr(=g)kaW_iOa@MuZi%Z1*tZI4j;f}<0E2hB(2ObGyxwviOa^@ zMA&FVTA2f~5p@3ojwZo%Vr(R_%rP-Bz|#o1MvRRlmO1!syh@CXB$hc;u#wa<2XCkn z<wsJ>923xBFs`&pl#L{pITYJSa+yQ1jU<;j6x&F0nM0|K#w3?H6x(PBnwcTCMz%13 zY>_oH(6h9#Gy`vULT;_TpVnt$rGe3wD=E*6hu-IqlUk%-6r7R>YS!W1vIjcBz{1kZ z0Ott?H|O<|)d4Xw!h2c;*j_Wx%_}$#8NWHFm%NbzBk(pRVpqz87H*iBgC;2rjr1%` z3{AmXo{<Bay}JkZ8X{2mMjOTo;ajw6tY=_hZUQ=I3%qF4z!2l6<Xiqd<Sg1W#JfPB zu(jfs+sT^H2QP2NH-`a=TuVb!Q=Ew^_e~3#kqfpP-v)EoY#V4;4YWW6<Cs?C8%-v} zUs_>=cYBzjo{^=QfuRW(r-iwmxuuzrp&{BV-|6-`(r5X=%QcJ%97$(vY-s>GlFm@i z!pPVdV+`mhb2YvZO2{}b{uE@SXJ~9}U<f*d!BEfG%*@aneLhHFQ9ij_JdA|0z$2Fg zCow@wa*d5GEkMq|aoIw0Ln6LeaT8F-9q-|Wu&Fkj33lrKFcT{+<gr-Tyt_esW?ouq zQBi72e7u2vW;|r1ODG)AaGHUhv5~o<p($vT)WE>l9OEX7$t`XsR-(wZ`2;!pc*keP z8wte`8EO`K24;q4pj%-~aLoML9(F>X`85KKRuNN6aah1_ud*=HGdD3b2OkHCTy82m zIT2M!CFg(&Q38qG&;oP~As45mnVz|!Ddvj4oE47P#>zeOz>^xr;MFR|LIf6DTACSK znsafQSn7fHd}0(F51DLDtYna51(XJSK-a@S4q+#}Sk>GJbf_`tMgq_>4A9I4@^TnK zMq2{QVL-=|5*0n>dZxza76zuMrw<@UPr0-iwy_dWqar-NC<PQJ(T3)r)98q`(7?nL zbOxWPk)DY;=<EXtP6=cS1^rA-tfWEaqYM#)1YGk#)(Mq!u>|{uMqob%)lARO%+%7* z0{2SlyUnWPY!)>F?YqKnv5C1cs0)betfk+~s$}gpGX_^?1bl5^VQvcYH7?7gGL^~k zwJ~VlBwk+|m|Gfwb{ylnQ9{*#Jc~h>_7bw#&<wQW8pqAZoAi~*3vTdYz&vpINoc-| z!%WW{bep^d7pJ*_o-ue;B3gQG*{OtW1Q(Q^;TgyRwEG&rXH6{4K*t;*PdOl$3CB{D z$nvZac##X<v}LMiX=Y?$0;*R*4K`4jht_zB5l|p2ZJ7#H6Oon8acAY<Pvpq*wJ~^k z4PMJl^(-tc%|TZy;krZMeH@vVgST(tzazoe$kfol7<5^kF{sssz6#~<Hd(xlHgLlb zv@RTfnzAr60u8U?Dl+z5mmx27!96RyY06B`z}y0I>@SYoyLzb%S!v3IP?|C@w*cRd zimSZpT|}m@!RvSl`P$UT0<;JYS2n)+OPVZS8xUx-m>U_GfDVJf)n+-?BaO4o0&Wx$ zt_F;a%`J?$IB_K=_geCNW`w`GHwCYd0Ub<%>j<OQrQ}&m?8GRCnVyM(i81KPZRA)* zZqnrMlp@@QB2)*M>Y1Aun1c_|$8qUIkdzd8`4zloqL$bS4|Mqv=<sMmLp@VV14|Q7 zXAjx8lRiiiG5ZZ${0C~$MH_%dz3`M(peo1!ZxtjnN0O|JV@Bj`G>4g<v9Xb<kuevi zsi7YD5+=~$LdgCW|0_W_mMvom<WyrbV++vn*tl|P_yq}^ITc#$6CIOA=7tuaJc}#c za<_>S5tAtE7{C`6;*CjD(Ed9UE>2v>5<S^1N?s}^rX>U3&Hy@8#RU7^)ql5%l9h}s zg%ZKphwvzcnVz8q=wu$$Mh$X0(yS3Ru@Zu>gY*moXAvWUS;XAZ$P`q!;3^0gxsvBM z3n8N0!iHuB#-I%l#zuM;*xJG}S40T5g)IrRg~8>ZkpZZx!O<LTc_U0-3IgwiCeSK0 zH#RcESKaL2MW*H8Ro88d#3v&xCn+N*BkhSGhmd-<95}5R#0s@j*&#&T%Z_rNHOwXW z7NUa&agEGC`{F_OI2xH-g34s%Zc$UJ5D_I9>T+!(A;?A?f_*<zJxfayL(rTE=(=)K zGb7MI4RREuT@WBJC164@R~s7|n}PaXxb7tqU(82NiDg2dcL2KY33SaN?#r0UC-ae& z%S^zd83b~f38+^MIz$jhIi1JLOP=KhptGX!HquS?Ow2&X9N?~@O0V;f732oQwlz%k z%nb|;L09=08S9yVj$8nxYvh#0vxk##TLV0MiSH<3(C8cJ=qVE;Jp&5^Q$x@Rn8>-O zbtxwi>qC+EA{!HGts5H}S%U7p#+978UvZEXwxC0N@HRV)4ULRIH`L*>_}>!_@=_GI zvpa#<;?h*l%*@abw4xu>d^WT+0nY>>NA2Gu941zdnB_Kf#umDl)-BjKK0hxtBflg* zI4C~3w5TXGuOzr6u_P5%Zce1Klbo>Z=q1%n1O`1!!AG!hSm+s<7#o6@@gk?jq#_P{ zgC3B<dr&$cY9Jc>!E4A7(RY%K=+qAjbi5^ti5}?a1n_Y+7JA_35hz<DdvT>18(FEJ zz*s5BVhdv<(B2yy7Tf5sk(c_xW2MCHE#NTKGqEr>1r0+WxBZcWd|4F>;bINEc@=M7 zH!v_T0(F#e9s8zxn}MugCOEcaW^8N$o=U@U82xKA2C{<LkeIP0Gb2j_BRqqpa`I&P z+K@ovGSf3NG67$9hun=sPSvVhWcnJMxbU_VO!O>G4J=KKxNzR|)~o&Bn4HtIO^69{ zQwvKY&~8CoV~JT?{uz^Te6}IMfpJp{(0U&(PFxnB+xU+xUmJqQrty|yW_qUP=4PNX zI&ls4g*cMuYZLs92QxhrGb01A#W*^CDJOrD72Ia{Ej9sN?_>Zv5dqg(+6LEukYlll zDc->#Lp>8?V{>qMf@7*VRr7~2uBm3|X*77VkC~pak)b8%Bz|0z91G*Wke84M_oYGC z5SSa_yKTxrjy%gvh)Kmp=H}qRFI>YV`Kv#X6Q_pegeD-2jEu}d7hB-6n7``-c|i^y z#*HCz00C5Tf?A?n9Hx4PrY4q#ptcBdUA&{>y|EQvL1|8Ls)<FmM-`}vgWqeQ2sN_= z4Uyu?Cwn7ak>xcLaB9L^EP-w%wgjKxi_3DC^cUnrs0rx!2)xY=OH0tiKkoiw&Ccg! z`PvXXB!t)3pdknYV{mH1(NhlTdrpq84K45%O$K^~7N(}4)8%oEQ$ITR1ZU9%KAnQV zjFO3-k&%S~sPMye==b)&kI3<v3DFZfCVFOOM#i9>`MA2_Wo{3Rv22z?ncFusizRw7 zmWiI3k&!XJV>lus?i-^W!(mKt49VQo0y1#~I?fxk*b#01e(J1y<b<RlffX^Pdgi9) z;G@TIb-Lra?~#`?z+?S{&P*~fumE2^W?*1!Vu^l`Ou~-a#)QvIa`E?bjrRwi!-cmZ zHPJILH?aWi$HJ9bJC58U%c~~fQk{V1hM>diK-*mm^}v%0pt2mf1-@_Zb@D7HTmqTs z8Jd`wgHPwfVR_2DtK_6E6JobhbC~FXhDbq01?X@m@Hum6YjE@)Um~1O!AtM)7W5{D zmWGy)!_u*K-;Js+lH*e&1N`->sh+VV=z4nGogAr_3uMJF<d~U$Vn>Qh^o-064NMHV zIL!4d49ty;L8sdxw;5K&oinC*?8+!si0I)o;#@@W&=sLsO=IvXFf-7(F*wfYR_i@M zRt%YdOFDd)%^T<$ni-oI8G#nEo0ysyVB9s&%zwg|=nDkQV!@m5@isb)LBrFay&#;p z4m*w5e~g@rU}7m$PjvIu(7@Ev0(3_O=n?~SOJmTXr^soMIrW&al>z2BD#j^<LGi_< zxuDi<G-$sM*$yy5Uk5>s0}Nw@8i>!0L<NB%mT_AGVL-kUum=LEPB4rmH64H!CxX*~ zg(;}+Lrw=wq^AQzBk~<!2|g~7QU{n&;s8)nhf)Wa4zL4^C`bdA##9Iaqk)bBqXCZs zGYZmx3Hn?(8QIXtoB{_>B@I|m-~fyP6*5A=n1X`H!T_{tn8I?v*qHPRiBMTgVr>8_ zj7hDK;7-6%8k6b-6AD5BM`=W=6HEu(37{gGf{4IcCX*Nnph9_|oiGR@!i<u%fW2fU zH6qL@aRT<TnN%lOP~rsar89|602R+<Cr2FRGpSB6Ccla@#fS$6GTO?NG}H`1=OrRn zQRFy)f)Kzgo5^tiCG8}tL;+RXN#uk8CG8}PjypLHup~bhP$dn3PA4L9<N&FSf?hR} z5duaOv=pfm0t1~5jVvjs4{#KPBo@YoWDMURk^%O@kW?p7(qPAt5J+_bMa>iJ1tO_V zpl<U7oF7Sb0!7Ue?1dt!PM{=5P$w;b%0;qUNK|ry5e4M}m7Fl}nG#ewl2}23w$_8k zR1Go4RR5=tKDJIqADWO0NX!q$qz~zVF2n$Zftj&6`drr^(!&6Bl0B)pfshMG3<Ho8 z>PVYoF$5oVVs2_=ZUj2D2)Vib1r!GQB+apq-cloEzX53z9G0+?o(b>OGXdSRV+lTE z!cx!N#Ml_)gth&o2LQ=!WrFsT7yu+Yz!Vk(pgsF&GwV}90YKs`8|fn`1YJO4E-;24 z_f2FX03VTKVyS0t1YJskoC}U69W%BfEf<j5V<T)oiHX3Rv|M0f1Ug6F#8S`90<_H( zEfJjdCpiE}>@yQ#KZyZgMoIt}SQ<fA$y(}}85^2|k9<N-0WS|9B{c<*G`mBT{Uio} zX{->@yG>2>49rZ;%^~H0k(q(9Df(>t<l-a7R^~+21VyQdDUK;AMXANb;8}M=5_X#s z=@2q^n;OOn#o{@S%}~z(bPu`__*fPL6C-2L92fF3s~rM|jd34cl^Jg=M10k2U~FUv zI;S19zS7j#0DPn#a*{K<e2CN}M^f{LDEmoFa)frff|k)(Sb#Q2;aXU7Y10967M7SA z5L)4HX=Y>$zRt%KbhniOIEN!gZP3O2<XCJ(V4JOpo~5y=xv4o9C$3e?zNhw)wQ$G? zyv>%#oy^91mPQufJv_$ddIpB(mf%H1$jMFX>Rw|jS<u2(lvCNjS1^Keu>pZ?`^I`^ z#>U2=%N%gUZJyI^vV3X^-qTBL&N9}sFfcVYfHbR3OfAd|(TlP*kG7MVvq%{GBGP^m zbCxmwd(8~=OwG(pL5EqHTY#>DF*E@Uyd$S?(~BTaW5h4oQNc;2#o#@r(a_B$L>>$Q zS}<m50ZQe@NJrNoCod_-&Bj<RV*<Gcen^@@tWXk>Jr`p=GZO=I(2+bQrh1@@x-3Ed zMYgc<)p}zqVfY~%`K2Y`R7~iU4bT<`Lo-XzUDP;MyWZ_vPgX$z+PI2m@u{(%k(s%X zxiR?SXfxOvSL7Jv&s<Ml_5l|Z1Qz@mnVA`bjtWCwV2f-yXDnI1HYN66Dh^{k&>fwi zixSL{N@(O5&H25S;2K}h0zU#vsZA`5O+X7dajoO-_FGF<Fhh=;#&?(n_*O;G{x%K+ z&_-cPaGFI9<RG!N##Z`7^makn26|(fA+d+IAntC$b@Dy?h1J;dDD3VgaQ-ojCEh;p zEu)|%vq;yjB1h=Htt+tE2l5=eOfaIeea3XQ&xFqQnbO%lGXi^8jP;C+EzQg=aTj|h zek>!Wyfr0U-Wr&ifew?#RpdEXEG4TTFa;kNL40$?$PBd79y|tTY-VDBF$UM!JD1ev z3JG(Cpn8W0`$=rB7=fyCd?%9_8<?9LnS++JTN;Blcc5)px==jV7~kcT(B=u=O3g&i zz}VEn#DWXwDt2|oIpicQBLW-dP4o;53{5ORX&T370gbh@$Vys}YwWARjU<An7a8jr znwcA!m~nBMn(J8_TAEm(H-z0dXA-?}09N`Z;^{wwZnCtru!QVXFgM1$=+=1CbYna> zSz3VhCJ@**2)cyb(gd^}33N{%Xs;V+JveeLno>5AoLDs_5UWOdW~LSvX69U+xGdJ+ z-$z!gnu3o(z?+uAzP1G2CyC4Q+pD_C@-=}y`Nn#tMrPplFs@?qVo(P;78?;bYr{y- z%-9Tk{4*{ezq;E_UT}jCe!$yGG}bdUG%y7n(T>a4?>3QVxe?fMB75yddgew376wLK z97cK;mZp$PC6HTCKg*hpt>i!rCX`M)=(w}^OmL1R`f@}LBRw-SV*}6yC`M-3&%d0z zrh#As9JGd%$kSqs^vsORO+j~N;M#pF{;HO+g$A)g&5#{61WQCCJyTNybMU3emU^b< z7LWo8IY6)1l^I)^Vgx8!FBx<;Q+iQiWjtbZ#E@Wx2bx?0ANXu$U}S6x+Dn8|XbI=z zt?-~bs)<fGCME{Ppm8=M13gPqGXwCQ!pI(7c{v;Za1Y@c+|bO##1u4CYG`U{0$y~A z?4gPGGVpo`dgw5*%_Ji|69aP#=roa$g{d+4?i^$vU0<I;YBPz1m5oH&PhvBP(2i6i zJxfsI$Ow0x^Nu;0tkRd@mDH9N7AD~KnK|fSY0%s_a_ma}Pr_G`TS6-GGJJ=>8|fJu zSb%Pu1>NfcIxrQz9-kkVM2^=?O@*om*=ueIx^T)8`w_8c!js6WSHOpo;H_7T^eoIx zOie*eQlvgHa=`wyiX+Q%Be3NucpGPC1{Ox3!q3DUw4d705`A_<B`p?PaSQAJM?(%^ zGZZ2atp;Y6=4Pf`xT3W^GL)=nH6s+Q24)r(#<=ZebPOdcWKF?Glca##+=M5Sjr0sH z4U9n-Ie-QxjV+7}KxZx@ms8tT1sh|#)2ApkT_}pWws7Zw?xb-s1hoWcWVR95Y@+8G zjr0sm4a`B8&zo548JZZF8lmrDnx^AM?mVLr3G<9ZI)u!5Mk7+%BnF@hH$W?)4D`%R zEe*iOA|fXkL1{Pg+9bq}of+vF7@HWFSfXTFWH%&CaKTnIfEr4Op*nioNBmfwp`N9= zxrL<(PXDPZ+Y|Jkp$TXPjHt6GLDzm88iTrPxVqlkJ8VhodV_D|!ZUwhq-SIXYUrVO z5xHhzP}e7?+%+Ot?i!hy8W<Vkw6{rGpR97%2wd(G+deeZGc&R@0oAM~mU>3UW|kP) zNUvO$)b^nP@tY2aw4cQGp#kU`HawATsApngWB_W*z%H&s8%JAnU5cDYH#Ns!*@Emf zFaupWh{ImpjZ$Pqx+(ZD9J~z-Lp>8y6EhPN(4~3cX)^S7WA8^%vixj8*w03w!yq|u z`1!|55%T;DK7$9+vm@NVz<y>Ra#`)t%Z2M;E>L9+x{HIz5kx~hV^a%b&;io8im@}! z%%l}##spKeshOp@fd$Uky|U$#5g8|L8WBv<re;Q#M!4-QTk+9|oMT3fz$u!@d2~ZP zBO_x=(7jMdX$(1TgL>W=5j>B~l+aoM15*PFV>2#JLjyfy3ljtIR!QWlLf`p;k(EBE zaz`0fLK_8vuN8oH<%{rkK8y_w4MBJ385-+Zm>ZfKq4y}wQm>P2C(#G_8|oRD8k&L* z_%t@wGXUQag0{ku$@;Vr-sLZbB&?<bts^soTz+MtXJKM$jB%H+f7}TpGFQ_XlhDc~ z(jjEFa*bn!YQP;9f&*{hnQwDrBTys3!ra8f7&QEaoUSdi4iXXjC|3#@65qx)(6cl! zG%^RBB?LMk&(g#gz1I<GxXy^IaX$m1=Ti;zEX<88K_wf~Mc2q-uy5-M!g-reGZ55= zFg7wW!+C)%&%uS{l%J-S_-jY7y#^NMIPFc@xsa^#(-eF@7LgT}fgZLS50C@-U*7^F zV$aF~*Oq1kDlD+aP4NVHbHr?N0^H1iV1OH2fUbPwKuS)?h4?4C8Ds^x8F;lF<l1z? z%@L6IjVz!GhfU1QOia=Dx=v}DXk;aad|R$dVo74WC-_EQa1g`u5Y?=c$7daAhYX}X zrjvc}H8XUw58e-_lYQ`hIGyZ+ubH8feeg9ibh6J3R;SR(K65(TXF+HCEMtY}S)9>1 zyMuP%(z7@N&G6FIJ~|g?MszODKt~?YH9YBDoEg!%I0GFjN7wMAb8!aR=uOY!jLz8) zw1S(S(PwN(-`c^LzSf!0*E&=BT4zRI>&)qEodtcZvy6q_i%C?o6U%+$$o=eehi)Sr z7uSIMd?bzDm>ZaYR+VxZ8tPdX8=6_7PpPl4=^$_PhR|rVfu4n-nW2dh&QXnni8bVO z?u`h{H5lkw7+9F&8C2LPRz+6l-UvL`kVV|6oq--~#fT|rHKwVB0eatFS2o+oN(N(~ z7`$S{H4hZgLPXwGjeP?fa*BG$7)`_wA#6|@WH)H0gXp904fITnO$-e!K}*t2j4cfe zL91hs?RP5kGotwDdqWbAz9-H_6d!$WNc60>fu4zprGcRZctN?jg@HLndJvkZOWNp~ zNvu#Q-f08y0&fE@M3IC(+qWW4$H>YMBMD;8Rk#(UraI@9M1v2&2kqJ=Y9`-6&)Cw^ z1hgs^`SLsDbn!b~iU>a^rlb`4fd{@o>wJiv>@(2Ac3V8M?dz8D;4Np3VudP+&F$Ex zXprp^ZuwwnrA;WPGxJK~gNnhsErp04wly+0H!%R6K47G0WN2vwUYdq%HFxPXLz0GV zN$TVnnVOpz8*p)0=ouRuSel|w4%D(7H^jXe1zggQ)@n5}H8r)c0NH0`Vr*=LUedgs zew3hnhOt5<6m3SPM#g5~t8$D@Ow26M>rY#$!-f<WZN?-OZN#{U;-ZbDp1hHXi5aN) zH`lYUurRYk-z)P^W-p;g0rkv?@46Tn8=9LMgKk<e0ZqrEpS*NLYcoOnNa}eS8CqDF znSkswFf=zY1kJx9=di=ZD+$_X9xH@zf2)BWsGVj8u0laed%+nAc}gONeSsn2d1cV< z1*giA)M#_aDq<3X)WFoz)Eu;Qz|_J5bGuW8<$Qu(G$Sov85mlCX6p^~jLeM8OwsqC zJ2B6pJYSiRmam9#5ykn6qyczCOJf7ja$qw(Lo*WtjJErG$EgG(#gvqu3ATIdkQ2I9 zalawHo(V~XhM|Rl5oprWRL|VR+`s@mQwb+_6ZD)(tWXzZ{|cg!W5^|(oRb}IsGpfv zT%r$R=9iWjf|{m=paZHPXIq$?np=X8c|i8v0h?AsD{G>T=}F7XDM>9#O$koSEy#h~ zDh^uWNWNn*&a|Y^F{ZIX-Bb=48zMH>2M5LD2paNTgFR>{aE)oKP$yYoV`*t&fw3EC zA1KvWk(+7^$WOr*hGyukAFx}<Pr;Np#=sQ4WkjK449O1}b4!dyFoljWA~(rcm|GZQ zv>CyUAv?(!lI<2la|<){dX_S`kR2}O7Ut#{=cG~Q7GrY5#oWx)7~_0t%G^SB5o2y< zXl97so~6t!W@LwpsiisgB8Kd=YfipfEG$g0mM~<y#exF27+ZqIQji-Dl*N%HxrH6> zD#@Dc>e_((wgav@iGtdi64&6UlqhhGA^Bm0t5%}GHAdtn8{E|r`N@Xt3flr_y+poa z$PO9Y6%+Z6F(x;faMw)aJI0iJ$Ka}($ajnx*^a?kH<9lcbMhU7t8yaWF%}d!21o5g zzGKL)o<RL<j3&fhP>+fHUJCi`JaZ#+bMygfuw%$>Lzqxf9bgX_3aSJ0%Nd*@Lw=ee zZJ^7{+!!>_WvXXvY-nMI9!XP^8wrjDkv8@O+6HID#bKgnX=H3^gx=uRlg=k-A4zL_ z3{4Cz%uPY|nHpLcVT>Up$3_#hkEErMhDMg)?RF-5hK81A7$Ycw7u*TjN7Bl2Lqjt& z14GbMzqygA1;&=02u~A2_8D4|va;3Cz{J25yivp0*vuT`{O9+N6$sjALCV0Wfu)(T zr8)SVIna)C@CnGst^ZISQM?1ABxMEzOG8soU>fOJni`m5+!`HH#Y@n0BrQueFt;=? zGyxryWNKz<Xn{VcBo+R}fWQ=<AxY~L4b05UjEq6{8Cn{d8=&uJ`oVgRpnayXLOsL} z;epR*2kl@o0G;m(Ilme?_Kv2XHn6fKY6!15BQvceC^bE^xFod*Jbh^p8x6XJwU^4y zu_MzthJ(#LhJ!48j0Tx|j0c%}Oa_^IOd;+WEZH5JoCk|@ps9JVI0u@T2a9u{X?d_X zXOJajv%!_K%m<fqEC!czEFsRJdNYH#QDU6Uo<1r!d+f<|53JoYcpL<+DF%;&pmoLI zaS*h&7(5Pw))#}vLC_jw(Byh(njSRnfhOre;~r>=9yIQOCg?%qp23!$p-rGc6F$&3 z(4cV-v=KCD+yiX|k<_3!u{5;g;xslvoLGxI_VeSw3ChNP3}S^y3LYYyL($mJU~&(r zx)@CE0aX`+$vvRzVlcS}R9y@v_kgMk0}_XNiO65%4)sEl^I$1`ps9JVI0u@T2a9u{ zX?d_XXOJajP{U^s<t$LUXAn6D)a)5V&H=T0Xi+SaJJtf4Vls#oBB`59M6pcS*w3JH z5VWQkJRt<FD+Z5)ptZ%|aS*h=7(5Pw))-XpT#%dVp=o;1xCfe~2aS86DSFVj2b!P< zje7=LdWJTE22J=t+dzZHJ<vwbpm7hh6+}{l-q^wnwC=}P4}3`y`kswl^+zci`!ObQ z?1u>FP&D>4nA`)ZE(Vi(K-I-yau2Aw7)<T~RTqQFJ)r8sn8dLkBJvj{V?Tq)IndNR zSPDaEVje8cfu`lb;+#R2ltB%jL6nq1?Vds898j}o5IG0b>Y+ukOl~g_H1=am;@A%n z#WH1MKZD9a(1s650|_(@A!uDOcpL<+Ee4N+p!LPzaS*h|AgS*~L>jUqH`hbc^q_GM zG)WH{_drwhpm7g0K@S@D47T(PZ2}FN@PW30290~5ji5o}9%w6wv<AJInJE{ivAG`B z9eWEN9HDIN$B@*qAHvR|XzXV&xd&8T3?}!0s*Azo9#C~LnA`)ZE(Vi(K-GmIsbfEc z^A{y!KZD3Q(9}Fw3PWgO9xTp*rscunoI#e9K@Fcll$1g3o<ZasP_t(cIS170p+&Jw zZZ8lt_G3uu*bm`inX<8;LFFK5!-uqi1X_j=w5}LD4uaMegU3P8`eN`n2wG#1*7qWu zhA0~Q8BC=RG)WJd@PVf2LE|21f*v&P8Eokp+5{Rj;R9_04I1}A8$pA{J<wJV2?vN9 zm>C(G8gg+OgKjJb-GYO5VZEZ!0Rt-=G7s1>h>f-+v1>|%Ysl`J4l?H$4zAEK8eGmX z9$d~b8C=dW1v_W3<a0<$9xSebB;>*38b~@GEUtkh<H6#Z!Ig^5234LiA5^Zf7*wvY z1iOZ$VM}7#6%>s1SduuyMTB$6?}S3yIV3eoY3d$G^)Ptc1F0Yek9#0h#Ncrcq>>ms z?txSjgC@H}lJlT(4kR@X8s|U~^Pq7KBrOjb=M1u>3~BNVn$Ur?c?OMhAdQ|u;~X+t zJ%+{>MqHdGW_lLjO<ibXJ0C9WrEFb~35l&9BAi3fx}L%09?<mGU~&&=Dqt|V2Q(Eh znA`)J3K&f80Zj#%kT`fsME)Xs@H93WbZaL`g&_@{15M3?#W~Q#JXo9qP0NGDIfE=I zgC?~GQO*L*X$>OhfTpwtk#j&ZTC^yZDH!Vkm4hTLfgqwBq-<S}35g4hXzCzn!)Ne> z5VWosJPv}^7K6t@(E4KVI0#x}kTe8EM4~2tNEOoh88q&JCh0-r9%zalH12^W=t1M2 z!Iqw(O`t&&KF~JMpm7hh5j1Gr18oJ7GH+{YVPR;%#c5=rXJ`c4)rB_p({pDlMeBMj zNb8ys;~KKNrUtRmgULCDgUdNagUdO_gUdN4gUdOlVCM{$d=5#;gT*zFggjVW14+k& z#Wj#*JXl;axKgp%pvqI`gUU4)gUU6QVAqf`Y)MqRf`YLg3({t|h;a`2olr<Shm=Ms zZQTQ@9tMwlAQi;maSx=57(DKQR1$;7J&<Z*&}4T=avn6!fu!a^;~Yq09yHE@q~$^5 zoI#e9Ax)k^6FQJK&!BM*q|q~IoC9g~q;N6&gobc&>lzuFn44G_o0(W}u{su4<|T7+ z80Z-om>U_ILyqV%wJ<lal;8wIRtZi8Rt;v;TTB}atQ0fzGD|WOb26(^;}c6$GV}F8 z0^#{ZDba@JvGJMlhC+mFF|jl@=HfK5)H5|RH8VE@+rcRTv*knHD*U!sKx`o?XiY54 z&AB*DL4L%t|Ln)6m6WYfG9|GEM}%`ITB9_W+yk1b8%*v2O=}D$_kgA~29tY0(;9=x zJ)mg~QxXS)iO64M4+O_X8xA7pKvVNzDGZ^Bd9XMKnwAHPa|T&b22IcnqNEI(pBqHZ z0Zq>hBIkf+=V(zZQ!s7?DhEkf&S6SKu}s+-B~#MYDACqI(1y?82_a}*F?bvVtt|$R zgP`@r;BgSN#vo}pjfh-E{_rrQ^)qPP15MI{#y!v!J!sqmP0)kJJ%cShLz_T@CVZf6 zph4pvXd`IQxChz_%Hv{kbK~MNG=L817;*_G=VZql>SyK^m*|6-`K2X>;4v*z6B9#A zE)GLI3nL?Q3(#N_@@@04JysZ4DS*eZ;xqH|OH1@!5=#=}{Yy*YGxJK~i&E3UJ5mUZ zXqlQBnsIR&8S9xD85tWJqK#<9@UOr>qGbRX(IRAviKVFp7pJMAp0S~k8HO$CUQ6)X zVhOP&fxsA`sfD=(7pIYlo~eP6sR6oQ*nJimSjmEh{4mA<9iz=aHkb>Mv_inp(iF6U z%n<wTLEU8wC|W^gM&iH$5w0P7;J_d@dN4W1aBw-tXmB~lcyKw#WN<mh6zrVAlFuP2 zd9b(!l8^_BYar=(u($@2j0cNr23IOJ8&rA9d{DW@Vo<rp66_k129=3vS5Pn#XGY@u zBN5Iae~19m&LOGGKvVZXs)xbj9!Lc-c-#Z2A_k9pAeF@6aSx=L7&O@(lAH&Pb0DdC z&^QN@m<Nq>AZdBfIA@S0Wk{1}(1Z@8%`<4618MXO8s|V-J*2hWO-#(WIE_s8Kx?iH z4A6E5o)Di;(b$eTsbf2YT|>#(&R}wmVQlnZa*om9a*pxfa*oO1a*iq3IfEsiLsIf! zaSbFP4;I%z((zz%4I~*47S{}}RBSe=@|5|Ya*f5Ha*ZX}HKaAVh-g<(Ft%e(>evop z=TJ1ZGpO7HsUAohE1+fgKq`pA;~q#AF?ieqsU!xEdmz=spvmr#<UDAc14+$;#yOD0 zJZPK)Ny~%AIfE=ILz+B;CUhWeo<ZXrNTX-aI0w?|sRgg9LhO>mwy4SkvZ%_y!q|+9 z)6hWA*uuoX5Pf7P(PXZH6?k7D$|9kX^33?;{JfH){G6QBBK@S&;^30Rl2phlt5|~D z28~QW%b$$Q^vq4n%?!=a7FpTa%)-0;$;c42ILVNt#YrX>CdNiwoQ4*9;FHbKy_tM; zDn$cWB<?OC#x;}-U=1ec7{*2qCg&IpF6S5zF6WpGF6WqnokPk%1Ti^_-0LYJDS5EC z29l5mi)$e1c(Awzl8gt7YX(;;HXBrV%6w3{#$r&p#uDrrQd*Y8lzz4p3}BJCYmpe| zP&9xwsN4go9!MDwp>6m;Du}`39!M22c-#Z2BnFRrAl1a6$?lNkJZPK)NzH@CIgrFW zXq*E{%Y()_gDfdSnmmIhbRcb>LE{`qqi4`K2h!>xVOyYyxrMO>Xj`C}fuV(g3EI-6 zd+#O_+!km|c*M%s!oq-y)6i7U!o=9nz!Yu7>iL4nct@-Zp(9qw1UBrM85<dLahe<G z8JmEP^g^?x)uG?ON&)MJJ@BDj(9^UCSz>Hp1oDcJp0T;HxtTGVB`$n@cr5{M3^WuX zWQnP{i3t~{v9X?!p_zdxXt)h@02%CHuwOg7@LB@h-$+si&cxUR<SkP@Gi>MA-;wR4 zXn>EzD~?Qva1A8`e1pk3hOyCu$vH-Y%Q?n_%Q+^4%Q>cC=M0v74oS&_#Wj$GJXl-< zNymf5HIQUHSX?u>QnA^f%2Vcp$~6{)$~Bf?*O1imCZ=6M!2lnLS0@tF%%Nz2Z&0}h zQaz9~U_?Z8ksm&g3S#iM2U0~09``^hiNWI@NHsBNvO6R>4;tq{QuCm34kR%T8s|XL z@}P0fAWO=SCeNS=9Y~vJ&^QOu=ovK5fwX!Eon2*MWMKw5SsuLO5N(vI<!Kx4v#U%Y z%fkrTU}|iL+XnumR@^q2Ky1h&dX=4tk)g3UXuX}Wfw{2(Xu%uu(Pi1bP1x)zhA*ij zVt~@b#Ka6d;AUxNX=#Z*K)LvKBmMzOV~CGP8Fn=^G6SE+W^7?-ZjL_e%4AzlaM;x- zmf$d=p@pdd7l);uiGi7gnFU%RaDJSG*HcDNPm$71G&VCbGUwtnG|~fIf{C%w)r&8I zqG3d1(l)vh;~Gka5eJiV3}d4QlXHv)mvf8<mvc-8mvc<P&KWHE9Fmd;i)$bWd9b(! zl8y(9Yaq#Zu()P$rDC%|m8Z-Hm1`^pm1``)t|6s;PgJ{tf?-5s(l)vh;~esb$qc~3 zL&`7^ZQTQ@9tMwlAQi;maSx=57(DKQR1$;7J&<Z*&}4T=avn6!fu!a^;~Yq09yHE@ zq~$^5oI#e9Ax)k^6FQJK&!BM*q|q~IoC9g~kT76nY+`0;0$L|%Xlh|$4jLgt9)qhF z4kI{VWk`6Ppoyh{DdhS9Qww7wkR{0L-Zua8#yetV2wh!A==uO7b4w$zE#{^s78d9S z`^R&6;I+jFdPzYSBY6ChsJj=84Nc4~K_hX-;Qg~`i!3j#ccN%m%qW(m&Adc7hLTaS zLF5|4*yusz8lyqw8skCb8k0fg8dI=q21y2oB;rBh7)Tl(B#wb3;X&dUND3Y#ju})5 z*laN6CiB7M7>mK=7)!8YNE*#0+^V5uyQ~q3vwehJL(wSMU~&$mP9Ui>LCesA)Cz;f zIgol`&^QNDGYlH%K<b9UlFuP2d9b(!l8^_BYar=(u($@2j0cNr23IPEG;sz?*g)Di zgT*zFM$TYy4WyMrX#b_5iMa(Ahnb#{xuuzf5!%A3+k&Qe2Z#)zE9eMWVq{`&3>p(M zF*7%@1g!u=9_y;xVT|7r=ps5o%k<1G4UBOw)4MOBk8SB3Xp^jCG<2McgfT)Ra|?6u zWuunH#s+4VXv17-@j3*@2n~o>)Mfx0hcwnRGBq?cLywyc*2?&U%?Pqmk5I4~85rPM zKY41p9PVHv(gtHob0gdlvva2`ZX1Z)4sC2`VaUa4Y^G;nZf0bLp1?X@OXA%`42^wK zI<!Vc<_4DFYy)2XhZg<+ig+j*<uoL1l#>X@P%_Fnh+JbB8$F0z1G<QO@Wd488uG#8 z8qg)=gU2<XE68Jo21y2oB;rBh7)Tl(B#wb3;X&dUND3Y#ju})5*bH0>50a2EA54z1 z7)*|_1UrV5-Uu-b2?`eb8Im^2NrY=C8s!{J&Vkekqzs(VG;|=f!k}>uq+S>_&Vken zgT^_Kx?!;7b4W@aEUtkh<iX+^NID)Yu7M=u!Qz_1m5L!voWT+{kT%X>aSf!AGgw>$ zY31Y+zd+5%(7@Odw8Pfiz{J=PedO+e9Fu{Sd}3)zW`2BTUVdqbzDr_BV!VH8NqlBr z3HaIy%UB^oV`_#5MtFuIEv0_wTgie(9#O8!00}roL${(5TG(e`3L5b;GS>r*KboMQ z%~x^koxYVK$UqDmzy|;tLx;Qw`NP1_439sSZheH?AK(Rw#zG`SjG>94A$aSviMf%f zg&EqIn&yIa`UE1zg0wEap|P=<DHn&ao*}k#0Am7|>RXX}0f0elv;k?OA4E8Yk}<qN z<Ql_46*fkL$~DG=$~7i~$~C57*N`&mKt!G*H-keG@gQ*wBn=M|$3T+sAaM*N1rHL( z45|cdHkfjg`CxL4#b9!bCD<{fw6%#S^e9=WXh7N+9ucmgXbf*KIR{cFkTNVp)6jv` z3WLTuka}UzI0sTQ3>xP^>W0CR&mk#!u($@2kOzxvAnACpxCWAp2a9V4S1N`yaRy7+ zK-xHi#Wj#d&R}s3q?JQ(FbcG>l+)5!&%_dR_8Qt!#Z9j|unk6mkH(7zFC#M{eA}#{ zfjJkaxv8FsrMamA`hvUCogG9Bsu>AogU2F?xl-2vbIBs|PUGn<&e*&J8rE|Jk3<^8 zk}|$#U~Xt&3L5w{GB&p`2JHn#wygPxKB4h7^H@^+hPmnw*}A00BYL>TK*4@9j1?*; zJ|K-vEWtyE1_mG&+R&jg_gXzGEl{wbjE16JP3+?D=Nj)1vK#74qP9C57#o?IaUmib z&1x&jg?d&pD8tF|LGk|Zv1Ef-p&YO;sb-lhZp#emYnvfm{bod0+l=XIn+aWQGo`C- zW^}d9oUXQ6(A73eSn*7?vII}TMc2%2MAw4Lh`xTKYr$n?OwUAOL{H1;>N691`plG` zmYLDhGI)!U9z~V~J$*)EVQge(X@I*G`B$VBqZR2xek+m)%g|bp$d-}Xilops5({Gr zZ6mQTrqDJLTagsnMq(?HLfc4eMN()RiLFQqZ6mQ2Nug~dwjwFCjjjb3T{AbStw_oO zlGIitg|^YP;3BmZNrd0fx*Et84vDQu$}A(X6-k+8B(@?cvy8-6BxRP7*ovggG7?*n zlvze%E0QwH;Ds?_fRRf$IVU^bP(L%TxI`bs%r7l50gdV!7+~(?MjmnfR^^6)>; zh_VcGi7T>Y@_DCp@ec38^BGZ=8DQMNgKSwzNDzL@;Q5R=%P=k!Lbgmw@sSQ*pP9xA z)qsa|iL}fJGA3_sYysZlk8Iaz#Z5X^`h*6qQxZ!OohnOGK||Nk=A_zg3`#pj=7z@L z`3_{;A60B1)pj$|Y&Wzp1KVz7YH5zX5PLq~WKwO1mvF>|JjU8)WY3%2ZNMMRq<Yl^ z9L<L2W~SiP+Q@dfK1?Omt0p7{v=KO<4NZ+KEkKteA=|#h$(>Z&jY+fJz|sN~&4z{+ z7ABwz-jQt=x-Lbk?M5WpZVC>012Z#Y6VQ|%vhChq)@YNM!3;^X-307+17kBIbM)K1 zTzAhP)pmneArCG#|B{T<qGB#?T_Zyia}x_=GZPCgR>$JXykt-~gRem|K(L?_r3?}b z3alE;rVI=W3|7qUel9{@M4E&coB_oi=;#(yv%;L6g+holi^D(<;y!Gy;zypl0=Y1Z zNK*;85XD@OYlDe27q4siK(#NlA_h4&lp2N#pc)!)C`7EW1i}E>wV)sfq=svGQj$tb zO7ioH^+5qZY^V}&DZ)^YJ0q##P7#bc6y)A$B8?{CUQEM5?k*#t4Pan^nq85LC~$Vw z#Be{_<h5%MXjZu>HC-r!NKfHS+RB9N0|j3ekv0(sK7w|ELbH^M*(WrFK#7NG5vv3z zqRcZS<f)|6Vz;8yROh@B*nv>R#8`_Sl4M&7UZr9vL~p-BPjRBRwa|@j^tKjym>9jS zg&vefZ)?H3@Qmo5q`=l13pGIQ4Ir*L4k`pub1+6RNJ0(<cZLjNh3cqT43lcVVeEhh zfziM_!Fb@EU^4JdFdcX&n8gZ}QZqYf5UNm9f|GMV8IkVRrX+%`rEeQ7B_$YYFSOjl z+CMYZGcz+ZG`Ha5G&IyRHMBG_1g*pbb*fO>4R$%HX(eu%Mfz!(MWK0_CGm#($@zID zMfo{Uo?EbQe12YPMt(_ra8P_+YDGyf{1#|~SfP4wL}PV<fu5<ciJ>8Q54?%7rGX*( z?s0IFlOhKg;&p(Do{_nsfr%j(hq<1Gfw_?}s9R3m5HP~)00TWEQzK(D@R*3PiHR9_ zvpIDgU`)sX28I>}kg+#2BQsOb=ofVzU=mBEt^lEgNX@PQA-h0bfgI{|J5-6VE8b9u z*iH_5Cje!H1dCny1*t`eC7ETZ(CiEfMPhx1*DiHTe_^pJ-cX2G+fdtNphQZl-$1d} z2x$spEs%&T678`ZJw{TQh_6^Cu27`R35ez()?gs6SftDeh~^+xClFUKQsx9ia}bvk zh$tE<bAm~%P$rS32;RJ=LNG;wvUN6*RuRZ(ge?Q*vs~&}rbf_bVb0F+hC;;p4R0`F zvkXg_B}A;>@LHyU#b;P7i#H_NIs)-VjPJsnoh2B+kWqqBfkA-@jG2VGxj0ya+PJuc zgEQ0f5_1%S5=&AQG<-{n^oupQ*i;ofGSf4-*xV992NiMg1m|QXrz${stj;<4$=O_7 zKKbdH$qFHr1*t-1pgRpAqwk>E0ZTC8Vm7n1;9@qkFyRscjXmd=mKer^80DE&@djMX zZf*(^tkA)FP6<v077Zp-^V6E<R>TCPV-V;ZoHQ;@Lrc)GznKwas|@(eYy&PPLt`#R z60AL-(`RNS2_JG!&MPsBHiV2`#plHv2t^_JkJA!tqk(}T7n6xO7n6}OR`0R9xheRB zhG2T}`U7(_E6$?glDv3Nr%*ScOi*JLbPBtv0T+jfo`I#YxrrqgqbV1o5mtk-hMPpg zAyd>djGXgI;+>LnvZEoxQebbyad8?N=ouJVn3$S_>@qhnG&45>g%l}vEtXwlY9*Xg zl$?{DoL2%pRtju>9w?X$O^gjK3_vUc6LSL#OD-m3BanB9P6KZy%bHp#BTv|X56be) z1MO{!HinF4g6&HKB{nky3nLTIDe&fc#%3mlmc|eZE#ZM@LMYKGCB8GUlEUb6rljU1 zRwn0_7zh=Cd}C&8WB}TbWoW8rYG!C+XvD>6&c%p`e1g_6Ox|Z=rH)}uNqJ^Gw6T$s zTBKi`oZ}3#GY8pkrbc>Z#-<hq=AcBuXo%HoELc<9&Qx1tD+LTo!G3ei1NCLWAqlq4 zz`)o5a`k|Lfw_eNBw-*dBba$FH(t=UQo^(gbj(?1G-R9-Y+E_VZ_wfbsf;5zKtc13 z+8Fks1t?<d-9Um-f)QL6g4&r(3QP)&3ZOEPL1?-OhrG}v6D|%<eSdI?#>E3-1(zh| zr6d-maPhemWtOEX1eX-0=B1ZpaB+F&6_l1J1Z3tFb8-8ZmcTh&PMIm0MGy&oPk#j; ze|Jx3g<#hp7uR4e4o`mt7grz0NG={w&R6j94_62X4}zH%l3J9@B>*+eGq)f$B{45K zm5U2p+$#7b=B9FSL)aR=9#xvyi*8O(UICZpT!K)eT=No>a#9sER5iH-Kz1m&WELYt zz%D@%fok)~PfStJC~(u{;tESn&de)O&<KaH6H6<=Y~HZs<iyg7_{@ATS1>F&IbXp) zPd7Ouvp@kXB;s08l3E0+UqBXT<|US7=I3#Vf)y$#_@|{o)q)fYO=jX^@(AMM@CkGF z^$#{SMxB#kG~{A503jy7d@g4Hv@|XdgCi&;B;L>8&y|Y>OoEp%p;j~sT!<uQ$i-=B zqzA1en1fw{kP;%iZpSMIT@VFIyjayioB=lrQN3ZXI50#&Js?g6c@0L>J?3p@RxC~- ze$FI^3Ug#?F&BEUa5?*U8yXn+dPJdy2A7cmY}T9$TCKyKj@5e@vS!o^5YV7JI0#S! z1{NR|R-ABO2+bZ%E1)J5Jgx8~CdVhHB*zznmTU>lK@S~Jss|-m18@$4WF=7cf#)Mg zvgHeM2?_&eCosW9I5(M?=@}YX7+F|wfpZfgry)%{6P1t*&7r%jLC%EeFfuhXf@W)Y zvO`T8C_JR5B(gX-`P{TJu(o1POpZ@V&dC;9K(FB80QGuJO(_o@P-7Dw29VG(0NvmN zi8i<lvcJ*T_(JE`k4keZPzp*(q<aeDFw!%$G%z+cr8EH<7(kXofzl5w@qvb-sS`x$ zk6A6PI1-cN!OdQwxl~R;#)u}W0(kfy+<~$L->(j4TEL2D@^hGhr4cOUz!rrV8XK6C zki+m5(D{kmt-v`f7nH+hqJ)o;DY)7N#|*U#Ahhb7g5WX62p$7tOG6TZ2VWNJ4!vt> z#gdbnVk9&gHi)7LTsXtS#&|SrklH_}VPi5HHaNq^RH&0m<qfl+z9Uj032HV$I}5Ci z!I6H>NL>k79Rpfi0<VCP^kRt7r+hIRO(!_hiMh~HlyqWfN=_G34K##_*2RPhAq@ec z_Omz)L4%Z_qylaxW26mOg$$}9@ueXg>WFXS;OlgjpIL2U#R3|bL`y@)#_0980T-ho zxc?3BKp=XYxH=IifrGSg3lSLLu;DZW-AZX;WK41fqd0KzRp8*23(UpIIRhFt#+G^p zh6ctam`xQ>+CqdRu`J>f4iRCKk|;C@C4Y?$;V>7M8;u4ExIhLsXTalH%*Ex#qk)1M zC?=zUf*B~LLQPaCQwX-}h%GQ->ZmvBiLXY6Wf!y2l!2Kt%waP*SZMex4`RLtq)LGS zJo^or^#RZOfcX$H=)AZBXjTY@85Ed=W(jdH2u&5@;&&@bEi6sVORiLa%)YQWm8PYo z7IBF~req*I1*EwaUML$f!Oq3yl$rydK@ZL>NiF8$hD@mIgLqsl;ED9rOh{b}LoRT- zMOn-O7GX33%>yDeD*f~wg<^=(X$We$q3V=C8`n`_&|re><wF{9&!?*CM(lIUtz<y0 zX6P<A5Hm9mX@(K2qrlpb9CehLC|6+%tx^=7EKZ?r?x>vsNI(-CT=gF}T3RWBe1Y&# zT2g*#Nqkai8e(uyr~t)UupL;Umsne)wN6=B$zrn=BnDn)A(Vw;Be5Q3bI-|7O3Xow z)IeC^El>YA4qI4(rv{Mx3i2n`IMbfbWktx1JuJwBp148<TQr6cqY*sj2sRZ&V09(9 zc@LT(01sb+mX#Rlfd^hKxrhxioM!)!2*C)i`25lmW1)OvTu*Fb&=qkqM-OPQHAu-X z2iZbmJ%%qWT(!0~w-N)THbhzgc@1ZBsM$6XBgvw>az8WDfn~VLKxRWD&;lG&E)F9- zGYbm~3rny{p-g1GxV*@0Xk@^}WMTr+Y;I(3WNr-Bj5TSz`~KGwJ-LHiYyyftQ~2~L zDEcWWL87(1um%iLw;9z!68wfQ2LEw5TVRPn6Pz)qdtMwPLxaNuDV-f7s$ga|H#gy8 zHZn8-U6p2LVQOw@0*(fuY@*66W^+)q85vlDwOd-4nwf&NV~s`o?=sfdV$qNeMKUOK zkg7JJLbAPwKOzrfi%3J95qT>?(;8cWf#g(h^9kOVf-W9p056+iQeY5T%*-JsG>;i| zSqgVRMq+WQLU2ZAS_v1QOJ-SSN~(f$eraAwY7v)!Z)r|RW<gFRT!atOelk#isN{n3 z4bk{UXnbQdz6l!N6pe2N<8uY%m!}pfxa61T;ab=tG?@`KhWzsLQo-#eGuSX5qZxQ9 ziYaKRp%I7)S(^gu9>B(~Ord8qSeTfYo1&*nNR<j96~r`{P1h-`v$c{yDok^8lXK$} zQ_A8&NAiFgu(&WdW*DIhnjp<v5D9L`3ayslU=~^?fqlIY$xA&8xHwGpOpJ{!K>5x{ z&&0yq#LSY5$;gz8$&kpkV$2#$rfYUCG_z8{SmX!24HIRRUjcZjqKSz)$VLl2BSS+= zb5Q9G3VX!zJET;LxwI5y<Dw(e%&b%}_b@=$-a&UuxPq3I7UHpy$VHhT8)t4!GP6>} zvMLyhl{H)(7J3FI2IgiaT<{@KLsC}6foyEO9cN}ma4#ujiD5K&E3FZDK_0c%w}RY& zzP_~#G@y;XFN}-X$kLLF$pU+5VqH56GW)NG88IOm2#Um5a3orq8kkvtmv5SznOhh@ z)`ptG7f=#RHGg>Z&8&ow(`iAxNqlCWP$?d7nVVs?0H?R^uT~`@X@Y%~gtFq<#1gic z4Ya0*gzUF^?siivG5CUK&#-8u)y~a~pe4yB=0+CA#-L<rVrp(;Vgg<!OhSH>tX^np zWl3mtCTO!^dQoC!JmfkJ$ku&unvCP(Fw?U%0WI(4;xw|*voJ9-F##{@CU&thD8*d2 zZ^Kxu3`z3^;7JV=0}C@VGceQC!q^hBZXC%1q~;g)VkJVO*wjjekT=1_N-`)#8XKEi zf>wGP>Y12W7#SIWmPRAlM=(KNi}5kFl0;6BPR>5w@otGZIiU5?LdBqTiM|Pui^<S} zi;=`sX+4L@gm8fw7;O|QRD#=5?0F4mR#>uki!l*pCfL?SMv%1_MGGV=u)37y=2l{l zvxAE_7+bjzESN!Q6URnaPzsHYhHSgyz}(>lYAi4sa^Z8s)7k#UR?dW~BVwE|0UQj* z=H?cV#h4~$pi69^P9SR4Jt(8>6tp$Aa>H~F`VJOU7bTY#6{Y4OZ4fq!1%*liC}IrE zOe`%RCuA8Jni^VgF&UZQN`x4j8bD4uxJlpGN)$P%yTn6}?-YV8SU0yYg`UA>WM*Ij zwE=sI!)imKv!1b)BB~9b<8CtZN}`RB$|6Wg!^l;HQ`+^dAC0Jy(u`w;Vj*E_Zft46 z1=@pRX>Md}V#&p1XaXuiu*EaZOeU6k)5wY!UiyQ!yMT_9054ZW-MtJ=Mfei(cKstp zR7l9iu|l<AADUQLnt^wa8(0__o0@VlT7U*SVg4gn9zSRcGqN%!R33wt$%0Ncj5d#r z&x|(&WoMyEu=R$fmL?GE4NWc0%t&p4N!<1}Bz*20xUvInzKDig`c??G+5qk9b@1LI zMss*uf}r0g8I<W;sbcsYBoLloloAcSoE_v_oLeC#?Be8L6zbZ=Nu~ZmIHYa`t!zL( zhn|bc#FUH42;n)DiqB8q5oK!_qXv^H|BFHkE6$wM6w`Qr(7q|01{;GmW#QQTh0Wmb zsBDPA#smyDG2~)0!d{7jMt?9|T<Dw*G1!EF!A8bhOok*F9K;_8G1!QJ!N$hmLKl{K z2!^rnH8+UC<`9ERKy5HX0}De#V=hh;OFa`y6JrAlE+$Yz8ppmjtRb=T-c@rebu1Mw zdhG&k<EC<PBG#3In8qf?2Bt=MclBY5*tvhsn_EdBm+sIN;1JJ+;z*f{CddU2!7xix zKWlEq3EHax+0U2E#c64(XK7$&WD41pW@&0{Xk-Se`H*&t5j3`<>8QDtII`p6JNgV_ zL9IM9Jt{=Z@3M{NR%(Q6eQ>;Z;0iGC5H+lhz!np`ELzRMdDjdQ^qq{Lrm%sTskyl! zIAIx?85o<Ike;wCj7!X|h`VaNC^a#~F(suawYV5m@`4IMa0{-BT5b_|mS%26<PFHU z97C0jw4l<G#ErDc;8-v>HZcaBA7f&!2TQc3$Xgr<Cfd|kDO`!xFjfeZuR&#qv8Aaw z7pIYlo;i4NCCCO+a>lb=g63$ObrBJ25G&Nd2r9MAj7-ceERZJyKugvPaWoFGCE6)_ z-<et25K(9a2gMhIMmvL2(=&@pQj0)m{24?Wn#Kx&(w32)fvJJHA*d{{)H5_OFa-^j zfj16gAN;^tfbxHNWkyljGKv)fWjd-jX7SBeW|U+)qgbIPM&y_QRV|hvU=D3>5?x_M zww^V!G9xCAoZ}NyQi4*8OLI!%;|-z>O=5-Gp$Wy(z{nigIix0(M1$jIR@Q_IQQYYy z89apn8vV22;xsYUGcqzT291vygSs>%Gz^NpkD4J3>p+iCjZaAe4Tv=|f-^a2T*nkr z>RK2ZnOKk<=GRgVnpv5W5#}bbLJ8oZ90LnWLvV&L*E2J*1f7<Rx|Nz>IWt>nlbMwW zcrQ+JUI|L>Pv+t@GSf3Nu`n?Pg(adSGXa$|L?<k+@Kt6ws$V0}`A3{aMta6321X_Z zT%5+ndS;ep1{UBg`$%IsDD8D@B}_oq5;H3qQ1cw+`q13sbeNZfs4(0Pst!mPZchM@ zFd7;egN740%`HI#Z^o9UpiU;zkqQL;Rwl5}%nI`W+v1WW^vsW#LxuFGiH@TW77NJC z{N~`w$jDUB$jsE#$ONS_0xvTl+6`qL^UzO@fW=*Wv@vQy2RWhw+!F#XphnurPcX%s zu+JgSub{hW&`Mjf1KfygM?i~w6HD-_3JX(kNn~khZfI;qYLTCBFq^!PFgA?^WhWCo zbBgAYK-r0exg=1j3kz^c62N!$X=YZYL{u_p6`hee^%5c24dm3eAV(kv1j<MNiMjqO zxdCAqD>UG4Fd77IFoU=Oninn2p?T2~G}}XBTznxjE)1b@f#e2Q9wE78B-ag~tENB& zBg_pZuy6ovMkXg5$jKO>D-V$!L2+b|<p@L2fCA{$PzwVSLo-V*PBQ~NOAAXgGXqeY z38`;Ju-0c#ooq(L88<13@gYH;=nVmAOqi3L1kArpB(q9DtDz|=mn>)Zk>?1|eQphq zwmGN|1nRVcL&Dt5(vsB5(H!Im6Vhu5%UB`MqDSz_Rur721*#hfou!q^#c5=zXJBby z1ZtoojRKI?@KWUI#oh3NHa8Gu3etWv60`O%u^uv`)dZ!Sr6^i|fE+<im&+2x4Q8-* zm$8v4$?dK$<hsEk7BsbDqGxDeY6<OlnwuJ!Lpq+IliG-GY-|7OHnY+trso+HUtF3S z4Qla4qYPRanZj3affqCoZMF2jPBSZ%+g?Dsm?}WSn3VhL(}YelD;*+oEy7z3;IRv1 zb3+SD(4j_#dZv~JmY^9>&~%^$_9-K5T~O)y9cET07+p}bGqj3Jli+7SLk3O_APEbW z!zgLl+C6P2uVrh1+Ojo(Rp6GECZv{|HXt{URd*Ug0>Z>x&&b@^!U!_3Vs2n;Vob6l zc3f#AZ(s%L23TZJQj6JjwU8GXCb2^0T%3sfg<RsAAy23fY(wn7(`05v_*l2h67Zr@ z*vJ+&JpZ4yi9FAnf~Mz@P76TxJa}@1=yGPl&jvFqLFCh%AhSx~27;lUfsvtsi6uCp zo0=G!f(~ya&3uODDl;oG8VJyii?M;8i2-QT5bOj{dIzmwBFzaA&&tV5=7y;G-3;so zOG`_0Q<7VZ9iK|btJRH7VucF9LyX3T=9UJap(8UrFw+>^Rz{5J6HMoYC$i13%+`aC zW<(#=1a(|Zs1W^A|7DUF_C}xvBI2+n@F2CZg^__77n3n)Aub7n)U&^*nxU>>gPp4c znH;3T>RV7dl$6!CI2#FOpjD4VXWkFii5QIpQz6Qi_JX`a?$Tbg-X)@#B(WVlp){7f z90TiTBWxzWbQIqnNS-4MEl|r2N~%b*9bsfP7#uMuLISm4LeY5ev~@mYRobA=0jMu( zpl4!XVrFDX!6d-CBVM@sqTq%RhozpGg^{rd=p-~tJtK2-OC!8zJY#FB2bXwY?Iob@ zCP2QjMJNF@6K({VW#=%~GXyh9UPtrxkgFN$I!xG76a$nIRZ2Pm3f?Yc#iAi}G!v<G z0S}&lngyhG0_4bb1GJ})<OT$j#A23gH<&~EAqb1fFJ;MfgOSC+yTKT<Q-}x>65~Q~ zsx5i7jS=KZE>3E+mMd0UlGR|x9ASs%3p0}YrHgwk$jh5Xu~a)bAJhb*)y^W2*`(|& z0yU{%iHedkZ@Hl<dFcyO=z$yojZwrDjwR`lyOeB4K)ZxsM;Kc`d!?3U28N(j)1-9? zKWdwj*DWyvbxT0|<tz;i!25W}9#1|gWol+6g|a3dF_90NrlEX487Q6M+)oBtc44At zZftI123l*2SQ%pm>Q@ro1zFIqhjnEPv^bzfvRz%RM^<rQjOhk&+99X^PPQ8`t7?cF z$QUzRNp3hmGY%{+5aX7l&wP>X2I!C>!t>BIcw|g|t{^iUK$!|WtOZ#VLeb>JLXaEC z7}l}?-<=8dyQu{<DlCl54NXaHJ$hE_kyTNfBRPS>VpO|~JSUhToM3EZ2%1m;r3*t- z10y3+LqXJ@JSUifS7aHQ>Y14sTbi3v&>3Srpo_aRhVUuH%OH0z(IG3oO=3akco-S# z8JZZHSb~-XTj*IDm>XE2&&UxRK%D$d%ghS%l5^1Brua-m<z)b|&{)sR)Z7rX*v%Mp z1%{EC33?JIXyN5mL|JHx+6|zjiKJVsNmgBB2pwR+EMqN6ZvJYM>jr2Ui{u6blf<qF z*=|6K3rfbl$aVu2yM^Ss!N@XJC=ayA+S14Zye+`kT+hJJ+|t;Li^<3kw8s$E!XlUn z>ej29Sz+FC1mDsJpC6^x2?3yHBMB!2l!6X5GBq_Y2VII~WT|IrZeeH+@+RsD9R&U9 z->zzAMerhKu(v^5enB%7Mh1FDmKLVa3dG3V$jltv4<KcsYh;rud0hd6SfOMtPD4}B zIs+4PP)&|l`)!Oo0ZDMJt)h)8uC?FB(3+A8v%Qu-RLH77jAMn0AjfzanwS`XHqwwg z0rh{Yl9`nn#@s*V1QcjcB^G5Jg*jp!1$f>ImU{?>?S!-Pp!v<xl+672Owi?m`o-nO z@dlv1L53!J21b@9poKDI_u|$pk;7g2TY}dAf>MZyk);{P8dEbp@QA1ZD0v_)+$ZRZ z`S)e;onB)EzN5|rR1%=9aigRr+!`rG=3s#(>a4?nI0Dr7!<mA>dkct8L7PDVA&9&& zvbfwd-T)<_DXHeb=99G)#1u842gDJetO^<$LbNoXof|SbxMYWfF|>yZ>P1*uQr4}C z14TDEOW&Xa;vhFr+NqIIks_~7g7#|6^^DEU!Rt22F4!_6C2<#QrjQoCsh)|Yg`v46 z7pJkQo{6c6u_b!<jbNq!RaKIhE`<@yLSsYFy_8&>#wL2E1{Rnje*`T|;gcZ7LSyji zBG6?&h9;o<w2&t^z}v21#RowPFEUAxvbx9+rM9&|to#61dq{N#L5uIn2@+S^8X1A? zL^=-4!c5QH#L(Q#5;PJ>ij~201&CRVoty(+ja>*nc+Ak!#2B<U&xqVs#WYzy;+C}- zfmhNP8iAJ27#SOKahe;0CP@uIJv5XC8^NGGyMU88E6pHVat-wijLksD$#I&1&XqDX zHZ+ASV=+Q3-yzrrD9GcWY)h^&Z0y>?6tt6{f*}w6KsG#M*M@L=K-)?|4MxPyYtq(S zi*n+#2b^p{y9g{n4O2?8N_GeXky*tQlvUt%g8W8qvdtpePO|~96Qk*BY)EF)_3)wp zrg#osGy-4K3u(H7HjGmxt5`DqGo@t1IJDPAjqYXmoA0LNtba5>j&wr<3hIIB0^d!E z>UDwkg;a1sR*sk%8-cgw8j-bfWZvs<rdZd_<5@ZasSQkw4Gj$qDe&!$6(3BATI7h_ zt+g;Vqrl4Ms<*@iFzAGadQbqHo0(XenR9U(o9mex7=q4iA#r#v&+;v?sVcWLr^LS? zwJ0$!#VFbwy+>+h0Y4Ojw4zyy_cgw3ZD<KP=L(XoAu(%UVQE3p@>S>VSH#7v31ny= zG`VSHW(bN|a_gVY@E4{yPyT@H={7V0?ddiLU0FqTTU&bPGu+l#z@p5+%-F;nv|rB{ zIm&RH!HI1>7h~m9d{G8De-095po8Kq^~_Dp49tzeCn%U085kI&kF61GCx!00XG+9` z0lo?jB~=o%Fn`?*;<6%Wd;pX#jr7b+OpQz^X*{T=-XJbrLfZ~TdS>P(;4w>cBRvaq zGgHtRpx~e-x=&FYaSfX{(KgW(#2bJPjztXKnNYHN{8sf<Y<6M}-hr0BBJ2ds|B&6Z zKTNcpmaw+Hp^>2x=<qPI+xFSH=ZT9>bC{I|pd<XuDCkZ&E;vh^l@{<ufw8#(s8K++ zFN@vI5E+|@hKP}gg@FYH-ux#(ter@mU`s>Lv;x`w)cJ9mNPi;rCQOY%C-jnS=Yr?N z+GzrhPeT(^OG*kM=O@J4iBi}aQc@z6Jtopl(2*dB5&@Kk$Sx7`h_(~CeMi}}MJ~~H znj^(0z3jAz6-oiEx;8cj9T&^RX=<ovY6hBRK$~MASa;qHJz{Dli8(uySdwT6I#~v^ z1JqK_+``P%!jOy8%t+7F(9)Rn4PnXK51SHuniERf)go3X7j#azg`u%IXxpP9xlPvz zU58Aql#mDXUE-mK@PZaGfDhs|h&GKC$^=<w2o6oqzFPxJV{;R{tLWX_6fh3ulxR3) zY9)_s8*DLqv<aw<3^@xHzOfg&HNo7}+|t+_ZTyYk5Ybe=1K9gr=*M1yP6jK@1s@p~ z0`i)rshOdnIq0SZLsJt=LjzI|k33|**VKxupfsmA)x;v(qY6B|W~^s!X$U^wkL;H5 zL){&?TgHa5LR2`N9yHsH_jGz(QG?PlB^WiHw%bXI8guX|=can5pg=U@;xskavoy3c zu`t1RLNK;we?Y}XQ!7o3e2vyvgx=9$5G#b$zu+TEk%oc^`d8%VI@0`W2|FLp)B<!8 z2p6XbqMw7(Iwoi}U-24KO3qO<jundI;xshSGcdF;F*OI}4|4-UGf+_n4hC2=9mOHo zvi@S(H5hjRK(ae{&4dAHpA6^}7y~_XQ%eH_(Al}5gLw^z-%Y>&?kZDa*Gw423dMtN zS1>R$Gy|Q4VS-rli!!5u;w@|mD(t~ZQ*4Lf8G&meb3IdIa|;7g=;#LM^f6LvqNb0_ zao0phZ9@|iirNyHO-qSu8ycB_S7C5if_841f_hP858RZ0Ttr^&XC5m=`864!vX0Vg zGC;j%Lp@6~BMXYwf@H-mB(Be6iD*-qfy18cw&RMu3y6zvLzK27Xvrkmc23$xtexhN z^3PPy($d7-7<9^`iJmd|z*<t5#MZPdps4&a054rL(lapuohre_X=I>hX=-L*1Uma4 zd_Fy_03_I?WY{&wl<?9uqgbIf@aZC^=H_MwpoLUsdKP5){6fNPQwk17HHj6f2bGY< zmZpZFb2h<kT=0P>Bo5Dh`ZJBJ;aTHYp;ksvE6m)~!W=Z#hcxR#S{-=$(^OL{E8<Rg z!8}UX5=Se*9IYfLSXCT2J%yxJ0BG+vxRGyWXlZ0*#Knme0Hn3IGMpw8(a484I?RlX zEx?r`xk>LvWIsij4zg<(a%d4+AAmHEfAZ)zCE;uz&}bdj79YuRot)cET3nk#^1Z2^ zv89PIc%0Be54vNR#Qe60soT`bn#gmSFq69lXt4(eX4r#Ud!#ga56|o(DeNsFAz-3s zX>4i^KBFA5%#pPGKCPsSk`RDaK4y9*rluyQpanhVdX^T(W~RoZRz4+%JBgioP0P$F zNi9lE2~Nx{$Vmlvy$xgI4WN~Qk-52r1*il7&5=P`k)#EQazrO3m4P9+xoo0mW@KcH zwnGk_E?|`)!7|YOUOU$2vN5=}vD5>ve=(+DMCDjXJ6W}j1+_}~73FOt<$O??13oFh z)Wi^Urz)tcXJBCl9$X<Uj$^{wOsz!Wn<zo|Ul*mOgYK3y6QcY+H&AmK_kC`lHVde= zW?&3D!P1!A)>?@`D<#R)AXcahH2h~|ZV1jUh;^8#*NPBqt8p>5nv!q|7Gy=9p`jjV zR?^e}yja`9#LyID9~(i74fnJVGf8NOqmU-Ko_f&PLP{YG8oVwCjRAm;-Lrt40R<YJ zGqNDHF%;oUlEt7YN`%FblN3P~gN6l3YZ5qZYbMFZhLEm^nVyl65oi@4WSs&zW$=%Z zMv7WRREzZFt@R`Yfd!;yHq$e;urvbo#>gIxx-_kxlKgE<twP#Yqn@Nf8kFv-(=dFR zRzs4_pwk9Gg{z64fq|ikg(Vj!(ojEX^+T##6(!9gQ)-ntITDp5B|m7>*i6sF%*X)T zB{t9lPwJ3-@LULQ1trPPh+4@{r?8x)L;%`Ahba9J#V+WSOVUceElMS%ggt0O0~M}n z1+^VWxT-Y?v@Fcn*cfzyB`4B#NThjn<;g-*9G8ett$%5DrGS*+1dW{{;t{nLLs@i9 zupRwmejX_ngBIK6gIY$GmKLTahTsVlb2AGwbCSC>pSR}VT{i{Zd<D7VHw!dlXlh_$ zYH7;FX=<crVs2q<0BNBh7HSb}5vgv;F}0G%n6Uu~xaNWSN#KoMp!rx6JqtrKBQq25 zV7rNhxiP3!LRt~Ib9xSWBhrS*MFS-n)WJN9q@n?oLm}gI7DlE}zndBwnP9A=BABFh zv1F0ebAlYV#9^UlY-VU`1{$k1*CWpbbMi9D3w_AlBF1{=29_3}kpu9I1f+?EQf3fL zY)?2cunok65*z3aPgrt`2c3J1Xb&Lb8<gTuY$O=!M_;6o7T;8B1s(+1O#HdmpwY-C z@OZ2lXnNcNybIRU(9*;ZoW&Ro;TJArn<lH@nqo?gt9T8-O;ON%q^YTip_v62rv;87 z5rT1jN+8A5$_f;<DEB|1O$CE)T*kN}-56fxSm+s>T9{fIKu0Xd86QvCn@nD+H-`00 zKs)TrKxbc*+b*&^5=~Lh1Txuare|(!3c6~V)7(JM7(951Heg0DPTufG;F|0-Ld|oi zl_zO=ZW?<8NqG)bZRc@u80s0A8k!n{mZ}@;8JL=z8-uQ-CGGrz{AJ-pOad7~ranyc z42(@JK<jbIZu7sL7EZy`2ejpFqGw`ZYyv9UjSR`0j1tKUr=;&;My<X_SV9;n?Iuve z8&S?9(wqsnwMNQlxYW)N($btcwY+>=FqjlCgF2kpyiEE?%ZZjC(!5Nys_k)!4@q7& z2c2HQVWMYjY;I|40LtkmCKg7Z!_{#w2En$*<ywr7DeB?cPR>5w@otGZIq{i!B|`b2 z7O}Cpv57h8<RKG1b4v>&P`H9?P@>1s?>_Lt+XM%%q=PiU%i&{nh_nl`khJo$ZnGyT z!3jEPIUi)Pk-4FPi6O|(76#@<#zvsyyh+J3YKuKgtyHnhWTEby3Iv^i0vaPR)iXCW zhg=GY*q}#R*sfOcpro9#gshD*1+A6>?*#{KfVVKR1SKWX9I}hkgS=LOCAG>8(NAt9 z#kvv1@8)`jCg$cwpkov*^(@Uz%`DN6)*{#@p19x1l*rrmP!`34&a|UW(G~s2k+h=A z2t0pfre|nqWD07P8ky)>T3Q&9ex{(-83(d@VP>&H;3JPL4GfLUK^+oM4zM&aHsxXh zUvN)Ck9*ord!pCTLE7US#(JiP2H=4O&>?c51=l2x&Dr|cQ<C#cVuhwMLW>#$L(oaz zrh29phQ=8Cv<RlYtt)Izt-J`9I-rh0eqL%uen~v?so$V3BIpY0%y`52cmr@hArEI+ zh=>$|u9A9aWoo5@xrPNxQHZV3C%wTEc*%;S?2o;@VhXBlNGtiQT}iSSbemy4XlTpK z$iNb`LC+kN^-L{5>$XX=IB%CFSy|5@RwxG)#fBCJ;FVHFhM-lS=0=be>&C<{U_CL_ z!qiF;qeluk<;w`PJ|0r5r+{oTHZw5=Ez-f2;RyD%E`2b^-PbaX6>4Dw9oc4KW?&9F znbX2j&)D3|z>MTWO&ANzO|2|3JcYlTjWmh~9=0?$H#Gz;1Tr<zGd3}`03A|6THL<* zYJ#`T1m1WL30*`D0$Pp?+W2X1NctT&?~4sdszE?k+vI=_k25hd16jvuZlPyk06JG0 zZTkVicwLlbh&vS_1uSTBorxZ36vYyB{Q|i?yH{EU6!b97VudE*8dL)Jv50OJUp%B| zYUNI1v7KC6RFs-mf_c3fco&h0fr&AwGDliQfYNX#7!`}_^l)V{L(ru@WuS}<no0)^ z+?rVGnVT3JgRcD~Ep6MV>X}+;V^$3~_PIb#-Q_URGdDK??<WMW?6feoB>67-oT=L6 zwNXuwN6QgC6Vi+9-`BKA%VpT7@IWi{NnB+|vdzYbb~(s8(7|BlM#d(jhdSR?EmJEU zED0S)e4E1tlPt}QjEzC3w2-^5PNz|ef~;;H3%L=+%-q-%blkMDg`S0xv4H`}*Q}P; ztK(SbWQcvg4mdlJatx;9LsgQJl_B_8VGeUW6C*P-&^A&Na{Kmv<*In=DRAH37~HG` zO?jE=8JJjFf|>zjcQ~r9sgRe6A!BmJdPZiT&OP`#IAb#t1JHr4-~cDO<UTW3fusa& z1YVL4I>Oo5&;mSKV_;%#U}4F{1R7#6g}1j*+SJ&FYu-$jHMLSk-i_#zSdtj;nFkuI zi8cn;m4@)JHqpZz&j5Rr)ul8yw~~t)+q?&pkgTbdGiKS0+HA+umjGEG52`D`jXpCo zBVz+lZEdV)0^SdSw(N>vjr6WS26v5QfGDCMQ}5u$4|oS8*rP<pXwY&AQu+>{6AKZY z7Rbnfxv3c$`)PeivlujBkPm9*nj4y$nS&;ijP;C7&5b~Zy^-eQ53?lj<y%8=`x26G z!9F!GHZlaASzuzRXKHF}U~YjnQ-YFqu_dgl?cyY58WZq-G&4OzGXv1PIH$3Zo&{M` zG&26;xKfZ2Xdn*UrZKQIvINgaS?ZY?8=4!CJQ`5mDn?412FKbYLz3&3=^KSfNx7iS zQQ-IlHR3=!!9k5UQzOupY!cfOePD};uhlKVOI<<B^i4pcOrYk3nT5Hf5&E(61QXKP zg~FtSv>Bu|VX9|hXlZB(IS&V%j!2H;i*JM|XiZqe3Qfe*aUfx2Wy?|_Q!6*pifEku z21MtPl42~3ONg}g2V~(h73$(@A3;)5gApVa%t2jM@R=Qk<c_*YxNwsk3wfy(h^`xK z&@C_CKnRf~;hhT$(!21M!CWMj1=#x*;FAkbx{U<0B-mmSZdwOTxF8Z9+{^T^*#dMH zEokNpw6qIiv!$`InI*{u@8%Vp_$p9i1JLjnqyoj}RnklPXL1~*<uRlV9O$MmP>D-k ze|;y&W)eDZ7SN%6a|06#(EeLPLp=*)L(semlaUD*lOYLP<Qt~35<RqU94piTE@92h zElfe1k4!A|jEoE|Oh~@NV^bQdsg*5e35%t}iftQ|F=!tYXtc^m&k{812|A1sbcqUN zffs4*H0{OA6jVCK@JiQ|vOauO10yNLtT}jg$wbe>)Z7xZ5tQr^n?*$oWDRjcF7Dzm z)3Y=)Faq793Yym>Yi@7L_x~nVL>};tF}arl+74`DVGLeyg=>=$!9LI`y}u?n&h{|^ zope(G>JgZlnu1a~C)u0b6)nD-5OwyZA*2p9)w3`(FtjuSAGTp?W?*OmPT8akTUh0O zGr`u9LC<HX-A(kiOteufXsH!Atj!HT6PjF{Mu`3<*j2EeAi=QqPx*#Btc}3^O=CR^ z15<MYNPEe|)B-esLSp0jpz${oQijAJcLQ;l>XEaQF;V}U2^G5Z;DZG~3mFZ}42;Z- zxHv5=^-Ro-j4%d435M~c{a;P694r8ua&e3{1J#>`keQ7VP{IbC-C_c|h5~Vfju~ha zf#@jx!}!I7=p%Ft!Rs|SKxaFen3{qXjgnh(b6xvvLcz9X<5-~}(DaI-r2*)cJx&u- zJtJcaBLnaSkEAS0*^%|x#ELzqC^;wF#Zagnd{%?GnVBKz4r^meJu`CyGfQwDAtmQ} zCVn;{@{DFIH6*x#gbW9Q=Egt^^~jzCeG~G@gsc<E3_wS-av1BGSQ?sv_K1P53jo#7 z=-0atOwLgcKVU03LCG0)4Ym>JXd(_XJwx#M7+lD=5|B1^<aq6aiIp&X<1FN;P$STB zp=n$k26|=&7Dk|R7fsCdjLl3yonG)jqa}Qx5yeZ`1{#$T-<eoRfqa0n)EIVLr~&At z4MRNx0|RqoBhcO#3v&|_V++Vt;TG7f!8RtOs`Jvs$^^q2w5itO(j>I`F2h)%I?$pw zGeZmTVJn6vdKPA;ph0>@@T~_#7Y*B=zc8^fCgcFn6?smTC8^Qopt8vnG{Ol!>B9^( zBV_`bY&J5~15Gu9ZqPvKIiUnJwh%bJ@P!GPYq}w4{X#~sC>@*=UGUt5gc&*LiAu(L z#s(%v<|fcJ?c^MVJ!jWb@^UX^{Sp<{t-byK#DvHr-cg!&mayK2p`noxc$1%{o`Jc! zIq55?gw8%Tp`f>60_tsm7it<B8i22<Fa=f2<|d?%(XLc^Y=Y;sf5@@mkg6F=pO%af z3(n6EO|Tv{kKO`=9eHR3?$A)71!i;fAt@;yxi1Y`*8v_jHMb;vu~zSrdnTkD@L~d; zgfud+v@{28nJ@#bTr)5rdHmgc>s=G<hb<U`PUf@#9SUY-WKKb|j_d6$Ld`lz*@<nm z%Yfu@;Qfj>NeM|~lqjTRrOX}@Ej9tCS<uM0sU<~2?i)ZB6TfZ(`#Df#EO3+Dd4;rG zidYv<;aGq4qAMizlOR*PCVCW4=fv@lW-;XAR1UJHT(w)yn^0q`nGtwdpOKz{fvJgs z85gIenVz|YrGbS3_#j#mmi49To-@I@tj`$K27-)hf)?Bvfi6%mGXS>&!4teBPph0% zIBi1yVQ0`q+{Sta7Um|PgM~o@Jr)Lrrs(Vb2o7Se;5ltV)*^00@Q|{(o`IRUv7rSQ zr>TXWnUS$6>1+P1(+}gTevQCG%8=?8Jmf=h&&5gPAaOkxQ_u<qPD4vQV+%7gaCgl> z&(z4&!~i{%2{w4&^c)~mNkAI5pz%{<JyRny(AYfa8cy;~Q1G<gPeJN}Et9b@G%*B? zC?j3C0`4-yIvNBM*U^Xj@J20onGD3^<)B`_iGjI=DX6-))HAX$H6}eZcdpq-QppKE zn3V%`Adr!PDQHa3RL|7R(8SOP-+{&0x{eH!_nBC!6Y5ey4lFhR57>k5;Ic3Tom*x? zZsW%K%U(*F(BOm1l0o5aU~B@qd65IOco=lRFKD0%X<US0@}6R_3)k?WVYC^zpfJ)i zGcvFM-Ev`Ss%K<q0@`-Nh|+5$n3}rTcH&J<;DQ3u)}l^9@#)G|;tC35Y7MF|Jl#T^ zjb`An03$skLj&+tk0$1N=4KW~h9sZcz&m#n$zuU#@UZ}JJqTJ$hLm(jD<Tf7ZlYwo z5VEBil(@`IK`Z)@M!-=L7r`X_oMR*IBy18ZR16w<F*P(ZG6tRPW2$FpZe|1;`X|j6 z$(;2jM4WvM9r_WXPBLD<bR}_#$^^Dk-PG990=)MT)W9I;jJwIlmQgTJY7Xk#gAdy? zH#0K<ow7;xi0x9(r8xS37NGtg=qx-FLo)-=#uO7HQ!^v<gH{Npn<V)qCPZ8p1)Z4# zg(rm#woTsi$efuogiTKx8=8W;yvF8w<Q>0KU^S1d!6QTP{#_$Itkn(TR#AdsE^=lr z?z{?_gf-SPG67BdQ!q&9cVaGCzBGc4U6`1fnj1q-xgqaZ(u14k;z+yZptK9x31Vac zI_A^TLeIj|(99HlbcA3)-nurEP_q=Wu(k};N}#xP#?du{l+h<c@LEV?Ju?FXbI<|y z<~SC_6ZGcQ6Vq^|7Xwi9Bn9L<Gc#iY(3y}%W@O$)vu(o^T(%g3=BvRgSS`&h3_wRy z7+UBV8ykW;6(r7~^5#v!*9d|PRw6p8po?`Z%?&I-1sr(4C&?Yv8>c2x(3OB>c|$!D zV`FpB`ghPmTIh5WxT!*PSK?`9kBOB8@*;51l{7w}`907yICvwwiGc<9fPV`^Jrgra zBV+XZK(K|mLb3y2;6l2hkiabiwFk_MEKNYOr6!hoM#g5A2I#d1K?|!q+DOR}2H@+2 zO!Z7GEKERi(UxX<7M5m~=4NQik_qOBPl9cPGCpKeYzk;*&D_+;0z3)}8eumBT`$52 zzS*1T$eaAP)dcmx1<2%Ge7r$4Xk%zHRcu+P*NT=z5Vk;WrUBgnVQ37x(G%%r8kDmm z2!`CpdCkO6t3z6+psP|S4M4RfoB;^B0vCMjjiITjv4sgJT^g90n1VKVpsW}mm>A{6 z8}OxmL+}_Fq_<9;2%E=Ni!;J3!NYpSdIm=3rY7d#6%pX_3$1HP&{O-@SC|mKILiRs zGND35WlX8S=_Sb8ekxe<UZ(<QYJl#Q09_bwW(k@OH!{*Q1Fcvhd8y}KuQI&J0X%pE z8JEijB{~a3OG`@&5X;cO!Wd)uA>o{5RfcW+6*Q&-T_0l!?stNVdNVUiW6%OOGb2z# z&(xIU5^%3(v5A!m$Z(XoezZyW%;1znA?hUdW3P*FrVKN1lhH)a*uvNZdR#Mk%X9lu zi*Pg<!K<we^(d~fa)XLU8eua4H{z&}=$kz9aVL5s$aV)%Ysbjah=SHmPFXG`!x4tK zmoI_GjESx>jlLwomoFKCE=#0B2#Rit!ySSa;HHI<p1F~M1!!KwNYBF3)ChiPAk5(e zb8$0!95MZ2b800Euc~O=o-(GEElQSQxNR|`R^<V<1*MIPXuud#%MzQ}Avnt+Q)-3R zg_L02;bj7@+70zAEeuU83_)v!EeuS|&Cnaq1e4m`g+bUFv7mKJ@tKenm5}NQG^t_% zI%dYy)R>FY+#Iwi3VbOc%1|Xi`%VP;le=n(nvLoDM;<uC)(CvX0S9O**Z{J;&r;9O zz{HI7Svk*}?)YkdBk-<UNLL74Asd()ftF`*8X4%BnHn3AzF*$vn=4)mk+x=1r$T;} z<%BaMP^~>!XX1#{63F-l6$*BFeS4fK0(7KwE@-i$nSl}LY&Y`PFR$Kfhb_B-no!X8 znIR-SP$zl>7a8CTImrFNR0z5KXLWIhoF%o2T(B(?$YU3Xa-C{j#2PIvoL&LlivU`- zU;sLL8+4cmhcTJAR^4ROqF^-0AXX?Fbe4*Nfte-vjs#F8U}gzgt3g^(JpGfViIoB< z>7lgV!7FuL^Wrn(Aq9Ujs5fG1ZVoz$2-gf1!D63bxhk%4JtOGoA=Z?LGBZT5pZa{0 zGVbUz2H&e|sAp_yVQh?guP%6(7tyV=d0XU(AH9IgY8rs{qMI6<fre-dOic~UjkuVM z3{dv75%k=J9$9>4JLCul<if+qzyx$yGty`wX+s%R+_Gqe2V%64YQ?VRFL9hvW(YZF z%}CGO%)}6M*OEEtW)KU|@fWBAz66t>bDX$|l@3NyN9(8-1*as&XU0QDXP}p^S{hrL z8G-JGG%yAoyg}+1;p{siCM2H-hIITHcyX?Yk&%T3XsI;loI}vSFPbX|CLN7pVSGsk zG9(M}Hl&0z1Fc#y2W5Oi6BE!`hoG@a5+;{+ALS>vl?PdSkODg1(9polz|sV~8qLJa zz=HJVkckGbiIpULvqN%TiBYs6ICLTT1bp6_g|V3#=!_myGd%;)Wqja1Cep$Uf}tyZ zjva64f`|MdWhrC}4d@_T(BVX6p9&P!!%o4>un}lx7}T6M(6cbGFgG$o9vDQaLI`^O zYZ*HwJ$(c4kd3JxsB!`A1t5FZEpsL-jv*UU(4qqaJtI>iV>58^Yiwd-W?{y~WM~08 zYz|iFBm0!y%}v24G(<syRe}=+6+qXzSkGZHA?934$e}%0>od}VSN#Kn3C=@%K&Nkl z#`5Ap^I|!mxhWISNzUe6oaSbFCPoIJ6(uAVlMSp4*hUW_hcbebF{F?v0@Y*2hK7cq zYq$-K^(@Q{&5ew>Ks#v6h~L5!((~V#@N%!ryps5!;u7d`FC);73DDv;(EcP-&<0^t zFCxby)<cR!&VDndV8;YF@8p5Jk7YiGw8*s&{%lM{<id^;1ML+79oqxmnMIDzFJ1X$ zjC~cq33yAAk)ENYnT3fl7pI|_o|%QIu?hM#C&6k~Y}N;3GPfi_rpO`Xqk)N`G3dAz zGb23<V@o3olBXaaGJh~8e~Y03tb8=mGc+~^AFyj;sAp_uW{9yZhG2*^&v{91h(Kx# z>ZG;CH}{E2YbLQmHK4R@X<}hwZotK9X$-o2%-qBXybPR#`oE3&p0Sl7q4ri{N=lI* z_!u%+Ujw`m+tdiMaLUq5&(zq^*a&@Ef?ysm-+0>?d$kWKzNizX_nmJM6Q+>CBv1`x z3R+~yVWDSaVr-1DMv9=P&Y!(uY^93a{{@Gfe`yKm1UFD+06xXd05p-G02&W8GB7j* zT_tWp?&h-UMdxr77)GGe{XxsPElfZ=nk+5#OpQ&9K<zWqdKjlY&KTp{GzPA6Ao(B% zlrGIojf_FZ9$T90nHZazf|fReTWhddoM3ijxPICg%k~6NLWK^$K$@S_Ng;QipCTrO zz?OFy85x6CKX91ofhTE6E`9lJ_Zs6o>%knFwGGXTO^l2{foWoDVqgqv2pNMaZlZ&5 zCChdqvNmLqHe~!5lIco7c4BXaVL!$YXESWc-Yv#NU6nw!c391gjl@JG^r!^`P;M|Z zv;=wB#MsgRemxoN@I-8D-w!U{U`&mjJch8ttSwE=3_z8+IcOE1fg#2|eS&%AzSdl0 zlDn?p91B_63|XpWVq|Ux+7n=@XJKvuVv^WiySjJ|z9Pa1Y$2pK2OcuDFgLOUt<=IX zHb>CcGUk(TXCf0w;2P;!f{tG|hV)F03=B=dEkC3_BSCA9ZSN;NaKUTyA;lp$HyK-6 znt)c@A)VQ50;;Kr&dXCTwi**Y-U41t3xRDlF|jlN?^QC_Gq*4>2i<ZAo^>MH*5%60 zMA!-~K_K&7#(GAUMxg6sKod_ErpA^Srz{c-@x`Z`uoeA~z75it0N9V96TU!WgeFFM z1{MaUhTv+Al=$`hSx&qk&0>W>qfN$o1}4Ua7RI2_CKFR5(3Uh3GmGolLef(eI0r$> zXmAcPHZ!&WjfWtO8-h33!s3@;OQpQH&=}V$L!(%sO3<*9k%74pXz&`e>ekHE40M+u zI1j-rAsC&E@3M`p^gtCi%HTEHh@OkTpKH8-d}chPgvbP0ZD4F+W?}?lfsP<B2B%%5 zs3qvVuX|FBabMC2vx+(`2oa8OVk#c+`8pg_7;qrcJ~PPmH)K_GPiOlZQ{hM<L(sND z4g)<y3qvytb8ty)ZfI(1X$;<UhUlsgj84lmKiokKZc@Xd6kIZ38TBBo3A#zdk%*EZ zsk9h;aESrvx(&p!ET%?!X2zzV^|_#JUx<T0k+Ta6*0HOdskX*=CVPrf(?MfNLK7H4 ztrlZ*a|<&Q5X;2O479T!PdS9GF|$+9)|fhna~Z}8O#wT}$lTo06f%HkY6`mb2al7m zot7fA+Sr)Fn{L2s_24(%)PsV@)XdD(+=L5sfrXiosiBD_+R94gc)>axrI%x1O!ycZ zSZV<E@<7o9zLPZp<NyOR6VUP190qy@MuwpKC_%?0G9m`yP?A5ks5-bw-`GkNrCS;A z11d7}N+3xOw42n_6l|A~nSqG~7uW{uO?GB%HY7Uh8Cxl$+7JY>AsW(rgN*%vZv-(g zHnT7SZ9pe?HwM#nMQqhPsG@-O@GL+f2u<jerm9_+M6o7xqK`a}h9)Y|Nv@FE*T~$^ z&=fS9YhYk(PWlnY_bo(>QCErk1cC15gN?9&j?OaBGcqu=Ffay1s*#zInW-goLJ8JE z!IpufRQae;Zks?(0L115(hjn+aOX9q(j6$!eP!6(1a6ez3zqqITvX||nSeL=f`*CA zO^gjdO+aH~14~nI%ZrrO$-&2L1cr$~$v-|CbeA@yu*Xabq(#K62TUaA0rYbiA#O4O zpOI*&XJ%*tD#|!5O!UkwER0ES>s!oXFeYZYH#rBs%ApZb%b-saL24OT0>D<hUEliA zh#DPt<5-~{up10PSEWEsh_o=bFt#9h_|tmg2O}#-;*M8O%giZBElN!ZPRuRHNd?s} z(V+AD4M3;c=Yz`!6B9GgP=>jlg@pwfOW<N`UmD>bwuHnz==RxObpM-!j-Dmi|92Z6 z8Cf||>VLynp;%A=fR5&{wBX{j1l{lmy2OTy$<Tz05qkxQbIeLC^`?;(FUm|bWJ$cC zg(-MJB~ow81XLQsIzlKFIJR>6!I2wAxO!X0u|nP8Ff{=6=|DFn8ta*Z&L%)VsF0v7 z9Jx1)tQ?37)8dTGw349I^vvRt)FN<_GKh^gj0W8wfRVz@EzHeL!5${1kYl#EM0pCw zmf<WdEi8;+wIR__&{lPka_1Oe$^YhNrpBb7_DvP%m_mlS4E2mG%?v<?0$7;qnOmBX zv6wyN%UL6m#}SbRWFVyi#VPoM?pdNs1<Vu-+P7tB0#3t_V$s;p+|(GfNX5j|z=HI% z+zLgH5?3rjZ!iNj4A53E5U!fG>mM<qLRTAGMzJt7w;=uI81455DbFZI*fNT_k-0g^ zZ6z&gI0xQ%M5?#RD@L|j?=!M8Bjf0J(3Bsf{et8M@?vNU*={g`)ip?NFgFG5u?1CU zq?GPvb$gAh9LNX<a9smwzQAifWY>^Yn(lGkO|feXVPOO6t{Yl%aUz}PPTC4rN5@?h z*TYzA8nR;Qu>TIq^E2dH4Ff$xOJf5waL?D!%*257o3y8i?jWv6HH{U5)G5em!_>kM zWAhBbR#EV>jYQWexLeu=u|gQRm%MgS5Xe1bl_1#4cylvDGmO1!1j7XE21<J$u-#w= zmS)D5=HUDGz|B_3d4wd4?rzz>4rlAwBvz;i+&V@{2j-TbF=TMRpXdhQolk3xtjx(M zu|TU)u_Z=xOJkB7#aTyIP$4m5&m80gN+wm@V+3BcZ=h#nZf;@#TKES#uFKL06i1|u zyPuoA)QIq0Cv?`)G*+k!oHRfOgMsd@GqQm1^&qXlHvX{0$jY9gj*|tJ@`1dOf#TpL zWVSF25dmUo37T^@0-c-#%9G#`ZxV9k?w-Yz28bElF=i%~6ji60R~H#s*%Os<G5Z8Y zvGK;yprZmHy;_Qs%GAt7MAxR6t}!%>jW;xkhHODL&@(VGGBh>^4PY1>m>V0ILq;vk ziC>*tqQ1xo%S{{L`EpQ03o>kjwcaqbFt$Wry+p9y_$fua?{U_pmRPcixv3@QzF30J z`2lhcSyibytg(k&t&mlfg55x2L)ZvwW5?W*^v2G2P>4`GtcJB=PFC-&GIk-w4RZrn zTp5^|nwx_L$P7R$UJXD)&ZL#|92Ju(XqZEa5_lJi;%)+0(j*F8V;&oC0WR2}bs(i- z^XO9_rKK#q({EsEXkvnTu{TOPfMCY<Ywe??lr@QsH#ChlN7O)|V~x2uO~@TsRgR?~ zY#<S394pibX{?xm=7704jS>6ANK3?a?tMm9c4StE#<B4x(U$NGg*Bc?XeZ3R-Aiot z#!?xAMw|^n(F6?{Qws}<nsG~uddUwNkYhj<Gi)rL!bVH!xgH8Kw`pv=88~ypTw@I0 z{bp>g2WricUhow$P~sYJ(11=agB3LthE49|F7k^S!`OHuaL^0}$C$;&o1-{}!gwkW zqab9!c^ec>kil;QQ%h5G&>98MsF4N9J=NL&I*A*pft-46pl4)g0bY+}u4iCq4nA0% zwEp>=n0DeUG>45XQ{0%BQfjB9nlX-zH#7m28PKFiY54_q4%yvMi`aNekYgajW(H;y zCdE9FcA`fraF*?$)Cdh6N~=9hp>_(oq~KD+5~)EzS$Udwu8sT>4APE7bk-?txmi_G z;21~=2Je7S+{6YuhR6;GmSh9TQieu|m@+g5UCdx&rU$-MkK{RVzWXiY#}uULW&&?6 zQ96<l{HU3NMggRJN9qkx*s8sFy@>+XK$<AVu%0W$35V-!6D8#hWMBm;;ZRx_8%%4W zpu7R+aSKE$rm)4tYX))*nQeYZDmH+3Kujqd99(g`k^E*hIAD;*1}RL#@Afs4pS{6u z0j+qUQWaIO8{`;rhmpY<3Q<LwSeSq|w-{QGxfp135+#lSH~CGGk_?vqJPG~8gIp9O z8F2bFhZQu)19qn7mY~(#q_s0ooo*nypustYX=nm#grc~IoI%VJAoox@7l&vQn^9O{ zHX79%Svitf)Ii6#L7@Zfxtf|-fc7^d?S3O|v_pZlo`OmWlEY15aYb>5L2Gs$B^?H% z*my%@P#YcGLo+lpHwMk6n}QC=2kqv9EEXbqLX*3^j<^n!F`^!#aO_D{p^kz|5!}|a zghvLYJ(TkYYAHympc2jyd?q`r^rx_nX53v%epLi=4R{~{I!#4s*qk!~g$=n?k!5VW zA>_PzXfCF7WoqY(8VYhTxB>vx(^MKKyf?Lm{00ozF&41e$bi!FO~kN<=-LQNe+g2e zfR4U|rD6(mc<JA23UWBOyhXHOD6NZD{;DEBOF_CzCh)S4(y{K_c9j%_3^;?sXB{bC zD7)f*83h>%98I7MMWsZ;)lx!1(10V#6303p(%W}iT}sGZ=YxH*kp<|&L=x-fP2@WQ zz77$kWl7F#J=hTxPEErHKTusm#yUJ|xW*JmDj|KMd_DOQM7^oJO-04zS46NOO=zV^ zX@Al2TM_wg0T(Dp>#-;tVX!z<L}__~7-FDwxMSblLJAxMZZ4Q1+PoB2&!NW)DCvMe zCdQE}Xl%|w>{ur_PruTxfYPu*IEK;+dd=cI3LFEjg^-pEU<(-%Mm&B<Q{ott*mzUW zG(D_-#x_buLNxVDfE+_+pB_A~1Zpfm`T{8R069xwkKfH9cFh3J;TJQ+kUWLcTnDyf zQ_zqCCmPTs7rfhM0Xj&D?1d)bQP~u9X`y4_@YP!s&jIeAlSM(`fV=z1fkR=Ia*ofU zr0j!)4r2I$(w<wMJIFa?W-3Eaf4~s5jTg3tnbNtXyx-~M_m#k7&!APZ(8dI%leNz_ zr&HLNu!Q%pu}+VYQ22dao=!;*8&YM0CYB)OAH@@0VE2%{OBa%<5c8vyR+=8`(<rDk z!L1vlUM;p9PC`^YluRSGYQQoU0*NW4#cdQ0J+Dwpp`i2wSK*+68EBTGbUt!@a5DK> z3Y=;z5S1o{a|GQE$rOYPsOXDEnj*lO!AWRpX-!I^z%}3`49ecHwibnJjBOqyP+ATn z<!%aBL<*b*IfmR}U~uck0x=0lp<}X6#gm_c!97e1L_dnc!Fv9A@su~T;CnPF9?qNM zL5Xvqi)P^4Jg_gnCLu>1_Kc&vrDcvtI1~;Cm|Dk?pK!p57%>_^Y0IXFEtZ1%65N+U zs-dtglP4jbBt>E<s4pSO25IJ)!miZj8_|^KDMNUwp){uQa-zvkHQ+i5(N~~!I&PB> zB|!t7<be+iQ{1luJBREhD7Yx5V!!Ut<0wi>8%XFNWhx4*sg7Nd6l5w$FOzyJzrMbS zAip^QF8e^;I%wO5(k^f5H3}R9ZxB;yf%1k45tQ`nAf+ED8B?iW_x@@)1<g=!frHcx zrF1y|e?vG0^%OXZo5K4Gly)*3kA+c?r63s`F*IuoKJAp#(1^^<FtIzrC<q#GL>b2l z)q=L|8kksEnt{)dH?S}=1|0-w0orN}Tf&2KvM9E5=^nI&8Ce;F&b`EUKr-l_SEtI7 z)M)eA_{@07L7dQDC8d?*7SS;BD@pLE5u%dB+QTKG_dicBl#+S?()~y3B2d_o6DkU! zz&X$*G>9#clrEuhJ{(Lz>k1M$piz8y=}Xy2b;7D3N=jcyH3@PLwDhI4yepOnqPU2m z-UMdjo<ItU81T$4V$Kk2c}GIN63PptAR!w<k`7YmlEPuNKbHb1NXXEHgS4cR!p@}- zzdt3R196WTe6*U<Cf1yCKPu!aMAc1cv2)qYkAhYWq|Jx4{*=Oj$2e(U@>|2;K~T`R zBdj${VMn1r666?i2iL&kj!1)R6ei>QmwhO#$dSfKD2%0||6b(B61eFJiX|$waHib% zB0pfjNe0oiq;x%F%|}lPG81_42oy5VDwEQzeKm{{*FgG~h_z5yYbg@Ovd(~9L(#DY zh$@QG;hH55o)lD3h@K@h37eRJu4&{nG$r%c60>ja<R@WpJek1jCrT$<oQvHlsh>c- zCqwXoYS2QM(hkghC3gy1S|I0u*4aRF6s7&#U3c9mXoNzV5{P~-rKxz~4_ESwVMt+v z7>%Vg4X^cfB|i;=>q-;&$S|cV`p(%>;1+O`26_DvmVOiojdPFBE)-M=;Q9&Fi-P7R zN_$bkCtN7VP2i%2dYcB%9Cao?$$)b<^4tcNXd<C@5-@e5phf^W26DDKtoKb}3+n`j z6D6fEw1z_38$n@HIPi}n1?dJnj)WL8r?e$uveBLb$AGgGqFIA=P>Y0w6aU4I{6vfv zFqHN!!(ZBwUm<`8B|z(0AbS^03@yw}xj0PpObsoJNI#8#kE|VWdl#v9Oh(`hTk?Gm zF7-jf^RNs|;rfGfGi@o!z>pzv(98~O;VFfKoon1}DJb8d&OxkOp|q6=b`H5meu7&I zptyoIzbVbaBJXX;&%)qrgVfE(R?w2rT%gD;;5Hr706B$e*sI2d@-%}u&V<rp-}<XH z#f@*IrPCA!PRCo2YseiO0;d{K_J$QV*fs!=Pz&vyZ%s*YgJ{1%+nJQMz&mrSDX5~s zg)w5tmC}{dN8>089B5+-k&vn49<o<wfHOHL>A*@q3fCB^ytg91^aD4h5Up@Z``2K% z5P4JvmIcC)Y6{^TO2-~{2U$@vbpS~?NTZ7s*5TU0mgE<JSmrCS9FaysO*PfToPyc` zGU<f8I)lQ+X<+9Nw-**O<v;_+5V8Ce+d_8|%HvIPpui!!c7T+}NHgygP9CU!GovIS zL-Lgw()bKzrv+VXHY2~t0au;KYflHzE#R^kF`!3j@dpkUvd@PE*P8HSlqg=Z?5k`> zNs|JSW<Wz%u(4N+mN99^I`!N!CBO6o-A80-20EDsUjv7P(l2+uDFqE2aJoSrW5iMv zlTg}Bt}vlsj1ionKqWD>t4V3wZ>xt1C4~*7W<UxY3LEOL<UoN#<~BZP#bAIqWQ4-S z5#<WT<PTCnrcMz9u#}G3=Q10UUk-yEgEYcOVKa1orx67i3hWr_6~vS|2G%Hs7JQU; z7alz}q#$>LLk84cfDG#yn1BvD;V{-SHa0Uiw;=UaxZeAQL=Ed1B8@^&*y>f!GNhoL z37K;P4HiL5H%dpYD02>^OE74h11W1k&Vg2oR0$n&$Mql;5z-(dg@Yn@ml=>>)`I7{ zkee?Q7W~;h1{CCCaDxFfI1epTFzaa28dJS4AlHytDMA}li1kF6t|9FVNU&?jZuf#G zQIH&i(at2zF)PpLQ&16s%YLN7kHRGUt5=_b!jFhHIB8)M=B-ab8yr&FAm#xnoy=BH zqa>!l-6g~_Q%Y-TaVbh-3R*81AeQk^I2_W)pifEf4O(p)4i@)7Q!>&HISMD(+y3iP zP#i;QD&)p5W!Le^P1mKg%s~v7Q#y+rSD{Nu(t##r#9DhwdnvbkC<z>J&1n)V1Zn;n zSsED|fM&G~4K2+`zul8bN0+GPuMuMBIHj?%@xKn`H2@+qD4m2`zg35VlnU;QAgvas zuyzb|204bzRt&h=0BYdXfHry=nHyMw?xwP|)H5<PGq42Li%9onqwMtZ(|43$mEeRy z1x5`f(+MUzMplM|c6vc?HZ=q7^D+fp-UvS(h{6HqNiVd?Z|g!vIglnQC@frOJ=Uh6 za0OS)pk>goJ_&_YVb2Z<f(DXGkQS*7q+=ip9}teAv;j3e9TYNT&r*Oc>Ou@gQQ8T3 z9j#6NU=+BNM~oR#>XvmcwJ2!kLS}CeuAy`q((*bbu7Nb=kdE7;a6FZ5i54Zz1Bi1# z15(iJO=*q9(V;~_jRbDVAyxxY+EzL5phbQn2A4JP^LPy?-HfvSxF!XqFl77#$u$&K zP^PvZ*N|CP8$#Ouh#HF0C0`}hl)Hw&qAwEWZ@{jhXlu+MaSOQWMmmO!!lB>#4;mDt zU~qN<jcr25%&_Kd5~lo4?E<-m+!PEM{6N~LLtz_h=S~euieX5G0=2TB%ZMm#O9<3y zkYDnF8#dHyO4!*@>K3HoL<+}!T`#DU-!KP{CW3~2p^-#sOTu8WI{A?VZet<Vq)=LK zr(~;BGEEF=M^JA)XQj6~1!WDm-~%;kV6#jV=I#?Jpui!wtU+XMXd8>t_Hg@CH455T z;9fr>M`108NvJIk6;k3DP~$urez}(crNv%%rWz%w7~~qmXvFXgrER|J{i@`bHjoMv zF{(MBjscf8p!x|~ds8}&_OwKmg8U5$8tTm!tZ!7IAlX31Ye2;fv|gaJEZ*;@LVmpf z4jV*4Old^j<5Hm@qTn?qwB)075B*9G74kC_q*g#0@1(Hn{q~bGrH-NAEupuLDwCgP zK;>RE;shK@mmTnXDN`^=4sr}+&pNcMp^9_JUf>TNk^uEvph=k0DvD{f5(SkvICmoz zd=w7ZKfb0&dBKM`2!hgafSJoFaSrs5f5eP7r91kYLlnu+;GkrTeBU9Z1@R>_3LFFO z(Sdp{um&-OMW2!;D5A)15QBoo5Pbb&9cZ%0z}U#Zz><s8(o)aT*vQb_jEm8di_w@1 z>#=^=CVQ9;$di1mp9y%n2U@~Vnx`w@$Wbsr4e2`}&XA(Cdth;w64yW`j1j}-l;-K> z^Fgj5cUA+^wz7at7+V+^S(tNinCh9Em>U=vk-T2=n=Ek?#)wuorP)^El`Q#X2)MKd zWm{-ErL+s6v|N^g#vHigh|~w5boe8)4&)lj3K2`H?SgR#mL<Od1r8dd%^nnvwEhy3 zrNU?;;xJ4~cf!a$lA)lPU<fHvkk*4!IEW|IAVYqhg3P2KMmLQOP0TI1I4t#y%}osq z3_$0}GLkU-;p+u*3%SJ`xLa)mE!_+(4NVOUxj2mUEKLnejYwV%uDL*(sM5`ldfT;j z^huMSX~3l$Ql`N+mrufkKwW?|C7A{?BLLcXL#1B)#UE1ShYh&njOeOTI-&q}3)xG0 z!5wFWV<>H(2;7t+Kl?%&1c>GdrIk>EmlOq6BE&U_I~B2ZRY^$0vg;+u4;pY`g49!` zwEI)Lh62Zc(+ns#L3<pOrr`Q4NlL09$QV2#4O80U&z1y*4MoL1QZJsu>4}g;2@1jn zl7>O;I_T*aSev{gB;x;$5>zONO%aQov2|8Sa1VnmHQa-=>5#&`bt_mU$j{`E*g~|W zur8D*A#m*0iIeXbNQr}QnLKIxV5&ceQIc{@W8)3Yz+)~{s>1c&ijiN1gZl)=@KlU7 zSCP=r{CS<Cuz`-bP;Zps#T79M#ylY9F=CZG)?`dV=<J$6jnF|lREEO!RsUEh2^~m> z39-J4($LuyDndac2iz6~O>aS~a!O~6n>0lzsIH)+yabl+kWd2Ff}G<-ZdDFFuOC!> zQfZI|>>jeGE5My9kZYikMQO3~hMAJcg49+>BUqG<M1;%|rXVFloI}0tufPr=^6Lk1 z3mK^*r*O<@f0z*Y6***3#0XwlQ94h{`c9CNiX7S(N6cbVI7pLIDM(3)0~w$<g5S?X zX~(LpLXd()44KP8IvfdG^O%IHQ}wR^1+%r#s6y17l-3U4b_-C@u7E@pVx2Ult|^|* zPeD{c${WN<+7u?^rT+XBOdW&sIQ6#kM=$0hzr2AoDG(FKnByj-bsTJy_$Wxj(0&6_ z@rO|sli?iln-mE5K-U~lTHurzP#jl?y}p!A2>$rYOF;&QG>t6~H5G+pCp)k5Qc_bv zyHx~Qqa>8Z`}`<!52XA9wf{O8A#>}LO>ZoFz(alu2b{|h)h4B5ZhrH4C@zZ;gKk)B z1`@gr&oy}{X;VPzO~gDiR_BnAZotkVdxRg{rl8(3T%VKNl!Of=k0XXEC>=X?*~v{o zi37>vh_)zZT?O8q3|p;Y7@wSz9bcYV6>q@BjBT|FYd^>}<n}kgy#~-AKXizT()o}3 z=eWqv;NWC~*n>c68h*H#i;`pmDf<vviqgJj=0i>jnp@y?gGh(pQdmzt6XT?yg#*b^ zplX3igA`!rP<Xy8qLohRx)z9Q$jwsVYKrP%L#}JUc?#qjXxop{RIK`+gMw5H9!^4} zVoFDoc&#}oPsK?4GmI&_W@dULJNbPWP`wZhI<W$BmWio}nTZkTEE7v3V@o4&e*x*h z3WBvlSRFf2i#LoAt9mFcZbQx3DJgCtWeTFvKxr+#cpn?(&Ovl3DQ#`;PoT&-kO>X~ zZ5R@on}G(b6trO=txd$ndP<jYg#KnBztsS)84)WuC`~sjKQdF?Eudb=C?8-VKV-l) z5h7$L?e=GFVWJ>pAT3Qqzn{_!Wwr?98giF=LP{INvOTJ}hN4aWgTyW1Ie4Th5o73z zwBCvE7e-2}L`09D(xD>bD~uErdY}s05Ol~mw9QLt<K?Xe$Tj4)>%gTRXeJCcF-PGg z0(##WD5)+XfrHrdg*9+UsGlaAgPcQd?uOJ)NTEY%_BK!kIfv}Hf^;R3ayW%o%2s~< zZ)insX#<L?Xhdg;(x8be{BLMQZr2Su`;I7YDD9pU_xv-oB758lT=<zIP0Uf&ANXDJ z*U*aWsdq>YM;a)iuy;b4YrsJR>YhMLKT3zKaug^D8}Q^4XxM5{xCRn7h`BIIds2DN z|4@*K!L2?-{>EAolQ0r|VC5eQ5;3^20Tsls;Rg!OZ@xE`lAr-+Da3|OO5-Ur@iztW z1Wv<<;l+V<47hcUa15o1hWGd{Lo0Gmiicz;q&k7ZH1oFiC;5(n%()|-`Ay-#>+uWU z4Xqr>ZP<XalVLPyIFCB>aUtu!li!d4CtyVDoYF-;M~{6azaasMCZzg_((DxCNr7t& zA;UF@{6y)XM49<l3Q8LAs4HT#0;R*_Kf6AWpPxV}7@UBi6HXMaVR_;PatpZ)bMW9e zqTZ%7mM%$A>=?wz5v2*(&hS0?SsPpgBNiG_Ix85UOMzR!RTE-XkkTH)n#J!7t;oNH z5|n-oqY-@sN^8o-)^`+_dWfo$(yVP}{)YUl4UQ;K-2`ovQyNn&XP=TEG|+|Ah{d@S z7Bn_KPbnyYL8&GhX{yD-#Mp?7)6hZ>yh#|cOPGYw5oz_ul%!$E@CafufzmWQJLn+= zT?BA50@Ou-?ia&sM}TL)h+Yu!^6djdD~eakA^P1$pwr{IIL!2nKpVOtaYaJ^NjBsG zC2<8AIx>Xq={7btv^0jCZDL_zVPe6>Xa?G1PITae$UHE#Qb{aL$;^+>%*!t=(RWEK zNsRX|Es4*}D*??v#%IPGgAX%-_Sh&LAL7@%M?uB`*U*UajZ(+(hTNsVG2osxV#ycg zHX70rs>_u-6m$ikt2z-!eo@+B_@jD<{00NKXN_p@QpGLg_8%dA0YvMCQpfyCx=nt- zfYXdgtPpkjYiC(0a0<A7HlmhO*zVjQKUBb*8I9nFtx%e+8N(=W3piMi#^$lr%_Nlf z6uAZ5Q$rMYly*pBcU+^OxC3_r5cMFX+2}d%H42(@kO^C=WhC~0m&s4Pu+|*3hNQ4% zIkosQ1+6DYVFy3+#lYOsz?h5E#8MC3STW#YGBV|2GBhCm^s|`s%Z64;7+2kZ1RUdw zQqw_ggZOB~_H}H&C!s03Dee*lsRGiHMT|jVa|{W27wj1F`-X7Wz{WPrjEu~=I1P<p zk-<pX<helXCGry~xL8B1U&8DelGa$UK68Qc#tLFqg3^*rxc&kK$p$h!hZqr~u!$f0 z@jS(jL2SUo?2VBYQ*+ACQ65uBbr5AcK2*8SQ4ltulGYH^afTMPm|;U&j&g20OaAx< z$T88Njw*C~gTlgQbHiCmI);!mY!oY058jw#YG7e(#>Hu9pl57hVqggArXtE=f;XJG z*`77DG69wHnTa`>RjKjdKB9g}d1icaeqKpYeojtmk$zHXad1guNh+cuKw8j6;i87) zFV9e%Qs7qs8k(7b&OS5NGdD7|Ff=9gWJiVIGlo{G;2^-#O-AxP;;bf0n@300&QQ=+ zqjLX?GRJ^h_lV9QX4OMlrp;|TO@5{Y2Mp4nBxc1!TIqT&{R9Q66w+x&)XJDE+DLPa zG0zD~$`FWi5R;pfuH&_ReVh{KK-&0b)as$eGao0v`2_BXAsUw0S_p{JmEdyxyH5{N z5-^a4C8DUMbS3Y+83!roa)A4VptIP(I~5E}%q@&9xHt^;%nS@I3`|HZY83+x5LeV9 zdZLtie|Eq=3cL?a86fXdsg*xr${zBQDY#97XvkugZlo2pV7HLHd=5O4k2Hfx;YiA^ z#9ibE40zlPF;+`yz&Km(pd<r>qRB8Csocjn^NqA}-~9S^N}K~J_s!wsZxps<`A%)4 zpy>k6Pl%Eh>sTNOMeVxetrS$ykdhYB`@!lO5=IojuAyuo8!<FVX(INj-9mnb0?))4 zQ>$)H>E2AfQ^0LHM2ev>^@5#3{-6(}(Sn%U#oF2>A^nE9Zl<974oSbD_AaDyHZwCb zG6tOiZD?s^Zb0%G&|7;q5mz}QO(s*g5y5r#MhYrt$Z#m)Ix@@#Hfa^&$}{UJDB8ed zaEKc-Db2s3kJnL9v_TRoA_rq@(vncJ)mf}1KL>+rXT(+4*qlN_mif10H3i`U%`&k< z(491tu0P1rT1`O)hE&a<g^bYVC8gsE0gG0VA5Y)}jOfWz#VuqvFTo83#I!Dj#eUlP zl@#P`@DK;m%0bEoNx!<Rq#yx9mWUu`Qz;CX1xuHcKimQ-e-U*vr31FF?=7RCHUPI- zKqI!Wtc`IDD{0N{8xxmN-t0yU8DcB;NGO5ll`p0s)j+BPLqs2d!pW-qiwnswXTU=W zh@K;b0kiMRd<wEQB+<kQL8lxrs{_(n5UV9Xjv;r!e{8%FXi*l-F%$-j+{t+qq!>s! zV+ij8P{T3gZej!{7(@et(h;G?8S}_5^T6E^(0~whUI??6B(0Q@Nt;bUEI}F&h&r3X z+Tg&q=@d8yQpg}x2iQ6XB((IBcT(aSNK4NQUgVi#jKYAg03&)arGn!$@{2t1U>V}P zUP}802LGm#pMoK^Hewi&!kqo@{8S3k3}mbfQ87`&F=V$n!Lfvxo5#%Bq*Vt0SSC}_ zfPl>TA{IeW7&LY-Cz79jA*CL?nxxPva(ev~wDTb8mug97pH(jf?FU%ZM4c6%xwCu7 zk0WqX1~D{+IlV_(#kAh4o08NEshP}ROWDmW4Gc{{LsO<^mWCF_q|O^HDD5I{XbLf( zNMRbix4o16r~r2usg^>)PN8gA8Q~U6$GBZeJID_gP-!1cy&-O2zIO860xr_1)(erl z*h)bu0v>rWM4U^EaVk4$S@+YdW-4roL2NdlFe}MzYN8-)V55i7_BMqr+<zfWl$2_q z1PorH1|6@XhHJ=fu7Fc8f#x;|BMjM@jTAKGApJ$eSUiOxvqGni0>?m_PKcnPFag)v zS5uN`ApIi5f)0$JAuV@H->js>HIRxKu``6yuwg7EzYGR9Sr83w%#J;2A#=bXhw{OA zL_8T98=IMOaTx0vf;R(#w%(C)yQ{Nt7A5fn$xw(wn9_<{H6We*hyoWih-p8}E#jm_ zRPUlR3UW6j1zRGjON>)GNOMiw@nkAgmsIPh?X6EFKcYYtR5YTsM`7j$JB94}2|R^^ z7(t{kJGt+OBR^Qc4J<s{SV&7Sic4cCPQHj4916pQ=|BVptt;@5hXJgYW?*b-ZbZ?B zq7|DXh}cksC}Sy1ptYw%DJf$?xy2BaU7+1}3Zp_dD2V(d3a$&`F=1?C2I?;x>KU3^ zSeTQ%q<#AbKjQk!hOt7G;4KA)rj{m_FqWAa7o#~BqcQf4WNvN>608!OFsQ(&!DK3N z+uP7epU{qiV%T<qXyaJWt}^H$0GRWJq~*s6i@m8(fSXcluH)}LFY=QCI71=|O$ze| zMNR=1npCSxz)m5%E`g1x6oZqfv5|qfDVSwuZee5wN~uWar4!u#@@=iBp_L|~#F~;= zlIT=fl4=Os&P`$I@K?!`!en6pU$%nT7$hynT#9v}q}+tGz!2?0%()fPoD=raj^YZ{ z99D^$nwS_`f|jUT7#W#cn2|cv$i35!xS|uWzmdX(5#nw`Nn}8}mxzu6g+<0bZW{{P z6QCNEdKId-ss;I320S!x058-rdflW==6t+oPN7o}Ek0^Eh3t|PT&g1$Gf|j!KO~z{ zkaIz)#SqjGfDZ0a!!hJ9?uCpRA>Brav892uQf<{vV+z6sUdB?VZ8~+45&7vCJO+yh z7z(2a>=g2&2{N&dDDSZ4CK6T|%uO_;pu7Wz4AlnH{vFpNKa!~1-R^0iz$xI?8lvc> zFumND*Cjtxz#~^wbIP^98ss|#RN8?GJLvjE%;g276))CZDwH%EAms~Ug)N1Vq)@F) zK{f)XT|@zc(S#u_Wd7;MQywyijt_+)lleoIf{=k_B`VdB-cpo=3@8PI>uc!r7lk2{ zcukVhWlRRKLXa+@p_!$*8MsSmXkuz&z{LpOn+jXqMX*cQa7luME}?-CG&(R6Drt?% zebdD#i4I7E1%6zhk-3GrAt(qejg1Y=EJ^M7>`N9UuH%E~hGMQ`BQ2x$JQSkD|Bxcg z7}oJKF*Gs*cl?Yk49(5K@sBhrKycgkq(uV6`JZZy=S7AB6f_Xw{XXd0Wo(&|gmw^R zjzMpfVRH-#bJ1YOkln?B4W;5KrAQ4JvfTpfh30`Wrh$>6fiZZL)!e|u*pTEb`c07^ z&w-wZlS(XMqq-)>W}pFmOG`aVQzKI|bF?ynV6kZ1%VB7xM`)zX#oy00-akGw-UxJF zm=HABDJ&c7ma<S#ZGxM9RI9>&gfdg$7ErATYWG3Ig~F_%!^KE}Tfn`4#6%c{Zkg!& z#en?lfFRWuq9aG4V{V^%XF&dKK;Vv?L97rYpBkDN8k&Q0tO@8yA(F=sUs~KTz<uy8 zBA;5sQfX{?>aMc}WZw}5YkcFWM@T5T!A>Fj9wf-(UaBR~`{pMoNTBc~7?ADN21W)3 zW~j5HhNK<0xS{ue0pa5o5$y|%B9ye|)xXXa6!;#}x<X9VVq{O!9MkO9Z$QCO{1Der zt*|iFX{DgB0M{<?xmp8b10w_Q$&be7#^z?mT#TlmwL(PqJ?5^hH?UH{sANF`;rT@= z(Ppvnnem3;sufZ?85o;bf=ed@2#e7eGy_Joeaco**z7|){VgRwFBMuE8W<WFp`9~o z$i--heRdezwe?Y|!9=8cV_4~HU~FV+#>HuBpl1LEpwJ{`<yFjLe*-IJlmp%3gFp?G zyb@5^8*OA98*czU+z(fgM_STel;}orJ~N0F$^lh41_tIvCWhcc-;E4REDX?>$`fp| zUDnbzuu=pi9+b2Fz*FzhhM=SbIq@Bul*|ncz%!{v#^x5rU~iMsXWGZ3LR3X(9xD_L z@tu*Gr2z#|t6?N=U?qSYwSk}o<uOz-{Bg37ft4V#;V$4*k_$1N!c^JQC17Bsgkm~s zsx$(nN`qLTWZc$~(rwA$HL#LEwk|Uda?WEA=w4ImL`T$d4vgq9rdC`Ru4czD+$2^g z1rj_M2??bTB-q<un8avcC5bI~e4-7_;kHm1=iR}$Yzc}6-Dn8S@}?H%7F?W0CVHj@ zMy3Xa;PMU;)daJA{_YR@Sh75*3~+?h9_HZMgF5MW_U-rjgwrwAQo&-uclzi#-Vks6 zAVQ8{Dqu-^t&cqw1c6flbwaG?>~kVQjA~`1LDqAO5JRMBZ25+S=KYfQPjQ5p4|wl7 zuG~r5!04N<d$?^eixt8XFC@giqQG4u;>Co%)|uh10KnBVteHnJZ68m#rH`uuFrtSg z#`LfRQ6W%RR2w|MiX+Jdfv>QGwwDY{jSW%zfCeNrg+In$(I?ymMED9LyONfX*laH1 z@Rbj81!QahnK>{pFt;!;LYq86Nom*?e_n39fXz>!1$6P5(Wam_C#3sAokmA(?S72% z%>rH{P#9of!*R6>EZ`{`!xqx==23|qIP#`XH2&g^grZuvayt>ln<?JnjRfl|q&5*@ zoguYahe3rKF;amMJQYw_7}x}Cz>#f&q7BXP+CoD8gVz?SrM3GfSK&-+Ch!D}5n!Yx z=nK))a99!q>Yw52(vW6}^xp|MEis^0x@zCphmo#mYB(Y}VH7H)mGxkk<0`rkF-;9y zu=Xo`KsRMlC#KWpb>oa_Lu#d2e3qES3N<rAI+`YyW+o7psi7swGidkpyY;OsL0vJF z;TW{RHn(8k`1GR0%6P~yjWMXog!I{<?P-kcN!sBxQpXx`muJR!YXB0;vy<m4h^PUK zVucDJ;b~xMVaUa4WUdEVuwY`$#bjg*+IIqL;iB|=v9&uU8I<W;sbYjJNB}%=YHR=s zM@Z8Hnl3RCKWQb<t2Jpj(xne*hL84^AQC?feS+v6(9jY@?|_Dum?E;Vfu$vQag2e1 zfd%Ok;h~l(WM*T?Xe)FE$-u}0Z3fARv>BxG=~394+MpzlbYYh%wTejoDP}m*dl0Cw zhx*FU!qk9^!&1-0z|6wTg4EF~{mlw^b1`VN9yb309WOI5F}LL6v^3T;u>>Dv$cU1Q zQOXT$(-G-2Ht1m)FN2i5;30Ao@OT-l1~4!+fljv=m|KFaLK-6{Xxr4B>3ZbV0H&y} zFg6D-9WgL40L>7P=;w;_(qy{A0_=(kXpwDh1apL`CHl5Rf<dA4Sx}GgauQHtcFlt? zCn<&6Y-9wPs4zFSFay_qq(s84_+PqK+8~qhRl}ejD`?9XC~ZTBm<$ZfP^P{>b8Uz! zk)V&`sxIjg?(Un#3L$)H4Dzp$xuG$rBMwe&SSv(q3A={%I4QnFvewW7ym->c$kfst zeOVGgPdff-CB<4(gl`Rvz}6a?8d+MPpI1)M+AaFgq*!YlD^v#xTF{iDi3ONtY-VH* z-sg&It1GrZUVBMfm+<9Tu%rZ<a0F!_@GXk4NH#P9CuKu(GgA|iC-~ktGm+wLlUSi_ zuxCN#1}yIyfUa&a0hQE5r!5nvYdTm8O;DDCPBK6WO_(1IEWu%HXlP*pT8>LvLiVUx zuR}t1HA18)15<F&8km_Gn~+>e?7uLb6l)D*g{omeYY3{4EcGlcEy+m9MeQ@Stqedx ziLZ15$wPzM43wmVQbCp*S{fUhLaHZAGcyYVv?YEhgLl}HGXnzygOzkjQfWy^eqOPD za$bp%L40yzQBh@lyaCvnB+wZs2A0NV7KWg0m8K?UWb8->TO)zhn!I=ep<?j#mw~0Z zxdG$=0!ssP6VmHiur2DKfI<n#l+>KW%H+HfG>?HSHP*8<w=@RLxSN1t&%_Ah{y2i= z5V4j*IwCMjjm%8V!2`*bmX>D5B$v6wS_)Z`3AfbP%mQX9XuUj%jR;~bg|vAQmYO0g zCA|kttfi3R2gy=MOB<9UX=f><iB<qkz2+8%=HPWQ7J8<Jmf)5!X{8?6r<e<Y&{Hoc zzm$WrKBy)$FhF8~8YegoZNQoJbun@a+Sr6^5P0njba8JhbW{XnHy4+o0b-4g8ED#o z=;{RQSF*-MEWw2masZ$>16-eDbq2N}1h6v*whNO=i`|M+Q=Ril41^kS1^~)v9dV_G zDWSke*bJG-hvY2ST$BN7?1K+N!0L6Jv5%SB&|*I&I5|fM+Rp>WIR_%f!A%#^?86+b zLANg@I3*F6g=8c#f)=J073CMfo8M?5Y6)7)N16`_CZ>XTgZRw6cmvRY5oGTYC|nIt zGm|+<Z8LBx#;o?xg0?s{2W%;9^bO6gX0)=>5Vl7Pi$6(QDM^Aqjqq3s-Wo(&tP&Tv z#&|3xBeM`^sR<rS!7X4~_|z1?rHE-m;>tndd};<i@(r{g71GW(G&D6tACxDq&Ff6m zH4SN*IVGt@sVTvUxdl1ktB|7&!9zrl)*NUruc4l?sfC%L1-O}PW@2dxPG3l)6U4d4 ziCp)9XA2O{G0-zKvM_`8FfGh2F#7W}c8)n>(7g{*S5hOkT#7Q&GfLbti}ceni$e1< zOX3an^9xdo5=%16Qlb3dpm+k&1v+qwO3~#^wu6x33*;Uu#TWVRL5i>_q{bvtMNdXE zR-iaJC*ITFDby`KGq1Qr-(QHDW~U^gm@UC5!6?B1feK6tObUz&j0y}23_=%~IE01H zFmdrZ=j116D>y1>_<B@na&bYpeu=rMTwK1PJ|POB#i=RwTwK0EuI^Aae?U>Hu476{ zY7ta`J2*2vFEK|UD6u3}D4&a4*T~Ss+{D7z%*29=*-zh*i#am2n2X6TAB;IoEcA>( zN4J^4&PkKtlweg5)?hYe*xO-crAI_=caBd?NeN0VF3l+sDxlOtePYrpl8uni1e1&k zOrX#NV<w?LGdVe!gucz><Pt^-5d{t3k|O<LO)fT71&_@13@$de#Jv2{5-y(LoXq4@ z1t^ad9P(UTKKbdH$qFHr1*t;e;H?v;kj4ShqJJh6Q!XYWbNDU;yu}ui29qiOi$V)4 z&YaW~(|Az2!)>s!ITw?W3GoJpM`c3{HYQ-Oi6Ix0ks0v@7dody3^pNPu#qtrlOYKP z2k{3&3^pQQurX-Xm4u)WzUBro*c@VT2^WW%o}qz-p&?|H+{Dtv*ua8|$-)3Un=6!@ zlO3O5T0$`HR^Gd6Zlz8{5)A}3n^U<sP0T>moRI}+WWh|&*u>bt6f~7)jNAz&XzScR z=gm=<NG2x7CxP4yPC%hJQYPqZ0YnRjpe<?YXU(lRokIMa<2{{1-Gq|4I4w=}EDg+z zOhIE^=6aT<#-M?4MwI>=L1QbLj+$GEBd=h0&MS#`O3uj!1yM1TBIbA5Msq7Q!i5z$ zUOaFG7`Osqbt%owtpt~qDCb9l^6oB+R&#LPHG`xp&|X;+Jp(gSb92aYOCvJ_V^b5- z6PAT>iMf>xVfP0I#TTU}rZ}dg6r~myBS!6^*G^HzEdtNd%&lyRNaT1NlMEidGdDId z25lKIK_nhi<UL>n6HjWa6t2W$7%K$IUzU0%md2K#F-jv7J#%pJ46*@fri7p+&vpr# zTS=mf`62=qdM1yVo|%z}IVc+=ZTA9gt28CP7@e~Botc#lap78=k(pK!l$xGdT#{N8 zZ2;PG1G+O1v=Pim&%o5c+z^x}E%gjd3`~toxtL5qbp;8To&U=#Gm7FEdQ2Qu9JBc5 zD>F(Gzfr7E6C?6&El%(txuqq>fGWYX5ZQXx%*u?II6`WJ#Dli!nZydUGlEJpGb2j_ zBXeZuFp`*15)F=<Sy>ZR7~n`J$)HZ2nTdfpcpTkS&&bHY*wT`V$=HIln!nilsF{@* zJZnH_U*c1eKxKC$BRG?rm>XG`LP|diV<Qs_lDfH|LiJk8K{G2;GQ!*>Rw#js)5t>4 zz{1iHoFUBh%uFmnQ{kxR0uzk(*-D$ttVD8(l5?_?A-gX@qqpG6&}1%7BQrfS&{3wK zN*qy=nSja}qFWAJ;j7GWRD(vbLK$3~Mn-zZCI&_(23(xR#(HL!W(F4EQ75DwrFaJ^ zKp`2>wZzOy24k=`w>TYEpn(F+&{EIP$k@Wngp1SMQqRJ~$QZOl!W6klLeQo%frVyP zA{aImmn5NQMU?WA*wF@%XFgagATukPgR2H3Q#~UyQ&S@o$gBsnYCswNCYUbDI_8<- ztQw3_iyhRhz~H6?(W%3PeGYkkH8MbT1nQ<$(i~w#wj-bgFtpUQFa?(jmX_v*#w49d z0gCl}gW2STgt2KXXwn1TGovIrzN=3&voa;307k0>jLcDEgJL(3Qxkz40V<ji84n&N zB+a^mV&f~h0bz(58I&YTvfW@b2;5)>aRW4GSi+~$EsVhf?WB~4U&xFL&@Hf_^nm0B zMAAT=StnQ?lIsQ|Xjut!g9)^R04)k3WhDqGO@iG(PR1~VmX$C^P#hU#IRZS*#A#@# zXJKGsXlBX9X=b2jX<=z*W&mnWAoW`a*1-&_lg+FMPf4UC#)kxXqF2k%Krkn{NHG64 zk<0=bng$SwkNk4Ua&{kijxaKe6>5OATR|%>Kpi@8NSK>hqOWZsm>JALjxZs;mav30 zPb~EeEDel|Av@|}Jy+72CyG41xSJ=?N|_qL{Y$Ke%-}XbDgP)6?jImWkkd`DL~(-| ztaSq3t3qn|N3I(zz(?Jg=ouQAT0%QZ=B5Vbkj@g5A!0QQ!BnUHtJ};<w}_}|rsC4v zXi&Q-8fC!C$P|8HjR|t2pP<#!|2oaA_>qV5ip!0KD!4eP;jd2<I?b$fh{#Pr@i1>S zfcx9V=7tuQhFqW`)zs3!(!_#`$<Tz0(E>g&L$Dx~p5I|cc&Ti0X;LukVi<Vu5LB_k zau_A8J-esv<h3}VbsEeK2C#~i#OYm7#cBg`16g&NF*Jvn>lv9FTNptG1I!JKO^ivJ zV+J{5$CWnn1_PjOKne&1lf+zZ*VRH^WSGPXm2+_-@)vRiNBp`KP%!Mj(_}_?WpPqw ziF1BwUI}b82H|65n@Q^h{%36>&-13SLa`|248k=ehW~`04Q5t?iOKPaDarB0pe5>% z83}O3ZKwx2p47w=oX|~83{B0!t4By_Ni#H8nOTuhaYH*4#s+#O2B48HuoFP(-H7B? zRK&A#@{+kBMh_F*ez7z+CD{!fpGwH9)s0O+dm}-^V#bE%mIj~!7Bf9C6MVQ4DI->e zC$i13?2Ux2C4~)Jfk)y%WvvMnqJQeYO!C6sC{`#9bUC=8rGc?As2O3ZXJl+)WMIa{ zWNbj%%;)Uysb;7r`XnaDgU25s6H7R2Y?QTy1Z(UM)`=K3wkfzP07*5V5pwd2iV3B$ z<Ru_jcMwrRknafb?SbSu!q5UWD^W5=LAE1|%m#xa21Q7qHq$8@M4YzHhpajb)K*UA z;xsbQGqEr+GqR*$<apf?FWg--a65s+QqRo7$k@b`i__3j&&b@|(g?hc7peFpn7@Nd zJg_#Jlk@XRit=+13(oRVD@wqvSD^&Z92NMcU<1%35%khvP#1)egasCF54oCIi6VCe zV6*z*rRVVMOi7zq!P|wbSOlGX0;(&JN*6?FM`BY%j$AiDJHAM6Krrd$26ITW9APm$ zT1ajykQ)w076b1FW6VAhB1lM#3&p9n<kdDtrjUUrYBU}zR$G$QeuWOoBQgVQp4^P& zZrb7=3-a<N+NcyI<->AAQ}W^hR6u|h3{WE=mXhrVXm0}S2xALqhsM&(zz}q;EoptW zkJ_f>wP?*iEm}@PV?9el12Z!-3hKL)Ql@5BQt*`mp#7PM#ye=_J%NkU&_vJN*xUrX zQyno=Pug;_1^s$hXX>G88<w#tNmr|@^~g%w#+YsZM=m*iGqT-)S?@sHK*o5`N^-*i znzCVWff$n_eYSyYH$aDj5T1vYb)b$LiDmu@GQ$Cs>cK-6pdGuGmJ|&<F9f-Pj3EmP zNUZ}222%@YR9G09W9%O$*w*x{)+4LdF-LL&h2@-f8F@}HLpZ_M$PhGG3<?lKQv)NC z$Bsnp$#a4!c+$tvRL{)B*wWmTf|8c?fG+Ny1;VEkFU#7!M2D>SHUV99#c5=yXJ}$* zVhLKNXrX6mU~YhM$1%Zi`N`k3%&e3#78!vD1Tzt}ivh$!V?8rdb3@Q_A7dlX3Duyz zMW{KQpoN!L5oIA2SDNS+Ym!yh7(#o?m}RUb$!$4pa@_zeW0Bl|V4{XR!NP@XH=xA@ zC4)F*yMc;*9CF=YWC^~N!dTDJ$O61f+1Omqz|h>%*o=$G$dIJju)6i?W>!iVxek2n z6iTKm1&xK8ni`m!7;$kLS?ZaBP8I;!XUfH7NaW!|pt%nJc2zSg4GarQ$}{7k;}|)q zMfza-L3eh8y7oo}dPbHOrqGhy$lS=x9Nc0iWfmZ^NtL`tGx+WUPD4}BWUh%hs7ggF z3N=RFolG#*E83{yS`=ywt+J>vrDpj<g{*?yI98|#JgsVJXkubu4qgXK-YEb7tx9HA zY8Ww$Im!>}s-sRKnIk5v!IKL_FR7exRvt8oRGN~RAD;=@;-z0)ZX9m_+B9HjqGw=a zX#!e}fV63Vw51nomdN3*g)L)+3b;Ti#Kg$b3}lU|nI3e=jgd5K=HHhw!+k|pv=MlZ zFXTuOP>&W?{!-HY*%~QDX1CfBbu4>890BTt;Y>lM$mb*w%$=J-0fBPQN^!Yqya9On z1QyVg)Hh)B$(nCBMGfcyaRex<A}2XeISA?Kklq3zJ0y&uT}@DP-qMn?{!JVxy2)8z z1RZ7txq;H&g^Y?6d36%Bdtt6;Y;JA<8cQO(V9SV<#9gqNLYms9dM1_@hM>bOjZO7T zOihd}(fd&Zo7!JhC5dU<8^J6zHZ(H^ozG+px_!n1bBv6jg(-Xz#8_wyUczB$q-Sbq zVhS$05eLbD_hY~+Ac7WNWRf6d35Ov{Z3|izNba2aJvl+*YFi^CkewVBdIq4KLMB|C z7G`?pCWhvqOPNs`G6ek^JXe62rKrg{;H9XAT%aLGLrW85(54+Da{W0?mXEl#1K^{a zISq|KYZQz?_hOhEgQngLKm`?P{ye*YlQ=8QVue6^^$hh4jLpmqK#Nr@^ejwFjSWp9 zYXyu**sE8N$3fX%J!9A?uZ1aS4>Sd15Bh;@ct&{*;r4*`n}HgPh%K3<HG4!k@!11T zwxIQX;D#wBStUD!fyk_43d$;QJ3)RUH`!(pZKv4)*oo0}H8v!(>3aCke^Y!-SMY@^ zkftkW8#Pt3iY3!OQ%ZJ{LVI1*=w61u`EE+iA~OTzNH;W~pw~5B;JYbNy)MwA_zKX; z*k%?+X2wPotVfvl`kN`%#mUf)JhlZ1klMh+*wD}rbj&`v+3LoM52i$|Bt!1jS{Q@o zyvVh(x#}%(0Swx@T@MOib2Af5GjlFZV{<)I14Gck3M7t`<ypQZHdW=8=9Kt@jvmTO zF#??wjoKqMvw-iGC#`7K;(d)TTN_$}&Q*eBYe>u*SXf$6v_{am`xS99YXTW#2hB1X znHhp&mfZTMGyH`q&V8Sd?WKk$pzWpR#>Ny>r_wv0;kL#CHi%$gW^7^(+N@-Z9A$_B zHi8{l#>%Joq6~6!JtWHV!I|IO)Xcyf<S`396C(oyj0;x@wg*G^+%qL&<Q`uIhmtA@ zTA07?260&tG(G@ImqvPKCZ<Lvlr$bxQ*RKLE}?A)BRw;76Y!X&xsjfQxtXaU`W`@n z-Ykx|hRvI3L#2?T8<7U@Oek5Rd#m~?Hajr~??4MG5d(&xi5Rk*_J@hK(-OQC+0aPO z(8$mTbh;1OZTsxp^Tb7`Im}7}LsLr=GcHcDt#n*)mN+Xd;Ee)fa|2MLfNU#^-Odmh zn}~*pk%@(Y1qI&xCqS&7NS$CyL(sGW+5Xh|ahgbfBK0OrjX`T*$hLFAb7Ji@fybwz ziK!(eg^=?TV(mmJYz-+X5y~DDX(#A(0z`=bN<(Cq2zf-?iQK-UY}z81Xgkf3;*(x> zLhh0@(=#?UG6kLIZEC1zY6hBR08K$5Eg~jZsoV`cVrnIcIXjbBl4uwW=@D^Q>X}=Z zf$zaG0}YK?8k4^3CVBf|Q(}*KL20{MfG_?s*RwD*HV5r3GbFd^I-%>3Dc&ogjX~!u znZ_cvG(uOQo12<j8iP;lMhaJg&913@2e5aBup9^q+R_N>C4_)BQ(Bsu85){%ahU2E znwnS|8gP+#<k}(oy{1-N1*JK~sU{ZL9#!BuFJnD(OGEH!Tx1UoKh)iUySZwJJJ?W~ zas-3T({?*)!Db#SR00YtQ;-LZxHwJC^(+l7O)N}6x3rP6p+2Buqp6i9Mn*tuT@@$i zI77DF!FRqQCll~>C8Q)1k)P{G^RFfBq$yJi(76FzoF<5l0eBW1HbhM@sQHT5m{M{g znKAf66Yv>epsQLqO$_wRO)U)!K&Lf=j)F8Geh=>cyQ@rzT?AkhD-_SgVWDSWW@rZ5 zKW~CqOo}o@PtgBi4_2CDJN5~3L94l*sj<0*fhn}R4m$ah)S|WN<8s_ZD^g>}#Dt>C zF|%nYag7}#6Y#PE&?RUVCZ?cn0ofxF<sTQ3SDZte&xU%IW=0kiEi1^1T}WK>*%DDb zn}K~zb~9wf-UY;kv>{3}1hfo}Y&$1yBi2rHNXcNTXK86-ZVWm}%tX%^e6$3qhpg1J zETE`lFaR${GSV|K0qp_@Z>h30H8U`>1aFgML>!k(uyw+)YmO=5<w!=cLT%vF08Guz z%?v<u(PnxUWcmC;!faCt4&O706{-i7O~#g{hM=?Dz|AG_0R<$E^nLm>jjWMA<5(fk z<tL_k=B5_rpkX7V0esSGhtr>?np#;AcOnDkag~<TY7ZPZJ%yzD5wy89my5$(&&<%$ z$jFF`6Da^lYl&nyO(vqf2(OOKjEyb8)e*T#??z-lMVSt=tq^h;2Xd1Hd`kx@O_EO@ z{iY<G#Q_@l!P*ug+2Z8fZqnk~6q4^v^^7e|jKM=r7JASvjU?u`JxtxER@OwGtAd%_ zEkNrAI55MW<mTYvnO!7>y(J_BO!O>`P0hjQ;38Jck(S@5m2^=O0?^9GOwYvB)Wp;h z+-kD4Fg7zqALS(2EGs$ON$f0M8kT!6V&e^<m4T7DxrGI&1OQF9K-zDl1&MM*Cnc4E zA-JJxqGx7gWQ?}!37js7Zm7H8YscD9H3ru<mU`f&6vkYf;M#_q&iS#DcCu<43u=|} zE6UqQ%K4x&2fUTp)WpynG*@9_tOvR=*@Wa{ykf%IOsz!WJJ<3{OF*lfqm5$Y&A`bB z)S5Cd2A$MqOm1tcM4*+DWMmL4R0iI)ZEgro&WOc_s7JgLY)f%5wwjV~stjcDm7$>? zXadUA0DQQMg^8gl#@-=<78~wqA!a_v5Jw?Oay{~(vxSsG6f{m*4jOp@9nS^5`WiIm zV`PEW;UiddML3gWF=#dlVKL}P5lcOg#h}3^(%LFc+nP!8u_2_ZV5VneWCU991(}j2 zr;Pkj(nwLOg=&$WytSUBAh3YcoMw8a7M4b!-V)j4D3_+yQ<A@psa1&jYSfcdh=S5R zbsBC@(`rbv8MFl()L}ExGcYhTv9RRgL>kp4t&UE0tD>aYVoI$tCr6@^q~r%}x|->k zm>GfYxC4!zfyeJjKAa(hw}O)7XGE>!r&Cx?QX&9tT0)e5kb6+Ur60I)L`sKci&6<G zVGmlno&;KtV{B|}3GOK&cJPo^?5;dnXo}-lcdGTq%&ruW;yKV5A0kvy>lBpLt^_Ny zC-d`2u^2Rekq>I8SXx?`g03nyHP<sYvoJF!xdZTdYYyH8E8tx(kSl|;Ks|j^0~1qA zQ!Y+ZBRvyy3u6OFdjYXRhG6?dbxV$^l|07CKS;ne57Zn49ry@3IF`di&%)5m$jk)X zy)m&cHwLv4NGq^*PR}84<P>F!g_7*zV4g)%Mg`>;$f%Zuktx*griMl)7z<bkCaGO4 zS!DGyAg8BrSm+s>8Je1bMmEj$$aBG*yiD>!-xRbSi^EvY+`!TT)XxTwoI@H+C}jr0 z#P)<E1KY4QD6xg-7p1_G8~DsSMD2`-Z%~Ruv5{b?AAONVT6|NjO?D7uGx4Xog2wKe z7(tVHW}qoh3-I<sQ$tG=LvR*jG~~i}J5&AE6jLi~H!z~EK6MNBjnB_Z&B!l_4-Sfl zpNxeP?rn@*oQ4K^rlux_pes}?a10<2jO$YZDW+Cdpr}Q;=^brK5#*xuqQuI0$R=0F z&5MvbltE|QT7YiuG_^E<_V39VO-|XHOkS!thV>IbTbs;4C%YQxk=IJGJQ7V&KLIi+ zXQpRvY-(x<T8?C(XAB<dLz~+m7$<M|BXCX18G%kxMdUfu%9FG_H;p}lq&x?zw)40+ z4D}35!FR=iZh<i{H8(c~UDik14(|MA;Y3WC7(ylrO!N$lO)WqRA<1rmznvCN!9)SH z9c-d!Vqt6oD%y<<$(*_o$qT2XCtyaco<LYa7%A;0P%{`?nlk~n)<|gvOYICHEzOxz z%geU~gGuo+sQZV_%cPHcoM;Im&C67)+8&qqkmO}^&{jqc&<;&w6LZk9C?<O5mKH`J zFM}&Lq6dTTKJdcZIyM2Vz=yPs%i)7sloVxkn>|VKDd<eoe2~RP=7t6)hM)$zg@L(| zu@UI7c~X+I+F}n=D^)C0GN|==Am~&G&|m^+&eI%ngBD`b5@}((TFHZwBE%B1yuwt^ zz!0)O7PKkY!pM^J1EP0vdXQIPTT&}ai+*w=Db|f3emB=MG%+^^El33U-Q3j7lH@y} zChm7KCGz?ul!bzz1GlJCszm>BB&}320#C%4=@}XtnSvUOMkacemKH{&A9$v9#(}I3 zk{Ng@mVut7fuWH(sILIZ0hT7lpv#FtOR-4k3{U%MPxMkO1IQSvv7V`+0l3c(I^hbm z2$kePEL$IYN^+h_tk6_OXi;Ne2s%^ORL|7H&=_Oy3c=3O))ls<R$c^49Z;JcM=t_& zUuR~#VSKy+c-SBhXIY4d6oRgjdT3>8rGmK_14~het<WdEEfILhilpq1y(wWzdi7@Q zN|ME(>#gcRqfTZ<29}_SKyy&mGqnIMn<cI6&)a25R@O6!70Llcv7v<lc*&2EA!y;C zxe;W+Fyd+#f*q3+Q!Pxb6fruBkWQfyqE=4<*=B5JVhUPqf-A!jwC&OdbKKn^<5-~< zM$q}t7G?(Kpko6qEcJ}d%?!*)KInn5z}(cz62nvYd%#EoeBcp9b8}Ne&^WEBiJq~E zsRd}`K523L=Bo+bHWPR=GNjFfs6imNl$o2F8<Kvx!uw)Fl4=mpoeDXi1GP-d%s|$0 znp@~u7=TV?MO*z%FkTmB8RAYwNC694C1#=r8sM-59du4^r|XrL0R^2uvsj@?xW;?H z-5;V`#TO6hnOeD%SZpVk78RxDK~6?T8UINEl_(|#CdQ!39BIBCWek8|R4lI3!<EGh zqm96``j8bvpfObwOFeTFV`I=gaHOSe8&y41D{aiG0mn82$dRBNCVJ-P2H-tb;6)=A zrlen%oHJFMyf&%{_8BMAi|pUmv`EWk*yp-Hi@Zr(E=ID=#)x(~$U4w*wB|;}CZvZt z-&HMBD;+Ee9Y=hd!^U|m&5VqVK_^#`yFg5*QHz4CZVtMwnZr!a%-q-%bQZ3$g`S0x zv4H`}3wFxu)p0D?F~q)e2b`TqIU3OMp(;tq$`E|!DTle9iIJHZXltDbxqW-Ta#g(b z6u5713~p9}MuyGw3`{I7LCpZNI~-NlRLIN3kl`|8JtH$v=N@twl9`DC=(I_2fD>JE zpP8#bQi6tdG)?p{#}~jB<C`L25|TBwa>gtxQJc|t+V-HJj|Y|A;6|94nUOK*bRQ#Q zJrnRkd$jdJ1Z#+Q1v0p62m?fs0hy(SEDj>Qm<(DjK}uU5bgCMn6JZRFT60q~GWPuW zl4dce1D_9Sb($NRnweX0aatJb8Jn6LfexesM=jB5>ccDveEG-_+}?xaBd|{mjExLI z`>IVW^-N8T4a_ajCKU+w&#tzMlaz=}VuiqM3_~*m&=fMKv5}qyS+f~3{^Gb&kP&EL ztPIpyFt9YT1W(>r>X{iEnj4VZJ1=h)Bc+XjV^NJE$z}cYjl!g)T+pr?aD0Ln@_}Zn zKv~8Jv~85c`n?ZqG4ZvmCA>9f0vh!JRqtjN=9WgLq$Z@Z3x!DuX){ReZmMTuXlZB( zIkN+tj!2H;i*JM|sNF4Mg(l)@$dfSAv1O@{sg)aPMKsQKJfbs4Nii12B}7_#0J372 z3Uy<(k02?j!3Yuy=Af=4_*?-)a!0`=T)0V&1(d0D*dSP5ynzrROTwoiEJ*KCTLyEH zR2E=w>w`CPqjcj4mhE7RNw{(sGy#H0cyKS%!)6QcB$J7rF=!PO#AZulV=^wc-n@bn zUj=Gx02+>hRG`?rN_t8EOpb%JJciVvvZSd0yc1+I2^}g6=uo@4fr$lZV<+e?cVk1) zJO`7J2^W(g3A>&frm+$|)NULr)B!GG&CM-LLAzQ^EcA?w3@uDZKJb528mp<5EoKRe zr2~m=e+}rA1n?e1BRxydC?x2>IM9s?khM^xwbQg0GgDCM7{e=FQ_A|(RSk@!6tm{w z*&Y);3sZAT&<;bgM^F|OF_1On47ufp!%WZ8%)khAgCN;+ZCk$oH?bn}5NeFcwiM7x zEfWi4@Y*C?yJHCUfmZ4LHNkQIh*30b)t#xSDJZ4GFP#M+29GqEOwblZi|-~xoeyXT zsY6ZmEDQ|{EzKb7z|24sx}-Mcta87ZU~9>s=QGsqCVE>Y+9(#ZDhV9c<_4e%MlMdI z{w7L(BNz()Dc^91wGp_#X{={qU}|mvX)l?WT7U*LNNhYGH2!8n$`BRgA`uQ#J#tq4 zCF*}Op+c7)yki}-=Fh;)z{t#qi_^kV&&1rw2&1=6FpMYd|7wC|$2w>V#4*|oRBswW zW*SOBU0p*nb0g3pVo0Z!kaku0AI2{xM4wt>2$`=1oj+${3OY|4bka4b;3m1dz;*4j z2?hIQjbnv^K+_$VcPTTHHtxS8>$8a!JNW8k7ek?T@F4)^W@d(<+gpt-^~}r-%q+oq zgp>h5&&1CrM4lsyrG^AokdWay&|DR0O&i&hl5avjnUHmAk^ywNvWcak8EA78QbeP) zehDV$sD~f06`Y{tjJjOeC{`#AG;LxCK6rr(`La>cqSW!)2NNq{_>N4-afU|mnR%e2 z6%6$Z3=GVTjX>KsEX++zj4impm)BYlf7Xnu&Px+36Oae+Ey^t}O#<JTi<lQOj1{T_ zt<W?xv;d#3VQ8XfVP*;%%x1LYBITf=?ayDBSQ!&?0O+18r^=GlXme1RVG0^~1UI|P zKr=Tcph;OHLp{)xEa>!o(&F^^!WSlFE=z`-6ABqsqIA$qbis2I5@xQThu0YE85@`w znS;)&2QAAc=NR2NyPlGlt03!qsIb24?f)kxL>>=~((JN?^#TkHjf}ttomlD_n46oE zzS2nO>|+xOdI2V&ZT9(~6*q>42H+#^O+gi=xe4iGrz=$+o8UPq9CD;Eq{_t7*Cb;k zf%Ef26RgKnqqoe0gW|y_vq9!+sn9aAIr@;46p!4;1+9Mo%@Kpnq9eIN>s@lsgp?CK zOrVo>Mh2Fa=Ady@Gtdez0|S!B#m%?gHNn2S-dHFXbWo0^8E6MG1<ft4x3>s2w;*LF zwoxbplE-KFE8Zj}B#lv`kdhT8dq}j{1kpLRv;ajRxkKR_Ko%3fz5x5dKV&QfliYcQ zv|Nf<+D+jYeDtC#B=uh)Q=uk$6i>6n@sMUQ<n}`jvZg|{Th5zMW4DwMc=?-=o`Hd> ziGdjxr=^*mxrL>Hg#oBZL`thDRrj0;&gF05JyDSHM$p1EBhcaNW(MF^Ab8@H<o?S^ zh0`YFpKb-6g9e>BVr~LDloT`oV_{%uioQOM;NbEKp3^2|Ep|2p5A~Ys8JL+HgZ4w2 zTIiV>8Jm*6HqJWzFuv;72t3paseTJUJywc)6iy-siR)3Af=-d+G_=$+wlFgTccTpS zOpQ!U4A4WFU?=8H&jCV}1f*dLS`}xkXKG{y8Z!r7;YZ$PH&5&R6r?WLauN$e6GPBQ zFVbZV;F_Q4Y4D>D_u-9N@NyD}$IC&zaT5b`3sXp4VPs)yOnPeWT(gg)lG6~<oCV#$ zVPOb5G|GhBhKBW*y_7U(4MEM>WKb9w7@L4@P~!kCcr^tb%mBKw5ix&BFsV&3*oA9| z%`n;woN0~p%!~{yK&RE4n(7%Dnt-lS1KC2trBK~$JMqRWIMYJfJ=DpxpRQ~rF4G!Q zYv6(5=@#N_Gz0g`jr5ER4Zv5nnSjq7Fft_h7y#b6n@H}Lo5B0#;5rGk{s}4Rkd|E! zt8SuXObxO_7L>TmO+l;BkVc6~8y<Mhu@QF?Hi;D~291K48k!jygAQ&n)iX3VGqNxw zb?uX6&UzCfj{JoV!w69)8LwZulDI@=0^9g(YHVo%-meF$?9I$cKVWR~v1JqtCYpl^ zCh$pT=4NIlpd${+9@SjxxfDlV%mUOG108f`VrXUn8pAU&GBq<oKevNm(U&B@#Ds`z zU!b#Bpzx%y@wCZ%9+|UOhOj9-V?$FT$c;?oo#s(sHIJ-;9K%>4+|>=DA|M#%B4_5} z&a04#N@G1E6VTFfE>7?{2ILHw`JI?cmM@K<;|M0Ersl?wLsQ5*6Y}7uxj53UIVkOd zb}txNfX-O7w9vD#G&D0sAE_W1khiYQB-BJS1ef(?pjHCKEhUbw8KjH^8G_df8ta)E z7?^|3?MAv68>NmR=*_Dqrr}C22B79i3dnb6X2u4f1OJT7$h=cx+lDE)Y%v7Q>4H~z zTAEuJ7@C8R{xUW;1U2wUoM+_Cn}V+q1Q|F)bQ(byWLTOTSbz#R@E%2yJB>F^O{Ab% z56SX|dM3uk=Abp(pv9)pxi@fAh3IDe)5;zbD~Uv;yUm^RO5%Nrl5?^_Q^nxT*CqxQ z;M3JD4E0RRERBrO^8?|IsbmMfz=d=RA%R;4Y7dwhS(<=m6iqDkjEv1J4bW>3f)-YJ zw2_h{48YfdnCh8WSeSt3Yc0+6EG*3|&CSrJ=?UhDPl9cPGCpJ@X9^bw=z;?y3-E|2 zXtdkR#1ws(A3<+U{@ZF|C5aq)kO{8%c!OxrX2xWy*s@Ts6)lM%Y=K;u0Xl%+&=_<x zAJWt_%KZrhL+<0eX5y!wA+1wt1fW_I&Hw~mWeGk-#n9B$*un&qE)7ggOhKCxP-fK$ z#*&<P1HRO62p*4u^qi>^Ve{B(aYmRWc!<qd&%nss)WjS#1_N8-3mym|y4={mzQTm? z1vm!amI)OiDq~6oPA@^$zf-}I_c|3gQv-BA0qEjqGfU8QVn#-KW}sC)B(Fo?>s5v~ zIe-UJAY)|NphRb3XlZF_0b&^%SQuk0(IcF*tje&Bg@UGxp=(Gi!EF(6QEz5uX$)Ef zW@ZFx=$V?5TmtUZEH<%H0U3^OLOe4#B@xtSr9z|~dtHPxWtf4RjNm1#CeX8Z$y>tO zms*6Q$p~JNY^X<Zjg=czMAB%N0k{!Ih45?g$j6=NjUZbBK&>4kOCt(eJ2_>!lnh50 z;$GrITCrvHB?-R7#|X4-h6*7lx-AZO2tsZgGtx6RGOz&6F&ODtSehEa&!B^qw*+%> zGkY8{{b6%zB@3^rXxyGMrj{*AmSMPUF{4)H0k#FDjf-f&7*op<o7o{a%OO*0h1Z3Y zVBFzl0<PK(^(-w6O)LyS>uD_vOw7&D8_xuj+TDdg*c!2*HAL~5kX46}>IpQ#VF5ZC z#njZ8i__d3v~dW0R~l*E$P+>S<gOs1W@Ebkkq6GOH3FYs&jFg2HGnLEv(z&*Ffk*2 zhRpM(JHFcA2z;snq$>ojkPS?YKuaY!jSTe6OpOgl-{Wob%@wbONZS{w)B1ds<%BaM zP^~>!XX1#{63F-l6$*BFeS4fK0(3%gE@<JPnSl}LJTmgv_^#e-hb_B-no!X8nIR-S zP$zl>7a8CTImkVrR0z5KXLWIhoF%o2T(B(?*t@?}>mt@@Y2ow==+Jx65&{FzNzR}H zFgT3KyfWw}qZS3DK?box+2Fmo24<Gv1MoqWfSDy|-2`bx@$^rcCRPfdq=(Xa2Vc<b zniroL4=MPQLA?=6b92z?Ik;x12v#!;%T;lW>lr~u53#01l=&xuo$u$HlyOI&G5ESq zLp@_t3u9x{>psCdp@?ps&D$bR{OAQ_R?|Sw(9FQp*bFp8V_<4(U~a_4WMqJ{=Zm1{ zF7(LaE8C5cDicWIVPs$eI{O!Cw2-u+j4Ezfw88^1T1d5GSM!%R&L}g4oDF8AXKrR< z2)ane9CYo2g@FZnH=JPdbB+@?vC_dv>S%{a6$Pgx#%IPuMrWGAr^1<78e5tffz}%u z7@L5GfKf-930ge+j))1#r&u8!*#%xGYhq+%VF6mU3_6<+H1LZyQb{oBXcP<MOFEDt zS%|kGC7c;(1&KK*;~ScofX?Sb-CRM?+q;kQliSLJtUX8pFAz2`Gq5xPuM9IWGq51N zIb@>2Yhon{-w=?TS7H=x2o7CHB?~?y%);2r40NuHshOSu=q5C9ACr_#AL8fO@rEvV z$PZGMLbjQJ4sQh=s6+NiHc>t76wC}8fo6t5&3OYo3j+&tBQxZIL6j<lV8Z%Z#!g93 z-vB&hW2y(LTtMsc$=;dDoXLt~$i`GC4^-%yn_`T<ljc+P4-6(&%E-+Rm&B69c+b4} z%slYLU!Y;Nc+eD84rrRl#Kgn`G(Bu?re|Vg09xEZV!_eC%7ASk4|1j-I7LD7YZ1tI z#)gK5po@SFjrA<d4b6>=xIi0A%!uFF64LYE*h-yH`3Ul9P;m)(JF%Ib5ojX;Xw8?o zp0SxJXg@2e7YQaFk+a{7DcDE=PR7)UT>Ie9#zaIe>?A7C77EZQGbZHv{L+<A#@H9P zn}B!f80i^Wnpv0_b8#A)>6uxW8k?ZcI1(&@#b$jlCUd6_WVRYoR2i5U8iP&;F*DM$ zFt#+ZAbB?MA@c`g@^{1;z=|p(Jwsz-@cFDJhI+<kW`-EMMF@sS^PHFDh6tqarcPRG zd~=_ev}O`3R0GP|p!?{}4Y)WhjX~EZn41`ZS4Weu&ZCX_p0Sl7p{$*ll2YUcF417k ze(=6wQzOV4CQCCtQ)5G8BlH;pf_c1r<85Q?H87<3qE49JcfLhTm_o*N4D^gZo5C$X zjXEO}V`GfvN(4Q1{_G87D^-lTz`wKvRvAQtTH^+wIrRk4(43Khp&{r>WfOAuTvabR zhoitS63Pc96H_A#6VOH<OG`adV-q7#Q;D?3{%Mah#`yM9fvX%yKF9%CXl80;3_3N} z(p=BP*whrX;uqX-BDxpFaQ(C~mR0+pgbE!AfwTmvlS1x3KSfLmfvvPKGBO4&MBp&f z!@AysU|GX&yVn@!(FEqutZis!Y+_^t3QQAI69Z#2$bM8y;%n`dEZd35+QrE^&Uqz} zp<HTax|$msi3w8piNK(H+(A{EIcQm#fg#4`YJ!1!Uu&*0$$cqsx`nK@g{&PiF)}v; z9nN5>XJKvuVv^Wuxw?1`zKmi7wh+?20C&SJ%#AETONVd_77_HdjQJ$oNy!9q+_I6L zCFl%mV@OxY$iUDP+_**R#1ORR*!F(X0~fql98xHhfYPzCrKJgI`4`f`w<e(ais(XN z>cv)L!e<h|D=Q(ettKXx2H=e>=6dE92Iin!+`!WyMBBPtxtR!Cq4@|h@nWoJWN8Gt zg99`ZVqt1*iE%U@!4O}3x(QnW2x;LXbyC581Rcu+8niMo(lf9yFf{}h?xe)8=g)HD z{b&{|1RDM@)-y0MHncDX)s!ZtMxgylBxV-ZvxTImDsT>hlvLmxWNc<^0qUS54P=3L zU&7*-V0~R)Txg7I*_%<UP$g)b!^ptg2sD}sS_W!nY6iM14V;HymJp0i#&_AqR(hc7 z3uQDFZHURm-_JGPKRz=aQbJ^ctTr&VFf%a%u|WINjlpRbDQXFN@9UmaW89Yz!mOfB zJu1QxPE4T>J`@G}qBoRKCFnOI?K1;y_~J0oGqf-?voHr2gXV^&rk2KBjHIoQv`q8E z?LTm<4i=u^Y>B1gO<F5xlZYb`*)plL7<{CJfzT92P&sR4Zf<D`9!xeiH3ePUL1MO) zS#4}g;Z+sjg-h_OD(XS5GBq<Z1&!jEgEkbJ8iF=FkQNwvIR?gr&)0wj2I71T$VCc9 zdIrX37Dk|*q~tE;X1cD3tw;uCYG|9(0yNAcG=VCyu<MejF}7o#h(1#|8X5_pqY@z{ zrIERzp($wm$-uzaob)q9?^}o%qb@P^33B%FhED~7&ZaTYGcqu=FfazixRIHWnW-go zG#cOW$x^C(##A_K$pmu57B(l4c2bOmJFhX74*iF2O2OtPa8ZXZSmxVt8B=2`wF!84 zAgE(&ZenZzs;-TV4J=K;wInGu$-&2L1Uj~$<R2dmy4Mw&2QbnCX%R8&0Tao20R5md zh?`8nhmaZSnHgGuuX(gE(KEBKFebfSW-*Jwn3%bu<Q#au=mD1phM;r#A;+Itm|GZI zkUUsoJ@JE)l_POy2V*%t3UoNN0cb%4ctpU+#Kg?d5;TosVPQeW+LajFmqz#pIUwN< zx`nV8-T&sGa}`PU|J{a1MpjOg`XADV2ek!E!Aq5pnvEu)q6*fcBiQzMaO8#&u4bcg ztWY=D(*~f%Dd^rTV?A@wu3rPR-HZfn;mEyVWaU7dr;9T((@KI;(=&@pQj0+KALy`L z!)Vay)fh?8+``=46zpMAN&seyOOz);<5(dK=U7@=SQx>o3ZkQ+t?DA>&N0B^9CI^M zW75x>rHXS*A$@j3JtIpq1JHI`3v)elOEWT-iH3YRYee#Z2vW}yl5HtY!5?(b5}j=^ zQ!r>pgrNyI4MWN;V?%ROV^FzeVrpPP`Za8YqDP4<w=A$E3kySY3(_wQ(0+fA@>FVs z#X08YM&{-u*Wy~#a1Oj#gH)@Lmxs4n?=!M8BjeOy(C~R9xR6D119>sDg={w%!3ugL zH<+7(wvK`75>kp*v%0-TRt{u@1Gu1vRPOL<2iY}b6=i!|cT?;dLs-}tn3-4_T5@qB z9py{f!YxO~T@+V>Sc`eGV(PH}4$AX0<V1A?Jwr=l12b@+%+Solfb>gEr-|+$t~@i1 z6@nD#$Z5mW!VqIkIKd`K@Uo3W7wEX#p$4%+7`d0cMoAFJJ!F+2*jggyW`<@MTQUfS z3D^ykw#{Li91JYYj4jQ<_v3)ujF2O*5R<wDU9)BTI-E^YlUSi9^itE@)Z7v@7zXZ< z65aZ`^J%S-l{pzD7HE+bw!~;|X-sm9H0#I;DkMhinS-1_$)t*VjKGUg4fKr6%}oqI zi)cWn&{!IQ;)t{{vvaeT8WEl`fzDl+#tL<TlLqL#8_=~yMi%fT%%m0A#vhg#S=m$6 zYq7vmK9JXME)HHoW(&g*5g>+^pqVQp(18%3JV|OdZg<aON(00U?ifm|)6A=jjI8X5 z%D9*fa--OI<7m(hSV$j^;-oS)a}m+CDW+=-4P)aCjiMnt>kRY^OpFYT%|T<2#s=ob z2IgE$h9;mfeps1CaGIt>eUTBCL)O8wZlH!1WKaWZy<uu$Y>B=Oi(pCpQ;K-s<E%?9 zv1Ao<Q%lV4UId-<1LPdCs#0@UV-LA`K~_}?b_0bCVI!=K9dk?48#~`YAwuz>6V`?~ zS-rQ)*o71~%ne|1WngA%4!+gh0JM4xb|@VQ4GoTp$rLoqAw>zi3q^4^fh%be1+FoV zjkf?7Y|uK8(y)2-sgKf97T)PMFf}wWLA_%Yr5!*pWBaxCQBum9#Ks$%Mw=sQAkfK~ zT%0E4j%q5$QV=$fh%$~9>Vz~_%#1(_S~!gn+aE}4tk}8t8CltpSsfb3#+yW2!ZQ@s zcp@P~&A#1BZ1%=d85+dK8ybS52^un{7NGm;ImvFuEiLLLKV(3T0aeVffp7}P07}pG zP>{JzW8=-hnH%OBWALU9W6(+ekS#N$rI{iIN?ZdD8qlFUu%d>-u*sd=MSf9Z7#nW{ z4w}K>7_-=Ta}>u=7*7Ra6od>oZ-b%<GV*L-YH4Z?nmq@N8d;FsQ=R><lem!@$YHJq zdPas87G@@(p>6|1a}z^wfltba;+&Xv;w&_WjV)8$n3qy&r=*%Oj*T}o0hJlhq)2J` z1$GYE-B63zcuSCDAj4(`W)vpHJdt*yM=EfZ?V!{M4IE0VJx!r@3c94=Qo|CdK|on~ zns=^^{1Ob(jzo0UDQ&q~RZ`#>NC^h-fKc4T20Mnx4hWWH1Ibc`Mu?a)GzOjhZDOVe zzE6qd32DCjE#$`(r0He?Z!S?fk`er<nSw?Eq<lx}4N=&ty?DKe0@pyAD8{g!E5!+i z>ueJx<qc$D1u5ZBS{NHlYoegM0q1cGL@K7R#l&j{atxVmen=`dfOkMlDI6SJal4WH zW;Qrrkj4fnOvCT?HIkpb!EOO9H=$A$Rj?c67;=Y^!5IosMVVNbfR@M`T9COCXLAxI zjsZ9MO_7oemi{~m{ltS@6eJmN`Zb3YG{^&XrskHQb-AR?1D!hEKy*Qaa}3ka1l9;e zaSu6zm?uE)p>!?|(Iz&du)=IKsyDK7B(tc2j&FlP2ikKrHL(Eg6-3%YLt4*Ofwi83 zN(z$0O<{3Gafd-`b{!=h2BX+`Lt{`I9o$1RG&45_&83^_85<jd_JokQ?wz~5j<^n! zF`^!#aO_D{p^kz|5!}|aghvLYJ(TkYYAHympc2jyeAF<k^rx_nX53v%epLi=4R{~{ zI!#4s*qk!~g$=n?k!5VWA><flXfCF7S!m~r8VYhTxB>vx(^MKKyf?Lm{00ozF&41e z$bi!FO~kN<=-LQNe+g2efKGISrD6(mc<JA23UWBOyhXHOD6NZD{;DEBOF_CzCh)S4 z(y{K_c9j%_3^;?sXB{bCrMlvN83h>%98I7MMWsZ;)lx!1(10V#6303p(%W}iT}sGZ z=YxH*k%a-qS<(b2ur`tJ2>3cgl$Iqqv-My{P&hRWAN)Xd4H@h3sNot@9I1r#iSqU2 zM-cU<@-`I}lV1_RhBTp-BBlLB$8SaCy9HdJAg#xuaD>6)P!Xl&31WzW(&3JMcMB<S z47j;qhG_FrSUraxFQB9Y0+|>`s-Uqs2XV_E!D4QuT>+(GgK!L`74(|Lc@#JXTniyB z8Ne1YB#e0ckfy{jCb99RplNzo{fuptjD%?FmjF42%sxGMUJ2A#fb<1W>H%_=z#hMw zL+qLXoWn0>h#`3jr@0Pn$)=zo1x_@eNiKM|%>r~B3)u@z!lSY&=+Z*Rz~QU6D4qk{ zKPQWVzyWvnkpqXqEae=ZMM>EQ2_3}n1EoE;Ja>?D$jnrRp#FeiG;+s{!r_O!-|6J{ zmB3@qpjEQa#ssC4wa+%EQ`nfWg!izqPLGmM_<dcTPDu|NQe}cBmLTOH#S>j%_mI6s z7LutD^P`kjnjY)ZD5x~StsA6XEw&s^LR3AJOe3~xz%mvBi7BMTZ4?eYuTV>&p!5S* z;h_8t%~F)kN3IV}CO=DoQ;h|p(xh;XpxYstf{+0febGo$1XwdT2~91nNl6sA2AqUJ z*&Ei@qHv9|&4UC=%VDJ4P2q}2fwLgTkUI<vZrxZQCIKmQOxCG*@>4LlhY8>0O!3-l z{(13~H?-h;G$|g=o8m!<bD)c6;M+W~FTW-sM;-Qzqr9bMjz~BZ4hNW8$C00Kz=;?! z8bE2wrid+;g8CBNmqMzcuq~4(A)X{fVkoFDA;|`5=9t2+)aDz}l;<f!c&ec^rt)&4 z$xk)lIttNOpmaKJlMf|91D)i74-8Y>uLC=W>?SC<D5he+?$F~XN=h3@=pbb(3ahD( zU6B-IDo8JrdMm%azKI~eIRP&FK;1fM+lJCEZ|OA(90P9<Q)z+nh6xdr^y?s{A1E19 zsbBa0YB&YWP;h~R)C{F`IRAe`I0f|-IE$OY`wNtIG8>PDQIMq|85}V*Yiwo&x{Sim zNDs8N8FVBXX-kS?cZ5+8G~kG$-W1Rl(J=CBDe$ljqL#wi#U!EoJx?!`l7bl0|3+$~ zQ`nOdDhi>%InXsMh&_pvu3>RL985v;1`;@+VRU%$N7+zu!m1!jia$s_1#%Cx_@lJI zDV7MLIFD0r2C;EZAO(3GJhh6Lw8L89kdUv0@&YMH$cB)lgVejDa9r!pr2q;NGBn{J zt*NB2cPGT}Pf6%N++zkGE~d1NGpF2-3i%3AcT!sHTz2!LpjiQFbRjJOrEuggPTH6J z<|ud+5;X7!YmQRbQZJAMIfmTPE%3k}(&!e2$@u<d9||jSq(KS_W2xxB7x}RSZu@~^ z2|931X+M0*eJ}C@2ApINeLG4Q6xMw7q#!eaM{htO1FbSC&DvMPC~*y>bBAb&Vy&e} z7)&|?at%f23LvT|O2<o<ICxS}MIpL&&?IbP0=jdI)6kU6b3@F&xs#uS!SQ4Qub(KL z?Qkx3r=)%Ybzcm@Cz?SEVM??2eI<7aT3R6IfEKzya}=c=&0TlhC}@O2ni7bPCZ(x( z;SX2xi(yD%gBT8_G!3uyb|pUzgX>BY_|Pn+OX|+qQs5SFlLmPK5SD%v35|1)&n^^H z3E=t()Qf`VCQ5rz!6#fO$W7p)hI+g9&Kz|nKgob|HuB^GmS`fOb`mgkqM$|qIR<jF zIIQ<gVGHX7hZ7~GFtmn3+MGaPQ#kOCBL(ROJdT7Iv!=8qVY1Pl0>^-}6rx!}X~@KX zu_HebqXi75earBdcH~zG;6Vw{f)dE)K@&p@b5kx36FpNy3nS9cqTeHHN8IK?>YY;& zc*B-_--Ani(D*nk15>zM?%YgUN-{8HOd2$`0$ce>;b7+)cUua|H>h(E3r8qzWrCeU z?wOn5)&eN5pv`Yev#`i}8}hR-INKm~^RX4QBs3Q&atpXkhcrM=VH)<Tv7tQ8AkGt^ zwAi=)YE5zD8)@w_g@M!Y7UUXo2Zz9^29&*F#SOL<_#`x6_RhDaq_{z}U!d(wN?YKa zIo1?Z(cr=uG2}|=u{cNLC<+{CV+xUwsp1~8mq&mzIVkDCN<Ru0`KY|NBER$lH>MD+ za7z2vV7Cx?Rt1(7x{zuL;T%fG9(D&=Q8IM^NjONOixk%3+QF9O7l2siE3uqWMnX+B z)x?~F+5s}@guFb0!qs75=Mc9U6*J{P1ILhR`{*{wfdYr@+5u7?Bh9>1IC-G@&5V+S z49Qn!NaHh<9oKWQ*^K-m2V8X`Fa8`rw}8uH#DE^9#UD6a$UdMETx-J5F`{_Qu&=Ti zB~1!QngI=6!Ny)OTE?WEtJHJHl>E{UbRm$T8R%#nd<`5DO26FsrW7=A!085gj1fyw zOhW5#a)k*6V~pSo1uBW5T}?{cep@|EC@E|pH3L%MP}op^B?k%|GWXFzD+U9^DIXLr zjwn|!CV!9uGIfd=fTeWIK9|{;{BjuV7^D$K3Y($xJB=vFP+-SUuOOz(F|bB4wBVz( zyYT3-AqBY`95SHp0%Tavzyx&K2#2wrv9X!Cxdo}$vi06KBx+dC5NQ;G!d9<(mLUc0 zOvs!YXs`%cx=}iEMVWITU4lX597tIUat^dwq)O<JJFW+*h>!*uDI65JyUc+6vKBno zh1`6hu;9=3F`yt9gBuK>!Fgzzf>}qC)|l#b0l9|EN)g(aLaZmkbPZ`MropZuyWI<( zL_u;4Mmv)<$E-Y~PeDZlF8h%RKMIrZuU>r$3O^#+;G~63n72L!ZE#3wgO~@TbTV5( zjgpuGcb5>$Oew9U#ib~TDQLZ5fLO*u;c!SFgFYp_H)yqKI9S{RP02`G)F_-_Z~L!H zL2(SJsgN7Ll--9XH(i&~G6ykSPU$RiT!k(rNe7yg5o_%!?WNrEp(Jp?HK$3e5TyBQ zWNBn<0Gib{G_*7${d!F%9bKZDzeb3y+myz}#{W8$*8qsfpmY*${Z<_cQYyGJg0xzk z!rC#=8RQr;TQT5f1E_&l1G*s5$lSmZbjPQqrJj+YnSmv^UPQWHhTv6+6HIiBtPC^r zGD|WOb26(^;}c6$GV}FI$}{7W^YcoI@^f-hi}ayai<-s8XU3a?Zcl_=F-qxF#iSS7 z<hOMpqZ~*R6%-b(vmR?xP`H9CX3#QdSf7N#s<3AV1wjKzB}j`@2GTK*g%1eFP}+c+ zo(>8bvS%qkH)SCPqbThJypGl;e=rJM$|J@MDRs-bms%9Gb0M=g2-i@Ww=J(z;u=U( z4(Yrr3dd8~mS|DZJb*X{G#~}d-jvoz935H|)JWi#9AY&frEQh-4qD_VVsKdlKM>b| z(#<IAk84s;3PZ*}kX(c9>@5=JC`@fZt|7CoHiWeQ5j7O0OTJ31DR&KlMPDR@4cIjl zZH*ZuZUI-_Naui2IP_cpL4$%649-rVu}$ch8P>c_!j#{sT_D$xn}Q*OA4vOjC~RZx z+^IoHF$~F2pjH-i84;yz34uBd@=HE&!-jfI2|F7~-GVfnNa47z>jic48|L8AM9{D= zG?FN7Nf<0vCqI(FZ7jr^6iVyulx%fMrimf#2<olptn^l=psWEGe4s`RY?g__+<ig? z6gcFTHHgd&ZDUc|9&UfCMnM}3-0Mf=D6Hi$3AN>+LP{J1YMe*IZ`U%QwAky;RHGyn zgIr@6jToMxw9R+DUzPmQ22x=nMl}c2G2qe$R6jv$Z%W6}o|dRmkiQ{8L%rF8^^GbN zBpb+h4XC(*)(e!D#ryqK$gdZ`VS^}$DUGOmTq+bq6uicSmVA`%p<l_NLVkvV)Cx%B zofLMx-+of2)G^e%rt{WOW%APusN9Q2oPa~=vIBlEWeNt#L5_j!S%;Q2RB;a33;e-D z5}<wyGzn8$MKP^bqM-5y=We8ekHR7Q$JZ1oFZd7#K~OplFmpL2&Vin?kC@S>bVq-4 zh$8tJ9F&ZaFC3(_AiiWqfn&fuI#ACA)*z;^=u^@JMHIOWVo=Z+g6}`915NfA7#kTF zSaNY%TIyLE8yT9LaWPtQF&c9TCFf+v=a-fcoa|vbAa7)4OlYzvC9x#Ysj?(B+8i|9 zV*;M;ftE0o=IP2eauf_uL;6mLGo&c(9$4I^#5Ir!W5jSdrFnY!e2{C%oz;M}tt?;@ z#uf%f7Uo<Wrh4Wk<^~2vB(InJCQICeF{0H?X||PkB};x80xs=A*%q2kDeVF%EtjRB zF$eBABJ}|%9sbCy1G$E>Ld244yI>rGWyx<qfrAEVvj>GEt-pk1sW6&|I1H20oiK8b zWGHAR7($8^r1jtw4&n(l$dI3>ATue5(M@AR6LU*04of{_bI<{^T#Vqg2C%w^;GJl` zULd!STfBk0)ke_L&A`&o)WDF7!${B4)WFn;<kjGs3#5rE-3+O>U28|5H2IkZT)H7; z8f<g<Buog@1xQnpX&^HKpp7?F>cwCDAw_=JfIH5Jt}3M?3ShU8y`&f1aYi_X()NkK zO)2uTFQh?$Xr5472_<+*QBWm9T!XmO5NlVJgfuL>UXuKv0T(7nJylA(KecNpa11!j zfN~SG$3bZduFsOBqzZzJ!6VWzr7iw!Nl@5ORO}=5;whY-2uYNnAZ#FM7}TzVo_>L~ z$xA{a{_iM3g@V`=vDg_~XO#r^FxXPVJxH4lDcoDPf>nb2Ob&@HL|Y2$LU|Gb$8McC z`Hq2<IQW*yleQ10`hyrHDaSN6-p~v@=0c?^T<@(I`BgZ$PhbpB#aMF{3G-|}uTvB@ z&@mV4jWWErB1XZO2c$ektdhr?j7bQcT@$DgI!K4gP`JM89}6X+1L-g!)>ly)I-5d8 zC}`w>+oGW9EofCv>5OrcrU(Vq6?BxBz|tKOO2Ar>bDYSn%Ax1=gQ`y|4bp(!L-uq9 zxKjmk4K%VSEq2~8QxaK_+6rj|i_(#ZkXgbMq-2P5sMq}!*dauI{QzzuBUR)Sjv4I_ z6C%GNhYX4s!7D3D=V@8r2~tv#L;K>0S!@aiX>uwBDJgLv1N27l3z8`9Sd~=>Qjmxt zb2&(dBVlVElTdZ4{uQ8LwiX&yh?<kq+Tq)70Sej`kf=helcv-)#nbsIh$={VgE&c> z!eqSEpPz!MV{jg)-gf@z#eC$KH;^U;V&WKc+=R4_gKZKY1!)-CZ$K*kFv?;woI`$- z0^uI$ngdD;oDu_y;|j6Ym(mHrAD?+C$l#Esu?3>0qHyeF=T%-xYAR^Aia=|WgwlAQ zA4Tqglz*W1Uk4*(Zk@8}jb#sb$Zz3*b2*~gq;$;9ZypcDWievV4QtInLbu_$CJ!ZT z3P`<)m}kc791_wE*g0g6@PpeF)LVw@bCR2quz}=p#83sLW5+H#xhW`dAXyyI7R9Wq zNSiTa?FYGr-2NuG*8m#ihYoR3I{$J192fZ+9Gq+rdk`p1!w(m8QIc#RWgj9-QQFtc ze8@>ba|^s~5b5w+3hSw7Vw@DTa3DDfR4q_xkOJ%+3eR^%w9+YE*8*`3xmgNaO;J5; z$aM`kPk~$mZTnH0idFw}P>_nj!%2u#OzCJ6uQdndsTgU0hB0N=%uH`&C%+E^su!X` zCsshtGBGtVGcf|4WnyV$Y-t4UFCZOQL2w;OSRFf2i#LoAt9mFcZbQx3DJgCtWeTFv zKxr+#cpn?(&Ovl3DQ#`;PoT&-kO>X~Z5R@on}G(b6trO=txd$ndP<jYg#KnBztsS) z84)WuC`~sjKQdF?Eudb=C?8-VKV-l)5h7$L?e=GFVWJ>pAT3Qqzn{_!Wwr?98giF= zLP{INvOTJ}hN4aWgTyW1Ie4Th5o73zwBCvE7e-2}L`09D(xD>bD~uErdY}s05Ol~m zw9QLt<K?Xe$Tj4)>%gTRXeJCcF-PGg0(##WD5)+XfrHrdg*9+UsGlaAgPcQd?uOJ) zNTEY%_BK!kIfv}Hf^;R3ayW%o%2s~<Z)insX#<L?Xhdg;(x8be{BLMQZr2Su`;I7Y zDD9pU_xv-oB758lT=<zIP0Uf&ANXDJ*U*aWsdq>YM;a)iuy;b4YrsJR>YhMLKT3zK zaug^D8}Q^4XxM5{xCRn7h`BIIds2DN|4@*K!L2?-{>EAolQ0r|VC5eQ5;3^20Tsls z;Rg!OZ@xE`lAr-+Da3|OO5-Ur@iztW1Wv<<;l+V<47hcUa15o1hWGd{Lo0Gmiicz; zq&k7ZH1oFiC;5(n%()|-`Ay-#>+uWU4Xqr>ZP<XalVLPyIFCB>aUtu!li!d4CtyVD zoYF-;M~{6azaasMCZzg_((DxCNr7t&A;UF@{6y)XM49<l3Q8LAs4HT#0;R*_Kf6AW zpPxV}7@UBi6HXMaVR_;PatpZ)bMW9eqTZ%7mM%$A>=?wz5v2*(&hS0?SsPpgBNiG_ zIx85UOMzR!RTE-XkkTH)n#J!7t;oNH5|n-oqY-@sN^8o-)^`+_dWfo$(yVP}{)YUl z4UQ;K-2`ovQyNn&XP=TEG|+|Ah{d@S7Bn_KPbnyYL8&GhX{yD-#Mp?7)6hZ>yh+#q zyi1sb(Gh9&$CRXD$nXeaGJ(=GJUi$i1ziMiGXm5_fbJK=Y)61+zlh#K{qpStLo13` z%OU#RMxfK<xj4-9j6fT@A#p`Q|4BCF0VQz-89FkA?ddi)HncQ`oNZ!ZVPRsy#b^df zJVXahh|B{+D;4nR_3@c``K2ZLE{P?H@&2VH@tJugp!vu6%y?t)VJ6TX8>Qnz{F?VD z$T;8{8d1Jc>KNXTyA(JE+_Oe3`NG^rLs~+0xpIest^jmZC*sI2N*fG+RPT`AU;y{5 z5$#>7xP{#QBcv~YXuVMCm|sb^$qyKCnlXtLqE3J9EGq?00oTt))N%^jog3tb3V1W4 z5&W<fO0zX%7zJ(t2Mf~JJhr--gz}yuw}5+Uh~kdY4oU2eYZMfB;7$Od9;7rIJ?Fhf zL30i=VN11)#QyIx`KcGynuFGm6t*m<7GI{I^#m#G;Ag%Vm|Gecb8(tj>VX?823$-= zrd&*h2E?C!7L$J2&`Js8^fQovV|-C+I;d?BAC1_)j?MQZG-Wr%U7{dWKw7ehF(_<~ zAtCRA9YcQK5bhe-*oK*rkvSKqp%E-HNIVQkAoddZi4<I{A=WQp_6$jDtXQA9KzU;Y zF)Kl7$tGNXfr4ZM8J<Ioh*8+YkNtR_V#gph;9>U0NQ<dC<>x4mDWp1xvK=3)+~+6= z8&FAW2<kXPi(1UEA#FK|bK6<+$2UNZi3W94q2n7A7B-t3&Qj7bgrs4kSfP6G#vD@v z3u7}bPD2AdV+#`lLr6CjQ4SM4^wQ1ttf7?&sFcTd!&y>kad1guNh+cuKw8j6;i87) zFV9e%Qs7qs8k(7b&OS5NGdD7|Ff=9gWJiVIGlo{GxVp(mzDJzZL}~NrsM;9{+G<qp ze^KTbaO)n?8N{r5NXxXjZKui4wBUe28kEGWct|T<&!wNBAeBNo?TA_#b443zt}*60 zK}i_`aSmc~lhSp(wy%#<;v7gD-;7#4)OhCO<TszdJuyVX5?c!aQMwXbj(_**K}rG! z(y&AnwUn;poj2nk1ziqszYug58+fOJfr+_=u>}{0p`Mw6p@o47sYR_~zyaclT0~Ej zQt!_W*hhi)!6^geeJZu`CrsHxeli8ONe~TL%+igtq898HvX{?+NAi(oFew~K*_F79 z{D1+EyCKGEDGeBB%N>+tU{Ev}MkAH`7-znbR_>c$-%g2hAmzR}eEf~VmMq_?Z4@+J z!1)PL(qbJ8B%!EXm%NpN3K~+<B6>eqT|>f%0@yW_4P+yRCMiwCUbS1u&rsl*7-MSH z%_-fR$#)94O@~M^6sBIVQ^+6mfizkWbGukuyCkIF5ZBEVbl)NA7u4Q`RL*8*W=6)K zGoTGEjm!;5J_CAd?<V3ZXQatw3O6FS&fZ8t<qR1PMO;UQ*}x{PLR@)fJq1M@cnl74 zV<x5fH}vs33W_#JLPg|YY)x7cO13(SwdChuaP5q^>KdC<NXRn(R;;EVT%cJdRtUP2 zhSK#1d0ML}$iR@Q8MKfQ+PtK6Tp?i5D)QqAoPZHMd8)XD?B*r7p@5jyrLfpfJHL{G zoDCl0Kw3FS*&yjxmz5MGV8{{?#B3^s0kdG~a`J~;AmuNjZl-j=_VvAG6x0UbHVbIP z7M8U!j$tLO*?nW;GRm9Xh#^C4#U2SI@VxTH6r>tRbzq3-15h|wm49&|`Q;3FNCDAv zq%dIieVI=|)`ldSSRv??17>wVS_@*e1jsSuF8Gg)Hv%onf;oo5fRQ^nkAf5fDQ67f zT>xr0hTKhz-~@wcKu|g&)Hq`v`DGrsI|3RIg3b$J){>-^GBRniDTpOV0|HTJQ&<}u z_%@va$3O}hr0M`$=YWKkUh+;#Tmxz8nZb)ZQ;bm<@D*T0FQ!y*oJM|;2Oca#oYzZf z-@xGCRPs|Wq}E0ZBT|^N|DB&oL7IV#l_4r7YB+}MHYYfi5OedGS(~)V;2+CmN*WN5 zIbXygC<=qd?&U=C(=VjdgIALjIz>*epMrKCB>hq?$?UW0rJ(%)tD2~@;xl)45BYHf zZpt8rrZA`XNUNCETXj>CdLcEF8Eh%Lxut=j3211_)XdV*!kE-~qXng1#0^a$<`XGQ zqxZIVk{=b|4kOi4DA*~K4J#wuLg^T{OKAuB;Q}h{qp3H<?aS9rzFWXW8r6Csau-`E zC`G^{FNTP7i7`%PCoSuKn$=8&O)-eg1{7u`xlK(Jgbi%;5Zd0Ru!Z|Cq=}MJ4U~Yv zOVps_b<}VT+07Mj3MSCpCSimjJF}63h8(27h!~5fFl1Kf)KTCVNYe=sG!!P_TKj5B z5)GtZgjmpl5j3RbZt0tql(+^`F(Y<{P#QLjrR0~v;3f;A!HwCmCoN<SIOI@17>|f2 zLt|qzQ!Wl;JwxzjK+x7ZMiTOqvvC$B@dU|Gh(egsid!`xo&1Ob7d41!Kg=!Sq(xNk zqBII}HzWmHBC1P_Q#wd<P22HgDpZ$L>!|ImPb5F0KowLpqP0h1<_0^3?D`2jg@YJD zq%b?V?}#HmSilV|Jlj}EOE8K{V<=9(h#4FT!-eTU1O=@t@Q{ZAte0kBY-w&p(T1WG zn<I$WP=qLBDNLZXr$Z?zV?nva5R_e@-FFJ3LN_Rg{3Hsl3*j+gY+?rLFB|F^np#+x zlf0yT`v*Vb`pbw;2j=7*X_;ceVs9#xqNdcEaQJ)Ai~P6%=L$s0MPVYP$SL5Gi)sZr z*ePTe=&)gpV(=yuV<Q7|Q!vZS+``C=ixE7k0~=2#xCiCiT2DhOO+tH6QW8rNohnOG z4Pkq#Da@jOl{_g-76$OOBbY5R(sInDSQknPFGw>8(I~^5;2_O8VK40{uGP$8HHoQ- ziJ>KEow$XOk-3E#spEazJMD-ozYv=YDNGn4?lzP}2BeRNXsuINWbEU%p`cO+Rcq9% z)x1?L$j>t1@o@uqp^nk@C2dCK<2`c<oq}j~QNt-@m!#lQ9kHT_!nFG#*_48u3ra19 zpgrEu5jJW#hWr(_kf9r-D<LuV6_8e{t=ef!LD;~{Sn4zor!F!gKmCFSLJ<K&VKjlA zLVh$sX4etr9k$#=!c_m<L_-S7J8;NQZA9zeaXs=QiMoB>o(2k>0&cA#ie3uS%YAuW z@<RnYd_*;;T>Gm*zEeP@9jLH_E)v9?<0q|nvF=i#q}c!|Ul7Y&DU2kAYGn$t5jgE4 z3K)zg3~3?rPe-2ekU?~OC=8j*AF>pL3@j^AsfP5Hq9kNMDHvQ|L+79<44K4hl9a9` zGKdv|bO{a3EX~cpT|z?>QxgL&M(_qvqPv6*mn2B&5*i3WqXQ$MlGdo)H(iX9=zug> z;Ai$3nOm3}f`Y)(*x10#lGKjRzGPA2IzEVQDCS}^(lTn#Lm^814=KWoVI4mcLnAYA z$IsZp(A*px|D;@HHffOnasH=T<9U&x00j+1c)t(2fEZh5B%vKdnPbo!W!M};!UPuB zF=TgfVB?v1N-0tUhHSUMdZBrsjA>wGXkcs!VwoG57#otDMZYQX<2h9maa@RHtWYJ$ z76TJwGtj8IrKO&wsgbFfIa--OaHPz(m&4FXkI+b&i@%?1ynlRVyb<WoE+J^JQ&=|E zEoGsg+5|WIs8)sl2xX?gEudNx)b4|Z3x!!jhl`N{w}5;9h}kF#-7?Ylivjs}@<6ID zL`RN7$J{>k&Vc+YdB7bxgIFO*J~cEkG&Be0AQRBJJ|vGJzO=Ywfcx}YL_W2MrPA2) z)Lmx{$iAov*7(L#kC0GwgPlV5Wkiq_wp2@?_svgGkU-(<ARv334U7y7%uuH&4M{um za6|6_1Hxw>BH9-iMJQ>_tACv<DDXX`b%mHO#mJtdIi}gI-++Si@FA|DT47<T(@H^M z0j^!(6Q%~n21W+p;}?z1jm^!Bxfo4B3wDU^d(2&1Z(yZ@QOSY?!t;w#qRnFCGvf`x zRV$=)GB7r=1eZ<*5Ef|q%b56+H<hiTu-R8qo*AE<pI1_ppOceXq@R+XmkKQn4Gay8 z&<^`F<YKfW-o_}^U?S4JF|718Fg7wZ<Ki?m&@%u7P-v2}{3&L!zk!u9%BgDcL7)ao zUJ0n|jW#lljW+<Fu!pP2BQ0q!N_3+*pBcmo<$x+20|Rp-6GQOH>qZ7976#y%O{8uN z!6w^fEo}oUMNr~FIbg3CbevwaAt)(9j$MZ)C38aq@Jy<avAKmY*xN{!5j<~dACC%A z6`gskP&CAMMrM`<6hy6tk+^}C0CLm@f>w3MP{r`a$wCHJg2;xufKy2>#B>T%WlxuY zft3=9>8PpF2$U)fVug}%TSrQ_C4<+%N&?xs%)EFoHwbjOD0QME>Np2RbQn`Bt_xSQ zV;F7{E0h8W9*l%!3|a;ND|88_+=WSu23C^b@PJ-W01F<UXhU<jEfmIicQ7tnf}%m! z3PQ8IsfD=(7pIYlo~eP6sevK5yhB7a!7QJ@`-47~EDtIJ93i!bIk@(qPCA}_`@KHl zbWF8WuvqY&K6;Kf#2Y_|kRzB1Sdw1rV^0M^;8Z}J5bHVnoQM#kS{Z4O^&BI_5Gfj4 zz9FG`zvTT>93kcd-aw8kcak<R`ljn1Zd=S^h491+39+vzaF>X9F`=(@X1FT=aP>@d z33oi<mOicuz=$4}7}LWNM1??MQEl-2Dvl%<1inWO+Fmj+H8w=;0~(Oj6#f{0MW1jN z5aBC~>`GcjVzar3!&g4w3Wz$*fZE#q7$uGcyo{#M3t+=>)zlX7G=^adX}RU7#10&} z#U~nnfkQ&!sav_7hyuqHZ-GOCbrn*Zh_KF(TJ5!<!i^ZIzzCiSD9oNV0UK~6<e+Fn zGrYEtP$S^Ag=%T-{>fE1)0zo9L1P3MX$ks5^fVln1c7=?xH=i6St9*+0!~W|sFkkT zH}+wqE1DXPNKP1q3TdS;*yXs2E<{XI!xpSPJs;2olGKUmw0Ye)W7?2fX%?R)X0bxe zjF7IMiKUqdgk@@IN%EZ5J^gNdD@#!42xWi-ZTQPA*f&1CD6ujgGH_xH8aRRUqM$8Y zjO<C;nJ!Yt8gZ9r#&~N063Vla=PHP(0T8W0jFd=PaqwzQ8jeKb1DXJXrbHTAf=G!p zw1h6fg=jp}&?lydJY`^M30^y5U|?WD`aE%{WeS;j3Njc8onSFAvOt?)F(Pe(rF?o6 z5v^-eYL!>~Q_OIr_aIQo3-y(ug{c7-hozp0ftiJw1*wBO`kNK>tyD3JE06$qb^tV( z4VxZ-4ksCym|JpjS{mz_Sb`7RV?@ct1c#H-XKc{JGMoe{2*D%BCg9;DSaED%Y66{& zFfg|STZJ@)OwhKeIn(vXD~?T3U14kvURhyaU;r9GC(+Lp=cUPXg$39Z6_EPKz}yJt z2vbY+t#br}Lg%xf9^us%pqO{fgRizIh1zUn1R2LSH?}YXS4E^m!mapUx>njClkwGw zupLpLv<)4BF)%bknHd62MIq`Zf<BI`x};0EH*6Lwgz%*?$iGJBhQ<aY`?7}hI4QnF zvewW7ytdHD$kfstebo*@Pdff-CB<4(gl`Rvz}6a?8d+MPpQ%mI+AaFgq*!YlD^v#x zTF{J}i3ONtY-VI`4jNTwBw==O?Imqp!WTTjk`ib>4wQkwH~qmP+0X=>lnu?zOif6h z|9a!hM2fdfVuiB7o&}W~u)J#kx?aQtR8qr6+X#lX3DY$lEQKa0OF_rrA%!N)j|P_D zFg7%_umG)A1s9t{Tjo)-UWbJ2YJ^Bp2BzSkH83+XHX%9x?7uLb6l)D*g{omeYY3{4 zEcGlcEy+m9MeQ@StqedxiLZ15$wPzM43wmVQbCp*S{fUhLaHZAGcyYVv=wj!X9yS= z7#OUiQ<6$cO7ioH^^@~Tj11zF6N`!}<Kqp$)+B+>=`gS~HnT7U?K(6yF(YHwG}sym ztk&ek8weGHXNL?d&CLxUo8&DG%uPtIYr(dtg8~XAAX8Fv5-XGQO3*w8vea15(%jM* zG$CyQiaiq}j62Q<<_uyjg{*LZS!!fvY7QP}wY0P}GbXvrCDu~Nibc4k#%2~UOF_%Y zNo+(AYbm77i?GxbVJYd|Sz;}P6hBCoLR#9O97#J%Ax*RbaOyR;Ff<1*BeBpkHM9h` zgh?y)z&^!XCxf1PLHVT|l=VS1nSlWk3)DCvp(X>{r;CwW&;|-zgTPBmple@Sp+giP zyScaw4G>FM%s^Wbh%Vv5c9S(oVF@mj8gaS<Wdw`3%xg+043bKV-HK9Eo%2c{)6I|s z4x2GCK#c_Oc74(U0yEOlA|WL>IY$WE-2w+a2O{9XEeO)=!|ZOM+m{lYl8DPfGGdyb zg{ehF`9<(nFj|OOf>xZ7=0k#Q{epOd_{_X`1JJk)WQPqXTn$juv^hylBygI>tbNde zwm3BhY-u4<#zl%vGg?_`2-``7#h;|D=Oe+NMtCd*Z(t!UR*4H-V?36Uky(hd)C7;E z;D#tId}@l{Qp8jmapfR!J~e}%4hC8_32AN{8k!oS4^$J^^mHcbME|tRoRZX{)Rf@F z+=3kNt+~;L;E@_gqYAX+)KJgZ)WXcr0^C|PGqJP;r!S;I0^;1`M6P?lBj^a{80Z-q zS(rgPdKTsu7~N_bJI5R}pbv3QAEd6NMr^qhWu|A8xMddUr)3s}=4F<|8|vp5q!uNX zWR|5u`N2W)1fr{#5!8{ON_07s?I5K10=b7u@kPFSkRmJ!d(}WjYf_*%IVaxJ-zn5B zJ~OYlMBiVCnr5dYqL?kgD8VSf0D%fj3QP)&3XBR23JgMfm^nm*wli~a`}#WjD&!X_ z1o-%HaXaVaCub{Y_<B@na&ZS_Bo?PC1ZQNXm2mO7WR_*7q$)V)m*$nE7I6vqmgbaX z7UWdIMff0k4HO_MxuASQG`<lU-x!T=g2p#R<D0?wTmkvzsYMDd`Q>?BTw%${nRz7& z8sVB?c4BD-h%Gdmk&6Z7Kcp6fAs35ZeqJi5OU_{iU+Zng#c06AWNN_0WM~9pG8%wL zL=ynQGKG#&S(uoZn@Vs>FiUXaf(l|9%%<xU*4bJ~B<Ezu8|s6jIyW~tH$E|?3>@*0 zNWn!aFeor7FeorWqYM;n5Dbb$CZh&ME(Re6DF&tDqSS)?qLTOmaA@eJW#*(RfLE9X z6y+yFMB|I{OG{Ey^oj~f6m$!cFr<r1b8{1mDq+eBk`#1{3Mw#^!A+^q1+_K+MZJCx literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_power_summary_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_power_summary_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..1764c75c06d354dab34c49f7c2a595e9372b3a9e GIT binary patch literal 867 zcmd;LGcqtV(leRFTosUCo?4`kn3tGSS)5s{kXDqRtKgYikdvC5npcvVqL7zbl9O3n zqNm`PT#{LqSyHKxl3J8mmYM=lnw+0kTvC*nnO9P*kd~Q~TCAf`oS9pilUS0Op9c|9 z$S+bTOHD4xFUm<RE{0pBx0LPLj0U@-JfBWJu%61x!0^D~snFe^GqziW85k6tS|yE` zDy*+cGB7kaER|n2Z?e@_c?O0C`=hGsdo!%2s)5)~wHX)~7`Ezw$W|kUy&Tq8jTjgh z9F|(xaY);JwP0W<a5`!UVo$XKv7cIjRBp8fkw@)7<WzeQ`P2nOZgmBbt!^OlDwu5b z2D8EB(m)XVE0{bQ1Y%DO29Zm{K;&02c{Civo*DrnpMuG)ksx+!6o|YECYMHo*k8fq z(HIbWYAlF+8oN$mo$b~*28LBmt??j{t6*|z0*L(;Odd@Hv8N`1$fsa(Ych!4ngSxP zg2|(4AokRB5cw2LZp{F(mu7*;uVC_M0f;@d5JWyL1Cd+HL1b$Mh`b6WzgB|SN2@?& zYwesB=Ny+dGj3X)<8-u>@sVeb<I{e||NrajTc<JFi-kBWox=!HcxVwLWB7v=j0_Fy z85s`kW@PrsFMo89k;Nl3J!8`$Mgf=9;>`3sh0J1wqWsd5)Rd+Z5V=by7}Y|HQ;QUe z3sRFa(=t<26ms*6QWZ)v67v)+O;r{0(-e|(@{_ZR7o7te`so~_nJ-+0IYdQfUO{O| zu|i@|szPpNadBo|x<V<Ep~>JhdFTown{R4LW@+xEtBiVRmVy%~*is{yktL}`d5Jj+ tdHE@+#gA@5oV)2Zql`;xS!QyoLT-LaY7WHCfTH}A(qvG&Z+OVa0010~1t|ak literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_route_status.pb b/proj/AudioProc.runs/impl_1/audioProc_route_status.pb new file mode 100644 index 0000000000000000000000000000000000000000..2f89c99a5625a89c961f91d8e43f2816c02cb305 GIT binary patch literal 44 zcmd;LGcqtV(leRFEE1GoT9T>|T#{H)TC5OUnwy(gRC$1h;eilCgXBTZ1@a648d?p4 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_route_status.rpt b/proj/AudioProc.runs/impl_1/audioProc_route_status.rpt new file mode 100644 index 0000000..101f766 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_route_status.rpt @@ -0,0 +1,11 @@ +Design Route Status + : # nets : + ------------------------------------------- : ----------- : + # of logical nets.......................... : 1775 : + # of nets not needing routing.......... : 558 : + # of internally routed nets........ : 558 : + # of routable nets..................... : 1217 : + # of fully routed nets............. : 1217 : + # of nets with routing errors.......... : 0 : + ------------------------------------------- : ----------- : + diff --git a/proj/AudioProc.runs/impl_1/audioProc_routed.dcp b/proj/AudioProc.runs/impl_1/audioProc_routed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..afb846f886d951e0b0f627cef11f2510b904d342 GIT binary patch literal 617621 zcmWIWW@Zs#U|`^2SeCLiYO_#-KsFNtLoo*f13LpJLrQXiUPW$BXb39<^NlsS8GEOB z7tb~jX}$h+{e=x#;;J)tY@1v-iLoU)D(>*%vM{N{C$rd;-E6Y{|DMF+Kg-GJ(=u+e zUC(QZOP6q;dB^u#<VuSu=h?iLg&LgY+nZ-@Q=WZ%j#?z=wB@sOxDR+4oyueJ+#y>t zYvsa3r<C?-tEvwit1eQmnXLAB_3DiUA4BH1FYBD=ntL^#ajVxQi7iGB#v7CjH+&F0 zVI<+VUjO*@OQIVR7*agt_9n!fZ(Jz0r6)D$@r<TlEA{veKL7Y4d&-pfD9yCg)z&#` z5!04z>Zt2mws`&FZK_SDy9DRYNm<YB;awQ0GFyD%+V#!Vcip`2eiq#<>aD-E;n`cJ zb&pzh^=wm{A!6ax88r1u!IiAtxr?f^OIKaGnPsAV^5GT7=#3xR0w-Tl$-RENPyB*! z;m)Nw|HJJ4gP59<|A}<IlU!EZ|3@LDwcgs<HPSWdu8eGN^AkxMN#A9ko__f><z)O4 zRulUpZyrtQf1))nX|3*N?o)<`t2gc}{V)0C<+_zNC5D~5t;PJ}x_X{y+I>2BEx>u# zqnWlgO_5b1{2$w9UrC(v)NR$`iVcSy1dr-GQ~$|*^s2SU#v2dkd=R`=cD?Szk3Ci< z&tlCxx7U2zdTVylk-a}1Uf${!`n>b*(PsWomaj_}zC018vv;QA@z<WlYNcUwSaaX4 zxyF3z@e7@v=Pi!>ZF4&tKbtmr#YcSnR-oo>Ec^Dj^%mb>6>4X%g`X9k(I#J0!4x^| z)4Ybn>^SiWDbmNDe@{4nF5*n(%6-54dVdM5aO~L6b;>eQ`-XAE{z~@KmzrCd=RSM= zsbN}M^PdZwMArYlCj9;D@0I8D;_B7&*qiMU33F-6)~M%fI&I4s85s65GcX7+a55y8 zrex*^6y+z!7nhVK>6L*~==C+a8MTvq^KTpQw7vf)YLnM6eaSg@^DkFa+eN+G6*Afr zr#DTU;kH6aa?%`=|8<Kd9lMmuzW<u@<n<aC4=bL@tuA_1D*oWv?dvzEr(H4m;GUU! zFT27ZiQ#+H;aS2qYfetKo*)x)c+Z6F9R*C^KQ*{bXmMAa)5<D4Md81_a#__aP5v$U zhdIB6mCbsv;t*fdYbFmNDOt8u-ki`sDjPmOIXK_v#>D>CgHdO>PUW0rJmj{xqPe%W zeQoK74PJNGnJiS#xX9iV5h<Z9d|I$>a`3HvP21-Rm)z5{S}<j$l3|s@Va20USLmN? zes#&REa>Lc&5tgBUmky2YSFsMdXvsgw!3Z+|8I|EuU!3~wFiGDWPNb2n<b^y@!s%o zOX?}3<eh6BC+AG+o9s8qZ}PcG$7J+NL^@3uRNUnLJ55aEs5ehram1skkIDqi<STC- zz50B&MCh`&Oy#?EGGZ?*T2SeD-Zs|%cKeSjwm*~i<rPUhH#nI%>#$CHG}~=fwSAj~ zCMWlw+<g4Wt>jN{4K1~kE2S42Pne+^m$xrpbH2mOa#>f&XN^-Pn#|nY)noHN>nd90 z_D+^z&u3&{2xnnn5XKU@87Ytw2pqqY<NXgAh#bBDUGv>ywY<j86>m7%10Mx6&5($n zwr=f(faptQC-&`q+w;dW>fWu-*5AL_+&O0Wr0BB7wiwA5_wIjvyk+vT4+kxTcWck! zm~&u4@eJ$cHp{CaxtgAfepFmIyW^l7&q*;(A5I_1Fd?^+(*{?cH(9I}3F7rR_P9{& z>a1<cpX3^9bzeX38CSOZZ=8`%mrmCTgEJ-#S%;qZc=GtTGZ?SriD|H9w9$#<l3)<t zz<=W3K^qn~#R=^Pc8fnbTD<-8OuO2um6zNq8vk*g$aL7x_hN5Do$!U<jDN4KF7}F1 zjFjdtocia;)K9|Ur~P9L?F+BkxFp{z`=;3B#PRTx^yjVimz-P*GLN5)Z#eF7;oyV* z73ZE7KV2vmw{5i_Uv=JlkB6ehBD13cFDzT<P&c=ZC8Z}RG*>6jC9<&Pda7!<+5SnB zf>kytpO%|0?Q)8@c=k$Nk<-c7Gbhw;sI6d7Po2TGIBS-xXspr0uJnugsJYPi-m==g z3=9lA7|<iCAh9Sh7hY9>B8qVbgZux8oQg0*3s)xvFi0^6QwoU&6MwZ`+V+2^(rb5x zJr}k_=g0f!KDsHE{px-1#d?G6wytuSJ$vWr_N0`_Ty<Z4@b1p{&6?lV#FcHi^;zEh z#rjigJ<o4j^>5L}E7F47JUMOokHn@X>(6tndo_2Xu)E{8TdN9x%-Y}_u-|9#!<|{d zQ@+VYow=rQRyX4Hq*KeHt$Wv3ZA_hK{LC!vV3xc{svPTTC&llVa$Y}FSs%8%$Fnc( zsT``exw?9;g@fuyMg|5+l=PVykWpFeUr?e~lv<FJSP3tM9&<h3#Bo~3+vjAshOv=> z(M97Bk5wTnJhaZ9@eDk1KA6MbH}He+nh*n{AcHL%jLbG0Z3y{L${EP8Qgl+oX(=rU zsm2suUI(LPTs$!$X4gC1p3QPN%gTW2tnWXfAAVqGVEC_!9vi8NMLCsv6)DMZ2kni@ z&3|kr^l#t(qz!xdIR&iC;%?1*KRHRex1cZA!)ta-pu`rJ+jl$`^(~q6L+tN+bLXF` zH+8qQYZi1T=$=%0{*mYT%=C=tm(R9d+-j1??P)XTzT4vhIp4hHA1tF+Iq{vlzH7?2 zKbMbQ-MsGg=MppjrpgZnUCW-Yd-p&fJ;i;OTKJ-(xql6^Hx-A@Pe1zkkL%y`d)9HK z@t=8m;&<J)&Hw)|Wy>k{+6!va(*)Q){@~1M>-fBL)f(vw7yensHdU_mRrRv`aXUw> zf6<Tg)BnlVos~*XdHQVUmaNdf-xBNnwY#(?7npoFxbLgjx}N4~Qg*v@b=fy_{AvI7 z$6937q-H<)C)sOzrG1u77FcuRgrmt!+a(E0POukB^!z*U%bNRTiPgORLl(a*WzM!g zu0N-Kuy%$+{RQa<u_iAspMHDxi{jGmy7lGt-}OHoFIp&VZeMfZ;Df(BGVk;Ig`ZjM z`+t`6-`Bq_-T8hyepDTLYOWqrXZ!G#1!G<8!gQlZ5wTN7+Rv5l{}8BsxJkch63=qu zFLxhj++bUN|JXBuS!N3VlXLcEU;iNeXhXBbGyRHZmdo@impxFiZe6%;yW84pe0o)T z<rg;V|Mjj2+414Eq)X_v)&&{|_&4sDH#JT9vmX01QGT`KS4_`~EXhv&>onozDb739 zB^yI5`GOZQ1U_{L=$Yg<Lsvj3m}_~-(Z-*)!pq|J^$Q$ydXRDV<e8H)v$!fn<aTw| z_cOm`S2GE<?EK>+=UAWGe%DoCYqYYKRG>)5=7WsYAu=8IMv7vOj&3shaKDnxa@jB2 zdAbs=Cktd;z9*hBI<4wE-(u&cNW~CO$87<bJyv?NPoDCU{~vSu+s9K2dJed++3<bl znO)BfmNR}=Ot|LNZ230Pda)R<Dqmi}&qa53aX-vf%Vx=pl-N1tP4E-fg@-RZVyk2q z-tA<b=d$oqv+>ley!)Exr>vHa_;BaMlE=)S16=s`)r6)7KWgGT&U8p)s&cvBHicJG ziQTS>ks3RF`aJC#CjZl1Ql2PusCL4lBV5NgSq$&?I&swTEh;Q9=6jet!C^{GOM+2S z;*(21^aHEd4_5GOKX}-}_Z$a<joaglPaNfmGWTp`FIj$kT*vvyV#bod85;_UEaxWh zE}tAY#qBcZAs+ek!Wj=-OYEiHAHLvOW~h=m!EVXrADr_3ZOLzB)$BJ06lMNpbN5-M z*|Ye!2Cuuun)^Svmu+vDW3lJ(?FVeJbCmq9ypa`iNmFz<e&^VWX&>z7EV-AtJ<!V0 z|B!AR`(*VQtc6m%^2Z!`Hn&%p`5vk-;Qqn7y=hL&oOeYsZ=x1_Q$7~w5i@m?gkRjX zqVVH;J&cr!Z){f-l_)>PbZV{Ts#9EVJXVW_6osysdc^Hr&w?$l*iWDKD|}Edb#zwT zrU!+md4F=>eX(tMgVM#rnp?KA7>F;8@SIfm<xAv5Goyx8D-Cs~?RhXwTAAC?=B>%6 zoq^Nl+^q6{&m6Nb$k~uRMD*n3Y3&oDbNNz#z46`i{ok3XjwN=_O1kTE<TnTuY4@&8 zZDX*tyRUJ<RLSXn<j?nR+vXnrePJ_0(djcMFBa-{sV*vb)T44N$nwh@uh)NEMRoH& zsXTn}jC=d3nu#*oBJ~1$o~}3$@IT@4O_99$hKue_;$AF0r9@}S9WCcBw#yEZIV`OU zpG=dEY>ZNRkW+gi=W^W~@p(0S9*9ZQTu*wuRb$%}<r%9l6`LKpY4|H@fyQKxh$qq^ zGc7AcCeLF2G$}eE>q}48%EENH=hG%#ofK=-&3;;JyAV%uh~MD^o`XDJFByC=>S}E` z{dxC`#XI+$+`um6_G{C<z6H4#zC9H+mC<;$@s570R>Z3plf1u%>D>P1`{iE1?BK08 z@0AJIJ>@l8Wweh?z;4!|Lnl<LO&<Bz?78wmvj0oSiluLEEL+CPX}{1(W9OZO{cdxP zHJw;my?d+Qv=grmJ1MZb2C^(YbFuNL+(pR{-!PBHd18`J7amI2>4{laFC1hQa;ID1 zJnPOi+<SEko0eCniY29fpW4|v>GapNRW<Eb*R~!$bx-6*&*PXwbG#p3OW$JLQN=Ch zq<4SytKj5q_bkO;PxyG8ZEb3e%IaRDT}t6WA8wv{+;#ek`jQi7TKOA#Z~S6z_W8Zo ze(OZmL;oyHcKkG&cX{26EBps%H`Lj@J+xt?-{pm(%e;fVxQxP7dP{{xKN@fUzw1$0 zxAXlC;nps#?ZWq!+m)^VseUiaJ{kR8kX_Ht^A&@3k3rhuk2{Xf-@Iw>aa|?1b+eMD zuhH(+46MAn+UseV|HiI2H5DbM-qkUN-5jUIwo5H34`kZ$ELi4m$#Z^>MTsx`OZwE0 z7W|*Eq(In7W|^Z2r@O$0CnrU>%UsyVKKDY!hA&S|SXSD9@DhwW#LYMPOXRkSFJ}!) z&n|tmVwLoonAUUiR)%QKy{)(8$~otITR3%XKjykzRABe|CCA=vlxdjOTyR!3qx49Z z!u%5EnJa8~e|=`x7YWgP`Qi4PCw}6KRJS||*u?RCmiP6UdMgijt;(HR>b9V7o18({ zi?%2om8_yQmrb+SbZQR#ar-&z<c+!efAqIbJ$?W1w<DcNck-B{SmLhT*tBi7x$xHY zdZxQVBd6FdQ7@Cedj7|+<Fc}M#3q&c6r5fBgXexp(LJVjTVAsLjoW_x_TOWT)3y}+ z&}Di3o%i~lNe<KY%zrljg|*b?-xpi`&0f5C8uPm;@4v*0{0pxisdDSDx7y(I@x=Vp zSO1Uyz5jW~|HJi+s4az!&r`~iBp4XF*clmkksC0mZG^eG`Hw9o9lw8nxxj<!LiRg8 zJ}1j2#p&>MO?}?}&B}kaG!M)6xAS&bZ#12DdG5i4eZMD8N}4Qk^~wHYXOcE<o{={D zYjEIK{h*~mcCkxu7ESb>zS_R&b)vnk)m{r9|Mh)#Z1sKi=jSbxFOw5Lf4Szl_l=*s zPHdVx+p$V^`N!O%Uxn#Y{~l>yGwt&HWvi#3%rS}o`^8KrzOVdPcjdmQzO>Jw`-)9# z|0?gF?Ype|OVO0|%TCXaSAU!kdFI3C^VU!6@BX`#^Qn>b^z|G|d0T(`KhF~keEiza z)&E`pVEV_?MV52dUpi?r|F7Bqul7Yhr9Q}erhh)){owpn`I}!3@1I;3AaSy3`OTO= z$-idlPFu~tJiPh#Yz6t+*<t_7EoFV}c=#V5F>qdel`Bs!z4Bu)<NxOxKXR?+>$&?o zHh!tx$P?CodBFw?4IjR?%lY=~hAA-~=6Qm9p4{0KYB0O_@sF1HWsk42XMF3h`R#x3 zbj_ZMx@Z6Q?cjOz{8Pf72g;vw4CkMDwx4zNp+lY%&OWnD345MD<LH0U_~cgKr%(Qr ze|oV0hv}@oWBo_p|Mxfeb!NKQcXe~mKOgJa7aN-YJ)7~dJiJ?{VpiR3JJv_XcV0fa z{@J6TZu_-*W-M1#9UX(e{PExDJ!{d`SN6Kc;+tPTigFTuH&HDl?MJ4O^!g@y_QL-* zc6L7O%fk<D5S~-FXJ6;~Nfk3##LNGl?!O**q&{P>TGPLymf73&{r~ZIoBdX)UZ@%I zTlU!kx3k@cS$5x)G-SCIQ*)Tdj&FBY|Nke~{$2X_RsYP<9tpFzV&!*r(l#93(vfAp zBi-~zrWL1Sa^}QKX>Zof-YA%%qx<Yu&)(t)t~)pQGIVsSZujgh-@PgJLWKRq|M%XW z`sR?FnRq|#4Ku$f-?4W|$J*X6kXQ7z-(cBs>LM3kT-a*X8B^M}tjI6^$hdsP&IoT; zzPxq67Ign*`E%s#4HlDY{s-RgmaJx!daeFIeOb=Zyf*?MF?*w<7j|vjzF=b=)4z=m z4;h)Z=zX60&)F<G^P}7><*IfA*^7rtR+s**-6m!4w^2aqBGb0BhuitgtNzIr7r1nP zJ0@cv@J@DJPh--p`FAYlU1G2Q`>TIJ=`Tax-J1R@)*bKvuk+6~ZQJ}E^=Epw#U~n^ z@Bh>CH+lJ0hGjn|&R<b~$hc_t+JtHHKRJJ;FVoqdraj|{dtK7MotpXUZgM^QA*lQ8 zcFx|CDCUH&`<3i(UtHM2JiB?B#M*1eyAP{)q*Z=1`Ncf@V)%po&kHpTDx<=iS?^z5 zI{9N1zh2q;OVZQS*3A8+68OT&l<Dyz&E~nU!#HLcUoV=Kf51v+&0Mv&>zKB&Z0_Ro zb$^rcT_Dn~?w8ijoPZx|_O4&hX(%D>TmB}8|3cOo_02!qa`(sd|KIg2+bHPg4~w4u zWuayJ9B;7w*jFpH_FB!WT(y53&Wk)}$-d+(y1D&i%HxeI_FUX?oKOGv-|ph61x5!M zGOakyUaV#R`|8q{)mN=N_57I&7M6E9rNxRC-YJb1?VtQSa#xM-@nFxWh}|8*fjecE zC`!yRKl_HoDyB&HU#Rk(%hyA?LUeSTu0Gvxf4yPXM2V&Pta}#+q#30?Jfe1rXYrS% z%RVx4^KzTMF$=UyQ?_M)l)2aExO+m*y(?SZ9hAS{Vk0==&vCB*vC@BzA5U!A_r=7H z;joY0#68Dvwf-*I!TG{2{*+aZ!}qmu%Y&wLvgN;8#n_c7q39d+wxv)<pi#j1|I)pd z6DJwj^#<@Xb5xroZWp$@Qu`zMkdLa)=dV@^CE5gqE^}Eg^!P7uXlKo;h}>;i|CsqD zs`q`GQ9VU3_+?l1hG*7O&V?%#w_iG2uP@z|9YM+AD#g)<d*UrN=Qd$U|aR=4or zvjwUGH)LEm^|z~bi|1^=^?B*aLY*R|aNpRMzlFjy{B%{dK+ZS$8a9W|a(z|e&TW<} z?_SAIR@fIC-&N)OaPoH5t+#*W@*I2a?f<h)#c0iiHr|t7Ip#g?TA!9Jk!k(-Gw90g zDz`6>tqWGZ@v2h$-l-@t*WC5!%O|p)>kIDR{qSK$A4i^dS?$SJ%vH>*JgcvZ#6M`M zovyaJY*+OZKi?a>mp$cFf4$qpar@<u51KBnJXuwFC-AfEjC1dVf;p{Yc`mIyS@pAE z3COe2uZjY!GyWUSoweru%v$zkn|JTKH0_6ji(iAE@3rq|_A$Twmf^{5#;#j<;F&^K z`RXbYS)L#FkEVT5U15{7b?&vJD#Cj5d-t3=nX~i7>JSg9#*IINg5=gq%*`$S^|kzG zO=hOd``vdhOP^lqvUktY?3{>M`=0xHCRfCI<;*VLyZ`iaZ}0Up9-pkr+_{HSaB5Sk zLfn7JV=ln~IefGF9E;yvzOv+MSG?M0*9-AJ2aH>5XKk5rt!dL^vng8HQxg^!TFvS? zx_I(cj>&gwXN5%OZkygzJlp<bt$l``@AI5z_ilGf?A$q7@U770FQ?N!uf42V7xn1+ zjAia&)pOT<G|toWP1<0YktjF2S*$F2?ZMAULN=ciFQ*o?eU-eiyftxgO=h)f_0F%6 zJb7;;W=mZ3efFx_+E&<P_1j1pFZY?LGkp$O<v0CKZON;Qn5`z|=Tp^o<%o!<&!ZX* zozp+;?%iAR_jUiv#uoOl6PwL^<6}PDn5P`)en{9|BT;IzhVP+LQMKnxt6xUWR&yy^ z$A10OrOi9CeKM|lBota?UoJV-CvyB;dAR?5Yc-uI6TStg%$j1UxbtqzofmO$=cpw5 zG~IGvn9=l{>1l<xXt(#im26LauX;|-h*dw$ZusHO)UEd&(<5iAZF!*Lk{WvT55w#! zH<*s>FnZEjxIH~e^wgpq7Ctxfsu+IFzU6-;>%+dq2am5f7(K-}l{u;Yw!6d~?}OpB zH_diW(m41iFI;AuM9(_bZhxE12~DjNR=s$;;>T(AKT~E1{+@PYrreRrnaaWLdZB`$ zAx4*F&Q0!k>-)y%J40}I;;NsVX`fU>_z&EgeAQf}|9PnW!b<s#kzLG}=UGqI*|%_o zap+$b<Gt+)Le&csCJR*0$XIooUH$H&j?AAC=L<c1rnW!uS#s`Sm1oaK_ai;GT#lal zqA)>b=9BirJ}Kvng#RSW5RBe>W9B2~!uPujHAVXtH53=W=CWTH<niXkqXQzljZc1( z>)PZylXH4`(qnP!%e))DrBtrx<4pl8;ZIJNV)EIvC%oG1roX{tea#;JJCElny?<0I z^tH}u>4Y1($67s~=;Zly?ddjl`&!pD^PY3%{l&Vx%HNm%EI(8KYRQE10=IapibFEo z3*+6R81FATV&Zor^prVYQmV+|0N0)x%}0_B%f&wA-kbHPgt?RN`tK9d&E&ed65Xw~ zHmuiq*Ef0FEAtS=PTQh4De4R}qxOVPD=jv<nr<*tf38hh->e`@m#za-&wmS<zc9=5 z&Hl$u&muH~EM1gV*KAw<i%0GA(wXWEGYd|%t<fp!n_PP}eMON__3s-e&oLN16zE*@ z>E^yy##7$dS}|_?p22$L#NLLy#}9cert0tPN#6E;i%gu`>8TaGc^@}r`V<{JGD}1L zCg0@W51$pTHIZWZx^zzYBgV<bFJ75Wl|Exos3bWpzy4&)Q3HVqY6~8ynCLRAE1vRt zUGUW+_T2Ipr#^_jmOmqz{CDf>m#-#9@9?tE6>Ix)%`f!aPC1rKKfAvFVS8_R+4gko zzEeGchpO1DYYdYv%UEA&wp^OkG)wnOPT;~>x(+(CcHG$bZsOD#w*FJ6t|%6*=W7yV zJ<C=0`qvc~iG63DM(e!UH`ig!f1Z|4s&mVq$#&n2K7D=Tm7d<ty=f6<Cnm<Iu{9R# zd-5sn$`0lN?VYtgH)C8`qZ8L>8qLqGzr+0KQ|Y2Pf>VBrwfgWdT+Dd&ra`RxiJZe~ z^EDSPTxjO+e_Q8ZQn@{{OzPr=3!fSD&(>MYTIse{()Zq!&dnun!-Sa~O!`l{AD7Hs z+H#^uUbnH+{@8{+TfgmeZhGv+-?!^{7o)<=^*m1;x40Cm2RARiqwM_fu=A4r>+CcZ z6kXCNeSewZqQlM4Q>WhPPH18{)fkj_XF21dhnt>j8&^6oP8Bj(xp^*I2iw{28Sf9@ zTfCoN+5Cs4)Y;~Pe^o7J>&xynou6|}{Mhr0HfQ(Qd#`q~yQdlMYRcxa_@#y!=f=H! z7My_zQ70cfD^cGmH>c_I&#v$M>yFp`39l1A+wf#DQ|0uqcaGN=JJ`fu{C92Z>XO7A z7ZQs#ro2#lJ6GoHmOZ6&Bxm@$Nc|Mqs&~3J`I_J44a<!-&3*1_$E=vic%Su>#11LN zt4`~LHr;(X;dQw6sm6!rKI^!LYxx$unXvuLMy{nzJxiuWi9I-~^Q5O*D|yT0<g-6# zZkIE0o^T=HnC$kSQK`40TIX-5eC=#(Aivv8NUCes<82k}nc>PT_LmOr`MOBbsmSnR z)&Z?Uw)=dpzfWDqp44)r&ZO*+w!xxRtoN^KJvCRloagdmu6>fZjP*m=5_!ER$4>gp zS=65S|5wRpjnBCv9?!KGN_ITodamSjr%Slq0smWBH4mK~OyW<T5q+{_>7`FrA~$_h z_P6Z<B}ng7fn7D<{<<F2ez#|lMelEY&g<)!<+(M_*|%w?qDaE0oMm?!7Hyr_Veos- z#%SA!sg6er1g?a;eP+1ZnblsVrnE9Ko6$TY{oXAGUd27{y)7?HDP5Es`s}BGK<~8U z4U;bfapnjW*)N!USw*0pf6lq-TkcsO-(VwhJ0&u*n^oR;pMR&>?<qwR9?!j_)}P@o zIa{(wvVV?b=uY|mi#d1iJj|6j;eI*1Kxo#P#>oPv%f9OWVCcNjb$p*jUd-VK?_*|P zD%=+-oa%Zk@PE~0@33SClX$_p2fzNVJM`w{`&WnOY0b=8{%8Fht%jo>6DEqidfVeX zWn-N2{0`mMFQ@i(*nPa2c3Amr?=g0zvmIZZ-YnUBPTjm&Vb6u=<|T?<%l8OJR2KXV zJ#bb*pkHm}0~M20<5(xxt#9An`t(t_$}vMLb@SJA2d{D7VAWUICeEb3u<LHAP>;L! zn!vOdYlYA6U48HA<dQYZDn1>4{3&nsv4)I&U)~-2THrrJw>ENN)lTDQzh|n%xKBzF z@Ob9^^j)kxGw0&@swPVpB_96T)AaMwHtPh_tfLx_Tw--^u0NKLaXTkx$vU_2SnV_G z!e6Pr{VG{(%EYo*a~AiE-$^0zX;ZI$@vQc@{IRmFvBX4k>*c#ljxMWhHLH`4^emtJ z@K?qXDYi=&k6iaFXaA9B`P5$h;mND<+1ru?IKIne`Q}uy_&Et@76{FXX__oBb#>|X zim2YY*=!%5oc8Y1V~JlSbo|kh<=alZp6c{y`o3PZc&U5B>$6&y1WG7uO`jn9!9nJV zV#B6=Q)a8l@?90r-`n|a#z#hHS-%-`&F1ZzUU5}IpjS=rfyx#Mem|$~t!u+3@hBH) zMIA9+$6jd})3)li`sCCpeLwz-9rat#yQLv_`^MWT%7=589If8n`${i*li`tEl~b)( z-L9o9)(~gPy|ZZ73A4B_vvS@XFcXTt9g!<z5_eoL@3Gk9Jvom<A6LD3Xm*Kd+udWw zZfx$p?a}=`Oj=+1`eV5~<v_h0Gd}6-kL~gntIyq;(f4r2g`CYddp0S|?maR!ZFX(4 zh_ZFB+oPb(XT=P>I&$`Gm0V|f`^~dO5;rUIjbm~;dL!4k#m!*)xm-}uR=KQnV^l@n zuAVzif2J+m*0M3OB5_x$U8jHD0kNt{GmEdDZf$%VIPYBGZyV1uGhaQvv~PXz6)XQo zMgf1LBirL`{5Kf|q&_{nhsX5%<OMRbmCihwDU@il^5jI#gAc`olzP-PEzMrW2A*t; z+HrQB{-##-WfBo0Ne9HLE=?2;{uX*A2+TBoY$ftkU_<M^k|hV7Z06rg%NIF%`dG$i z>%`Z4&dRBGweo&9+E;ilZM9IUtl1sSAKNNt%etp<^GomP$)C0TriIJ44+{>S{xPj` z_FsFEtJyo+T6jO3Rac%+Q{xq%xFPmlS}@<uBXyHIcdW_TytXYT>5jk_&giG})MG7k zc6II8@WqDnwBzN_BU4*%%sG_xXy#9?XReD2Zg}`VD!P}l<i&Sh>6f1ILYp@qovP9z z=Py)zBW1~p10ccFbG+5hkEXCjx#z4--1_cJ!re&)dQNXoByRGroKvTB>OzQlcv#n^ zxm&*d%b3%#Pa^OpW0kSMl8-7b;;))?&-}JKs&D4oa=<ggh{?}Mr0Lp4)o;41E<``? z5WX&T;r#jJk7kk9wS31v?F#LRIbFJ%kJWMe3J$IplAI>lD-M`7q$#`*3w33D+q_`S zv%sAbma8|1IXhm^)tb!qDne&fgno5Mo?{9FtL>|`tB+Vdy0|=W_uRhOvb!R8zMiPC zOn<G7`=6g_HIuDh&sbr;U1L#}LXocT`kwS%=1+qXSU1aXCS5vmgZ1*p8<A#l-<I8R zT;11MHF+A}4MY76uG-s*y!=nRI-47~NzZxOV+Xe+v!v8LERx1^H}23+z8LG3sB^5b zXWyp<+B(O${%fq4pE6&l=WyQL{ujU5@6Gayc~}=Bscrx5Rb7i@ZL0nBh#P|c0_`{> z_qqwWmD)GWJ>-=1ncMoY=!qFGd#1`;m)bAtxpGfB>=?I?YHR(J^9j>pKm9DL`mAYN zsp9cc`{_;=P2;(nE_gWZ{FgJuMrg^GsUKr@ZR5OA;gR?En9K3mpR7F!i`0MFzWB%T zdw=T@HHETE+7nDJdv;rIuK4ZxUG2;Z{l|qlPd0t*|Ml?l>P>|&>hAU@Oy51>7SqvF z6`V$CR*x4X@Z2u7Kh(3&XrA}=y2;Z2-<<484L<vD>$!*?X35%Ao9SmZ{(ODRUMu<G z@rS0Xe+#C4nfg(t`6k2n^ZSly2+ebHGP|!gmAz@gzMy%+0!Eh=?w1sOmJF^`V3DlM zK32rH>UfOJ>-!E}@9Ne}I=SMV58FNmNx|Klb`&g%>^~K0vH8)O-@?zoO#L3ya4}tv zOL@r)Wxs2!L9c$VO_DkBLi_PfgZ=uqK!LS1sB78LUGj-;`I7t+HP04Rxtx0SBu#Rc z@<HYa9k)1+^Kr^Gq*$d)+$E*Z-Kel+{ha4#wjO<S%+c$x_R7FD85h@B3)`@E?lFCA z$!=*pck>Qy-`@grE{5MLZd$#xhD(X>jf<Cn)D$6*n$j1R%#y~v8@;NXn!OhF+>81; z=kRZVWn1>?-(DtvS6<4GO`?KLsPgsgV#c5}tJFewx!N_sV#<zQ@sj5aD-NBpRheHO zGGFM*Tn@QfmwQq_WF9Am9+bZFu}e{5-g=wo44>uB->mzPQuNuT)>i1qm#HsB-bM$U zS*AX@)aT8~6qlUjl;dxjXH@Spe|ks`oLYZ*l?rjC1xNbJ3V&U;K1ZGHa<QD*Q|1Y; zelB5(=wtj@lWJusR_$^p<Y(90_EX_K2Y>7_?X_z9K4Iadf{itLD}U>|oVVKZwbzR2 zdit`@l7HJj|2&l=G@<{uTV|Q=e8v}kF8o#<XIH<O(5{fO%-JiQVV8QMM|`9o(>%K( zc1_#8r~kU0?R+75+|0Ucr60>rqq*yEWM28h{`u#r?4$|(zn4AaS^muVOHT6ayN9lb zh^Cw=Id=AE?>qMy7sK6(8Qyj5tbg^~+*EwgajDxmA<G^nw*Q~m7M`-y^!>t$+iwb& zd9qF^<G!x(T=J=3{CByk2^-IT%ZRdS);;HQSiAh!uI~vp@i)&X&d4pkZW8k3N=(PR zG?#soW-3oGye!$BvJ8?;pBfqNTRq`*$f{S5XYn7Dnwl{?_MwUFksn5L&+l2?@U!Nr z5qrX1!SB5>^MkJCUAe<PZJEpM9M|O!zB=ylIc%J*(DPio`DL@v`B>%oksZA*S6@Ya zSE$O_cvh@JO6gZcysJ*hhQ0`%!vfoHI{5c4RCx4fx`*XX4ej1iakm#IkDA?npIP(5 zcg07^_fB)p$Llfw_WyaW-B7sq!sD*ovVWI9Bz(VWpC0sCuIIUKGox_sJE@;rl7c^n zeO9PS*m(9`vy_sW@XWS|JN2bUk|a7_X!lzldhhu3tkP%O4!O{r&CHA1*zY%U&HE|W z@-d^5$D(t+kCI?{W7*Dqy36OgKMH+)cB|I@ppd$SEA}M3c9b|_CatQa@H}blZO40d z+hjL&EvtFszx3l&**P7to94QeoxacfaVp!FqhS~Mf_A1#bN4L!@jzu?N%@OayKfpj zw_g);c>AFzW$M01wDt1mE3Rj2YB9OVs=km@-hwl*F6#g8pZpfezJgJ&J_Rf;@h|*e zvujrG&VKbZ+so>IGFDw<&Q;|)&7bqMe9y&e8+7l!yLwsYOhlIRk+fufX}vcg`!<Bm zW4Prtr7bPG-^wl6zWkm}?(#r!lSvZaX7}#t>~UMCbn*mWhKlZ@{|8R6Z_D2DiGSN} z!^w&FtGcR8d>Paiwx+T_6cjz{cgR?JdF8x1BiR|QQ=f!5JD4nz_=C3W$8F<{BDH7+ zhK_Vb27Z)TiQ<gJf>ik0g|)Tp4Pi&O+JDck{uz@Hp|f8_?Pf`Esx-G@)(Wqt2h2Vu zm3#g!7JAy6A(!y!la_1M`@O3gJOY<ocdeSrr=-=?dat|bM15WH`!{pn?|Sq7?(Tk< z8TV%vr=2@9*Sh?a<@4X$vqD$RiJkfRz~i^pTk7B4FP^>qcXi(C^xOZo#^x>FHutvO z&27QE=RY;8`M3W6iyQxDrdvOMcKw|8nYH&9I<IS*TV%cM^_6vx@87ch+nw*WI%MT1 z=AZFX=O2F>U)gPC6uZ=G@5jq?T>joWAEEVe!_*Dy=S3EN-M0Jf>|gy;gBJ(>z4p~x zYHQA~-N(*)OPSQIm=&wKJnQtY{wX$Jb8de-&w2aQ$vU5d+vd*8`dzX+t4w8i*5a^! ztDB~8GS21tMw@74-dYs+IPL5F6?w;tCUI#;9PZnFbFI|&oGUv*d95cFM{}#|x=LNy zw7Dp2O5*eTQQPJQANH-@vPy3L?|fZr&7X6!Oym1%qy0*kXZ26f^_;afvb%kj*_zDo z(<_(l6j?eg_OAA6UHjwfCI;J14LL2=w#zTBFE)5n=GB;>K9!YCrs2N3!~9IAKMB~E zcYj`S`r%(|rLN^)*)j2UY-(h=L}XOySAX5#ek)%r{<_qq`S>i8tgivD+vU%1dw*)9 z=-Y+YgcOcX`#){V1eU~lUG>{%D}T(FQ-1i`@@8IC;gv1>6?Y%H8aM667FW~X@8+zk zN#VM>WxwX<SWywz8}}!rE)&={cXDn~$oAsmNvUV^0}lV=JKk`+ON@8b?fX-2vi+H7 zoixRz?{BT$IyTk%iXE<--MYBH@fYQl7tJ=yOiH=3ss2T;NaR;#U1_s*iT_VX7V4eb z_+C?5P;ce5zscMA<+J{_AN#2*wQb$~HPil1o+Bj}QX72spJsJaPyaTpeRuyw_I>!0 zGv)rGgTnpyQvcg54?E>i^rHOM{u3uX6Z0;)OxmAze|B;E{Me^=Qm>y|`E=_Vm&@-r zd@jmbb}97Z&rLt3FnOh1e{zF$)7rDC##dit+}M@6+4}9FIdj~M^ryxg)(hJE#iMg_ z_~CVn3$(?#cSqQz%)S3;<F!NUR&($*tAuQQ{q%_H!;Na?H}{`edDP|gB==X_v+nL# z8hvc;w^~a}zP+KFf9=nHGb#Jl{z=NGWcO^fG|0a7y?TmG`zHrK)1UdAM@8d(e;*9- ziqrjly(Wo&x=GEBy3fygXHPxxJmmDc_j~8BTVz?*ylMKzHHN&Q$zD<>xp!AZUT*7c zy{n-f&CGY|_S<8Yi+Ub(g>IjHqVe{YpYNv#t<Ap0ztqfS-xL1jW-dXqg5Ij1Y5Su# zRZ03&wAmBR&5H4xXFt7@c<I!R*1sNp-wyZgxxRYWT^seAuR6o}w`BO8d{x#x=gHx< zugoXS<g)X+v0`7_|0RmYOLcdvuC80MB=xZx@9e)}8?S7NUV33p<r357yp~dOtJi-y zy-j{{FyHa*SF~^co6Ec+h4=UOB9)!DPU)>kw4YZz+vg?QoizK2ldo=ZJ^8rbPRCxX z{)FeYoJTKzxjXq^ufI0IO69GYC1>P>SzG?8Zhb!c$`<dS>bRhP^1pX>-u#>!thwp= ze4oF+O!MxoSoJr=Z_mx-wX;oDX4$U|Ix8<&wtG&_RISXbyHYQ=Z3?VEwR3Ia-)Xv6 znX_)~S@qY)@6SguU1__(t954T|4t<Lo3D(%n)iN5*XmpUUoCl+db9pq=eDeyZ_IW( z`-L4&>;IT5_$@x<;h!UB8P^uoz1I1?bIyuC2hwhze|}@qtnlX__k`X27Js_o&ENXV z3h~cMt}F;>@{_tUb-`vP)}N~y#7{NoJjj>Y(6B%I0MozN=3Q$ieX}nT;<A~P`@h|L zdTRRZ|0^BlI)#3ypUN2dp+0+p{?Y&Lo!2#Mo|yfvFWxjK<?gF8ac^CNPoG|U{d`px z&U|Y5y|ax!U31DyckgCQeb>#Wb1Hf9s++btk@4K_+h*Op?AR54WAB<dx95oenD~n~ z`V@b3e)r=mj@xh7KDl&UlIz@tX(w;L*%#(_sB&h}o4RS{%jBMI`BJ)jzv}b+?{~8` zm$w{KT`NEBzugyuH;mKfuzuaXBC@+}|I_fjuFckO8^ip3mrFJO(XE~^^|R^8dm-2U zgsxomEkRrTT98oIE$1gpYZ`NkI;|5XeGAZ5zvr-yZ`Soq?U9yOUvIBkRC#z>uY6Hh zO6c2%L0>Mm`AUaxcej|UI^XSX*#7^sY%iVKx%cSewcfMLrkie?W_mJldibR!og3Uw zt}B{X6*t#S_w~KR{%u7rf0y-o%jJfB^6>n7eD=0Uwl(dx#};q96q49K_0XmzLghz` z+HKE0nr^hUdDGjEVSd+=&(`1GbM*ae_XO9swi8=yQ(k>qz1{Uq%D%fxOUkb6d^G*I zNHs0$&+lETZPAbHkJfnmY(HXtw8+~=VO3}1w1|w(_=R4F-r1(8wkmInbq#TxdPmFe z(5baT-fpX={0={|Zc_gAZ&if>J~i%hCO++WJgvfOPgbYfBfGG#TOyJ>Prmy9K59+z z-qaA$tD%urirW1aQ;$zBlFeJWf95tF^|wJONB2+2wb`hnCMUFQhx?kX5qA~6r*yPE z5-hwT^wm}N<1W>*s7HAhZ>-qT796f}eXZ>}X{qa3M^?5?4zQV)w#~#?xN9co(K9WN zIy0Viq@_6-8ZVqNv*Vi2wSsTLsr4Qc-)`Bn|I~9&_la|h*T?Rf;{TL0P$w<oQQ5}h zLcK@rpZxz7y=YfNTBm)N)5o2v-=dOwetK;BGP%H7_3KRw&h3wtW~nc#RN6Ul)k&Rj z?wLVv12p@;O$|A+ZPU|#Cxt%Qi-q3Si*>we9{DKn;%%YaBQoA=HXMnb_T$o|!*47< zDjRk$_UU@kRn2pEHp@lZ1DDIWQx4U>$ZPazkyOlU^x46DSa(8a!s3q36?zjmj~;G$ zlz8ZAA$QL!rkMpZ?=sB{urZ8P5bkORNu7QyCUKe7=%LYWR-=U#k9IkziSUD@rXSZe zSjU}mD7B0`#p$C;Nu!U397sy9KRUrl{6x#?H)1DR9-X`d(lsN%CUVytomf6$y?&kN z4^xE8HNrpj>#TTlmVfSp{r{&+{q%hJ@6UYECq93IEgW+;x(FLy654uXe@FY%95s8! zZr#A>oXsxY6Cb$0@L%Lw89#f|OGmr7(+ijUy>%zM{N+h6{-{UF-aT87WO&<Xo2_1- zzVXkTf75?#4RH?pt9vo-&z%*f|E5Nr^*p(D-<#Fbr#_iEIo0xim|~d!RsZQyCo4bw zIhGgss6%zv3eF(Eu1)683i{?GbyhF+dV9pi`^ia3lkTPWKXJ>i|H1vAdv}Sc{g(Mr ziyZ#6Wp_TCQ_Ua2^hmPKzfPmbWnaY{<8S?1Z}PW4{ZwjHb?fEtDf{RCi#zmhU(QLZ zzR08w)%~%XUj6==c|W7`_!D*o?<*qwT_30Y*cSL&c3%|p*)NT0A03QK+BUzBJ!yUA z^Vk0qOP=$7{~OA<fBuqxce?bdWAv1SzulXueWUZc`WwC2b@O}G-WcYeiu1h{o98!8 z*+Gvj^^>=n?$kX8Q(RQ{Cm5}o6h5P8<&!|Q$6A%0Ct22<u$?w#0&`^UYo$#p3$JMf zoY}eke)83Aua4EpRz}!e){70E5@Ed5r*r#^k|_~qmn?SCoZKfAte<nit0rWb%S(|U z?U1H3JIj}7T-0^#<kX+4x$$6d(%$7qZW*b1#ebXf<HqaG%*?3@#qZ{7dX@MGCY{)q z8`XKx$I6Q*=~7^l($<oyq{lCwMs@nzsG3cPS}2rqZm+g$r+DxbiI<v&cY>2<EI$&U zCaY>z?N#$ibED>3p_Fs3T|%}&Q*PActqe;Np4=xid(ZKW7prB~SM@)hvHZxiEnX8W zzh`TDeenrQT5WEyy&^ej^YSBNtDb2X9u9uA!`xu`a#`V&bAPM4g!Tqakucxy)A@Fu z&}|#lZ<`kO2^rg}`c>H)YJ)8L>((W-H+ag8<5n`N_r6WJVRi8}r`lXY!|TD1rYt{_ z4ffVuO|LJWwK6)snt@icms)zANxT%8H0gU;&S$n~nf{$?Gmo>(EZ#qJk;{D%)ooKW zFQ&3j?i0#4eyOps*VDaIcAikWjq0}Lp-pFY-uDVz6yGJZ+g7!#((BEaDL39}Zaf|Q zXwC8?=fGaNt?Bi}KQL*Fxq-XkOO1`^gOmD~ACdF#ES@K{`?8kfvpaW0RN0nj6drip z`8UqLXQ^GEQ1XnDDH6vYKGZ0@7yL+TvM5N^`z0EN2CkjoZB*}lonrCg>k;cX|C(b< z79U~t@BA3D)=BlmoZojvRN0nld|bHvNTPoyzm4j>?^AZX(=5Cg{OI!*TgPX2-Y?ZK zv~cZ={4MSptLoOTADm>ivQJ3aqjZYI$t8=AXsF4msu|1-IBDpUw(?1YTJBVt<0+xb zug~yV_2l)MM`ly!cw}w!@=Mw@%j=w?bLmtWzbxLVbKF-2oZPwobmfv|!4i`#`KI!0 z4f({YmVf<jux_SY?rFbWu`8CYjal?Bsw`m5n&!48B^PdPezjZ7^HzI)-@MF|e3NEQ zdoyQ7@S2#DQ>%+!g&g3WwB`Z(cb6x^D;|Xzm@IADx_R0M&J$d_V)R!l2yJMRUlwAZ zv$Ux+Rdh<6_UeXy^LdV}uL1(YJ}j<Y<i>h9SnEbW*ulj0Oni?NMDI)vI@mFV%WPVx zLFFHbSVx-#R(rpd2`OHzv-fiCNuR(GanL_VOJZu!!3W&0Op{sfX`JHvF`<6erwQ)M zLpGevKG?g7>rI31k4P`>V@pCd+?8Gy#~R8U_Tlpsu4BtWK5R4Z+NZHvL2^S={E`p@ zy`@ca<GA;5<#9(G+^Z?t<G=F3g-1t!xqj9<!5889b_$o;l8_CDt53wSUS$fKFg@tt zkt^*sPdf4rPEQpL;kWSl9NhMD$rP?>{JWRPZ~DIIDo2E)qZjMsV6BLtFvpc%QCcfs zb!}KT>r=Ft_YKQW*3&$fuRN?4nL2lh-|V8*T6@i|^4wUqXo}h1DM1I<ZCYM*qgrb# zQ&_;9po6D1Mc?SHUeIlBeOGQn(=JWXJ(GeC&fCPLR;{~3If3<Skk*W8K?md3v&ONO zv#ohBT~pM@e`Uh{?>u{6zF*fMdS`mj!Ja8xd==~Gg)=gReONt(D{Wtq@w3nmY};ec zdF994Ok4A%G~d{)%QJoH_We0u<E<uzq%SScnEIz<<<z*B5<z#T%1u7!m9I4UoY#8> z?}D(Z^>6Nr6^B>7-^h}_^t&BMj^*eTuk|GoAf^e3kS>3F&MV&<q$5{t?$mn)pVBs6 zT0MW$rE1-!&2H7+4+DLyydO?H7Bs_idGjvgmpZM>3*Npg2`~@Rj_IFlX+CR{-2AzV zTFf1%>1oa0KWAsq*?7}AKfPJz?B@!8w%$@Rl<&c-Tbbqc`P>H0XNzvx_IfVA8{emW zn@Q)ky7cQU)moBTIp#BqA8WpyH+#_$ZJk4bi{>vs60!dC@rt?k55NAibe@p@vbQxx z&yNL7sZe(9?7n=e>d}Xozg;Ce9ab$qQmH1Z`YXn2)zlqkc^ktXy_uald!gQBm8+Gm z=O(|}qoi#Yvnqb#Qy;7KCydnQhA)vnT^cuWABf93?ZF<URWZ*+cY3V)+!d2_snXRe za>nPbFX^1;v^UMVayzt0baMHMCthmrLr$L7d8jva#gRr};m*;+p>C&!1MT(>y& zleE^(q^)mO><%pwD1Uc9<fN;2%BN^8%Lc2OXw~%_TVkfh__V!W@uX$vCdd1sCmZLm z>|Z`9zHbW8{qU30KK~q4_a}U6*Q%Vm_n)$ww|)92IoDWYN25Oxs`gL!d={R%$ER)n zswbgp^~)w5UwQ8Q+(XY!Jkbv+I-@poPq^xD<H%^${7sK+rtUc;^eOb{stru8drzb+ zTojkE$s^EzW0#dy<)o8IQ*92W#4b-V+c(dPFZI*(RV~Ik5A9s%I>^>7ouqy9>UZrn zX<^mF%w1J|t+p#BrO*7c)oWhjrhQ)X(l#|ZKQ+#K(P4PgL$s`=)pT9Lw~#i5BC*PV zeb!|+cN^<Jy({_TtkUDXH;d<dXX5|DC$y`Io%8fw@gHi>r*Xw67(G`DihE!lJf-67 zY}dHdOMyuotBa>dywSXPG&resxr;fZW{lMII^!Rh)bw3e@|ka{v#n}c<>yR(|IVrN zgzkb$?HFs<PF77XpKnuUy#0Da<$a;gmuHvb<_WE~QLS1Asun_nr$o$Hbma6rA^pna zq*cq0$gEfYRpAx$(4k<;i@9N(M$grPr$kIybcDyh^W{9D%QmWUAFCdzzIv=tcr|!Y zew6BoIn~i!LfyerW<23NbKOQYt;%c9E6t0OJ>5I?e5}0o%=sqm{p`*g5!Go+Gzxos zi>Ayt{rruFVY{b$=gDJ_KTP>?=5=S>lCTvlGmBR*)!1m_+8I1gNPT9>6pMpP79S}D zrO-;Rnmn66P%X8+s!Ql}&=iaJnuX`Ubyuc;C*wS!>o%&tz?Gb7(3FUIyA;xoTYK$! zXWQ33Pe|NGm95e%=KB<h86eMeiKvDx(b#w(_>r%Fr}sRe&!9@>k><s#(leSt;dN)0 zrq>#uz@*OQN0R(IUGE);c>!uCJmOxj-UbdYOHHpeUV)Ehl_!6*ob1(ksqWps7uW8) z-AXx;|M;(_>-zX2)rX>Y&sP7|fAevk$z;FZdWJ8zyf>Qc_xs!V=rz_qxmKRr^+{{; zxm}-()#jEyeePrR`qaBMXCuSol&?mH&r7`&89px=M5J%3Vm%wYZSJN@{u9q!=RWhS zIy7%r+0U!D7k#_7rsZ<6;O(O7kkr+BUoM8k|N42ul&?4T*@YYKbyC)+{gc=K%zR^K z-~0E)@{P`aO}?#}e@yS&<r~-Qq^$4yC%^xlS;JE&HNSoSG4{vH3#%Vp*pXY9acB9D z%sU%?WZv2NBlFIoADMSf|H!;^<wxe7)*qR7p8d$Y^ZrNXogY6k?=b$%yu<Y~^N#S( z%sVnaGqR>XdH%TUr2pf6C;cC@o$`O|cgp|qv{U|%@1630tajS}ao%bF$J<W(KmK>x z|FPQ{|Hpl2{2yOC<NsLhtpDS*v;L3Qo%MhG?X3S}yL0}J+s^qvK6lRlvDkV4$8qQV zA1^!a|M=Z`_u$(O_VVAG>!i%v>ZHm$>ZHoc>!iN-)Jd85*GZL6tdrV4xlZc))H*5i z8UMCTUCzCIPMzI5+rKq;T7G5T>HU>?XWFmKJM({K-dXYM_0ztq=Z~*lJ^z^Pn)qq6 zBkkqOx7JB*-(Dy6eP^AN`JOtd@_ltu+Yi*m?hya>`D4O`g&z-GSopE!g8$>dh4YUY zJKOiRx7SJWv;8%ZQ~#A|qh6e0V_%$MWAOQMMbWe6A34tYKaQL=|5&k+eed$@Iw^iW zSt))ISt);kzb103zcOutzg(`^@nZSM2N(Pw7cQQE>@j=$v1HEnW5pcyz3f7DQvPax zOymN8WZKkyxLlF(aQR1(LkmBa99sC%;*kI2oh|c^F>~4Xs*Bc1`78c0nHT#b)262& z!)8fAhRxIumn&=@F8?^^kpJVx*7?T{bJ+K~OVml7SCNrAuO%bJul~nmUeJ$Bn{^*9 zSL8ih{?X@<|6|X#`NtM>wjWdGZa-$sW#8KlGFkVJiCp}TOq*FBE>|pgxcp<wp@kox z99sBs#UcO4GeIVE+xIS)sFU*7_+v6J`$wkDih>NA0|gm28$VpGD0#U2BhO*~$BAw8 zk2Q1I_a2w7lRB?0BX!<TMv7nWkIB4*ADK3%K3uL?_Hg;fJ%{`sYqrlnmdxFL>@iRK zvCrK0z3h^8QvN1?OyqKZWZG=|aJk~f!{r~p99sA><nY3e5{LaCSAtCDweMAzsgv^8 z|6?+*@<*o4k%A1HI|UgwXFpu7So3iC$C|_bj~(0RA9Loh?{$~2lR9rCBX!<FMvC9` zkIB5eADK4KK3uN2_i*_~ox}c*IXmVbQ|4_ywwSN|*k)e)-gc15)_+Xos()nKT>5ai zLg3Nzi^t9{y!h?>!izV~`!Bvaf4<vid;8w9hB_%;roSdpY`-#11ixI)(0;M}V#Wpk z#a|c9cQbaf@BP+NC&kPC*Ca~fSEh;Sm&+N&FP2~YalwDF=%V><#V+=}Wu0|Wf30Ms z{@Th&$=duesjB*sS@QG4<rlvnF2A_`u>WH7j`?oi`P$vK^S8U%^V|3G$=6BQ+W#@x z)$k*;<o$<>Q-V9@_s7Zc_1=@`>wPCzC-v9vkBL>okBn2oN6x$J^V|3CQ>>GkYbzr) z*Fi>VuiYP$UG+aQzc7Ei`~u{wn}_`ucX!Npo6q0w7BA55_MG3oH%_5W>aOD-lV7br zGQWV_qWNg~#jl4KUQ|8uRJ%Xyn~jasUZ)z9r*e;;FMi!V->qHHzE@6xuXmoJUc9Br zua+N~C43(*PMO{@zh6$F&hA(5kDo6%9$k2$@aVz|!AHw4njZ0A+~3i^Y>w-nni7_e zmtWXDT7FUf$ij>MM;2Z*JmSB&y<@&xxS)NnopPPjU&lWtRTF<?mT(tll!zDJa{DhJ z?`zrhBlC;I$ICCQ9xcD9d&GaSap!!u_X6#1>jm50%mwXx|0&f;$-4hBshaR3^9$d{ z%P)c-Ex+h_WZ}iiBMUD^AMsy&yJNoFe?j}+K9xGDxh{W9c1`<{`9-ua<BMWpMv2tN z%P(vnEx*|NM0?97D;ud=*FPpzGk#>2NET+4s1{~?k^Ol2h0CMm7iS;wUmV>z-)%a` zB(*vzTXz{LTQ3=@SdTv@zb5_2EYbRS`9<ub<rj;O_%GhyIp2+4sNL<jP`jJIkbSS7 zYMoTA_a76hnLjd16h2;lQTAy0#a$N`UVL?7;l(o-+=IWh)!9kO{mR_p@a6O+k&EZu zXS>+T_X_{5*%I{Sa>lwB%P;0#^k2-lc)pvrn|-fZZ=F=G^xt0>Ph33jp6zbmo7P_^ z^;Z6`iImf?%q<;XF26YS=)#Lfi!x3vS8Y{m?02tRVPR6W&cY;0W!VL#vLol6j|;cE zwTmo&TO#1O&^5=t|C4%Q#uwwlFJGR-L>(!+9dvB*9Typ?yY3>sKf9M)P%67~eDNN8 z8L7QaGM4LW^>mZeYiv%fJiPGY&BGHv|GK=?^^J~v|EH@38DAb2JjwVx+o}7;wtn}W z2^J>1(kx8;&n<O*v#L(6D$K&9Dn?^g(MFGju5Xs~yIcBLm{<it72N(~^QE~U<4b>l z$y0%ePTe<d+Q~h=_u#^dZx6n7t3SV_RAwsQ|0${<<4an>tHq)fT)Ii&-#=<ZM_c9y z-|}>v`N-<-W1D~L(_G(3J)J1rw{=~xqvUFjgPg~M4+xgqa7=mMr2H;)#w^i|+0)$p zEZ*_@v8JxE)U<e5!DnGoC1T<Gx$4+b*Edmia!&;wTzH}J;KH7XZ!al*ds`=W>!-EJ zt^eAyjDGkpbbVu8V{@wJ{=$oE?k}t?($h`4|ML05(0$H}x9+=a_rA9vQ}DN*e817Q z@(hzh;U=l2^PReHeE3-Ma&=nhai&Gx$>$z4F|ujMW;~m*;LD#%<@60Fw?+Ft(vwJf zw#Rbn{AlqQBkmdb&%Q_|HHbd%z4U9ArS{o5scI4ln{>^K*=AY(^))zlrE0-1zg-Vh zg?$WC<IIZHW?8;Ib17|h!d}j2vl5y)*=D-tn-r_f{QAbQSWVh;Y4%mqWwVXXr|e!M z#-=BcbglU48OgL~F{Z`WX1IP2iY^e>F?b!BV0XDS&95tO@!6_vZ%hwF7^knEX?*X@ z&9rB03|`;Nd$z`?_?}s@*V#F;Y3H(x)0fXQzIi2K`pnJOrrgYZ=3|)pZH8s=**SaD z&eaL;Np5<4YTYr`bf1E|Eb%iQ{K#Xzv&Z8whxi<W;$0e(ImPFg6z`g4x%}*$ytH#k zn_s+3JI8DM+|~H`l*lN4<LBPNX)BE!3myF@uieh6w{mu|c#Pq}BSFQRg2jLHY200( zcjoS#LN~wZ+OO*FvSwdZY~o%A3V=DDX||8gUJBDZ%_zRcJT=bfCflrpStreIoRLgw zs%z&pxN_#D(J6+*XXdO+J6F1Rwb%8xhDG}ftP7V#9^f@zK0ET(^fbS6<Mb_&2MUeT zH{5!@>p{y*<0EG*GoP&q*p{qkk@`%=^kAlNO-hro+7A=gz^yDLj`f?X^EDm|ipK<9 zv()=>s3yYPXTw1j_p{sgwMV`@IWxw7S3-u@W_xpol{eqSo-(_@YJ2ul)yxO8Rvrx7 zY#Z%Ua3Uo-WnaVkbEZYhW+!ZpJWwKBlT&szZgb)BGvD4A7RMQyTI4nbtKCR5`@LkQ zag5}ooezXJznJd3t@&AS#p#eQEt<hur|y_6pXKi}^U`Uzb2i55TW5Y=IrH*SnWW=q z=4dZt%b#U=+_%8UHCuR&(LqV!J;`FV=gwGWJUe4vEH?8&&Z!UP2P=hj%nq^&$Cw>t z5-u@6=p-EDsd=_W<+5+VMHXY9f}1R>eLqa&+_gG&E1Po99J#b}&zJf58$a*3*{Q$m z#0*R8vlnk4P5FI6W*WQCOy#t5>}nRNo8B5czsLH_=i=i~WB1sHI}<kTGA=nNBD^Pa zldj3Z8etuygO0^JH{Cd)c{f9kVfqZq+h^x2O*?01oIW$s-|JkFar*k5V%5&ES+CS) zbYGsDW@~-sQrJw(-Dl_MZSJ`wHhXEQY4Nw&QYFU4e6uX?o}E*EcFxLU!5X#+Ssy0N z+<gB`<;|L+<+~)jzstt*7Th{>GxOOT!{V};mg#5b+)X=YX`J3av-sYbo7vA|42r+a zv=l!(=Wp6MRpaLkGmCGYsXTsWXVNnnlj3!=EZ@(voIlgD{mh*6XXkuBGbcOk-0!q= zrN+;hjh}NHKi@F3`0$yZ7tZ{=bjC92*&UPOzFC$_)qb1+h1f>UdqxM<)gqFc{CziE zV>#}#;T+3x-wpRz)Imu^-FL%9mgPPhPO>cb-Efm7-Dks5mUQ0@S6QC>Y&gsE+;_uW z7IB{s+c@=RC9JnHzVg;^Klkr5bIN@;+-I46=KOI-<MholHy>q*_BDvS+qUCD$1bLV zgY0S+SxtvQ*2~X&kjy+K&*=Fof8T9W<|L<`3p0McW9H;fM=cVcy)k}%aq==YYu}ld zm-%>|lMGJlpILluN@U8pf6vd%Dfi*kn_=ngGqXF*&&ziDnK{8}na_PToU;+GI?uBC zO73j#XR{Obp8U3t(`?2AX5lkt+z|;)y=u1;g>#G!wyWL9X;N0R$TfSnhjZJE9Cu%X z<00t_Ik(Nqk)N3`o72whV9e$QSG5~?n`)Dqw)=iq$yqkz!3@rGOL`_ud8{`1?GDa3 z^Q)0LO|fdXIfdVt9rP65lPI>~2urokwqUgz*-e+#ZcM*qAw0)ay8I%`@8BCg2IoW4 zS90>r$a(Jbp^x+2><7M^8&cIQGB(8;9New8BcsV#ZAVJeMKy~Ay{35cS+TQ<dUhSL zx%MhGPk;MznL{(IKW=;`dCIVO^8Sl=rq3}y=u>=n-~BuKH7R*(zs<Cqd}i&Rs?Rg> z7EKJUIv4U~!Or#n&*<b%i#%_#`Gw@NywyHy&(C<U>!!8s*}XpH!guauYupNzT(m1; z#ZEzq)VeRrX6Nh;F0)KKCu013)27(cgFc&IL@qm1V*I>E)A)9Zbeh=Tq*G;QLQ0xA zkIhWj!)Z3_LBOf!cUh`^3vRMRpZ)%&WoGeN7U#3k^8}yu9<WNC`Lt>K+31#wYPU~J zxw|g%<)0}t57nd|n`!j=%$&fqa}vTnCI?xB*Z8bGe&JV3Ws}{Bn&*16!Xy5k*<Lq= z^Vlp`e)HmMvnC%-6JBGOsy4Iho<Upv%axn1_x#@;dZl!?*P(wYtGjmft=OyUeX&Ay zHIGQrsT_rcdY^MkkIoAbzkl<U)vjH;#Kc~$Gjoq!es7(g<@=EE{uM80*gi?wTD$7W z$>mmmEU)jnY?yK4<g_WvpVrTvmv?)o?#WZ@oF?A3i*;M~a)z?T&;1%dv(B{^`h^q; zto)tTIq%hk?9g((m&Hl4w`^lwR=m3)s-qSX{*mjNV#qWGE-|ghxMr=01u3T!_N@v% zn6Z4n`06OrRnANFayq*@u58txs1+J}{P_{9vR8lR2wj=EDxh?;k4pBMrx5{9LUM1s z*<QId+y2uB`6+!}V%ZmVRUVGfK5qEx#KzS8k{2s>Dc|16?4+~(lr4+Nxx|M5)k-(} zLivRB9!!#n6mr=-H`F4BGwJ5JL(-wD9qHDmD^y}7*WD?c&AlVSeH-@<J9ks@0?)oz zdJnW@Ok)nTnzwN8xaQ_7UQpR9BVORz8>RO^NoxD<hSzC#9x~}oEnLpM!|GV9-h(EI z0><kHe$3+j@$=yI;<?j4S#jT=px{`_@ve+ff9(ff@egU7_lrQxT=5TlygP+bHZnB5 ze_~~Oircg%oN0EHaLswvFNMdy$2vSO`*VQx%eUkFu@2_-e@?J|d4JqiZ$Yws-5aK= z_m9=}7TmV0d&TtY_2YEC1&i(K-Z51@ek`u{AXWa|+0uDt`o9BipMA(KP?=Z#gVALl zOX%jg=ViEJB<q$2ym<B@x@A$!^6HnpEmk}I!Y{^h9@SeA&+}?mL!a@A=S)@eA57O< zaGL8~G2{LPKc+^T$i@`xpY5zKX!C$+*Te^o;up@d>@Q=K4Gg%?`sIxaGv}n6ec7r~ zyBhZOW;|iC5?{2D`^DXMHN6GZvT{X?e|LP?!2Lp^d70jV=UR2j2Xk-s?bfb)&Gc*H zqM6(;YP#HG9Q+MU${AxfTsXuU6ZGI>%yRx0M_TKPieJdKPSac9EgH46VV_sVTP7=u zhlb)8iaGWdGv4j}P%VC;pS^!~!#VqkL#$s`9h8qbaFp%ejMqH^zn(sre^<up_afhQ zm#=?eJGOsE!@ENog^YhYKmLz#SRS*blyPs_$0gh^R&_0paflDIdCO!~x@a!<3&~FV zoek?IR~%#gvi-<ry$7$j-xkmHTN1AP@9Nowx0V0BVfy8|sG0jkOvnBm4fonB&asyG zANj1e;J?zpS4>unkM74f=sT8_Fy7_3c#`#tr_=M8?|&656tB-*{bFkK{+$i)jDPIr ze(}1w{(R}P3ag6`<n#D!OFqcw@$ujNIDe;~cjdXh|EEghqUPOtygyb_?)c;Sm;=HU zfAk$qpI?xT)e--=KsMI&(L<|UnMasQORjgczo-aQmg`^K?q(z8?%$hX*%9Y*^Qz2g zfiG%aA;o4EFPuZR&Px8$xX-1`^tcV{-<Ty~`7iuMRzJJLm%tFXXI8OVOQXxhtaCR7 z*<RYr<4WA%wuk+IKx~(jbE%wvr-9FNO_P}ECxz>KF3hoEJmew1a<Wp-rJ_BI2QtF_ z=3YtYc@~nf=G<d1(Z^fnI6XFfq-m#?VR=mYfQ!;M?!|Hmle$A<SbVN^Oq4ZnRX!GW zAf#6!lu`d>kVsXevfJbX+AcAR4fME{Wm>w;KTxtr=apsPB1xA|ohvz*Dnh3py1_PM z<x`2NEbCv|w5AD$u6`DCKXKc$lpfYI7q=QOVte+=<{j(DUD5M*=^d5cB@$PjG|z2M z`;pl$o7k`BDn83TBJHGep=0`#h!xonzAB4l9(f(Bb1k*#c(>}VvU`EaniFMqzX}pR zZKA$Ddt;1p+{L6;w>_Oly>yS?4qtPrLwvKw)vce6#8#U=%GJ4dDeAMCXtv3tTAgcI zM}CK_x!h5{B4WLue%waK=_j>AbFOquUwUJS;N$oN<B2twPE{~Qm&oZ~+!(4H=anQa zc-wt9Q_gkWBo?JTzDJgyOwM|9p=i(XV}55n4|Be`RJmoz(Y!^kuFmPMdvmeUgyTS5 zZ^f5}+Fi9aiw@K&*(u*^e$?Q$=g=|hsJ`phELI4<kN>z%uXX!>3zsA2bH4wo@SpA! z&An&+)@Pzxb{Cd@_$S+Ls@`w-VWHmS?>j6OonDe^*(X#ew`8w{ol;VECTm~6>7rb7 zyNexSw||5v-wJ*_!)?o?V}-pLzk7tGE*_FlH(gX~?3a0DHp?8fN0XnuWjy!7?87mJ z^iB)!kJA{QmvmQbZTRf+DwEGr=qRhwH*WnSg%dlHo5XMJSR%OoNMWRMT=U~Dx1MRu zt9SXt1wJtDt<Y^eZ~AC2%eu_i3d6?NR{doce>8RM^^Q)sbD=|Q-;W^WTW=pNbK7#v zb$Um4MsoK$sf$HocTE;`a_<t}Xm@M<LszA3bKCP?I37{=<u?0rrE-g}vwm+zQ2V`I zBC{?o>~}Mn>m1*kq1Rp~b<u_Iuj!&l8MX|UU<;lr9b)+sOI|;ARAdwWc=HkipR<X2 za#Es(;QbJ{*@p~zCHlDzcUm|}2y!W#B<)e@xiZHl=dgy-)v`qwU1V&xG#!-a&G^~0 z(WRztOW8q-aKD*Tl5GNev-&<-s0o~$7k=&jj1`|VPWE}`&9f3cni6a6``^HIdw=0J zgV5}=9x)Z3%Ew+EIxS_GB^q~8Hz`Kj=W=Dwgw~f*hJ0da87}(5dsdY$Y&1xFb*pk& z^YxA!QBgmV#02Mat*tVQR-X4WsqfhmRlR4gY~=DDmd<s59l2-W=M2N{N~w$MMDw$b z9M(Fc{-}6)s<zk*o2bo63*ELP998PASk-dWr6y@h$WfuW?vqn>uD)G7(`Z_z%jFcE z>mAQdL@X5io4he-x)XoYnoAvZD>SZlw0B1M9=Uc&og-hcP}VbFVa>&kI){kWg1o0T z`dxRQoWACIhnU<Aha-ZAr0sLAbZis9vE=pRl}cvWM`l~*T%5Dz=z*}_jQYl0mp8HZ zb|vn1+cWdfZK;pn*sM(+@v@g?9pScmb1C<Ms#sa}k#4y+7dxga-dHWDdvjxd$N7$H zuWzj1y3EjqPhjI)lZNBgQWvx2*gGxCGBx|lx9Zu<KBCy0@xAYR$Bihd(~oOoxp<#^ zY;?0(b0jg=n&0%}LcP}QG8T)x7slH334QduEG8$P>C#<Vvqo_3m5-fndv+dKzRTyH z=c5^*LZPs?;&6ws)W<MEb<;<_N`9F~texgu>)2jzu~;zwPeGXSy{8Z7xb4}0xU#n* zy`5X?qZyyL=_6Zdz04!KO=GTgY){*<NHG6YL8$V*zK6!W74KWmn?C9lTbFqxS|{du z$M@hLPRjQ@AGW#eX+Jbw>f<MI^G=It6>Gco0>wXSiN~5gQq|p+d89kC<a)>SjTM2) zahn&lyX|ps_3y37?2426XeF}O^pU8>uZ$zv{$H+kh|hYlTyVYC#dzg7|D*=DJrzeB zdMj>rI7)qN5^OeobXBn}<A}E-&(#j`Mu}yD>wg%;D961{Y;oIj>aa&|#_#q(mp8G; z-XG3T+S;ZjwOBBjA!&_UPg{GT%b#Z#en>s;{1JBXft0YxqJt)CSuV<%K9@S&4$fF3 z*jr`jsvPw-NlWm)?=8#6s!IDpx8xo>Ep>68<oXw89~KE*?y%T@;fvJe(wQdPkMZ<o zB=v@yE{Zfw%W?_M+OtgX>~h1^Yibv(&hkAnZ-J!Vvllk^)+DT3H@9%&hh5huUu^x* z=GJ51QYmHVBzW&ihhdLFys{h9A*SAn?QN|tZ^YgB4k^t&e#0qZjo@Pb#7?)Khs{f+ z3{UY-%RFLX9kEU@|IY@e>j!Q`M0ieF^UP)WA+27C$(&2247G&9vXAU=sqj{IV>=|( zE5Xm6ZJK0axkh={+F8QOd0(3*xtQi$=-7B~L!h!-;9<31iQ_!8O&?uV+V;ZCKul`e zD;qb-!)Cn_vw3ctCSB3rvsN&<HL=~T=TPfhDZ^><Wr7>eOZf8dHci@N`{rUt;Oh<H z%E$H}w(FH}<@;@#G{;irLdV2=ANt&SSlgSW41e+7Q+*VD=7zn+62Zm)9@zFuyk~!( zeI&!_M?=SZ-Q+(HHk?Z?k*`@H`1sd@4!52c&5NZB;{@z3Ej8%kx4Tx^bHCZ&WYJMw zJJm<O9VSQ1T&^_vd!(>8<6Wn))Wsvh>ZVCK0dtfdS-Zuv%u!47zi>k~y+m&9tHQA7 z7gw`9H(A7KdM?{<mha;xw>^EwUDvAjJ}mTnez8Yty6GZW({%zL-!9+Gv+k9R)rkkj zy)S+>oi|;S&9W}jg_|u#{n4DGJ7j-2y*_zDZpT7FThR|o-M%m!@Kx%|uHst2t$%ri z>0FKrQ$5Z`y4>wJ;i=47a=l~P(~5~5sh4KS*p|rYp12r1-IKfE%cYKUqA%8FFOcT= zmEmG9@a5{#3vU?yZjs6VaB+Ue+NYv+Y%gr$z9uUOUXR>z@vy>K&)Ez-*E+WUl~`mc z$<N|kDlM^+<*-T89)6zd9fIZtPRhsF4|?=UII=7@N!r8LbFD+r*1%2q*q;Lty%Ltp zk4+XeG9DA&__k+DBd1mWM}31pWw#RtRC*=SnI@Yisc`vR?AWMf5UMO?{y;UBIXU`4 z>fGZTf)%dH$0i&w>6J)jx@?*x!*%9DhnY}C==75_f@gRhv78w=|HCY|p4}~xQih)d z)3T4;aGtSPaPj|yb#6WTo3EQLs%5>W@aS@ijm(+Ll|3HK=B7zL5@)V=1V(OfP(J2* z(5Y8qCQG+TQjUPmwT_FH8(fr+9X}A%E8)w1y2E1nWi^)5oma&BGg{9j=LoL3(h=ye z!Atqrb%&L1CXxrUV!4t_9_Y?Jej+}?`N+KV9D_AiJ1!pH;HP{{{BTvT#8Pf)lO!4a zoGTp@_igZ0KE`x7tydzDJKAK?RIY78AA6Ho^l~m&_FQazD`j{}_};aS!UY?gmEDpK z`SnVy=G<+PWTO7&T1Vle4erWrL5JdcB~EkvHd%Dq<eP9I-@@4@d>Jn6(R&sP+Da8n z=-BH$J7kadk(~|yI4zb4=K6n>6Fe@NeBwc^k{!ES`ayOn!!$|0>{yAP-11pRez;mJ z5`61Z7&6_{I<RJmpsw-9jc!}k98s6LI8AVVmP@pY%;k=2^%m;{*M2W>Ql7Qxk&58{ zdK1^9n!Oo+IxkCItPoB&S+rB-T$W3*`<%-iY;6|n1$kKt9hIvtKTr~^p1yH&VQ0sE z3%A+FQhO!(rNT{;j%3AL?f5w7qom+<)}9|d=S$_1ogU9}>yhexEoJ!3cHf1Lz>kH_ z%EvAppDuOry{NhAqQ~01F4VOsw*=n2IJHB4bI<X<JSjsV>s{GLe!Qp%Jbh7J#J1zd zl9%jV^Q10*7v5{KXuo<@wo9?i7sW>_7GD?qCG_#<#XSDMCP{Y+zo;*|R-$!;A=Y{= zli`Gp_iB6j4Lz<qWV`WPGc_<WYs;`a_Um{+uY{uXVUwhqdY<bYf!__Cl#g9I?xFOJ zW$~-zDQ-Qb{TF9Vjyou^*mSYF!7-u276U8WV=u)nE*E{g_1c%@qb$8I?sazVVsl$| zNM-Kv8=*7S2r4@ycDeNkwyu;ibdrv{)KU21<EC|UC%*mIwXSjFZA0JZ7a654mv+A> z>XDSXc#U_x&_~IOFC~mi<@WY{loGt{=bwD$($>qnB{p~d2zl|c+cGs*Vb<#G<*eFq z*G(@xmCAo1^}?vPQp%9Y`reg}x=S<GpI%~YB(|gSRHh`isruUVjVG=K&s>qT@mkNh zOA(7KU*7Isy2~eOThh#HJz^Uo{Eno1FAiN(aQcmtd-$3wOE0jCtuE0lS?Rj++~V!J zVXtkXPCU|$Rr{N;(e3%g)8g58ZBn1Kmqum(Do)&ct;a6r#sa~=+cpF$|H?|7?DpmS zp|su?lUsGAF7k6nn=X1Ux-HYCUOVS{hh11jwDPae#CEqYOAq<=zOZkNmAaVDwcB*j ze35S%F84LwT<!Q5aAUb(?Sc*Q%D-$MHn@E$J!CC)aX%-!=^}sOdsjQ^d@Pm-)=vL0 z&+SY2q2E#$-8tnmT=uKTT<h59@gqR_m;A#Hw=b550()PixAdDV$``b|*748fhl}zr zfrr7pFU(t#r7nhZ95-F`TFy`4W3@}U?VKwedQ}!n1@C?;h*IA5=wXjr$^OHUy)TN| zi={4}<$G?rC|g!9!=>CR=1PZN$&Mw0cb^qRD(^b=u*<Ea|8QvU3!(P&CX1wH?5=mL z%ipm~@b2A$Smj+i9&-1-xYi~ub#W?he3r|1(>qr>^s-+p@=;rDnV0oqm0;}U3%<&` z7BAfGR^oWLTk7Il9$S+|t0k+lT)rE9xzwST`eLo%-4hqwm3Q?mT<=yQ?rh)tLaFVa z)J0t$W|O2dAv`LNx_Tyt8G0xmGdP;iEAdmr*(Av)kmqWLpt7Nl^0Apm3VJ0D3M!i{ znrP-GR2Y{e;?Z-dvS)qAMJYoq<zpE~D%vGh3ocekn&Z~P*!9t6&RvOv!ons=H8wsf zN!gNH`6inrt&s9j3%Vb@NK?vCg?Cxjk@(C*-YzxaKdk*KW_B2x#Fl>S(wqEl=Zs~7 zu|<Zl%DWyWwYindI<|Tj+p!r3eAb#e>pw76stf*k+jWNPk@ZsboLrkbcbt0sUNf=J z?ThV!n6;A0S00zfswwY%%-j3JT55*-k-rl4noRjZ8|@{<<v*Jy9jVm0(6N7YW9u%F ze^#twnJ(%qI%<zLKV2W0(0T6hd#i+%ZY3!PjLx3C-*}*C?(z8G16;j7cC$yU6s!+q zSZ$J&lQ{)sR;bi!(?!;1_b!3T`nC?+6E`#?ypQP1)&FIGT_RUs&icCZM~H+stMr{; zApu%BS1L`;A6HZA<2*L|P+F|o<M>2w!THS035lz<m)G6pzTNTThy*|P?b5eBjjh}7 z8iiKqyt(kzkV~xWm67C6uH79sn!ijqkSD%!N9PUaBioD*DSpd3V$pr$xss@+(C<>Y z$KH<`+<LZjl*ibHuJMq$QrRQaS#Fy2$4lmtZqgQyJ<GEb)@1GRKXUOf$MqivT(ypw z@V&Nin{=$*s=u(Q&_&rz{8(VG#CHk#%p)25e?&|_WU=dqi?Z8~qswPa-dJ4N<I$_S z=&_WcpT1v)pWFQ-o_Fnzy*Z+(^wstLZr{599XCFgtUe&wE0MsJ-g%{~=fxa19+lS5 zQic^GdKpI=0(YzsY<77#$&H7n^|X|sgvh##BMbpMRtYxSJv8o>c)>Y8`-q4C4!0xM zF6HuE-+GPbPm8{Z`eUsJY=Z7a%moj<=N|94yJMwyqECLw!s!fxi|ZDzcI%njn<r(s z%M4Ut->wK$w)(PwU9dE9Pu`a+m0un?>MOlEv?KGy+RqY)d1_B(slSR2lMl4-eetjP z-!8UY?-Lr_didKKXHC9mBeCSOgd$IKshn(+fy;A4H;J}aHotx)NC>)f+-)$3QQmbu zp=DZfihhsEqv$g;VkMS(C(hC9xz^G6(4eiu_IyHt#A-q1wMjC9_braiI9Q={PO;}^ zBj+v=wqFNabdNufo3TVtnI+LkuzLFbZ>^eI`a!q7Ze&-l-%%x9f1u#s)2#36pXKuJ zFZ^5lf8Om4Z-dWo`yH8g`1$`|{e5reS8glLt9$$B*3PfiB_~2R1m_(un|rJDPxS8P z^@Z+Byryd1pYlJ{^G5V>on0}$JNa~X@A^=*b%m|rYR^xOsu!2k9<Tm?W#8lE^IPA? zOe%l>xjy&$#@y%M>a(9e3e)xecV(aR^D^1nws%*(jsJIRVXWuzvh#m7?(p^eJ;_Ef z{>B@&lfRwBgSYo~JT6+=wc@&)N`dBQC97@Ozf1lupFeHxm6!dMt3*{*x4MdjsX2>I zj1Oz+y;R^TvZ13!EKqdyB!0EmY<f<mE^p10I=4Q2`uSGJ?G0*sYU-pX_HI9PT6~M( z)eTlREq*$reVRKj_y6*9y0>jF@0%<ml*j+a(EpoLY0kPF%}x4Q3Bjq}6K$0?Y}~Z; zMBU0x-l@7vH}sx3_$y`clfP3nm-en`y*pLM<=d&ZH$qQ3r7XYGI2Xh{Irm23NvBs^ z1j`evRk=0ulpAuV>bUqVi$8oVW$u%`Z&j0jZ<D?C-+lL_ZTdEM|NX4C{=c{OWbk?W z>pLHRmbKdQx*~tM_`IB}J9D3xonx7yzpqdFqP)ufucC%lrPH=dPhEZTpY)7C!Rf{` zyLMk(tfZH|PvriQ)uM%03wP{mojs9j$CV>9)%W$zyqK?&U;pc*xK(-Gzny;H?Y_o5 zuUT8Hm*)D;@7}~&)1Pa7l-23`!Fu<c$o-?c)^n_~IcISH@TTaLQ|3>8M^ttDSbnN+ zP<<M%$y(pB_33lZo$`skKPx}E?qJWKI$!<x_x_E${_I&~_DEer*WRD+<@}`3pOr;R z{_$v^K0lfH!}Ey1x_N(m{xh9cj`zQ&b-Mar>$xh6JKe4Sbnbq-bweO?R?dZmcjwov zZ?&Emdu7R0W!3Zc*WcZIZaw|P_s2WS{@;u2E%;uz%Inhw<G7QP?ikMNkNbFEO}cig z%Kz0-fsyZC=pNAiSatHxm(;CU5tqKbTpqpc^%tl1b6%SSgoXF}h_OsxquXU*S{J{` zpe1A9isoF!RBji;ZS9lKY73QaR9TWE8_4hNd`hf4{?XS7o4y{YogvQuwd2##^#(?Q zt8V?d^{8%-q~Fs&HXj+{cr|D1%N;p0VH4}4>lM07au%-Wk9@dmXMl;r`vU#Ii5VU1 z9=g2laN8l~_b}<Ag!tjkYofjdT5~dNKML6KscNwwJ$ysZZ%c&9(KiK)z8=Xpu()zq zbA@hQx8g&W&o0JaIMrwA%Q@Z;SkzkTTKtCVs&DI87w#OsuU|EV`jc`rYP++#>kmx} z_$BtVb6SM3pGo|dL$?YRu`V*5)-J3o(x2#RaZ%}`wGM}Rl=~k!?!%p8-Ny~p=VZiw zWO>#myjJ~R!^?%o5@kQS_i&o>y}ly$zejT6m$j4CZzW{xi28hVe?o-CMW(=}hYwx2 zkN!X0QLxB$k?yZn;kC;1T>b|vI@<b3vqtjOLlN=L<%PymGVVHE<P$&CDb{KKFv8-Z z)gwt6e)Xtuo9@XA<K|Q?vW?>k&D?wIQGB7woQ!!tjQiSz&x*@_2(-A!^if=fM?Feo z-r?O3UAm8awh-~#()UCA9=Cdw&OhGWpfHo&s6Hpd_9AEX<FAwVpK-WS-}Qc8efPN? z3GLbk?>^}kzpyr|`AKb}_k)w??thpWJ5!14cE|q5lkTegOn9Mm$GENj_)f3Ppet^F zn8mf1dQMz?vi`xIzYd!$7ANhiGFAE~{8}jS$lb(`@e$H)G5wwYk1f0K=x6Sc{|U|+ zADhe`)o--BsPS`k&ymx%m#6B!NP0Ne<?)lh54j&6c$f9?t=F_k*WF4sZ`eC&=?TBL zd5O`g-V<woFKS&o^>xh61#)71A?pNg&YLIpO?}_oJ+D{t)lchrJOA~SpK&vD{>Q|5 z{#K9waoKp$^wx=2>!zFuZNIMic0MRWd^dX}Sk^86<jv2R=l0Kbzpu&XUi)rkhyUCZ zu}(X&W6`1pR*S>G1;%qXE5DTZ+;zL7F6d~N#NAcguJzo)YyUj)_i?Mgz9YHM_tDyC z4BVI3R!_F~(th;qkOOz^+W3?M@8`Yd+w@`o%#0_oSNXPGuvhNAV|+_dj4kEJd%sF& z-7lwCOrG;;<s}1dW@Dj`@7A<O&rtmRvi+q?%u=_A7g<kL>*q*XYfEG<j8+qx=e1a; z=cLP_?C%-e>r*dv?DA7S79lZ<bNBBD$D$-=ciMeD^+hs$p}(4_g;3I-)_F5S9;`Ue zr4}(G^RLCvx$EPyw!XgbP2T_I^zgcU>QO%wX3Y!Mvs8<;n8Ubyj(}S94+GouxV>gc zU9J6>i%-q;_Ssrs!tD1`u<GO?cgccNnqO17-51&W*1exLXRG(TtlJwO+k1I&9nt=D z`Pk0a)AsnyzSWT_w$ANoc8#~&`hc$m%TMd)KVd(aU)MUn<<~ExjJDRom(rmMuj@mn zO`m7HKTq25@}Y$px71EP|2eDm^h?RtTLd@j#B_))-!Jv-YF2aoj_{D6ylt(`8++tj zCeBDsOjpVMy~Aj3_QQ%VjVW{27yR1t@b67y+1vjXFPXe+UD>@?N*{OCv?qO?RQdAA z{F;Tqll$J@GX3)FHLoR;v&X5v8S3}vL^SYSx%_VSr)Xi1b9;Z!)3?9d_+*M_*uIE_ zL=OSW^fOxpxpnu*NBEty?S2x#dvS4*Swzmm%Qya>TvRe?pW}jGTOD*Ze#nY=X|pk; zPQoce_jl&ZIpWS9r{+cJJwGWh=~HQ>V)|j9dA(Cg-dNq4deQ6WEY?ZI%eRDz^|4O8 z?7!v8p_2!Sijrb-AG)X&r6%9ay&qk)RKnw2tk{}~uXj2W<?UZH_4O`?qSn4URy%ia zt2}?V=X$h+h>PF(B59pH;t_s*n|sCX@#?6T^Ur?Lt~>2w`&N&w*_&I7pVn>k{PFj* z?EEm+)9)XMalbbDnPq!(U-9AR@gJ5=TPt?%c-JI*fA#AxSMnX>-gWj+Uqs@k^vtDu z`UCgf$a{Ep#+^S)XY84>b^Er8=y!EW<!ae07(V=x)(E|m`0#h58ndlNSyY6O_IvHw zmnvB|b;XK_xxDuXOK12yNsL!6JLu5fl{a!1#y#i^=3i?oX2w3h?CX=A*AGooU_SG6 zg=lB3*fo~vtChc<K6k!G#$x_GrosbG-xapLbpO>C)5bn+^5y%EJ1_7rSZdw5SNnVM z%qz?8^ZtElo$@eb!}$sG{@vTWLG$zX!=hF95AE@}a>cB3m$ChZ0)+*$UVVJpeOPST zu`KoXp6WL@UcH>_U9s%r!aL1uFY3#K?2{5p-^@N~eK!7pMrPEvX|h`bI#PVUx101_ zf3M8_-8w0C|MJ8qbvX~i<Gr7~i0?5dvfKEfAy}b4^6-ftR}R&li=LMs&>yK$w1SoG z>yB0{zO5G)%-ay~CZL=5l_&T9k`=COU#GP0I##mG{^)YuKL=EH`~UB0m7kST&NFZ8 zhv3O;+DaNN(jU72TN$)Z{<&_A{^#S5{U(d+l$`#v@Ks>>#Y6KNf{KFnsF>R^E=}32 z7kxuEz{_u8xXv4`@?ZXUQ%=gB4&B++{cCsdv=1{|-~T$fNpODjkG`5a^|cF~mzTAc z{{Qo#ensA%w1@MogI7$7joE%-@vM|*=a)z%|KsMi-)|ea_VWGDypMRf_XiwdYW}gb zwOVxJ1%-QC0-^=Gd6K4cd=lB%=lDj-ai5Zy^pQM4kteG4f2Q_*EKPqrP1ieWMOaDy zgU>hk^K;|h?0cc_W}qF#INSO`mCFWo-RONUBoAhbZrnM~bg$BjNXFT6>-Q?(nA_*e zx7AKi#^bn}yW4$^vZcwBm6yh|SYO_F@@dF_j&IA8CwHG?6nq1c|9pVso9q7hdw#FZ zk@?;`KX|X1M$^m88J~_m;Lvl|N_uodLdbD?MWuJh{Yhawy$Rkbi<u|gN{V2KR+d~l zIeYapp8f}i&;0o8Wl`VP@xUc**OTsvf;s&ue|BxS@8)V?H*NkOPpeKh-C5>ku5RuI zN)O!c{B`Q-Oh|O_tbHbZa8bjgcinb+O-DEGp1l1u=k_I;H^tw_EsN^@D9E$!TW^Z) z&x2;hmVY}q1$kDw9lT=WUXaA%JT)O}rB^`^OY^F`Rc~I4o_)d*=l8wqMDC3#9N*H) z_MLn<RezaVfz9TJuK$=m2C=vsJ=Yh}cP}v6{BVYPTxUXbT59o%=bf2?d*)l#FPtHF z@Zp)CpO5x%*ma!Hm#IH_`p2<7QU}jJxw6p3d(PuJ%LcDmE4R+Fet)*-f$!_zC-Z0d z^m@(uIQ7Agvi6tRf+nk8_yydzJ=?WliIZLVhjV=oR(9!qkl9yb=w5er4HtLG`lx4a zA0k>=S7}dh`nXHxkEqy;_xmp8yIk1hWVik3XQdy@xqja9e==LpCTL<4U;M%u@&`|J ziIzm~QD{mN{j_ts9k=q2T-O@bce5%2S^UFKEEhY;npDX0wr<9sZ@NG4eADZEaFXlR z>zdb3!)r2G9&7D7T`KXkye5(*`Lgk+Cq_+%FC{AjBoDqg(R}ie&z>7K%W_!%IIQb= z5OTHPQ|pv?(St81d~%(lKh-$wD*L2TrxU?7Tj#u*+&gLiDVIGplcj(DxYzZdrfezK z#Qrj0mgidG9W`&Vl`Urf-TTD+%<*$K*EP<2vt-+Ar3%}%noQ-&uazZ^a^6VMdA}^= ze7dyKi+LAYcN{+d?!Lsq?wNP)?&ALDE9i6L$-8Tb>8!JrXQceKsbzg9dTtuWKkMdC zvU$_?n>J<6%y{C%G3AEX!JOCoQXJFH@4U=iU-<p?l)HNI|DUX%)H?I@uK8@GYc%bZ z54L>XTlTIaq0ZnT(|gVLJqeYY@-~$nJCw!o$-<;5`DRg~gipY>$T!of`yWi&bTFwu zCY62ql(nMO$`ShU`#w#Vs+zh-zo|X%<l{QO@9rN8TD`??nzBEcb#v7O&pf636TORG zPusfl{+?#RKd*Hw?>H|kSI(&SmizwIUc_;7SLLUp)q*B#12Qe`_sSn^>WWrNKh6K7 zVoTkF_>C)T`#0)Y${Y+?z2|+&<>w;vKU<y`mv{T%6Sa-0P^HN}bc@x6X94cY8NrJ4 zt;OuR9>i-sDNbM7ul%F7wdP&X<H;O#;xA-PefHT+m7bQMzaw#Osn5A4##Y<vMUMr2 z_%+^~eXp)mVY*<0`O3LH326?A`EvH62eTPlZD%jN{7G5B=f=io!H3e7W(Wt|*!<l} z`rw_0L-!V2i64|^Y~8#5z)y~6tRmm$eP-S1%&qapc2#$g8z+eNE?VTv_A9RX{n4i! z$Jkfjn3*-@!&HuGEF#~s_DLPQ)Ntrt@;{xXRL0i5>R&5aw41(8H+Na*YG62HP5ArL zotL=PUh_`SSDGQ@b1gdT?u7d@5;ont@yn=b`lQC|QYL)+B@g~S7_NC|O-bjY01nTe zXWvR4-L0tdRBdy#$orNFQ&w+$7bwG_7<tESkHpb`0+YVp>W~Y3!>i|+eR5vl8%{;d z@T|RyZpb<X*-gC{_=ZPO^ZM%AMK=VUg6z)k3)~~?G%4(LU=FvU=6tXJ8rxFX@41{{ zv{&fzZwmK(eZDa;hf7iOwT#HoV*-;t74r2ZIdgda3}|!Ns8_*$ve3Y!tG|i+lvKNG zp~;Q~GOULe|1j9G;Lf$)jz<Lrj+Rw!ZXX@Xj+t+-x^3)bckIlXB^h;d^xfPGtu`xX ze-iI743s%DXMaG?RO5oQ<DXlT=G5#yZTePq;h7g5_a}V}T%&pYgyq!WeFDOZDzusX zESIUdZPa4+v+PiF-Dngw@8q`hHkHyjI_YyvVm>{cDfHAoO*N43`s7!Qe!YvlTeDu4 zZmZDj+L&o^*YQ)4$YHH#C4SRCAJq=@(YgL*chBX!AAGx$vRYlO_Nwpizq~kNBIEL! z=V>k*ZJ7OjPTDJVbQ6!o(`ZxUuB9(6f2P*xcBx7hoH8`i?m9X%w)5%g@}x2mb!Ev2 z?WGbjr>dU06zYBZn&LN8G~x37m&UVp2I_SE(eg=|eXI3Rsb$dPhb`Slq>K3YHDlb* zbSKq%JWTqy?9aOvk#*Y7PFET&DX`t>;K%Usx6==U=TAFZ1AREd3*&M(dYlVXZ2f%X zk%pHu*Yj@Gz%_iDF$&X8U6c&k5uh_UKiuQtuB1wl{3G>}N+pi_A_R}NxXfsu6Cb^% z=h5E)W)*w?HIsHX6l`K$6r%V4&`GhbtDn3j{kD1RQGI^DU{mRlSsKsUC$H7M=4Pln zCC71Lgt+VXfb-iIc&zDvRJEh(br)}744-<mdrr@z?t)E6lY&L2A3AwWc=l5*!z<Y# z8rxh9^+L?WuZcx3wh;DuddOy@@4JPEPCn~?8(1Udx6NUX?)0}0Q@D@v?F{Eok5(yj zD^!@0GdF3Yb{FHqd4YxVZpgZxFWB@m$zNpep_5`Adjs!qT)p?mDrtU9aQo`*5pVh* zd4U|k92mo^8f_lGM_Ii7VG8$=gBs_KONS|)Ymcefr(L>Tx`ltPQn!VO>-AMrHI(-E zR`}cPJSC!79`oM4@N(Xy8ig7`)tJJ1h3CsoeQCc_DA)Js^eGX=?Th43ZC_yIdgAX5 z!Job>eFTdZmxa{vX<uIYF7<(X;GDHRYDLOF3PrAK1-IN$tP?u={9E(E!y8`+&+uOy zF_}Yg{rySFMd?91GZa5{%Je6NKjoS@w^FHV?o@4;vgao?%2ZC>c6)tx<K?`SpEZA8 zUHfCrLDODqUHkAx*>6vt6uR}T_o-2Q^5*8oox9eh<)$yLFcj`idA3{Z(eo#M+F=v^ zWL8Z)r>bkM`S(cW&)Ab^7QR@?=k=f|#+}W?vFv=7o|~cdj3sijr$1SwWvn_sZ}pTt zI{T$&mh7zVcsVa6wlm3b&7Q@^La$yQuQctdd@8r0GNx$Pf1h^@mkUl-e)+8NY+5zX z_vwD;SG2`Uj_)lBHTrYm)6uUQRqoC+*{%gI>x_5VXg()1(KdBfg>qMIDD#cm&pY)O zOU&zh9y7CfzV+$5Q>>dDiry`LduaZ}vk49r?-i=|YuA4;n;<gZ&HSHB`2=(G_>$-O z>FgE7H<yV2{QX&SugIsfOC9+CT`6^AI#q7q|K-X0#Pka_-*t=5vsAB)U#p+)^EqSY z-dnTne@0(yS$&Vsvi*B!;#=MOd|_A3+u|-hJE``xCpz3#JXB=$J!A2g;?DC|P1QYT z?EOOC`M#I<^VpyK9SiUO_bq(&y@Ku7h5cE7Q}%8-`g59eTsd!7qQeX!o6nsG4Zp1W zmGS9ek=KXw)}p4Tv<p0VCa?ZywK@K!=iC#YvR2Q`$>5oNSS(;RD^GV~`VFQK;kM%& z6mu9)ovU`?S(?Zlpq!k-BYjwGft~u|q#GjJ8mx2@N_bWu77IATbnM87up3NMw*I~$ zajivnfgHDl_~A(f^RL*L_8mKJptyv|k5M=<Vd>X`k9L1N$7tSDJoZiH<jzt_07K zIh>uy9pGQ+#k2X4*n)YFu1KV{*L1x~t<!A#s3>|O-2dZKiDxak4w)akc=X$K9nP{{ zPO4DNVazg{p*P(*kvpLHQ;)>5_8N{=tm}^%s7U(7nI<gz)7L&F{MUXl=kF6fy>gu+ zdRScX_WFB=ZyLt%X|9huY+3YHCMa%iYjN@qlO=nqIOF>t7FZlt{mQmS@bHX+yYKfj zeNL)SpY!XS^p7;2-A<=gKeV=Q(^Y(W^+T*e_(d=+aky*3r>q}(U5SYvm8Lb~#|_Mv z?2+2%oisx*D3163QbQk3&Gl{Xjdteww@K}L_3^X&>BGGDnM`ZKPn6bK{8ak-Bkb6R zsvXb7SH6oDJ$z=ur&Yhbl4nT0dmH|0eP5!>j%U{c?|u*C(Qggj-&ghf`U&5l6ThxL zj+Z`sV8W+YZ{x)eF96f>haXJ%wCcb4kqgF4_Eh~gKc!peQTg>-{-o$LAm`=vE%XOD zZ~Kt~<2xTh&)%0h{Gs5g`09tdb=&kn{<tgWe7)dP>C`{B4d-xt|8*twaJ@T^y7Q^k z|87ejb_c1rDSG%gn3g@P{_x4`mCv`Uw|#dywff(E>BGMjpI&{vTfgmZsCLn0y$t1V zr}?*p3i>BX7dpI4zO*aI*y59Q(MGwG2hQKL<+sz=-#2rI>+X5e-=DgvBdnhC{>s|` zp7m)#jn&hC#PKX%6Yw{7$+6eJ4fhDXs}x=N@mFJr`J9@KRrmRhU(mhtJ8q4gx%{yY zkvo39*8JOlWW(Mpg?26Fj6Z=pLwTR|rdr&nSDD^<aL?pDUG{y6F&nz>?obr@=c2cP ziL2T!bpENMr3Q)S4eYJ`M>kkROy-ThBB91I`Rg7}|04#LEym$-(uF~O?FVb^GScd` z+L{mkRZN+>{OLQ>im7u>ojcJR&v9~B?va}k+t{Y3L|iF0%;CG|W7#=>y8NyOO{KRw zxD91ao_pY?_qBZI=OafYzNI}g42p1S-sf#7bK(qhOJT+5qfaHirJRdbSm#j->Q#g% zTg;x>?kW3mrVQuIsj==~&ptPdIWfmRKBoI&&9gtOkE(cfE8Bb5+3VU*{i)QJENS$- zTe@iPRK?<jKZ5o}J#F*4cIMZ&Z_8p_Lr<(=TP*W0_R)_uG0!_gR`Z{{Y1(HjS#XMT zLA1<r;|EjpYrb3fr5@0{zfJtHMWmiO=c#8d?shK(k1sKqvo1B_yv46&4@<%w_da?t zx$QEq@@4D3e;4nEyemI?w?V8nW$DM?lU-kSWrf}=UHn2@THNW@+gWvmUpmsi)D^7L z6!`n}K}QQWi*Eb128(U1{K{`%MEUddB<FHuZlBL~)X=nLOSZd_Mc?$x$K#jwznoxk zPxpF;*3`|t5>m&f79Ee`Qnrsh{K#U)K98T8@^Z(Qe(7<|J<?&Jw=7*JPucBQp`GpG zKL-6>k7KIbZrU$?Y*C&4dHyDc357jt8ob$Sdy+$*)y4fxijis8`jW20-E3sn$NAJw zrvEapyt!gu<I}8Hy)~Ahem%+6CU-*ni{s5S`%)F(cg@*7?_{A4e{{pG13sO}aW|N* zG~T>oagS#wbC7O@W*`5mv_D%uA36PLaqzp#et%z_`2K9Fg<pzUp9S}`&dkC$yTAIg zyu6uLCG+{TtfbuZ7}awf$$np|Pv%cKy|iZm*OAqQdx8Y}b-d2>EY9A!F#ELI8f(FQ zV#lYLINyFcN7Q}4$((nSO3P#>UoP0StgradjJcKJ&%1XPzS&<IZXLZm>0_15;>#sl zYdG&uU^d{LF2nQrQN`Xn+m`q}_;hiv<niCz_5A;@JMhP%ZA$dKchc^*JHJH=xM#A> z`E+}uLEqJvS$aa<PbPG*{eQCZ<kr3jnd4`R(!Yr0KCy@wIzEj{^6U8>y1mIhFYec$ z5<mS!p}SS|yzuGA5#mXV3yyRj?XtMXrMK4o-YJW1Y}2nv9}4}{d-h1qCEkN)ZZ0tC z%Vu<mIQ`0^t@YhKr;pSAB(-HM5;`xQ{pg3$tdIRZJGxu87M|gaPHl`(pMKY5#@|<m zerm@nKc8`IuITmCweE{RU96%itGfcnS07}#`+UD)-^_z7clmCK9M3+;a<}#BGmA7< z;S%$J=qF#~d@kJe&z(B|U_#S7_SD%jEC*Tcs@^NJJkKs%vV7zA#}&#m7Q9y5=)7)$ zkfYn2-+#(ab?OJSS}`2e`+1OC?Zven(R#5>oV%3s{AIYobiNFCGl(BA(+%d=%XEYI z?;K>jLHu`fEvB&vm$=_?-1&oF?M2^?@~4aJFLV^kKUVyClrv-@U)|q08Evr2zZPL^ z!X=@975YLCvfLFck65=2EbhGX2Ny`EauKiKi_9If<a(2{6fDCp_CKo7oG@qg^W%kk zWIWE*8rn^~J;kBuUG>LInafNkcdkFW(PA0<q~iNsj|<OmC@mM?rT)kwm%ZY{xmW&N z^Lid<6gck8vk^T$@j+8jbAeSKe>3+f+Y>V__}Im#++Mc1s9Y{)!-`%D_42@jtWUqa zu911qDz1~KtaqePV@JYWvqwoX@7cuHTz8A<db~ik<jBeA^_G3XjoK+|mS`955snGC zR$<!5e312N$8Vp!E6v=W#8*7JAs!RZw<Ejo4X>Vt`<<^A-&n+_D8K8rC}RiFZZf-@ zxK9awPnEH5<~}7@Zrmr^=q}@2tyW-E&}y^f-K+z*53*KvPdV`ILDQZPuY~9V$9smV z8%%dBkSVkkx*-HIdmd*F-yf4D%k~Oc2uAp6-Lh_c-1v0Kyjmd(u?W9?61BoVOg6|k zSE_yROtAbJ^~L|e;{!#X)=Eq`;Lf8e@P}iUgJdnQ&jYU=%5RubkC<pQu2y_HRq(<C zmUC@W!rjW9g)GE_;%?^fO?|99r)J@$`WZE!ola^0Z9g4uw`9+ydKaeGhi=YUCEYWT zvxg_0Ic!Oen7ir*yE(sHUkPQDFljrVS}i@rkaG=}=K6IF$J91xEZOsFe<7z1pL%?_ z?Y@tkGF<BM{(I|$g)9QLCVtxI^W=1|o6>$OVc{L~VrLpX*<$YLr+o8ej@`}&bLJfA z_+o!hMSYtlCy)Q>6Ri)gu(Y}Eo7-~o#=av4S(TcN>Bado=j+G$JrG?TWa#mv{Oweh zZ=re<I{%#T^-jot$~7^5&r8lXD`OP*9QIByH=pv7Z&7gF+X%hdINyZ&onfr0=IXy* z6fBeBcpCSk;yO=J&OWu}$1~E*+*p&Q{b_T1=XWpep8t&Qo18w~cRqbT`}xQmmNb`t z`e))l9l66&)y}ORxqDkhEZ>p|hbkZO{xszhJ*d6j;f!}ez>1DkR@O&nS$4Hf(p{tc zO#OqO#$4|1qfIPjZIjjphwJzxBxuZieMPuisKQWCEh2Ga#GC^!0<MZ(7xNZ+A?UYl zUc{^e=?foeUlTnoBq6%$)~>BbKe5>He{Fg7tW&foSHf>w#F{C;dkQvvJ+g|$ZcT`e zwPoSdQ~ZlSA!wdj$~67$v6H`zwHDu*Ip=sp{z=`qMSD7@wfy?USK!MeuD!vlAbs0b zPM&jTt~Ii0vnItdy<ch`@v!nx)yc?r&kwA;Z+raigaZMydS~4K)OKF-o%$!?EryNe zYu-;gzxeh>%|<rSO;5Vda{jrwJuBnFhMW0UFEzX7cqVj}YO}5Ex}hbhRJqdr&vNaR zx*zA?DxK0Fqxa}km~v7y)7Si^(|*s{rvBm2+K4;d?c0Sb)-AG|l)X%r^UUs4`JLAV ztc8D^UK?>I`A;lo3CGs&0onKOa{8=^T6w>0ihs2~lepG*=7~iox0p8?Pt|t$_R{Vr zTWFS~@Ap+K>q1xY+*D>4`l0mu;gj`;r$5}6^IoN3RnVyuC!a5v^G`dpt;Xv8DgE$o z&L`QOr$6kw=FBOxeu`dE?4LNM@XgL|uXA0g`(C@VfMff8y@20$`JZqpEZK8wy`0~J z(*?iJG97=e-6;L=33ur4{uBP$9+g-BE#{Qr)Lef~+ip^_0w{s^3s;yg*<+RW+vVMA zQ0wl$Nn<mpxo7!3g2~$H)au8p9&_%I_1hOPH+;^7*_XZMhJSb$*mrQl`;FVqSxr6g z^34|eyqW5L>K8UNIdfd!vm#)Q=YyqR?AGrInX-G$m*Y}<T&CQf{H14)iBEz<m0O&U z+68-CWqnrR7t`lWoZi6s#rO1y)l*J$e(^l7VILR%<eKHD#YOYFPiovQGHpDW`7<Th z&O0F}%I@Z*^G~BxKAn9cs}{d`T9&1{`$5yl*`?(_cm8}-#k5~DJY<^gK97VfHM?`~ zKD~2eI()fb`DS4Jsi_4!;x+Wcgm3!(Oyv8nB)+<Hjknmk)+yn_j@NZ!_%+v`ySqE} zVU0(n>BQ3Whgv6m%2KK|<Q6|XcenP}>XgKY9q%h*zskOz+3MYMXx7aQi(J<0^lZ@j zvp1%k<J-AI_TeX<>+%0iS(w{e^Ug8;zRs3)9saYweLa0hSoG6M&7A>X&L8?8@}X_V zxANAchBuG6q}RNC%a-==A{Tc^O>0tsSXF4ug4@Q;n=dRn#3UNOP-0T6o~ZbXS&F=8 zoj!AMhs4Hew52X|cir56Izpu_Ju#rw^!5Au9Xcj{=cnttr9J%k$!__q^IP+!rncIL z9C^k!^J3(WWY)6*lNGkdByTcMe4F07`^d&f3Hg;*=AC%kUl?iOng4dZOz@9$M|(SN zy!#+GzxC-%7h}E8bIYEpr6k5bYpk5QspzYLA$LCelu6#5o{=}?PTxqo8(L5#_H0V^ zlliZvsMsG1-t@uK#5!|(*8QEauj~J8o!fDIQYee2@9eD=D>4rs`>7OWDw%(4k)vZ{ zher{&Z=d?)&aks7p9B-HdfdCV@b6^a+odlSoLZr{S?XH;%}<?9i8oJ1Om^dy?(Xo~ zlB1I|HREbT$mGstymHw}n^G6uOkVrhW!mHu;-Pb08au2yodk=8T$SFbyy|EPc|GyC zTz-&FUU6`#Yf##@go#&GJ3Fr}I`*F(^~TSV;OISSj0_Binb7YBPs&d$N<q2zb8f&z zufql+wcoXwe%(6iH~&-EEk3Sf?YnGU9w#P6i(fZ=sCM=0!~M7Ox|FIVc*To$%&9(a zdA;$uSTE}r-AEC``6-vDq;EbsM<!*Zc0x&V*@G9af7E5KS$cYozLMP9NjZLdd9AwK zIS!b6b>CvQH))@g<(<0q>HA;J_x~yFGh@1%v0mli;sY5j{-qat!gN&FL>0UjC;jq} zV()tW%Oz3Q;!(=<<?k)e{}NSvtN1dxX#c^s^|Lp<c~-6WPWM_8`x~|M-74C8Uq5=z zOKIlV`kZS{-OBmv@7xbAv$kShs>psnDxte#-g3@*kEEhAGLyWn|7EYvzOXrG+WKin zI?aEyaX4*M>YZflbp7DW>G@I1m#*;0*|kBV<n7&hKZ7HWD<&LQ^eR6*Wgptz>%D1J zi`E4)GE4|$WZ*$LySyR~esDPhtHZDT5jhoMh8C_)3JMBF1_lNO3JMAe=BbGWx1*l0 z{T1ifHGjsJ=8|{!T>t-lvU;J|aUbh7bHeVti!7Kf`Hi>a$9dZ?KC3=|5Zo*OHhG<E zvjgMbwO8ic-!Hhe>~o>6-+bXNPN^f0ReTOvxAHw-EO_Bs^h8U>3-yI{EB>1<wH0{! z-1ya$--)TFg)5$ZI&zj<|Jb&KwNB}4AGw|^I~qF0E&G{lX=v!9pB#*4VxPC&D%p4- z+d6$y)_j{~8M=QRjy9yP_ezOrF6K)5xt;s*?<mc;^R{1XaLlp!-S+72^K%lbn78g} zUZio`dxQJO&ZAdn3B4;3I#7R5)AMk)s#b8LdQ5MB*ptieSbv3D8Qux3cKL9gJ0iHf z-|)!x6J33Uti2yAw#3Ot&9Aw+HDJLjGqs7Iz15yXo2A^FX2)3?bL-84OF5IjYwi8M zzNY8-ZFT8$0m0K`wm*vh5^$VjrCNUM7Oo91&qO?Xy+)k7{G6_%2D^WJ;oRTXl~+0D zv8NqdxoWngf5P<}9P7IccKyG{eKB{*k1Ks|rB<_*JiD-rYrnJgOJjk9rXN$+-d-DY ztMRb-N}l&mx)usQ;$mEQfAUhc>4s~+YGjq~`nw>1dXuV=byNGn=!p_i{sFmO7XIg? zCq81?c5ub{yrg8y<lUb+l1jyW-p9{=82V-3lOwa$j6P@!?3yd6BOD?3GP}Kob&u!C z=`A`b6AVu4b>BBxUm3G`?&FVl=AHVTwL@_8Nh{qI*M#Rw{fQEtE9^OYVTHW=>PJn# zggi~;RSy+ONxHna^xH2vB(Hqk_1vo)0>2;8<7H~%Z~XbC%uw8_<lbpF@%LJW@n=<& z)1OrfCPsc-Z(%S=y~`l|o@pgl>rN4Q&NH<fGrucJ9hOp*-*t_hyKDQ2h%TeDa_ip2 ze!lG6_dfsMKDp<!g`{?<p}hk0U6tboB8SDcPSRW7!aqOoN%P*8#x->pAG9w2JMpH^ z#FSHpc7poWo{@ga$@O>Rbu$<AUD>bcU;bX|kjF}ig)b&-?yo6Yb+DsjqQj)?d<Gxa z%N$vHGe*kHH_~9|jMv+ro%#2DWzS#JoP;+@-(9Dj*E=3-Hbty8#@7A{pY57IS@9x2 zHy^thykVi(p*bIRzUz5rvNb+OGw%8h_mA_XJFYESIaR9q%Uzemho(7VY#}jqvZdi4 zPoB<*v+Pq@9N;*?tZB~2+Y6%EAL!4Dz7_oEvD%c){R^^J^E~`EQA~$H&*0zx2#El* zXNfOE&z`Jv|K#_o$a$yb2Ep{4iGc!AE4@W8Z{(ONQ^}Vq`E>V_c~?&TQFlB1@5rqO z{gYPizWh?|m3!y{^YUh&DOdg&?U;}dD>qv|Gufs0UXY%9(lmo(m-TO{ACmW9@4K=4 z!~+3`T6yVI<E~Jvq8hPZWt}g-8?2T~Szo(t_1ft#<)%$q=-l|9U+dxz7siDqk!tKu zG=p0ImPV{R(zcl4$*z|N6|crCeo)iDHD7#2f#GWIe&N|Ik6EjpFdX>F-0ZPNr2e|C z@O!QPf8Anno-0Lnt=IY<n!fF5?yDBPMth^>XVT3)YW}a8)iiV6F^&_P%Bp6xK9R|q zr`J2*YVo$qlNMV1+4(lSIC63J72RLEniE&9-Bfv3A$=Qj`2OQ3m>FE=DVeehb?wPI zx4m^SXZG)12fH);EaC*L{hSX=K4vSkIW4TepnLC*r%!p8cKP~z{O_b%v$)ad-tn`S z67S^9H?vWWR?K_x`TL=X89Ca^qHk>3|EHNte3I0vt2u>}We<gltu$f{nmgyKhHUlw zdmlds%ULjdiEWbNXMdyqt8Kf~l-JxB-6#B8v7@eB#Xcf>!{co`)%HdEPAUrim25X} zRY|a&=GF2&S`XLE`QUIl-=*rphvWZxqcyzTbc){Jf4MSv=QICB8lF3s|5`1O(!$`h z=4-NXhKVnC!2I)va#ml;)HOX*n%g6C!>PeOX=mzov&VH0z6IF)zcB4iMgFU6W_pMJ zSa3X-zo#c$H%YYq=-$1SN&EFUWFA<pm{9XBLXGic$~>;h>dl6`_*WDNwz0bIIJPdH zkIg@&cs1+SwpR;F7b<?Q4YL1mhq;*P_=YL_G~FNk?sIb5TC>>4)l_ZnqS`4kroxl^ zFBo!)uGJ~Eubk?C<8^G$*6aJWOxg7DX~|Cu1Fhfk+7DUWc3m(pP7Zkx=PkS2YIXHf z{WDpK<>I=*1^uhpo3=-^{e1DOlI=ZL+I;cP-#Sm;sL4@r|HM{UCwOTx!{!x}KL44h zyzuGL_eUOIQM<ZiNsz_-W16$)@46>mtp0h?qKBf(Z5yA-SB51Qbg}K<k+nc&cH)mb zYm23?mTkJ!z_9Y$r2MW$dd;hfrz#8n7e2mn2T#Cz-^J4`7X@F5xw_zw;G;vii=x#x z?mqTPW6PVF43@X{$1YqQ-p^hC;s5GK>x8$|Ez5pWINwlO_Q|hb*(@4tN%K5B6jH>C z4juH)e|^lfFz?IRPxm|SWj|pl`#;<1@u9}#SpJoP9^bp96?&GrIkDXhixcoDUR^&g zc!A_ko~1t0kNhfHLi?RgcOF^2QTFS^ZTlp9rp#sX^}1tGyEyIM#z|Va+y6fLdcdkw zx5A+&y}4V*Oh3u*m`%dJYq>cES6;RWGT7hP;O_kBtM<eNdT;OSRk+|(c+ULp0)}Lp z+nkpI-}c6CP1>vy`s0~keA3a)teu9v3y!E=GOd26{v|wgS)t9FZ`|!iS(27~zAdrB zmdCwQe&5~Kzf-UApYxZJI(o<Msv&#k4Ojn~)Tq7bU(WwaR#rRoa?c9Kv_%fl_S?5C ztCu`gyLEBUo@5P~a4&z0`|O;ujiG^(ne+3-!>_evFZdaFd$~rq+xi8sXQcP3JbApv zW%-+~8O-9`cGtUDI({+S*?3Y#ZK24{y8g@;v3nP=%-edAZ|VtlE!V9cmya!}cv6?G zv?B9k^PYZ3MoDY;Q%6?6JD0n~=(oxZ=aQ~BHX8SyO#jwo?|gfg;YQ|=qtB)4Y*o#b ztyQLcdM|Z9Q}1+%M|6ALvqjm<OpSJ&uDLsH(G<lW8+m%aua{r4;#EdrUYUGLkn({~ z$1hC%9nNna6&lGNULD43nemmW-?I0=!v`+)k3sxeGpvO==Bj)?z2NfZS+9y`A1Po` zjs0V~Nx=Rq|6=!&8)E%0uCy~RJ!;$N;TG@af0oNF>YB$-jy3P@&&V#lIX6wFJL-O_ z|MkpFevkW-8*99ZceJtXt(R9*pQNogT~6(O<3^dp`!724<zurZ{b!vQof5_I)^442 z`MvZ<$I28EH?y`qSJwTt^}5%JJE!c;ugnkD+xK-1V~pnFM83NnGXi8X!;V|mb}idx zmnrjh>1Uy{S(`<=j!f2j;{TQDXnyd`T|drznpEr=!z~qe$8XEBhKVjW?j+A?-e0@v zQP|uqCf#e*4PRX{ansym^qcj8*Im{~*QDG~&n2g~_OhJfh;&kabXHdL&*o#+O@(jf zt#7Q8J)ORqZ-bKd=0np&lBO8c7FQqK#Z<gB)-u*{-8W&=W9NVR&WlN!?XGpep-kd) zs?qk4^*ZePP9+@rvTl>X8O!K$29^IgEs^zIAK$Na_mKK}H7g{nMp|Rd?IekbpQf(j zEUjt0Aidz*gX_%6Ty5gt;*{Hey}ka&PCI+jL*425RXw);>&ll`T3p_#A#$s&UVZ9x zck}=J74x*1C6%TgtZlYndo#7S#(v(H1)j$Zn=}27?>WezyF8D78N-FN_DF+Lv54Ta zdR6vCaxC)OD%NHEc++LNyVX<k&Yc{G2Wv`oV|bq~Ke$<Ghl%OA@J078dUP~Tdby`$ zALIHi4&U!lwf`sFUHEu^mO-@n&*N*1%yZnY)Z7#oYOMC)+5f;$Hs*o78;|#!NrCoX zem!;JGr!dEsM3u^<HD}<qTl}2ZT`0^zTPIlRn9D>O+{?|yBF8Zb?<K86|B=;e|5<# z$<4E3zo`A}n;x7rVRvXO+oS)7<^Iptbdb2+J)u4L=04|Ic3G3nLNOi9^4d<HQ)WrV zZa=uk|8D>FSjMDcD+T%V?Fn_3noX0p<tR%3_kHtnZ}{HZNy_niUN87)udL$4qNpj# z>o7g)s+*;wn$8aU^ril@K3ttuc2;KBnfAN4cW@V8_-k94oOf|n@ziIrOAk%&?rC1T z{72vS`wUNmx1Y{3Ff3#{uy>wz->!r!rBAjONhp@s?{N>1DR<2;K7Pu4>T(Oip!7u{ z=WlWP-HTf+!S48~AZCHXO0~OJwj7ICx;~V(WDVo_lub?7mltxe%~qEDQ?tRtLUQt+ z+w)iKs0du?cJ_n7TIZ<&R{~Pk?siabWfz{sB)Zyd!sG)CnSVQjuQ=}r=H8yTDoFR* z3$8OqR(Gvop3J#RN9_2Ldv)tRPRRdyRxDuBp~8HHXJ><t1*)Clxiz^U_FCzq$y^zB zs@q@sRLV`<eABaa;e@#h9Sd%<G$_4q-}mNiPgugDc;k~CQ7pc;W^X<voXBV34CYz7 z_4Nxruh_NITvVL-O}@StcHsT{@yzo{jZZ{&Gc!+KS9kGj`UB4?Zzl8mSX#Z9^YoIJ z<)NjrqLKn@uTEaD{n}NN;)1Y<hmU-C6pk?NZWqm{5Bp%cA>?w9=<huzjPn!%Z%p+t z_fLDTx~wsDz0w<@4P1wG9*b&PK6Ej-x3KkiRu8XK_woa_%>O2>e=d8{;#KL=Wtv>~ zIgh7uZ5P^Czae$8^XXE@EjknQ)48u){%<m+Yxb%GEylq*M_fObnX6p8-lgT#dH>@c ziC?R8YkY3o%Ds$O@B2fsW1r2r=l9I}7j)h!ELC|M!nbE`Vyi0~%SUd}7R{5B7bs2I zz^lx5Yja4;hQ|{-o<5Eh?2Rv4SylV%&ZeW5ySC-r_i)`Oa79Pt2|K5KgUXGj<Vh;R zX59Z@OzM2CTyQRG;oR@pH(WCI&N;KG`bpip=6bHotM7W9e6{;^yMK0nbkE+(H9s$+ zQ^TZ&EqLL!>RU?~aw{^m?`Z{fIK=G=Sn&Q?x8KizHOa>h3MbEzc0MD_cJun>ou3a( z<Wf=Gc#2EF+xcbGw?6lBRbHM1qYfS6TZP?^{xPX9espcw#QB@Q9Fx8`u`q}wo$>pl z;H!zwCpXQVKHK8@_1(9cPee_6v)SWZNK><tP*c$>m#`AuYgdl`dw$m<{d|>92H$=6 zK)$~FUY49n_f+*Pt6Ecn);(I9{$Q)l1fK_*nf)=7uj{|jX?^IgxKeY{2j=L4XUaa7 zrE{*&R&kR*)U#mLQ`y2-MG<eCxa&8)mo()0G5v$={!=pM_RUYFrq_KFEH2oS+i#P5 zV785=jpmvo6OR27vsSvL^!A0m?Nk}dp#C$xvfG>Mw2HD;zr1g`?e8j%seUUHt@6W! z--vKtI`FeET(%;8bM2m6t5V*bmOhwb#m@VAn*a5p>?IEb&o5bhp@=)BY4e-KYgNh@ zo|!NseeV{7ycE8!Q~%>mJ@5SJuxW>B_`TJU!sj}68(y|Gk@-D8`2p91CeN}hoBMPw z|E+UA|HbQKg7d~}kILTk9=Nyoz#Yf*T)ni$o@SPgKuQ0o$j7HvS0=At+ZS24*w<P6 z?F0Rz8+@b;{_OZ~?`ts4bt_BsBDrJrU*^9!^XmTnWbKRbKG{ojL*!Mx($3cy+$($k zQDjP!q`}kp9S_r&ubJ?3l{?=noly6`;`*GIj-}1LcCMx3{O+gQef^d(aR0J2`P`@Z zzjm^9#;Y|)6?V?;OA6<DcE}>qufecjL+hIxciB9J>f9}E>-t~g|9zz2|J~lk)8Ew2 zOxxxqb-*C`*3Lb9LoS_33!OXnvC6;gx1U)r@X2_$#)9L1h4R6fMf~ljKl)9*{^q;P z)_|hVN7i3Ysd;qv`nA?2UCqLkJVJpXVLyN0oB2OZeaou-=W-PSu3qcwJ<O%><7RL8 z{w{r<PapRzGj&lsuxaXp-<+)dKN~%-ep>7Nk#ohokM4=NMiZOeUTQ69v5hS&oPB>* z*`9`tSz$b)Q?C4PJlEMe<6z>JuBYdYDc_m+L9B~Wty%tFAj6k+0juW}y!2K2!PT7A zV`y3%YW%(9a!ziq+1s_V4OcH)a*ek}sB`8oj|#IbS0mOI^go~1@p<BlyQi52ivkU* z_c=#q?^1p_?U!!G^?7{%=PeIZoB8u}a`h39qHV8k83k0GxGKxK=zC?=C9hfE?>2C+ zX%RYmA@}gh)oY8g<Co9-b|&Dn*P?T?7tJU=@1ycMY3|ZwwTKh1<emomZ!E2Sswi3# z`SO!scHE(5Nu~LMFH$5reY99BZ@X*QuhmW75_d!X>34U&Fwtgy0eM}m&%N@c9n5@3 zgeUD<?J!BIyue57YzAwD#hbLBkJmnBm=~H9BY5`W9j`yTj(c%`{XI1}MdZEnJGp~P zZ{P3y-Kqa8_50&jmmV~*2E0&}P?i6XE|}El?2_CkUbgtK49}Gb*4twWKHs*Gou<~A zebDK5iACNFHj{MwOTjYhvzz*UTn=C;PyUsDwQ<Ah$h8Yhf+sQ>#oW9aqMEC>zanza z@2%~%Yt6N{@9bXOyFEeI>(K=prHrzMA`YIEj-V;;Cw<`C?A1DDmY3)``H#Q;CdvhJ z$gO(9$96t7Ep%=B!qqu{SFU<fV3`)(RPasnPlD!`86isq1FI(29xdGUD?P-YSu3pE zSFOm~LN|H!l*Z1vJ&P1JE_<+3g>7$k*WI{tkB+ffegF3S$qt(<QzwOSR|wSZ?7u9* z6m!GMe}Q_|tAHgn+r-WC&h>AI?rTf2x6Vku#pU_e_1@AqE?@Hwy|3DwaP(yVnh+KT zWAm4r?wPLH`Rmu?*NeTj>FK<Ba46rlI`&S9pUc)Q=T`mr^Ou9^WYLq%mxq^BvO8XO zU6c4V=TMx-70z4s8J$W~Zv^aaZa3S=_^C-SPjhCfo{FKP^CNan<tg)GJDYiVR1;Vf zkJuZ9f4iHxht>PEu&!2d;VXxqZkA6!y2Wi|eZlF)I?tnKf!j;xJ>uI{?=Se3;O-S| z>gw6BIp^k$&)ji539NRnHaMwH`@H>!MXOuPGQq|77C!oU;<8tvW#*G56L{o~`o=#z zuz!Q6U3|vH(}FAWS@YdacIIFG-B)p6dO_lnGt2Y@S4WHPx@SIP^Mz?T!gHi+=f|&n z$+E3kV9xE#AOE}hxc|NSFv;iEv2PnynHJcq&szHNj?p<&`Ad4YmCO5&q$jx-D+r%n zI!DxN-QhVG`<?zj+LP}kRPf((+gr9hEDW5xQ^K>0Y;0GY4Knu*sST-ld1l|F+cWoG zFj|z86`tO*jIZL{GO6#i3p=Z>P1~i!y2kZbs{W$xtq=Wgx%j;BFSorGd4G1H+`68L zf3?|ib)5_Rx4KMuHaXpG{#66jor;p{maf<Oy7Yzw!_2LQficIG68Mz9t-n_0cGQ)@ z^UNRRB%x{UrzT6)F|D2!Zgfig%Zk16=Y(4__n#~{5#uWpb~~6WUN^+^Si+=Pu`H}| zFWSsSb9)x0d<=fKtnEjzK-^yr?z)TTH#Kk>+%sCO=47?u%e<NUSk(2R6Xv!poWWwS zxaO4Ns>E{&yle9=WZvr&KF#HnwkG^b$bk!we=S<FqDz07O7m@@FkaOcI`8YV@*}FU zXT|fp4LT6v_b-Vl#Pa(QoerZnNrq8cTX_r0dZRYR_8sK?y>+RPScCm0U6;?-Iu8EJ zP}-oGvZQ6!`jcCvy{{gcb4qvP-sI=;M}nnJoBmbWyRNb<^8VA4hdJuXt6SgocdWkI zrCIYfVNQV8>Oa#@uT0w|aQ*ZIrlg6>wIVB5wm<xK#cTJRPck{7>C=xbKd8CIzR3Jv zBCFr62gVn78LBN>In&g9=^VKap25%bj#cQpRdVjoPWf$j{axWX#<F+I?jCBHc28?g z()=rYLf+b;j8fm7D)*i}nY!IMA+)Af&zgTz`PJIr6P`JnR=xN8J2_f>s*426tpHUC z!+!@?6qaqfY;9ZMF5^*Blq8;8oZoX|eWm#oNt^rsmuCv}y=cC!74qe#k;K}NU$g$~ z4i)8C`cGlj$u;taP5Kw+o?n#VaP_`OkSJH%-#G41m#Z#4t-XD?{qpw~gFmOe{?D1Y zVQ0|y7UNph#|st-uGqoxYqGQnL!Q}`^j&fpMw?nn=4D<Cw0wLwP3nfx@v1LIOQ(g; zT$nU>QQe6dPcHBN-t4(}$-m97EN^Mqmlyp_SHE&nXmg9le(vzQho|Jq?%Hd0>7UM~ zf|}n+OX?yYYu=vpQl;>Eys!65)eNaA-~KA^Y!MA_?A7CKwCBF|M(tRY;pCmO>ujDc z3wB~qX|EDwtY3akbnmVm*S~t(i0ZEA<&8A$<Ctr<ed2<XC1!^hIIeb-osBtR%MxTF z6A)dW@Zd)F9VPw=p4v;^-I~!KF3)pjXY-y8XV0FKw{%{vTD_X_GN1D|o+_8_Rqvy+ zjI{;tDPFrdLEL!9epR7!@9R=#3km4!UiA{$ec=M*#vfdnGvzzhrtw>?d3NScOxlK~ zjk^-6GE^&`K31&eZqsEdJ@+v<&ywTBkrnc$FSA6oex9y>@Q95=?9}?gm$TEpPW-BG zlX5t7|4*hnv(q|^F3rlboqB#X>w_6f*cEbb|IFz9@@&4r#@+*Vi`I!es&7l|Jk-be za1P(4Yge2KIe4NO0$6@}e9b?s8&$?p=^MYxEAoG!cvpS=rjyCH!{eVu&bt0KD&ocL zBHoU=<yp%bYMJVDr{^yhT;aU-oi~eBezurbncY(l?jGi%#|aH)x915~2rioN<XPkA z8!Ls(a@d!>{J#04vd+<h_0}H`d?~v*<HY{I1)lx){9QBL%HM@f@j3bW4A*WZ9%CNu z(>%7)-`&{{^RK-7zUA<j<jEyJba%;2(e+L0J6kQhWWHzLoIBnJrcd9|m!uQ0V;jp> z@$Or0G0*0U_C8lnGb(?m_B^xh=+@?&@~^&G8zgV}xR-C2NNTF;EUD%und7@|zQ4V5 zv8KhjpPFX7<mzXYd|0zxU;pKVzmfa1H~!h7_QdPJKhezP_E!%ayY}coi&*OWY0Dm2 ztzG^$@S&#t+rzu&vOZ+uj94|N?YENjfd!9vZfpNozr^cs@8^Gy-o3cL%u3#*dyUw( ziiIi>3reCcm#Z0hy;z#Vu;fBea-+v&%@RJ-+|VnP6L=&_VpG2`#{}LGWHsSE-ZOhy z2GefW!cvdz`+plr^`2a0B*C*Z!Cv1X*~rQ#YQ9ow<dXjndOFyyNTn2KXB?g5dBt_^ zT&Djwd01Tq#ll!0w`Xa}h$ZJ<@^F@(x#Q5!(h@QAHXZp|pEyMY<%i9!pJRoMR+;tv zc=fOK{KdwsgR5E2I(}+nEW4xefa^<O$LcfwU-vncDZIMO?;=)l{ef%A>-m!}aqZ(Q z+y3xM`@1NC<z4Q}fBrB{)H>35G19x?K=N(Nu34T%F4uHV$TV{MEuH_HwQn8kfkc6O zaqF~;9vxB@n)llE-^*#&y{#viv0ZDF3*GUCE!gN!n*N1TazEE|Z#!NWcfZzKzhLR4 zZ_iIGJ$rw>*8HL=a}Qd#xqW=?$H+G09;1ExN}sc0cYj^0ymsx?o$x=s+Y)UreoXtu zqxA7x%D0eZ=bGamYwUR{6Su#>)Y5#C-DSrkzus@rl|D4@jE?gK-4jz84whfqqbsa) zY89K-YRlpx&U=R#Qv9Q}tmgBu|GwDoZovI&n@GvE>!nR|o~gVld~yFl&7;%e*?V^A z8cyC~!_%1{a^M&1eNHdoyvE?V)6=hg|L$Ml?#S%?Sd{ndw~lGc*gsvnu|eSbimy|z zwN}JFT=wim$)3GF_LsGm73j?Tc)BON<N42T$3Jenv0?7h$x<BE)t7Dr3L1WJ*~)r$ z@`4!uyA1rlQlb`pIdWF3wKV<d0f$S@w-&Wl+vwhT&d;y=jLjmY+G}t0hL>x<X7jZE zE}iS&WRf1K^zHM3qQ;}Yo?D+{o3&)0T}N?6%)XzE>!NP>?)(`tWp$c^p(N*Bh4xLi z|7RS^4Zppg=}~!L!-gfS`cgA>EABe2Oo(_qp=Nh&@{)%P0?KL;#|mGZZW8vCuHo+p zS7WJra4=}S^;Z7mDt_<Nv(GJMo4odh-@>Yv&gc5ie`}{-n)dp#Fkg1?y~(!?cx*$8 zZyoq^`XSfiAKh~p7O&%6uE6<Z>c&u=%FtWec)mYiQ+3#q%6yx@Q&uhf$#uJT>%K?W z1XOt4UVD9^R{R>Z7gw!pxbIK773w0lsG2L@?S0s}Z4ObrZ=SEYGd+lLo)xFrAu-(+ zg_n~SZoYEaBPmQ~W~c;1-or`V@ny#pj$PX&xX{K-y!NY@EQ{xI6-l3?+);XyYF{V5 zJZHSv$Li#~&b_JY-_3sV`JC|cLyYMMSYsv~aa=ihzs!`}pN+}uO&?3@^d2}gDLv<s zh5fzwy}P8(&0J{9e*DH$mj7apFaCS^<&=Q5|B*RM(r=!XtAA*`L@)Pz+2ICd;U{N> zrG&oCRr|rXp<Ljaz&?izjjD4?79G8OI4Rd>+M2ta-8+Sj`LEx(bgTFc!7bIgM=Okk zH+_8gb3<fSv!eZmH5Ykg&#sAKNo3}ATf!tDBRa*V_<`Q-`M*DwvTpIyo9))9lRfc5 z)L&Vb?*SskPN{DKGj^J3NM{?x+qI|&Et%Q!*|Rn3+7_R4M#culFV;7=7p%U2X>!t@ z`DWeSOI#CX2Xd!guH1P@X8p9_itZ@xz5@R*oB#E`lSrt%Q2T0uazl|!=f0J}smu1x z{NgF}mTzv(&E5yAEF$})o$XdsSRB2hqZY)drjzYl!Tt5ip?9(F496zDTiNVzJ>25q zl|{$@#}=>h4EVOx_j*^l+xPiGH@U9_Kju&Ht6Q#iI6CTyl}p{znNc%J<|VX#^19h% zp}k<=gS`gqyO&>nbakIx$7PP~Up!d1hZdWJUX*Ct7`-(Ad5Gh&4$+dV+OnBK`*b7U z>A!IL=koHQpWptE-UpQY-WfeuVRGlhl}p=7)I}%VmR`~57su^*sgC1Ia+_=TssF3O zCf<K&_f8;j=bvM5Z7-h=Q_g-9-^li0>)n*kkF^Ef8Okc`X8ho_@=otoQ(Xt=F3!t7 z^(9kFO1B8*?RX>M5VEOU?u1jdIH&g!1*N>UV^^1kOSp7%`(&pF*(FWgGku9-)z%tL z7DvVf{F_<izM9S0JHMY<RdKJ?A(_AvI?bA24z6uJ#hClQwQcs!#}6_>R&71AyYdA8 z<Q?+MPh`J1wkLUxToLoRRVUo|*;++hBKQvTTv-{CKIQL(sY|vkvYO1eaz=>D^}l*I z!~2#jT{L-enanA7q5JDLS?2xa78B>1T=i>-adyhwzUQ+ZO;Kgl`O(Ap<-ca3#PvzL zzvsCh-+tuo<%4h8<+gn+RSYg#rn<gVdp@6%M~=JQWu}?Idl;TRTOsI{d;OqyN5Y3y z`&^exE4FT*;o0{1;!WwUf+ModI)bacJ$zbT{ao_YC@js@$nTGANj=ldTY5>mR>reT z+O*`#>F-ug3UdOdO3vT-xVnZn;X&xvgNseKKJ0yZh3mLU=^?|M?27aig<4x<?Pghh z7G#cC*>Ygko#_YWZhLu*tyQDkb8}G64_(8X%zI80tke3ldA(<#lHk_42C0&a(>6cc zFn8r!z6uflxXY(zNiuD_v`R3t$NN@X%}b-;`Jx%MuR@LlWJn&n8*}=D6Kih%6^)$C zMLOHRi7Y#{?hfN*E3d{^M&T;`31_Oq9=tzh)R0`o=ce$~>1^1sbrv_R0=9F1jCt@j z`_PfOm(TB0?X+U^JiqPcZxxe{!*@ici*H$$z9gYSi|eR*&Z(BuGEN*_t3OTeRN1z$ zch`(FFCE#K?H_r*dTA+oE@dvyPL~eFnZbASIF263xO?`*Q5#R0hr(wYlR~2Uw(YFg zblJXlzhz^7qR52Dk5Zcj`Z@p9Tjd?O^ZTrk)?cj}d*(grpS4d-U=p6QZOd~tE&W{; z87F3kMz-B;*4%dPaL7G}tFm)j?#bk8FZESv(w)PvbUozS(W+O|7W<pcD)8a?JKrj2 z<6(Byd)<-il@dM#?K!zctzPe*(^Y3n)=07UXO*TlU1t6gxbL;w)Z(RIpZb5;^Pl(q zcOEWBO|7VWlUe3$iur5jX{~+CTolK-+JP&Cy}~el`}%-XN#!%gR?RoO6wt<?w6wRU z>4>k~{<9me$@{Hkzo_|Lf?;0!w}}i#ubo!5pZU>Z+OuCWj9xagJHG$g@vlmOEz)u) zi{LA%G@t#)+A|L=`2BSK2E~16J!e_m?>;msuYSMlugsSdzNu8|*l~r`h|E4PbN#zL zi4J>NQ>_-uZ*M;H=b3i@q}(O@W*tqf;%X`M{x?&oDQ#Q%3yv>38NZIL>G><1;L5H! zH{jN}-9~4t0=G&0{j1`i7Pt1xah;Y++a*@=7iPU!obxH<ehl}gru|8O`M0Z_Gd0$~ zwDe``zL1Sqw>7u0=JvOrJ=V5ps-$Y8Ot<wqyJ|k0y?68%J+CW_R<HQs^x5iSQTqQ5 zv+!CE|GNeM_ssDu*wlW4=kN2|bC17Rd;CINV9K^TN^F`(4I*@oo;fN0=FZfzWtk_L z{C7Y36<ghW?Qs8r<P+san;v}$+r_Q0Lg1ad?`&($-1gTTtJuQo4Fl?p7`|A$p0Q=G zWE3th@sF-9j0`fBm+aLLnQ?zpvq7!2?g_!;_fP(B@|`Dr<hI#yqdL}Y#lNS2*}Y|k zHQO`qz?s>9EBho21g$dOK7QR&vstKYpY09aS=@_1Htor&=iR;`*X7aQp0f{Gk4ZYL z?y7$#^Zi-lUGYF2p4-7pA^T*08n=I9J{IDyv*6>Mfan)D)tGNzox6)Y*)Zpqbp3)! za+MiV@}DeWx$(HbAWwgD0e{N&8~zH_OJAItu72*o!k@g?Bj+dIQgSGmb$@}n_O9|p zXLg+{Jfm9}U$<ekL*4S24_u|?sfBk7t>@J2kKL#9Zt{C~r{!<wU0<`(Gg?q)#XI4L z6@MP=xTC8UdsyVOzfUXI{1>mq<2URm4ES{XL}rZHdLAby&Z&2e_W$9M%=!IVgGISK zU%n|rr=-|hLrneBOSk-A@>x@3isn2$$YFJ+!Z^@NS?#^_C6meG53VK8=hD`cJ8o9L zAc~_TcFvj`OFq2G(A(ZIOZm&O-?vIwLM6gi|1tWz(mX(E!PWYY)9MbN)O~ue>`_he zsTnalTXSNc3t#`C_^;?&?Yp)!d!Gy0cTKzV#BQ7U7u)j5JTE!Kx=wI--bh#@!Mt~6 z{HyoZqWJT#bUe8d?##JoQQ+14PyC<0&RO7mTsixb!)=|qmIE8_25gz@Tb8orXSi*u zEz^3Y#g5-+?0mfW+MHWw1%8DFnCR_0|D-7=vC8JUhZJAf2d(f8jvoX}8;$ic1ZPQ2 zc=zCfz25Ecd+*NXY8`kJ5%;Kd*OWCZzVoZ6?qA54w{G{BynnMowuSqh`u>W&r)Rp~ zR{xC0uNW?$<h6bMu6|2^jA_Ave^U?X>`!X@=TolP799}T_;_}qYW|~oLtibgs^8an zl0FCD;#(#hvTSCC@<WSHoQ`UroAtGxN*LXkeyXW;^74C1HeNr!?tA)<<JPr*dr$qD z!<r%z9lP(wrVTrCcW@TxMwz?L{<{0hr&*lOHz{>2JbY;LqwWY+rTmol4-$SPhWIyp ze>b~z|B{*m2jmXbeA<#6VWkwJw(7G$_f@q`iqFF26XVLe=6~K3G%vy_zDbJ5{GxS? zzkZkPitQKQ9xCpgy81)jq>U`vja;4U+4y*Rf0*6cWHxu<hEr_Cm%~>jX-=x!{7reI zbGT2&-_KmTnwS)R=15QKjarxZcG<D_`*khXJ;@Q6_a@W2<KOPeCr%Sm%-u}iDx8n+ znC8O$^Vip{ZPDhNf6jQvIQQ$fDJkLs9Eoq+OLjQ*y>86YIkwQXy!h6SidE`GoBuiV zFl?*a{By~F{w!1O?D)$POTE3W&zsnmd7U?)e0ysO|AC6zRcqfe?Gy?3dvr@Y?e7)g zJPT8&yoeGl6UpCOQje}a&{42+$p#}vb@dMOqrCYE^(nWST=}oCAA6~BU#)<*byaG` z{92yt%Re1Hc4)rNuPv9oJ2l^`9u{45AYx@(dcgf<S}*+E=l|N>S>pHkwC)n$nVPyE zeooxZdi_UHs#MDD7U$9<XMY~O7F-(jHJ+)E=eXRtDLZ?T7p`0#<#=OLq+YB{QU5=? zvu~KH&99#EXk5Bl*DmjTzD8PZ53lSAWoGA#)8@A=S9*CsTlRhfN6PZqQ&(k|NjjIw zTL08NP`xZ>P0fMo3m^8%uc$n~;@d09g-;$kDfR5h@!O~5dx>?=?<;#6e;jAaST;Q` z<xuuAqZ`*c74A5z&SIR~rQUuseWmfKzpcKX!aBCUGfa5s9MYJ##o<`PzJxR}#!3eB zk4YC-zjRn|cTLT*p7~BMrhk_gk6Sf&&LLB=%^OYk%!w9R!5N&Pw)(-j*&a`xFW1=L zxcBDod%BOKeyr$kKVHG{y-v~T!Tk>HO-ifSw|W>mlskmAwO+W|<|}<^=G%A&udO0G zWHdL`vo2H)T$8hI$AX<3Yu~U;IQu30?ut&wB$jZ78LRA=rZaE9ugceMDAjVZLygU2 z>7<#WhVj#;$JU-%bm&*?&7fazHAC0bPy4KzW$50~R#VhG(^Y1<Of;j@zgNj+jd|Hu zCDtb%d+;}3>xFLb14*VAfz3h-6aAy#L@JhfhsN%>x^A!fqKJw0e@iD%jQPO7xnaJY zRjvA;4ZEKwIM;OkV2N2Cxh~<L%+8Y=8wDJsUwNBwO|5+L;;QnQ$9wgTd+|9kqzATJ zKPnZG)Q>q`zWRsu|AUJ*-aK_QN_+L`%1Aef9KQpLBACxItAGAfx3kMEhq34X-s_jb zubJ)CTNGfUaAD46Wr>f!^fYw&pB-5unO4%a#L(a)qi_q8nn37D;Yt6sB+t18{s=k2 zZnAjy5|zribJA0aW}K{Ru$t2U<7@xgX;1s23O*`6oHc8!MMaqQnY#y<9S}IbR&8DE zVtJ;fu2fIMIe+DNR@V3(fBx8O%j(RzA9jjHsMRFInAJJ8$rmQ=o%d*Nr?tt9aN(AX zr`Ix7nzhzHtJ~WDCW+5oyLO`1n*-||#5tR@zT}+TFiTyCwNZzEkz{G0h$i<YJ}tX! zrC^<##{`NE#JI#<@*jD%YUJf<`6QlVeW0Si`O~}Q{PFAq^Hy-b4vPQlY-0L}O=`EG z4R5{o{Db`KssjDjot(H!MtXYLH&esZjoZ62Rz><BGMOD%XY0hjuWnCS)xnQ9jCNi* znO!Qp#j%w2{g&<a?PA(?mpm=s@+2|V%w>o_`{j1hu{rFj4;8d|zRng^UZ@?(bm#fn z|N2v}C2pRctB{d2W!}cHu-BQc`zJlx)U=)NP2IVh>XmnUXRY~NqJ7Qt%DHn94VOjo zD_S>~AHB0Wg>PzG`b%HOXWG7&X5YgVGuk60j7)-m)>$wWDcC=HbF_9*egE~W-GP>U zRjVG^U6tJxCi?RE(wp{@duLz$7Q||3%WPe`>{J!MoA0!ayD?myYt>4(v$Qc6P5yFz zf}5;QpVS5S`dKseZXdLDw0$09e<x<yq#Mi=^}Z_~p3m|55SR3t$9tE!#^gVF^<2JM zC}gVnBOOsCqeYA_TYS{p;&um3sxevO$20BQ&*Ldq9-PV4|EtRT>CEk|{epS9N4IWJ z>)Y3K?{|%!`TF0&6&t2)2swWwD=LNc>#eZeHxeEj{i_T<bH5=`wj@aTU}bJu=2^Zu zXP9(W|D5?GhT-etp!e5uu3wUiZ3&)j^dkS%&vK!ap@L%PDjSQBb8@FB@?=y-`#C)Q zAm;vb=j6w6wxOOg_BrX^-qQa~qE%~RtN7J}ziMxaT=-GS|FT~0$+mNS?MH92>K1r3 zg)M7Z*CL=)9<p!sy!iKNr`>C07i6SNTOula!Ehzpiq6}tD<(giw{qd1TdJxbtn%v` zYOh~BTN|mjRH^DsJkRo563i!l%Y9<{etp$qJ%#DTyuwqzyfGIkeUtxsLds2zNvgp& z-frIQ8WcADL4N*&AT_rqMQviczFy?xQ<-P6;lkF|ey<7vt<$Vs@!tBJw-~M;t^Ydn z>y<XKV`Asai#b?l*8eW_+SqwN@yf0`jl<mcuAO_>7CK?lqz==GR<^%<t9cEUis~0W zOPhA#)MT$`N?#_6zuGgaF5~zVm6~MLNfJwIH}YNVef?5?@7|^`&24N9(%Lch^+9K9 zj8A0GsrP-mJTblKoN)Woe>Vd!NMs-1T2)^@<?<5d>rYwSI-ky%tl0I9?Q-$=zLdo0 zzUuc*oRhw><W|3U(+9VkQx_H-kSH*I65aeZ;?vnED<8E72kv<OW$JD;JtCu8$nnlC z^@jcHU#A`|XL^5YqRtH7^Yh<jhfhCYnGpG{=E#f6FYErxRn{eXq+~TjT{ONUbYiK% zEA^a(-i41N=NR&3@O$oF@%oCw33bDkXJ#+`d3G`0xF_j2cTR!OvBp2{i`le$Z!3Is zS{E<$GE?>4qUoK%vp4Q<y2MoDy;|`v5C3G(nJsQI3Yt4s6yN4O{3h?4ujADBwzoPC z3J3mdxHsMWAJZ@2EB43vTW`EDP^*(kI(PW$rzz7VLj6{R@+~fX8z?8xnh=n`b;lJR zx9v|>dFZT8xOQ#l`A@H|yvzu2xBo1azlG`Kq;)f*-)`RY<IW_G$BRw`7)`T~d*GvW zOlY1({PP=*c_(dpvwt+dTbnz<<in5Xw1=}z|1bGyvTEwnTi>mJ-8dtayenKvB-bj- zMJ`u0mXELK<EkQuUmb6c-<sKQ{o1dP3f+=I@04{Hq%YWItdhHZ>A8ST*HNCf<H_%@ zclRIJ_4Rzi_3c^A2RB>QEMQ7I%QWlrbACIIdU?w$lP#UA>W$=fs!tH+44pkERw7a} zqA=n_;5}~F#rdj+L5F><IOol~ym6IPv}<#Blxs@3cTY>v^~Uu7ru=84x=;T5%X_x6 zsleiSsA==OzFtLU=O@fltKv<XId5s+R8NpU8$ZjWW7)ZfLFd_HuZA=Fy$ibAxA|A$ zycUToDl*yYbL+3a-W_#}V`c9y(XcB&Go~z22s@F((B5tsu6I;SbWPP;n{I*UpKs1# z&#ydp>R;pUMfI!KPy8V}k;CTJy>s?TI`72&m+vT;^<DMUwSqUMd?)R+*`Ka7oqOos z9x=B|zt`2(NzW5o#OC~;f7iKxei1U(sT%AdbF%L$O<wfh>);;wn*zN7msmG2&zyU& z+wf6w)=!>~){o8{c6Yz>v(<B*nV7PY=E^1fK`cR2H|!KB*E%{a;mp%*p&BRLi-qOC zDk$3i-X=5Keih54MMoc&96Rq;aeC)otME-zSAP6;jWh0cLXqCO2@cbQihmX9_}mJK zRF0UxF3ngY)M@>n+o99=1lzLi$XoA^Vf`m{bC$}HGg=V^Yh>i*D(A0of9@H|vniDA ziC5&0cVb0)TO&mOpIdM9$G0<t^WCS2Zb|=ZYU{5weHTuY>R91^g}1V}{z|3QVTY^t z*YwmLXHZzhqkL7OHZ}Bqm-Li#o8Q$x-lutIH~XXiJ-^E&j9TBX3g6N3FIVn&taA26 zo9G*hH!etB6m)c<u@$qHHm|qRe3gqUrUfOM%ZgpGz0mjO;A_htCBMpMzPwSeRr`5u z;QpHRTKOL<EW;04Wo?=v$HFOT#h?45Y}>y{$J7@2@&3`+t^4@3PxXno(wwPx9=aE4 zaYbJ(V3+&N`p#`rdPaWGA(2l3F%wU0{Jf+=;lp!>?>p|r>vo6pw9YzReC?sdm4Ku6 z#}5UBPb_?RB}tig%1Hr-qF6(>h{d(WGoR}|?^~sGUTE{Lb0SmP*0iy{+aXdp;i5#k zt?6aW1rlaY67wEUdR=xbNU6ENv!kTFR#ao5tWkOXq}a00CtpvE)YB6B^}8e8V(NCz zoJW^krcZObw|#SDTIjRq2g|lER!utUy`seT8F$%w3t6vkNArJ6Oc688H`#jY1$U2^ z9pmSD2iLaReL8pE<m=CsuWL`GW_4bzSpW4?{+T9OdE-T&F6BIVAt5@;_(<NrW2QzM zC3?PketbMlq{cPgd-~iSg_5XmE9Z&lZ(pi(z*JP=-La_4>;GRD&5^vL_=Sn>-fzp~ z)=QQZ;b}IP-){U7pdRCudE(`y(+-!*UY*X3NRE7P|6j%?iN}Hw=M<kWbFa`m(s-NE z?CYu8v#Djm8ixv}6}|j2W%1EK`Hhi`4dx~hfoXT6!@oS~TDNyY63^dTi;gVec>S$$ z*YaCh>eX|ePgyf#$8t@3<J-&Z*mg-XO#O1>SpCkmmbbR&z4<h!I?$~!_ZI)G`=RX5 zc$Q{*&Rf@_T(rjH!HkpgPc#;MJE3x`Dv4WQ(!ayyFT@L;2EFs}zV%CvlllK*!G4J~ zljM)zW0kORUo}75`%A04Ooi`_%gb)oCp6!*n(EK6ZGu3z!-?6qXFc7?n{(*pxy^wG zX0y09^gYjaTJFp>mrLr3nUaT~Mhn{=0ih%3g58BmylyPKG%@(H<^Fw*>gi=KZwabs zPLMlcc++yh)iYA+cf*`YS8Y7c#@@KuLxJyc-^vrV-73e_&omZt>b6T(9uGVFQQl(j z!`B?&AMe*}oaVr7@{%oRqr0f@jSl@~8ZTY{sD24bkd!~%Tz4m5a0gendijT2mX|(E zP+Y8k@TF|b#>|NAf-2@uUA`6s|7B+JC@{KQXqT#<_F4Ks!}{GP)Fu?%d7kv^@!6iz z4>>m?ed-)?*S(cD-Qn|gwIxF_Ut1m5)D-6vPam#*m$H{{|He(n4*M@UvG5`5_3+Dn z{JRg@%yl)JwBkckM&q5iu2%DUrvHfYzs-7fN!pr<=Z6~4akv$Uil6)BTJ}D}<kUsh zhR~<yCPlaZ`>N1W;-7AMqEXJ;(81GxS?5#Eb3Yc$ZqDK_{NsL5m5t|ua-dwF=*OMG za)1Ay?tZm{<?}lCWlH&}iuYt@zSmo~we9NC>94qxF3q2iWbdx;yk^6Mv&_Crq}2AX zhhE>d?|1(DXAGR{wz9m}p2>D(;o~<lf9-BWDjXO56zlW+QSUr=8Cj{$OD8U`n0=Ee zrAK|{%30;_Rc09Pm;JJL!Iqn{37mm%s@~-KImaG(`a__vp~U)w*R14h2ab;Wej%Uh z>g<m^IlI5K`<~p(*0*=FBM)S)w@%QIwUeK=N<qv_Nq@!)^}{U1x4v;iUJs0BQ?%uO zX40aqw!cav)j+y6vg6#vC<Br0nlj}TZK4j6Zm-V26*U)qS;8ZDJBq!1fqk3F|NFic z&rA%oZ@$p6__XiW#I+VK3gX*eE<W1GmVIK$yX0E;?em%@O~3Vcqvc6~z-P-FRs=bz z?q#ekV_=^9Ve+ld`HN~VEX;lHVf^@k#*MWK9clj0ch1_@HhuTQJzWz&FScj#TcI7A zVEpXyycu5v{_g#l|4_tj)dH4p=K7K^^xph4o$o(a^8QM9b?dmSj^39iw(0SH-*;-l z`9Ca1>-X?`w|aymZ))YPDX<TVXjq*7|JY5h$vgJ(9l6rK_OZq?TWy9Y4c<NV)1DMQ zR*Rh)C@@QEe)@jV-zqQs7iqV5)#e^u^~bq%ZQ*srjOyT9S*&-~eYwo1`P?Ms^}qX* z>e4T6@4xaaO_f6~%`+y>`v8-q?sbs@eojY+Nedo-^(g5Td6mC0>YLZ{dj=c(i;tvC zt7(zkz3=b!r)r<=zi>QVlUXj7bWK51JABjE4Yk+)Ub>-iHqP&kzH&>-;>FIcW{b_v zMN~7*dAIZSk6ymxm*r>kZ9ek%-seZknMsO&@BG|z`@lyTqu?m+&}Rkm$&7Zrvud7J z#+tbP-Q=yTE_HIU?u>rNU8nYM+;{$0+duKP*c!b{`z10jsDI|n@}IfN*Zh^-!L{?a zreBmzD`-uT;Qpe!W9<XuTim9bx}VfEPGMnL``BAWXP;s4N9|u4KF9ut7aZ(0UfyG{ zO~<zR)SSvMVsod>zucB^^mDY^3YF(~^~?0<@$|NqIT@9dRC!KLUE8wJSTxMuxLN$& zo5iN<zf~SQDrqz2QP;<rc@|n6PkbKAv){~MJD2`L`N6rfY<vFB)BE;och5vCf1Vxw zFQ=@z`0-S>N_x5&SMdwwtr_j&+dKL-)+S7fb^le;drGh6<yEC8r!()n`bKaHx6S<= z)EV<n_shZ3Q@a1EPIb+_)5++w&)sZ^x55$0Lr0||geU)1bjfNyanj!Nj$iS{4~99$ z>GB`nDO9g?*g5B@hfvGfk01B!H5a$J>T$&4mDtQbRu2xGcrDV+&iJGCaki^P?`8Wn z&pjQooZppQ;O0K|@bp)<D-Cb|z1b!)<<4TE@TlN}Dv$Oy%v_vU&l~)j$H%r@OwKy% zNO8tFU5(3L*HYvcZCJaz>dw-#Q+qY`E;yno&e-5zXK=3A$79R&n)NCNbP^3S?@s%- z`nkkMSIz7DSEZa`-h1fl4R5}6%UW9fMHC7Ogm3FzQR2JZv7cpM`1dSz`w3=Yx3aiw zpL#4`Xsh~qQpVZJH+ybLH|VOZ@q4?7ZRW1#jOBu2LbBp1^CREPzUX9NB$#6QF!1|= z2fgn%3Y0I)Zr*MDck|teD>jPBe7I1wSTxaLk?w&IgQe^TvZG@dIxY$3mpI&Vi{_P1 zdGB7>p0C5Awe7?5seF@S)cpgLUxaP+;rlqTT)N2Jb%7TnqtB&z)y0qUw!}S<eOmEn zQ_;2=LG^cUNY%FrPX92!;e3Y4c^2~vXMgN)l<98GR@&Np+vJB?E&EAf<!uLwrtkWG zpsw-@$3&~QM}iGBooDYW+|MBTx;tDhJXi45dN)Uj((ZK&tv<e~SkIR7TdVlcwLsZN zbL}_EOgkyE>C1ZUQ>@xPM|OKI*e|<PJMG&u<-(#1YfOvUcTQcRJvI05zQDy{r+>6s zbe69OmA`)=wtl(xlke_ILIL@C-By0fU&tm|9}2&?vvIaU`USs*Q=P9*+8G{ea_vZ= z)Ye`to0~peF5b=Z3u5ime>E(-Q5N4UHs|ns?GlG+FE$3vjK0FA^Z%@}Lfzw?OU-Y^ zpEc1ku{(9@_~iN5X3n-f@L4=Y)@hLxzn=T<XmQ5%i=SLE6Y_s;?>wdXW6(Tlw)G9u zXMEWlD#}0i?9F35i<bvU%@c^P`gpo@dc~R6yI0%mVr9)fH2I!Uoq5_)<#Wzo6D`3t zyWjKPUdt#kG1WS=C9eCR{qiHsffJX`KB2VaZKj9fkBJQ@=RS_oV$!)ZJ>&tylFZqE z-aU9BChakMPWr7q_t{cCzJ6fqd+u@N{?;J7CDPiKEBO~*yJd2+cb7}NR`-qInSmGM z?gWHX#YPBUh^V?PQ=!cxBbRV9SaZqRolo`ol0G>Y2C}~VlwNK*rTD?^zc+k3{wS<r zI1sA9Fo)Up^?ja64Z`Q6?zHZ#oUKuJ+_b7LT=MFp^GO@Z=FW|_*RsAm@z@K!-GTB~ zpC7M|YENvx=To>;y)S>``x*Xy_qJO0oeQ_<-2bHfU7=&)dY;2lqJi(eC<U1@^3+Wf z>JE*Hli&R(y!^XKBU`YMv*h^=nch77FKzXVIKsN~a@C|6^HxXATUEq=Y8rpc8?&DL zJQuG6ni&aBO`+n?IInt4_J8{@WA%fy<L5)f>>O6?J2he98=+XuLw(n}Zxz4Mp0hYf z?@-sK4U;(5S8)2ZJMBm~R-wc5Xl2KQ4To<Z&Coj}_Q%)O&vV(Q!0e{oZqF^0uH2dI z`$F@Ort!igwx<ji54L+=iu@3~$?<QDvTpork5}J$K7TVZ_;AHB=&F3Yk3QoTk)Gzx z*m48016O@K-+c0am%GH%)o+@i(HG;q+3O7t3N+pq)SJCz=eh-<XP=m^*unp9W$LlZ z-E$)4oXk$y9h+=n=XIMuwf4eGpYR7)CwNqe=h{vatqHC>aL2p8FwH^pi_?~H)?g;V zx%=V{S9%9u`f>gQZ}+K}N~!D<FYkL^6%m$cR+2oEOJG{r&se9mn(_D9wHYIFn3nlW zWSu5v;Huj6)Z^3f;O&>yW<6QY*W>zF+JCFXgtpVGxhHVd&i(VkTrEwf=;g(^Sx3** z=B<4#TGQNr&#&N;f`s<F4KKDzYd+?AS)2VsZ%sn7y3a~sm#izx{q_e7o5_VZrMT#s zzRY`fXDYwihQ)_weMx8PwEgQ5dHKL&<-=EIDjEu;2<dSCXl>08KDu$)Z^kCWxPm<| zSFf^@`MLXUh;EMm-Z{#N_iyg1IC9``y#EKbt%egs>OQ(YtG}E6<>Okb@3SHfKRdrC zw0pjK=0cq<>mx0fP4{P-R`T@HuiY8_DeG!Hn;-w_i)Gkz*?mLk+F5_)a%+O`H9X>f z#cJZegSUCI`c<jGdYO*QPJycrO>aoN)5xqdeDS%dt0Bd6ch_CdKmF-DJ1RNOZFjqQ zEv%)rPnj?L`;}R5w$3fiJdqf`CrVmsy~Za6vs1^#g|{v_9ozfE;9Iz7o67%GGt+%K zTrx{evuki&DB5!1rTV|>f&_tmY<7=K?<W-{UA?vQwv!zDyWjp1!K^npExHr>vW_rF zIy~F&a$@;(dA?Z>jkcZoalQZ1k`!K_bqm^FNZ)?3&FUrZf{)8YGCtObY(F6x+?Cu~ zykuVU%cBwAM>PD5-%Xy}p<;2g<)BRH3HQSfx7hzF-uL%U8EZn|zIFS)zK|E$Rhy); z>-zVgndR5F*l$+5+Z}ztMQ_!Qt<pcG{`<Leh3kgSs@6+U_wDF3x)b&BQ5|0<kL#6O z$7fGC|6f18s?}|QNn25#=7pOk53f{ync{dxhJBXNT;`uCQqu92ahyKBRriZL-534o zT+33XF=5NwGkh~#XWZqO{h!xXJN$y^O8L49*EI(m1cc&M9_HDysGgI#lY7EZ-^4~l z_t%$6jNg2}%)XY+Ewj0D%CUC&?5@qFE^1R++0I@RIP0|h<U3)(&DuXIa~6fo&kb=f zT6a+5^<?Gn`i7pjzHR${9iPhcQsT%$_8fW6+>Fwi<@>KluKfRSd$+Lm_oP=Zr=&XR zZPzyG-1Inq=D`Oi7rBc%9aVk!Q~0nwS6i-(<;ORh%u@`mzWH^S?W@A_4@{5msGi9^ zFmd^jNFnEH?tjnMs%Y9wcMyKxH{)rk`1fO<i-R|J|BjC-_lU1}A^DAgt1>Zqe&lo| z)*epI?%h}T{+^i9(s}RG)6EYzO>iu_adF1)cJGkmIZkJk_qc9}@9626obOq~{5Se_ zq==pML)o7TeqRcD^6BN8q}`|HBwNOB7Z-Yc$Z?fsqqD-&_3Okei!Lr`IeXDqFY9_; z)0*Rrw=87)r`8zW2^YI{)_bd!M{n6m0j5K5-o9XP{yV|6<c99YchP+_r_K~K+|&J8 zEPGp9tC8~&A<1n<ok|=mjP+ic(-iKo>ByfC{cd`>G^TH|rWt?y@2$+c7GEtnx;s`r zMU#)6cYnk&p&XOrZ%=>l4xVE3r_J=Ug=~6dyq@;?5J}tVmHu-xo0!)K?N@T#a#=@a ztMK-!U0z3?JI0)O`YvE)L}_}%#r{p3dE?KPl^*(Q)1#9qA@yVBs;4bSPG0^N%r(1# z#Wo;E;?5b_z;ETx-Jh4SJerfaS30=F%1GY)VDm+@o(&;JYgV82U-C{+!20L+7x_E( z>{%JUndRZV2uGQ|&Z77S$FJW`S}J>6sl?e{;eAR|^3Sx_ac2x4wW};SQL*tXr(fmP zx2JEgZ|Q0jU2?qQ@%BXpwocslCcfTazh|oU`k(evoduUpXctbLf4{D6{VC}-%@tKz zl~zIL4{hj+NjC19<tCi!Rk*`;=fd!1Z^Wi8o4I_K$MVkO6Vh6xti#*ce}&)ZG`-6G zYU=qHV%y$riVD)`IWcR-o%uN`>U+2E-LO%wXVPWvG`VAMjvO`qv_PiEtlf1>S-iRU zw~AfMH~W@)elk0jIN7iC<Z)JC7M96tj<hY&+jv7yCUME{DJzV2KY2D~tCd=V;}=7p z?GG|4o<=cT)zaCdCU5j_$vg83&aHBVJ561cdX@MX4@&gbJbAS4kaf*>`Nz$7*9dP4 zEtXNYUNh;%1g~Wi+BUef?^qh!-EsZ)-TS{aU7OU*k7XI}-w?M)HXuV={N4u@@mmjg zyV(Cd=-!*MOu{XI(auVdcT1wknUMEw)1+3PPsrFPbjanYySXh_((kRebcFbRU;ci3 zeb#=%yg19V?`?}0=Jvm|Ja&1%--$KhE$(s;wtYYRgK_1Tw%g|MEn<v6z23ihy{P%X z^Q21S{-VwCezj+Bck(7(+#YTc{ZzBLx~WEQrcv_;-5YunzV~0;n=swzL&?AFsCl05 zk&Wp)v@X0hy(MtLbLpEm*WTFF&0Kd~q9N<xw{yZXSW`j|`4=WhOGSA^3tr7R<tMe= zd`rWlU7>!0aclNTs2B@AU;X&b`=fDp6wV4w&pQ3rXY#Zgb1p_~*KB!mS@Xs9bB|tz zh59R58XsGI-(^+n3dUARtBb4*omVw4x992><y8Omxslvv+4cKtw9QPG*Zt0#nRRKw z+%7Zn7hikX8t{g5hvK(M7r%wiykEt9^1*W@>%(^?Jp!vsF2B%N95(esAXkr}`bm}b zdVTwaTIW}H2yKscJoxq7>%iYX!ZzH?)L*dgZfTQ!t3=(;*vDJ8XU&bQD$?>d>Ey`Q z`g<?c&+c~Tvjq+kEFuX?xps35P0Kg_7cu)7{dNWG<q6^|H?K<2b9q-;AaMVJke(7l z?YWPe_pyd8xoD}f;kt@|a<6Tv_O;_i%Yy2guQRgbcCLB<Jj+Mt$E&ypf?<Ez{tD0h z{Jw2okY9vr$EIB?ium0P0#`q^@7(Y2_P~Dg4V@~ptSezFC(8cx@DsZ|#nwvG=jO`& zDgjY13?f~l9^bL|{C{{xWNuuWM0=+H{m&~4r6b=x_4{@ssK-VA=)*$$^t{<GtF|2P zKh!nXeywFV!`Zf@%F7p&pYXC$i%5#ue&ub{gr>c_^K`mIw3+<=FW_QXv@3m6)c2Q$ zO(wmYEjK8hZGCiS&dMnn%D(+Era3*I-rReC&c!Rs$U1J;w}~mta=u#*bh@XjYBD4r zUq5xveNOA+zfNyvn{RUEK<0}(5wjm3eZie&?G^vVpxuS<(w=3rS*HnHWSBUGYj<+n z3>P==yR&wOEc>Us+Gg?lHcw-L7ju4lER0T?_{nAOk+htnvjf<o*U4XvW6NXPwBq4p ze$Q2FuS{q^_dX@PcH)oeo*zW!1m5{Q^Yh;s(*MJ*&Y5+1XUqT1>mJEZSvTd<oo3fF zn^v6oa?<|5THfzW!uJ<M<z-#l>!KC*sCN6J`zdb~C!A#MG?D+<D=A*HB;@47+ftqf zURY*k8EVNtbrboOA>Unc{*3#f+U>V_PVIMJnv?0Y+;G#kkJrTKT5xgP4hs%CvwLQj zv1mzW>Bhdu!(lF6Zp*qtYD-JkZcf*~Z^9t9(l>Zo+gm1iyGu)1zOXXKZ8Du0vi0#C z$4#0s|6X3u;5m@YbfCo5qj9RYA-Ag4*2Q0cx1^^mDpnJfS6$E8Hi;unHtXtb9;fQO z)^*yt_aeie_06m<7EE?!s(rY^%h*riKu}oe<@)#^QU6Q>Hs7dt9&Gk7yM`lJ>EikJ zzsbiZ$luu)u>9*J_w>3%0fF1fQpfh5IT5}`cl%Yz>Qka|wW?3oUfp!+E6Z`wE%x~r zxX-0aA1YVAc}!thr<i-n7w33~nOpyRJ>xvO>!;DvH&zW3I_s~x&U-d}M&IeL55;7C zO#O3jPC37hP0(y63-jgIF{L^o^P0E>&ZT`zm-}+*n?&L=-zsg_-rd4++g8l~DzfO< zk(X(;GmrJHS@!2^GG|fL^8Ky>u`=hXj4k4GPkOOj^8R=Au7;25Ev*&DwaNvKo>DM* zebIEm%GVzMw-?2DRqo2@5bxEi_l<mQYWwTg(zSN>R_#K~$B)17scQ{a7ZaE+w0vv4 zh4_Y}A8hWPOxW{3py8TFfA7Mb=UpHC+%1(~yf4<^Fca5{`XBZ^u`Rl{MeAQ5I~ekR z{i!c&mioQQy|KCOWm&}GqZQ7JetwGj{C(y*U$Hy}mpqeNbJmph+H2aEXR66Q{JQ<x z_y3z`>tA*5d?kF4nK8WQ4SNuG8?*Y|HrYtoYwoL_Z3s+y{IKQBtH9&@)=xrK%-zr# z^oPlg<B4!a$zHLIO3YpFBxK{%+h+)!%h%gjCz#PH`)KwKm;2Hh?dP7k{$QA~TxZVI z#JtJNcYl8IZhP$2726~K@ZQvxESz8GSf-T5apuR#J8JifKHl`&+Zoc=vS>=%$tA+d zv7S7iqgwX<b4#h4Idg*l$0YmPV%e)Ct6i7v@6hO-?!H`h=Yd$?|BLvFJ=fb$k6`|m zWVH0E1%qiP&#JQ1@8(Ru*CNWdV&0F%zS7#d?<>`0CtR<*zyHDWu7^Jk1;4nOAumw3 zJ3LHt;`?78T^&BpmD-?v#Wy2v+4PXlOev4Q?|wUzN5bYt>wBkD(chT=$FTY`Zx@i* z<T?3>w4tCx=4IB{W$9nn{5`ENrFX>p($3uPdv4mEx3@iL>tS*}VRcM?g{gaDphC%& zl=*9RF0FG*V)0(TPjcs(hbp~^J|+J*cyLe8`e@oL>uLXP@`44Ybe@a*@%J^_avz@K zIrsPEO`ckZBKe=~beevJ^UZZO$CkwnzmKmExV7c9+|*#r#OrS^6su&eTvk+cc{&T9 zNxjp<2Wt$?#eYVhG>@xxJ<&R6-S+2i0;@H?1;+G*^l4g||1j%`TG@Z(@u|}NY$2L! zUY&n_s%?tLo-6lfU0blZ^+f>tw0TcgrXFQE95sLX`4CB&61z4d^T#$Oh3#j0j<<z< zn6r+l`E#I>SEF9xde8dv&w2I=MqF;XJnKfZxBRPb|M<*hdA+NJf2x{mPLzDTk=1<m zwEPCER2CMyI`@t<qPiZMHg5R_5!KC8&OQ4YwykH<**U5e)mgzz{o!-w8@<R=(k<AR zse0}Fi{qOuHTBL_GF(3y<J;6CEKqpg*89nh6_e6;TAjaZ%^I<Ky9Dp8HP_Dha4dH# z<nC4am%fT=vE_Q<XA76jT$aW)t!QcCU-@7)PT$A7Iw$BkN&eX)v?R={T%^;$pMUlm zk(9#f{h~~trCwIV^IQ2Rou2h5&EHXN;{{Kj_idpIckDTv+_+VIL7`gWv(u%?Z_@OC z9+0vvz5XdEB*<h>nWWXa*Z*$3n~`Wx<HO26WnFi1dUa}woSmc1t~+<Phga?N^6}}l zxKy(7_}2RNq(D2nx7+Xh=QPbox%H!Iijob({Hc$g+}aoVDfOJ5|D+4r6G|pp%~Kb- z`?{;Rxw0<xKIamrmZXqeCn5J;H^mG$2Az4UFzfQ`9r3ds7(M#8<9I`4;v2_rb<5Jq z)3vWpspZ@&(Xx9*OXj_-pVBj0o8uWdbnXYw-(Gace)pMI0T&vadd1&XKmRvbNqhH; zGihrYE;Ft6Hww~qy6t>?tHX`;(~6ESxL|(x?XQQzZx+UhyDv`La9kk%%526JLZ!9$ z4sphs>iI5syX#L%L~Z{>!wWaL9({KexVvk^>lgaoEuM2zJLakjzv*eJs84(MFx1uc z*Jk!9XVWZuwg)7t&)Q>FE8mmQqBl(?K1%W4>xkEnn@Zl4oQ>FZ-c_wy=_!*qi^YW` z`3rUvC7P`MJ^eaOL_qlDlRi;~x^pac_hy{bUvID5{<-SS7p9EQ)^4fms{^O635@u- zA(1I{ty{KQZR*RHU149JW@@D6A6)e@PE1XbE$^jk?UgL)RD*q6ERTNG3X%|P;#m2p z#<+;@$45zX-aMsEFG7W0TC@9pDlgDlQm(XZ|Gxd4zn7a{VfMc1Dzhx=UHG+zq?4A# zk^5x7eLSqDkZAK{!QvxwD}4O2txBpl&+*#zuY#>FrvAd#FK@NVJ0|a}ZhGFn>37Gf zs-XRMj$gZz>8Z#flP<j|)!~9xN!+8ilWtvLY2Kc_Od#Xz^JNZBdKEk09X;>m?2!>C zQngF`)9=p{%FpipKIzTPs7ZD?O}A!=8cr!*`q1P3*N5kox4#fAGhO^S^s276-P^w* zzIFm%8$;*5pJ~G7u~j<bxway6QZCaji7g8Zv|cP|deyZ1jPd%WX@AToZ)A{t+xz*i zpN-PNJp0S1rU$)QBys1;?z4`sJ}Cd~Ry<VoZTe1z17%zOFT9yom;WaE!TdKBAzgKT zn!8l@@4lWBYvsQ`EOmLWr&@5e?9s22U*}FsyYc))-yDb3RYAv&9r)RzRpz95e%)5K zekT2<29Jnr%SevO&Ay+kJ|20%vpBFfyGt{UuaisRMWFTi&A+_MKm7~NH+$RYVz<Lc z_|&BwrC;{Xa-u#MPg*wd)y+4P;@|blW}6lFU6Tn2J(HN2r}EQ!UQD(0o2lChvolX! zS?bmBK1O0IPj>j<?yb6vOP4&~*ednkGjqvVMw{)oU08B=H5CQM3f+#p^1by&=lfHk zntx6+Zcme+f92}5uX5dsnzOxw5C4Ds`^hI0`!jZy;vAbA{BGUQy`JOUnr-WP-T3y0 zwHrEq+?Reo+sbfu%S!F=*0-mp-T6FimA3bh2!S4Ml@A947K&(S+;je8A-31{`c*0U zf2mG^71#Z?-<bQNX{J+nwCCkz{*5aC9A|wpI}`Y1nVO06T+7$*74y&XJ^b)8v}M(e z8*=l-58U5*pd$V?gX>?RE@i_Wj~!W4{I5&zQ_$yNKFhbZ&E#Kir<~&f)8CE3VJH92 z5&tU?^MJu_=|g$*Evs*yo0PlrdY5|f4z+(-&f=dIrEL(sw)9@RN{-#u3$|TiTsupR z&DTudxmfD#GG=)x-hiv~)|X5#W@zO6_Gj*ogL8z~KHdBBv$FQRpI{FA*QLyQt9J{D zHE5Y`n|<rxA@BRrvk!dTcUI8Qb>^OOpD;hYrmi2VXUrLMt+M^*9d}WklIy$u`xTzE zw-@NXoLR{^@nOM1#a|}k#qCa3I!>!i6K%d-%s(Ae(scTitp7wM=i(=46z{%jauSS> z$uivYx#i3u+fSZ*C5~wDXSd#A$^T$bXQX^msw>WOxB98R`8%ymns?`RO)#~$T($d@ z`Qm5GR~~;|C9ITlW2@PZ^veuejvTR-+fsJ!m+{G#$G?`H@(zEsJK(O1q-=-EKf_n9 zyPcW)PJgINbo40Z-M-4=!km*~-+gvx<upw1R66pJ;pv3(qh0AzI@~d*V($A$-ko7s zoPYbQ%UmnQ#AzX`Ht*<KQ|r}fuD4?C55wC!r4|dLpDV>*usiE<Q*k}h_X&p%am)-! zUR^C1dR}?`Z>@#leG-dfJvOX(&dD3!y4xv(uUoJtU1oPq%uiFnl!MI2PDY;Fk}te} zi`%#FJ9tlQcD&r2_ayA(?wq3^W*wdP;YZDZ)UB~bx4(SJH+k$i!Q=W*M!ru?-)?%| z`FJLB{}*OXX30NcCaIk4zu7P77H$dWFInEDXCd-+zvz#Z`!3wA$Ow#mbyAyMUNlg! zKz)1g_8OhU{*KzqOP5VeDfU>(<yS1194S4YInMH_N8;i0A7$^hMm;y^{3zU__*~DP z=eOP&)*x+>*hUT83~t7h!mYb}{&8tpU4GFV6g<K4;>0a{9B*}&ZTL97?vl)P)8yD+ zmqd$lIA^PF7BR0<lkYp1*XvZCe`vbnUHu*MagGP;zjvhtt$7hJXIj)z=FEp0SJsKH z6Em0<HrZ|cqWHI)XR=33soatGRX#`bY~0yps_MpfHM0E@zQ6jYubyvlr}3AlmL1Cz z^;727I!{b=kEfp3$VihDn3uPBLVcUpXPfz)+`m+oW>qtDOxE0RA)JBbOj4VP@RlSN z&)v1XuUR%6+_5Hc*{-fXIS<Z#`Ofy^c;3;(WBr_4q*VD==k8s-FKgky{?5YWn%vc` zTNa(?iRb#@8!MFDv)Q=qyl--4(6YxSy4Ta!Y%)LCah)-~%;3^{>n<UG<Afb?43Fae z8BX1m6d`>udQ$El#z}TNJlFl|@VxT$y7vC^IL#X;yV-X8IL!HWRWNN$zJ21N0~%9g z|8ClRO>^p<#3;R89#>!fKFM^bzmw~eU7~$UC&%kV^JChvTdd_*g$Xr?H9RP_Saf*p z$<UaKTV61lZ`EC%l`;96!_5657bmrG-C$l5^^58G(jA+_8gKNRKcFMW>|0>H>Gx;z z&cLZImp+@<30a@InwwbWuHs~;8>2okVAU(8ZG4R?X&r&@&jk88T(L<JUDn!pL^zL= zpEWw=`<moCU+nBsHk{tK;n2t4(+`wZy2pIih+?w3bMizv)2Y0Z#TOEfo%u7{=9{ka zGC>c8#kZI&7BZ%b8*MniRs3heW+k_fxr-yO$E6D-Pjj>s3C(g9EVj$L!XWG^Hl=3L zzbA`!&k{Q-6PD}OV4&n4p{kT1{;SK4A;Xt_Uz~)Kdfe}uKmBi7&B>HmoVzclZ@t%p zcV82t+!`D9AJjc!>ycZ`{??DX??KUqhx(b75g(_OhQ%DO3Tm9yocQiZ`_15_XWeBj ze?^&u_MFYWBkTOfUL?i%=}QUS#^Mt}9<%+omb1uSdAO_mb#A+4<P!$ljSKD;`snfK zwQ^fnPu!Gy)Fk-E=SlxR{>toWSby7Ixa-KRE{{d)Doj)ZC+UVORYt4(-jbj3^zzNB zaM{L1w-0FFJ;t?Vc1TQe*Zre+%dgo+l`gHxiQDs~YYLC)YK<G3$Md~)zfbGi*rJiE zr)j%y_7tmo|1LZ@`czlrs=NJXrK8)Y*?xF`m~+8lzxKwT`srTEpH%LD?_v5hanh}= z)8oZAHtl5lde*Et>cD-KePa1<rgLt!|DT;Kx7zY=&2@Pu8y)5v&iTieMAuI_5@nT} z|4T&1ZClPq|El|kGTwOYy?T|qhlwMke}yIMrPVA)+6#9Wl=e8Dp7O2#N<(kOqR!O) ztmX6P1Q=S?xp6;IH`bb&y^|~0T_|YT>FgN}x$zB#HR1cCo*xqre(0jA6Bi;K_B-=R zVb9{PBAj2|8u$KLwq3?*`I9cMsvqHclb^=?y0KgTA=jS5j+IlF$ulyuuDH6V<NV{f zT(ezWluuMJ%U|A{7G|r=bdf>yYtzkNB^S57GTy#G!r4%W=jDWg?(M0fC(@>V6>7gI z?EO_yb!ke$>7Rz;GXgtzzc8#@ko@h~^*ejYzV>E$p8SyVH!6(%wlwddAD?GFskqw| zE)g%d@2?Ku=8BGwpM9r2Z~vSk^lw+ZoZtH^RZnF&WcN=m-X6c#dBNe-0xO|l^L>}M zv!<Sv+r!VY+SUGcJ<mC_*<Jjl8+0S4JZgCmpjsn!x@M#H+MB0XLYki(Up!Y)@P+m# zMMkEujQvKnNri7$o13XUe^vQ{;qfttvemb(qJN#ar7JTbaI*Zh_ey;4zUgjth&<Qd zw1RP^g>qu_zn%ZT?(T{3^vvF|B<fmEMmP8FdNZ3zlTIAptow0;PxEQ78K1T}ssI0= z!o1Hf@V#8f_Ip!jCm!Tm`LOXviC*kY(I-ol?3njC8&0*-OE$31+OV+Z)J*%vc}vgg zU6`lH&oOU8Q|h<%FZq8TG%By!e$P-|?Cs0t7jn0V@h*Sunzo8Pr$Erf{RV6M(JGte zPiH(&eYkSMY86S3FDi_M>gkKskCw1h|L%DAHT-Aje-Czn!pZ+9ZGF;ja)WDo`-aug z-|YQ2*ZlLWxaN1`>;1xq?$4wiu!Woy)rweI^&+UI_kpL}gRLt)Q(~%4EMMxm_CwTX zbC2zks}jB~E?cqT-k<u8xs!d%Cb<ipoy_q=W!(*lx7>SAM2k5-HsqX>{E4mWnAN8; zjnlC&rf)5=R~Oa)yW?Kz7wavjzFlKXc5tlz`X`WEYw?BpFR$l6*x}#WEB=F7!Sm;) zD#PW>k6X9tUM@U7%WtAUd0>TtbM%_s4Fz{Ehdg={+5BfkCtr|qcW?Bh19@%AmZw$j zp4d1^m0c!iZ^K08FES;M&s47FWcFwNvf0D=U>4gvjmcUTj0I1-W=!#p>wBPEEpvN& z$Ta0fHunD+sec%SH2vQ6OzVu!jI2K{X&*mF>*0g`cgu4=Fuvz_WjeE?Ca>z}4pz;; zS=#&3PFU56Ryi>)3{YFW>h#2U$Mp|RWw_eAqp$MwP4}dKw{PFGoMpS@(pmS<X6ui> zOIR>Vty)|+T{U<@_s)CjoV)TY=Gz<%Yu~x8`{Pf!f{><Zr?YqHi5^W%w>{L$d+NWU zeRpJ+hG5})u9E&c(l6pBt*m(%$)@P_KjhY;o+eL+e^nu~)%#;Lum5nGtz7#$Fyu(q z;-s@Y)gOXxw06$syj-vN!tHLy*I%ZQLL#bS`77<Y|4aOI+d2E&l(w(#zrLh3AN26i z-hQ!RhhKX0rSiv3C)qbT=PljNTJGpoe`Ed({fq0~URvrR=y=F#_t7PKw|q38G@G6` z@#6PbHnB#0`LsRWNAA}x>}))ByXRy34Ve-@J<$jIr-r;r`u@=SI19(Y4vqFrG0~6i zKlyK+DQ-GR>vXDwY4JDtFPD3k-4W(3IebU4a_6LkH_Jjod9qemeOD;n7No~*siM5b z;`hueD!yxsdbXK6<|g!*7QWN+ICbAS*!bAGCnuUCtu>fcE&3Nn^B-Aja>Ml9?EdI? zkETc;TvZ;tY5CL)Cgp~%pNjU&i@MpvQ>Xc!`Tn`v`>9#-pEuP3FZq~f*zCQX6W5-j z-?!`U<MU_QL{si8%jtJnz^&&I&0%ojM;%Xkz2haHN9V2_Uz-uFxF+lRlW(pMm?Z1d z=IeF2Y6@3J##nEdpuAvroY<{(W}5L$TkIC`FkV(RXnTF{q15E)*KWEx?1{%GM{ci6 zcq=#O>#I(o4Q&0_(q1GkSUkaaE?-4PljDh`*7Prn#oxqqcbt2*cK!Y(Dt{g-Xq<M} zo_pr?$^IWZ^cAW?3?$!P$Ujq|nP@BVf4=zl4q2Hu)1woDZG|Rw`ncwmJNC`-p6_?! zvWHZ(vQ+9Roex6U+y~2E_K4j5U~a;ru)Fj<gAwaowpaU?_S>9}T_^C^V10S~bY(7m zr!TWE+|&9k)A*<;`(kAN`>k)KFPrlBOxU>8Zu++M%o{Uh<+^f8zDQU_Ui`l<?)lnw zonY<H(GTy+$iAMyYUJztkl}3g>Q|f*B2#`ue!ttx!7+c6%&nP+-hXA$ToUaXwt1zn z+|(p@&!XFt+yV;~%x>&+(E1{H#Jg7R&o!N_!echUt|w;RbG0>hVV-}<=@9pa7jdiy zC)Ha$iLVPds;7{!`(WAgwH0M0^ABBj(Q&>sZ_Cs#FJ8Alvf^Z8_`NBbx3zoD-3Pt@ zG;fBid-LL|jk%VFP{rQr%lzxFRyXBxT+h+7xVSHVs)1(jL+jH1H=);p9%+?m{ypRM z<l=>phUTp8w?EYHs?79DaZ`BrwUUoRr9ENen#z~Sw$iGc+YXw=ovt$q={z{m=-&G+ z?<9N6ge$(YRk_S)=d?F;vY%P?c>U*NC;v+3Z&Q7}qtfYZP>J;3sa?z)oNunoW3AgX zS&41!(bAqNeG@JOtv?g6H%X&z5u^8Np7Wg>Ce>!`WLhARU7o6O?Yqe3eADd_&Vhfs zCcn{Mw_)F;<45@vi<ah>?SJ}%x7mDkLPBqUWycTE-6x(HulbS85itAt3I(~1pKqL9 z99pTsG~vkt!`B<1*Tn8CEfJia)3L+!&-swfo@ef&JwJu`=CXF~`SdK|T3L|&tsM%J zIhLy3H|Jb`e9!I!$`jm0S(zLzz7p7WU)sawz)Be|d8_LjGWS$vN+a11bu8*Aw37_W zNwl}O*{^co@ZGTO-?OJ`ItCwU$iFVIvQhY8QskvCSE^q~S1{iC`tq=q#=M>wf05Tm zmg*e7+%Edv?V@hhcWrOWqd#6YhHcjK;}48;pK0*VV@*waT+^inku;Boa`C}i>m>Y- z&t9#4G$gjaMra!M+N~4noIeI#IH~(>>GCBdr%s>smuO1Qmj8aj(l~Hwf8sI64CQFO zs69(XdLxc;^Y2bo+Isfw&GhHC9rM*3F8|&+yVzROGU~Ho$8wk7_Bx6!@4s!(_pEb_ zTgIX5bxbhz#EWSs818>fw!B}LofbR!p+cPcguVY?FgovFpm;Q>I?S}vKXs$rD#iH2 zoVVYa1qX4af7~4={q4~4^{YdFRm2KkGx01-X#RO2!t`HJ_ItHuPpzbW6(w$}n{fV_ z-=?o^?=<I~eNd$z>ArgJhl2LtStW&Ecq0P2S^WMVR}PKbTz_Hf=LF|z|7G?qIc=nO z_R1d9V^v>mO#aUhf24ZDukT0-FSC4@Q_F0&%<CFL>WB2_Tz|*=Id9z__2WM;p0?&& z``$&vlA}$l+@$B<{R8o@xBr=|^zgF%$?*EDU*)N1vm%rtI0_HH^Zu}VrA|v|vPSvC zl6_XwjwMX}HrwC}uY6#=LJ8xEO>x^6D25x|T~V6$)ceIb>CB`@ysoR$Qj6Z%=1Far zD6q>v{8E_t*3`HI&BrH~I{VtT9Z}CXVZeGvZ<E{%`>SS!hkTDc%PI3d<dt)H-ELV^ zLv_QG5??=GV428#+*9Gd)yrTb<+!Iiwia()*!FZ;kWWC#|4u~>{z=!~b-z73g^M|u zD@IGZ>a%<T^Bv>U#hRk2{37hmr6n6`ZS`Fp*e0$zIF&1>sd@Fyzx~3VFOHbZeps~g z{m;u)^){^AKU}ch_w{5@zKt~JJo8q6(+{dTN=XG;(P<xD86x;o_b5nSxW3y$yXsks zBY)q@A3SPbHokt<rP8~Y*@|^d;PRuqVLfe2nhzW|U$9;1*z2VA9cy+;Ty>gjc{lGt z?wJQ1d+sd?%@F*OHtmXKT1#u}?cbgc7CE;({cxJqW$H=cG#5+ftJ;!N-#<Ae@|yW? z)1-?#InsEN`(!FViEZvH&1OvfyS82P$kSHQZGSk071(r2mCEcK-`w3kN2u1qzR@^j zilF06(^|87kwrE^X7SzYB<>Y9sXTqjvoYXY!KUcF;lF;KZCaprT91A8`IkS8H?{^9 zoVaWiqqnW>?3%`yJrfrttn5janf^~ePyF|%<+9IquL<#e%NEtMz^kHx?f0DKX2yHw zul|Mc327CT%<u9&8ru9p*;naOB)?!6Ujf4z{Zx^Ud(tLd*5+kuihFkFd&eK9Hr{V* zZ+6%G4shG~=h$S0{=|hZPZ_J4=A`YhTz_&=$p(@CJC|+z7E{f$;`vJNy$kbZTj)Pe zpA+{Z|NS-*u>}F5KX{+GEoGjnAN=6&o1zDNT0+@QJTsb(h`qlY@|D5q^{?Kh8_QR# zOfxzAfkkoeF{?XYE{j*Ff6G0^cDTacZ(|p$O8NUXwzQ3JczIWeN-Vnh=j)+}oQivA z1Ew(kuG~K9$dpsl4nCZrQ2P4fFGZ!yxS3KRXXmX}b~*OxNJG)S7pw1n32Mk<+OpDR z>DQ*s#@!QJuN1Gjcr>a!re5F4GvWAWN9&CfTpJSV{+<3kd7imzRG{~tIDW%fOd<E3 zPVX?t@Le~p@apCtJVg!<r~01FSodSo`X7;E$J-9)&s}rQ&-TfG_wRBNr$czRyy5YC zu#9Ed&*kS7b0y-Zcy3#KQGs>s)s~#%30J~wQ&TH0rb{IBJ>103n0384;B?OFg$nP? ztiRuDQwqHCtApp6^y4+RTomS5pPZIH!~A{DR(n0wd#;){A`>i^Em(Z=sN?);Hi4>N zB^%so{#YH57FD}6Yio6erR9UZt{=H`|9Rzo+I%e2drP?YD&|CuwpH3boJ(e9swk}u zYI$z_q2s$&$YdVgNng2Me|x3w@-1P{Zp)3<ak19hra!vt<?DUw*|!86<IX0=8I2lM z`*>aVDWpx<bE>!IWcJ+!uiiBVxx}U3zT6}6DE5@0<+S=co1_93Ij^|9W5;zp*2f!! zCC|FNGLL1m*j)IbX8p0am%XYT7ps2#mN3@xu>N&=*&Z3^^RK+8Y%|&Lqt^FaYHIqd za~~|XvlRc@xYtKZPmyIs#+kw;!f$u<D0-Ul3-2o4IjMG9quR$er_CmbXMUaWjaM(! zQhM%^)StSyi|4H?jNYcDu(~nhrLG0Twl}xaR$MJo4GfxdLaszR?)Uzh#S+5PvR9N` z)xRn+f4#d>f5+<Q%h!smmzyiRag*h*bpq!y_@vVcfAt1F`mHMHVYipFBhcbYY^=fb zNnaKuS6y4U&PM3amE8<ColjU_SnpJza3~?Lap%LMwaLC3b1xgS%=c%tcz=57_ZL0a z*}qO<=eZhkVp7JG_vbX<XxyI~{qd9Y>XM)DERSek$+uQK`f#cB-5=fEpLk;LKA5HT zj#WGK*}4q}Q>XQODoj*)JMDa?h}zc1+(zCx(?89gG1cu}P{z6`ufL0bS-fHK)&I?J ze@5M0!T(`t%CzVs!6B=j{px$Da;|S%z(<aSf;)_T`>eEAzV+0ZP<gM+CGUgxb0N={ zs&A7v+zoguq;7SIwP#zVzCrmD=9pZOxk^Xb{~2Fi)0`~6ZPPp735w2n{AH8ft!K#l zIPIAb{&d3%L3ze=cE<#c3yAYRaTH1vzMG~x^ZlX;F3QF=OD-?&T^g%fxHhP)>7MMo zKND7&>8*NkEHR)$rew9pw#Lwv-Rz3-@yni`Z_@tLR<8UxR=iX3$VcmY59jB-=veHe z|NqlTJz*0M(+ekx<BwE7){rmq7WloY!gt%2%`5ik`t>haR_wH|`10?2ULGf!-p`yc zopt~3Dal{uo}Jxu;NY%Vdl{>5x9-R|P_L^uO;@lcZH?-b`TusBTBL0C`0{XOl*`tR z(my#jRn7gDG9`WsFNv?><ne0EInfz#@5UOokGDC_$;^FLG1=n8_t5mN>iIRruXEDw zK8lyBco=Hce|6fwBXd@{L`B#Y6=hhf_)fgIaH+;>-iGD+8fQ$Dl6!8vY`9#N8~QuI zhyT^>7e`BYKQ)%kuJixoGeI+AD~prP%&k9G2lJSj<g5-@cjs<aoQ&>POH=U=*QRPE zok`or<8|nRO6IeBL8lbYc85$#(6R46EgDoRe=UjQw}RPKwaR&yS2WrSFBZu4OIN+i zrg5dGfHA<?{9218S8jyrPuF|7%MCxc1g~C`R*)hgAb8inmW!JqC$Bb=iTV29O&_J^ zi><ou9lKWAGAeJ5Ol($4(@wLOI~u!IYHxf}Vw)aTSF&FH`M)&3&p~C3Ny_J!_B}i? z^ShLLs=ujh@u$-V1-58LTI8)g**L*9aevjNo(OZZwGSu9G^BBE4Q66H${iD{awFJx z>cRKbmoiGG{<`o>F1GSvvvHJ>;3Z?>kQAFYD_BccbSHOiJNvTbpq19@z4<*_cB#xE z{_e}3|GWPv_|GbNn;GebEUUY1a~}VWuh`!AD9(2Kwz-Fzb}-AZ=lD2Yee>nN*(N)- ztMi09g`S_T7nVqSP_s1r)!W4_@%wUk?f)(K|J^}-*)-1AXS$aD-ZHbztZ|Fm{y+}> z4@djjqq}-M?b?fv8`ZtDSdih@-sUyIe0%PsHNAz4-iWf_ohD)|e6~R3$p;1(ITeZ3 z507)`z5LpIqW@LU#kJNgb&=Z3!&K})%<uGlB9>P3mUU;>|JFNk465pUThqfuI@dlt z{(kknBU0zv;wztiKT`KN-RQ?d{w*8kK4R@xFe}{Q&v4Y_z1+g8UUR094yRc*O>TYX z-yBeAcK5q$x$O;4_Lh}rc332+OfT%7b^qu+8D|dWjq49BUdWTMz^!P#XOz|AtNJhG zt++A{%h&GNY1&@7@%@9Jla6l`*zcI!t2kdue3I?apIgEm*pHg*e8OQbf90L5U{2TX zj;ozBtN$GeI{A)g{Vl#y=IY(-YmTRUI)9L%Ixy$f;<l<_UMnr>pA09~D_>0Bzi9gP z-JENGTOC;vs3!X~SNssWzrV=A=dF7ZO;6_P&R(vwsmwo8^P{s=Lrit3{JMo!mHEa` zXGw3)OB3+jysScJ?stXQqzzpA=S$ZwJ2l7hTIFw1PxgqqwzX|STNX4vOlx&KZ4rO; zmbFBMa7L3z*S-t>zHXB=47)GpZMBOmta6O+j7yByJGxI&>Ech1{)%bEKc*PHZ}>H5 z(fxwyf8*n3H#P{Q+T`xpdE*l2%-@fHo=<VrS>c_pW;oaIt=b!rjXeq$*H6hj&HLT_ zecqB!i&o9L^~~c}c6EQscM}QOAG7m>v>WD1e|*9|mmy$Le)<x1HbGHwjyE4>Xs+;E zxS*4FN4)W~`YWeT+!WX~foaNaCe|BI)@;e0+jQyZ#;M-x{%cJCrszJsdVh+kM`Cs) z8#@onhUttKZcnkl^fCL+<5^da%<j)WR+*)`neC|VxtA*vO7Gp~Z~s}*Gh0W-?x)U1 z)6cWQw&}JcOzc*<cI9>AcFulRy))XUuQ}KkIeZiHxqD6Q&foNp&)!?aMBkhFduvVR z=Hqv7XPDnu>27>v!u<Ao-2aqCwz583GmG!}`Y5qA$(on%?$XlNKAd@Hii9?ww4T`a z{7Fs1VJA41XZ76mTb<$FE`5EAT<rx`_T`;xOph(P6k8HtclQt5UV)jvn3xn4Ztc2z ze6R9G{c9W7Sj#^RH#lioX1!HoLJjlM%{}*6jIW-Z65r1(%-?=;rpTZ6+Y{;ox5Y9Y z5m(r0QnzjKa=*S?8<tG*lz4kar24?^`S)jZ7`l`^;gPI5;8DRRyLa{Di(Dc$2Av_r zXS~*~kiU`e=B>%!&jsA){crzjGl@03{-92y%X;2-?d4oEG$j}7v`7A2ttWAhO<_?2 zyHMm&<+WPtzM9R`c0X~CcUHATLGb4(rw^S;^4T<Tf$2f+*%lK5&j$1^V*Wql$DFNi zysigYXVqIDmW#?*`$*v5+siZBufC73E!^0mG3#N)gZKr0^Mv=yiZ>Yi(&=#v*kZol z_aRGaV>#D{++5C!Y$t*WW4Y}vdhdL;=FEYYtJW*7>+gBGo$b_?V@rN45wN}F?xYv| z@7?DAuQ$wOE>>1g|0VHq4r}uIg#pad7W(JRJbZ7Cy18<y>umPO2QO~~8CaVIB(u$! zuNdL;oykzmNnHEP9r4b^`&+L+d=cfRFy+;m{r;b%C5{FMKHb-K?%itH4f!0B{0x1c zomRFxS)|-syx<HsXSL|!)gn_ozPw#*^Kt&Y*f~jC);*i~;;S%!i=0&aq@bhW%G-Tc zt+d(Vvo*X!yzY<8^VJQm%bj?ognq?_>%=X}T32>sx#gn$#<d&88S^dHxJ_9b_Ez$p z-kjTCKW0YCRc<%y`Dprsb9KoZE%VEJl2X5_@@gp0Obk@D*SBc)Pt4Sro-Y#o#XxuO z_mbnA_D)~&;^D`_Z5Q81-l(1yo%NC>=30!`o;)>)H+kDyB(?uuYy7U%R@tX=(M#Y| z{*ixvYh9al7S+97uAUS3xNga@=O&4MH?&p-tw?^zzLlxvN_O*<uoeG}7CzkV9$qo~ zk%t$D=G_SbpDxv$uS;9vpdWdeGt<g=t!$#q`Y)S;HFPfPewK57dV)p%_q^Tq&m}nT zsD9!Un7eI5!Y_lb+Pk!>)@grPy6hu=dfP5>lSrnG+|&NJbnUQqdbLrPZG!5zU4GSW zY2TfsgUwd%<^1&Om|(<#SH)|6A53@Ru2=q)zTC3l{@HfvHw+V!m_sIRKeJ~Qv#nI- zeYgC_)z^3IzPe)FjY7#&@i&F9cZ43{`M<d$$6#ChUB6sDuH2OJroYdgL@LE7y6Q4m zdb|srDd{l#=0DTP>%zpJKP<4U$<9uUToM)PQO>V>zCO3VYx@=Z3WZ(q$8F9X^!vWO zg(EKT*fQB%)z561fh|{hzI6XgnOpGfw)OOlwL7x*Zq@gXVd+xR`feG2$!}TvZjY{x zZQc#`*KB{Ee9u2aPC7Mj^BmXZ+jRX-=ktBvV30DOeNm{r{N0*(=ObTi-dvsRy?^P| z%Z0iTI{VhI;E%u3?DlR&-()4{wq2_l#P+{Q58d}pZ(>UAc^+%qNx%2;-A=L0zL3Xd zWuf~aKbhri_|^wp+*e-yT-l&GqdWWZp_o_Otq=44T$gcvqJQVRvgt)?k9+>Ih;CZn zc5UjGMNhsT_l+xSzP?}N?1Ij9dXe5*H4b0b&H69PuYPlv^V2t~_Sd?ZYOcF(zA$(1 z)%RBOi&9p8-Rv7VSHy4gGUibB_6PqT|0~|F>94bO&DjLbCu@&J&hGdaA>aEzUFbrA zjK&8)X;!O~pK~WD@Axk6CuH_o=f}?3du(^#`^3(uyn5Tlsdj6>cbN74Nqm2}OI}Xg zmr-lt;x2(HHqW1^KU(s1y76Y`X?}0hA_P@u@YS`rK4!N+>SZABny$a-!kOrn;P@`B znx*U4x&5hYb==&r*X0#!+SY`WC%<)UpVggUI5WxRRIRVmmw&5g|N2!`W|8&eLgfv? zKY_Ll>Q_$H?Qy;&+_7fnx#rf2V<%qQA8yaz>(+5OpnYLr;VrZKtD9HlWMukHSiXbv z0q6D9Y_{j^@0LtSeU>QUWwde%#~hXQdtS`E8Gc>zhT!rmc_lZqI6|$xHy6HJa>zzv zR#8}f+oc<(QXacXCz*<!n-Cmg*#9Kx{>h%LNgJN-z8$!EZuPsKNqjnc6Pnxm8TdMX z9{F|k$ocfhg!^lrh|c}o$F?vqQ!l7d`mX%eh#TIH+&*kvp9}0aEY`|p*tcc!<=Hd$ z8rN-7f8Xz#o9FVZ?6#-gi99x*-0;++uQvZx^^Q}YVbj%@u{Yh_ik0&U-=S{}8g<4^ z6V?7RZF+I|;GPTDd7Cu1e>XTM=orwx$|2+Yu}>#C7aM4tVaS<THfiBA3sz3H)vM0` zpULpVZ`}ve?XPd0{-JJ}neU_8wAsIN@zcKhJ7Q0%b-V7iRX#7sx9f|gu|GpSuV5qd z>zJ6#`^r5T0mj!?@#Zhdn$mA_b=MpHUdslFv}@&Go(SmF+}~Lz9_(3=K6CEYk`En6 zwa)NJR;AyNjEv2!_Pu2v>M)zDxAf-5qaSjQZThyD^=ka03k+GuTkm~%xniCA;|ZtT z9d9pQbcW%Ja72f#d@9e4S%<YB9h`i@!&|3Ry7_Qar`Tj$Hg4X~m%n%&A}ykm7Oz_? zyL{oY5HTI=(&EjTzCZms?|fPLC?dSBJbv-JyKJJ?LbCIYFPZGz`cm23Ek-ylc12!f zbW66|Kg-9}c0X)ia7kHTJO9Htv`}ox^2doX>&{Kx(jK^<dBL<9X6FioSZB9OS>0Ol zCMoH7GhgDHfasmi&wtvlvY={ra;Uj9v;L&poGM!)TqX<H-mMF^JT77M+05cy86!)= zrFUryRa<85xLe~O#i6x(=iC%&wIk>D^_!mJKDR>Wn%V6uqDRi?Z5MY4tkq^-yu^F6 z2;U0Jf7|~ul<YXiGXMOKqG#XK?=^otZ#+jm`%JL5{}Fd{^S^hxu0Hx<B>1=e#m$Wt zzn_+|cPm}7urV~g^POG9XWOCjs86daTXueNvwbPB@P%Z$-0}5(e5p5*ysu_(KGJ-D zI)29fFB#Sm-0F<H8vT!-`rlKvt*F#?JAPBDXxYP`*AnI5Tq^y1`Oe|biq^YAX1}xd z+7NhHHmL4%?(&NI&|{Nh6#5_ab=fda(f=;TSl1hC!?!3xbdp(%Y_k3g#)t13^Xy+= z7d;U3cip1N1`1aLt5dJuxW4_{(wf^oGD~z`GH!P~!SmnDvtK)P-z}}G_0^{?x;^hZ zQXlZ^amsG4qkc|b`i>V(dY3IVz070JCpH89t23hCM|D~(-CuO%N9wA&+WKi4DGOCj zyE{g3mOS-(wZbv&^2a>x1R1r&?|yy-_Q(I)T{?c{)fMIis?mRLvMikbE@o+jKtmyy z-PD!o-|sHzIk_#o<#kF+mG;TEYx?qLb;+%Ma#@9MUxQSWfx4vHrgqM^M^0#7(_K<o z@;u8)Aba=se7D-*?A=$>W!Ij68?1O(Ht6U9ueoy)n}Td+OUfND{v#j7X}o^_wEI#Q z<7&Jl=9O}|ot?5-=M@J_rsk~oebYZIPS2P!{cTr{u!DTka><*YCI;<#C>d3=`<b+7 z^y%Hwr<#N}U69VZu;Ts6lb(%N3vRtU(th4uC}j63-wLiPmQ&75RE*g4W@_VknY*tZ z9Dj08ge%(XdqcsZvvRZNOY%N@7*$s>DWT!OySlB#j$Uh5Kl-oOZL`d5@0OTEmgkxi zUop#G+B!ERwPu}8dH%Hn+V&E+u1gs_zj*NY_G0F59-Mt_mQ#x_St=db<;m50(9S$= z+3E0$^EceNdspXALbt%GX|I^M%FYK)`m4rTlvlRlSIQ@U<_GH~FBBbRcdVN(_+ahB zD;1yXAMZ6~hz)KEX($ezl)6iFeXW_vse>DvLwX#_x@R3*@aWi<KLr^I*P>g)0@r(~ z9^u#$9c(!1x_s!|E^GOZ{4)-nIo{*ZymjX%xz^JzvET3O*<HBqA|SSLM`Y*27%}IF zO_C4)*-n@>|A|r2)hFkD?K6_rWqy5_Q5X6mRezDr)n>2a-_2F-f4v$f{ufrhdwP>D z`&;gLGX0+4S2M<Mzh%+c@xk0_#=P`b8#mVZJ6xaFZIoi~BLAyvvV+~zs#SN(q94Uw z<G528kR`f)eTahh6y75@7FtDJ+_3J$-a879-v)ANJPEkXdCevuM!S8cW+-ReoUi7& zTWgKD%5PmUd7;=OEvx7@=U{?H*m;%AJ+2NclU7a<GJgHuY=?pEg7)C83wXAytaMg? z%5VFL<B&+ix0WX+bGz0sIz)3XyXU)eug=3OmrEW!SRs2a;@*{cGbGG3))aqwWh`>w zt4-9T6D}o3SB76_``Bi>WKE(#zJ$u358-o`&v_>7^Ed0gRi>i1^h2$nzK72e=J{o1 zXqSgR_0_)-W%2*xVXp-Tt-jq@Trt1@z}L6{SMAzAySlz6Y96n(UKAzO)xRhDR@t?l zmTM0>4N4XttlGTk+V)jbncWx8I>ylM`*zQsTJ7+sd!}hF+}`u^R^yc@mIe3AS7z@` zmhm~e-T3@=+cbf1obe)$P0QUZ-p@PxzNf!MeTvGf1>dZL=B)jw^Xd4k7n7g6J}P=% z_=$5@)tOhCufABX+pf|#ed50z%zHgPu`c;?rkXo#ZJt7B;mN0U9+@{ZH@dwzJimV( z*V)zO%S!{Nvc>1D*mykuRY_{dy4}*-C8s6$ORQApD=4d7@yMeo*CO_324~jO1sadv zv0EhGWc_l<U7wM?WLNVm_t(mA4{Gj>_qqB+$Y?|Hh4k6ij-2LutNJi@`3{ps4W~J; z-FkI%c6zke7H{LP8vEC(^CisQKkMDebocAb%6?8MCpoxmACw$jvwLz%_^Gp#$_+VI z*e6v!b1>U+FHFXjtJwJS7cX_uO+616{*k%UW;J79?H2#pC36ipOCC*{=&7-SN9^R+ zk7@aFkLs^Zn{w{1^oGM*x(_-F9g@)AzTEJ07QfK)xYUW$nv{Z%&RR7|_(fYxuuG(= zoZlyp6J`BVGOQOo_^a8muJ-e)U){Db8cvb59Xn5(bGdq(W+a{Xd)dChIBS9F(=4|t z^VWbG$?f6N7OH#q*!<%N__*c4z6VV8)@wJN>^lC)`*>E`u8rHX)&vVaHTWmLKe=>C z%9gDf>zS4`dnzWThM99qEV%LhZ{(HgpXcOV)DIf$naduaa{K4XX1So*?iT#VGgL(% zOWb9=^G4#Q?4F4_)j9W<?w%@Y$08IK=x&)Iu*<x4!tI)hR-LaumaM(7<dGxSn;lKr z+q_+WWb+yK=l%P)T=(Tk!&IL0Ws4H(>!MaP7@MBsEPXZ4`KG93)8t(>f9^U-oVdNA zQFhU}-4l7NS_L|i{cf!h=9_bQc4uXnsB6AnCxdEC&(q5;lTB?e3vG0HoRK_x@_}TF zhJs2Jk$t|_$!<?><^Jp05i0!VFIT*Rxs{pY>X{LYbL;Ny;|%KPXInJi<td}Jt;^FV z_k%R2tXT8<ec*~n=I19CTUG4&eA<Y`;3xCM<ntw4)pJ$;yj;AdB*kwPV{IDi67S;K zsxvoE*s_C7uEf9iabT^}BMX}+ou4G8&iFW+WB(D61<~92H_P!ZzqRjaQq<9NR~Pn) zZrjitwW)daVddA}hS~AIp8bi~cJkDZT~kaRNAfp4JjHdOK)StGe~UVgZNeJEx>z5p z_NrBtyc*$BS&ddVJk3PCo$cqwb!q266)lw&yL|53M;&)YLD~DJi^YGxT{iuU|9zSJ zKdx_CBtCufl&urKoiTp3=#KMkcg4?+H-sz=Vy6Fmsee>mZla;=Os|SptkHV2{7xJ< z?%`lky7Z`QQIEmTPVVVy@AUhfK3<x8^GMQ(viLPcPT~*T&a6t4nRw9mtwo;Hxuj!H z%+9~A*ALjYl=XmB@-gPmk#;+DpCtMBN9nQ%7p=XizlFnQiha)R-Y1XD{>ZJmoUlYS zYK6e*8_rdB%}Ebe{aS7r_1(WYU$@ovi%iOswf$dPrmE}AT{nkU)ZqKf(0$FjFI@U{ zsJ+4D(NcA@6$@hon`@{3dif>naJ<=;-R3W{PT$_fmoMXHpXHaeI^XiFMSYT`)a}(l z7rYlt<lyg<F(|Q2>r+26ldU(j%&64E!8P{vjmy^hOTQYO4Pf1s@pNkMAMdsDozc>V z=J;}$_1I=ymY?}NC}WEWU;2@Sb(6URgnxWkY<x6q_p0MjTTV9YnH@EQTdu<7@h$({ z#kDUVyp9dF|9(4*L;CjHzey_n%l2-6U+Aa!XLkga%j?Z73=Cd7%zQUBa6wHx?}yl; zUfZD1W`6m9CJukLhUnyoJ}6S%{QpGB_Kv*GO`=V!&zTD@T)8SFtF(-1{cnY=>GxOb zbFuh6WxsiQQL3}zbnAPi3zgVpDvmYsrEYOliDGMQuCZFYb<O0?mw&bEGYjT^@SMMk zN54#~NyApv<-v1<A5I@kf;QeM+_otE<mbuXPYPesp1^VEL`>xVieGbnm>oZDwcN%$ zl7qSPTdP-|_~%*A-<+NI;oKbs=0~SXL>OenYO@c8eA#iuY<|`vrTO;_)tFC|<d?Hu zaeUE|m)|cx{W@#4_sSqvYaY=<ccNG=!W#4nVrmo`1lsp%bKdEhH}~(uYe8R6bb6d& z|F<pW>PAC#&#Hf(G5<L?T$lTH_bQ)u?i1m5rs5K{*Y2ysy=|O1jW%sf*~q}3ra8fe z@8s4krn6mjn3W%@UElHZvikCew-}86DKW4*{Mui6-aG3=!`;IrNiRwdFgjVT{4BQ8 zIGjJZ^5WXbGZ}v6HFPJ2rbmCbvx{wTW!U{}Rjgyi^t)-U2@LM44GtmO+=Q0I%BWu7 zbGzgI)@3~E;)P)|;?u$$`m~QE_ZGiW-tfn`GF{2`^vmbz(#k7i`Ocj<apqx{>zve2 zJ2Er$avxoK)3bSpjX<3Iwyv@O{bjp0_`UeHEb6|^))UWLJEG>PuAkr#_bY$T#iJju ze3)Q%R8mIm`GkJv86S6PUCo`vvET8sh{7hJo70tk=5p^_KBa1@@8YY;pYAx^tDjt~ z|2%~AgZ<_>-bXz9U-_J4-jyEn_Ut@CK8eQZtj`=pJ!EF--&)b!-f4B3m*uySSyx|u z>t1#K$8s`k)4sjqy&@Z2!tZJOaqcSKi+^`6<^S%rbAIjA-}7UtRipHlu{M7?miSQX zxa5wV8QKB~(p(J;`CF#HOIg_Af3I)VjSR_f#wWLRKIMI7{!w<$X<`?nY1Zwz(M^3t z(TsQa1G~EAe0HU7ZNKlDmbSJ2>Qlu^4NIZDcUG<3b9K+7!#^+REcTIj)#UZ$;E#=d z)BcLtRy}*LQhC3|+oQL$;!QS$J__}7=5l0~n(+TheBh<l0}-vepB#LXw)XFr`nhq| z+oxWWNl9r;ozLogI`(q+w}X*$UGEgVkd!~481lR0Tg0JfUyN(j6TStm@wsy5po`4< zBWrEXMisn}UHMG0JYD*CQJTbmmkJTr(2k8vUK`rp*sd|Tsk12Sy15ij;pH>0T6=N| zcOPz=l6O2qNt3H#xeJ5Ji@ZtozVo$@=2S>+Z?z9J{E#K<t`TrR`FV2sp2P*sZ)fQK zf5++R(feA?_p_zS<+5}BRv$xU3alSaN|><O@#?;)8;}0p+h*gXIZ<QstgjzGK4-uE z_;^WzP=uIZt0cpTFY-m4Sx$@dEPisCz35|lzPx^RIh(>q9W5^YDccX-4}2CpaS31a zlGiIc8#&i=UVqSHDqc4`)cd99rPWn2ni>+>D^l&3hqxG-KJ%X-5@s-E`}c6sNpji} zHg?C)IL_d|Ie$~2)YEOV7%O@CHJJ)e&igI@xREch=jhGXuXI-0@Gm|*yTa~5YAZYE zW6r9&iT~10PyOm>cHAXgkMnNeo1;DM9Mc5cHaTd1%+)v^ET{W|(NQg`O^_=!Xa^_r z?h7lcJay`f8jH4ha@3`injW71EU6=QCi|%~N4R_@=o{P@yRzYu{l*%3zim99ZpfBT z4G{N;QcjsJ;PBY<#>YrD8|$<FuU{@z@|sp;ueh^Du7E4*or4JL{&{ILC*J7s>zn&f z`l5CjgR^>U<hEnRa<AH_z>rTbB64p~$<sOy|Ck$)y0-R~J4?JpG`x?NZ>joqRrK z8lpa@?mkfNVd8RCRgrGFY}nN@(R_vBbcW_qztbN4>!06WlVkpjr*HGZERppy;}y%d zo11TJKUwVRuJ<)tHrg!ZFVCqHQFn5u@*Mb+bnbU7?>$%ZDkGENABV4rmPY=$esj5i z-n47Q6Rtg8`jcm=RBy=hrSo5yGER+85`C;!dbabmWk&nzJA2x6Z+=t$e!WQXA2XY& z$l(^A>kKtZCcQPAR3ES4;v?$K&-7|J-|DqO<)M59YxV^Sv8wR0PfC$x`!#vBZLOj3 zG1E)?|9)fUc8<@9O`Td|G(|Mq<V)~uGZ}T^>8!^$R{rABsbO2xB=v00SGT`ACMs;3 z|6$g~J)O;g$x|8I-GWXQrf)31J};#E)Ot3fm+wUTlU<iiezp6TUu%To#2e?*56JJ_ zxuG_C{jBE3$yt2sPF_E|yeP-U_W0IW`Ib_$g2!?v_j%stn!~DI6IY`Y!}I0O?r<gx z-4CzbRgOiA+caD6Tk^6u_QQ4Fldny0mD_1d-+1^(&7LO#Z+d1o7;P<k*kE+j#y`x) zsi$97VOG|S?bBEM()5-)c%bL`fqSk+f)%FEKJm50`E*`=BplkE{lFshF#C%Y<rOXM zbN;BFeU+2&L0<Ev)*H3dr#9d2wlpcOYvJ~`lDahA+<Ct4FVl%iZDl<N&$qe!FO}D_ zUH|WDl;iXzL7h5NZgkZPwicXtT3Pr+=pENOjvnVZDzWp!J7P_w|Ly#EW9c)oH%t<O zc`wY8S7)@U1*ToAlVH~`WXt@!X65&{3&i(LTjVgWW!A|C>lt$y?kBQYZ`!se<zY_y z@}=S`g$JTC+UBgkV0<?A@H3XGZ{CZ!B6qo5i>_$USe(z-5PO<STZa3{?6?Db^YYI} zEw@}`eA;70bo{q1ao3BIU-<{l7rrdKKP&H5rQ|(%OQma%s~(5moxXq0u2t=lUu~Mp zgcF%Io))mzV{u4VxT5jtQ)|$VUAA{so^TbK9goy(zw#vXxG&rDeJy2DS&zG1jy}rv zw3JD6`dh}v^CWalU|YIjt6qB5q6TiC$c^4{6S^ubrtUG(>alXYq_pTo$nTu5tS=_c z;GNdAJM+Yr32qzn1o_YIaG8^%^x*3M|LeZ_3)Zg|4N722<>Y+0?vK#H>(#fzR<bpT z#%)V>WXV|m^JdO#jc~Q+dKrE9e^fX)F&<FbI^~va2TyCk|BKT?b=kWeW%kON9W$5H zo7ud5b%^EH!nS|&lSNMlZ2Er8^Yo6DwKwmccy-w)t&I1w*4JGETlAKNte9F`7PiD8 zNmXU$xxfwogZw(LRn4Dd;uF2<l5*OX54=x2TRyz<cDsM(+>OiG{Z83+|J!rqcAPQV z{PD-zQvqjQUcJL~JjC+fu9;>hOxwK^o;<$w|1jg}+f2Jkd}Yi21?w=xdsVf+3%=F- zr>87<>fLbjlOG;_O$gY=B9?SVz~@E67Rf!$=|#I$!)=cq-SAH4&0pOQdXqxS60-F) zQ@fQXJJruG`+xLOpU!(0<Nq7#Jp~plwpLHMpKfM$`@d3VccX-+$I^d`SAH{k>$&d? zuiLCT-Fo4Ib&||hHD*c28ShnGSy#Kl=tyAwb{$K>Z5H-7Q*WxJ2=0C88)s9Y{pjcV z8)p+sa%S+R3+Ng>n7DL;bL*s_m9Gj{tq<8Y^K6Ftw4Ftna;5H?MryZqKkL80Y?+Gx zfzR9)a_rjbP23A4dhhsXI209?_@3YOu;$zz_1FtN)7Sev=iT?cTJ&%)he$-YZ`0-{ z+q8FDPk6H@s`Sv^ZfDEGp;PqZ{_Z)re41FoPH)d6`Fleri_K#G>CfmAXTBoS>-9l} ziTkC_rgSD3%uFcL{51cE*ZHo;&UQh^*nEp(HlB}sw8WA5VTa)>_gNF`LMyWtWUf4W zsH^AYQohtHtBs!=*=doHzDU9|&w1*`>913xUTrs4KDw9vS)O#+x=n6&J?pb%8B1~% zTK}Kfx@_u0f0ftIQdFlr-L~d;{{yMM{uy49zbx9{DSUY)nYv@`wD)R3)vBC3-juvK zqqeX`)Vh4{)6m3CvrRHg?&n>f_C2=Y!I3(aWA}t@wAY@Vc~Mv6+ONNr#b2ELu1oxL z+-S%x|M0@|!ka~$r)SUmb#9sQKBg&OZoR(l_JC_|`1%B!%p<oa-z+zjuog8gxLcof zbk{H51h-b!Iimkxxw<VVICH|JN%^s|tW&|`%Vxd`c2WD!uh<pi6aMb`zD9+dNm=Fs z>wl#fDrclzRpb=ekX<YH^3aoqQXf_n-a4%ORnFr}t+k)r`GbME=Qi7K^XdN8C|SMA z;ZBO`p*4F-zfW!b!IRO%|0r5+))}4^Vf#25cKlgb!Orw<gATJtw|VnZ#vk^RPb~Vw zsGs<4_I@+hO+Nch&f0fm@|WxS9WHmJ%2Ojt+ov6h&X<2?VgEJGH$T_>*7<;jr|HrK z`|E1I)Su3t*D(2P`E8Y(-~O07>^bsWz5H6^*~9u57M@(W$(?;;@LQb&KOO5o{p0BC z;%0x&Y2EF|<;T*seC;%k>Zdw=rV~mX{L@b|2RZFMU+&Wvz1VQYQzoVF0q^{x-V1Cr zTKDo^$YJ9xkuusR@B0fVZT<XAa_6V+5(Zt%*86QMRC#v_dmma;(tNZvaMqT%mnKi0 z{PXuGE>$~y(lR`l_0N<eT0tgHznuEhf8$gNe?eAevi{=Z3||kaU5nm#S-xv48=Lxz z-M_90t+-vMnabll@27(}2V26cWo{>K74}zbUu@0zd(qeVy1_ilul?w*aJiKded@-$ z=b^m*ne4Zc_ScIpT(G`6*Yin8$KujPTaM-Z`<@)x!oEK0vEiYdX~_q9V^U@{pL+Db zEhA$0<F2410-X%f%2tYUS=Z`=ZW-3<N9jB6{Jd}91Pj4**3gT6|FUIn_qHgXyzy#7 z<Mms6_EtYL$bT_IMNs3d75}k--UJ5!le^^JhOnG#n07%aX4X-=Pw%B(vPd;;zt|G1 zqyP0)sQT=MxAmuWR?HT@o5=TG(@En4V@4{U^<VxJuTw$gFIV0;n^jt-9hf}xhx&?e z^?#?f7vz0xyxws5+&AV&B3DB7K3?{yOMa+owPwOqcO&-F&`&@8!b=vmi{$)g7vfzb z;1P0OME!MNuHpUg&+-fb$$twX*IP<FHJz2lxc-h=T=FXZr=45!xZWL~_q>lKY?@TV z+Q3r_c2wUIx$ffnjJquVf-KkVV?qD^U-sDcrqN^-OZ}>^Q|GL6UMSZ!&+=ZZuhC6r z{pwSj@9oXmx1;p+^Oe_?j_%|ve>3B%q0Y0?Cm|Nw*VRq%z8#V)u<F+HbK*^5>H`0r zxFa+Veq7+6v36dii16tQwnn}}ORXzjd68#M1>ZZV9yxVGSmm>YP8augSVbIUU$<De zSy0d|brpYv=3?`83p8%kzFwLUT$esqaW?DW!ZQ`p_Y7=!GWu5-zLC#Mm@>oRl|hz# z=;F!=JkuwJ<t@KjF>j;a#7mhyR_b3L{$dt!pSgKq-|H-^V5i@~D?Wxlx*NmZ7Us6g z{mc#jGwf4>es~9n-A^kM=*w84Z*wK_w?wi1`E8wCuVlAKJ=_;yX0T=NU1i${PRVJ# zAzG7@_g$O$;(yhLw4I__-(O@KuKTCm{C3Cd87&>mvtyOw-c-!X{BpZ*o0wdU>V(rL z1>^Trnjf$D^uev<+}@K{Cg?5KulRfFYfA&GCWENa(U~rSZ;Yn~u<eSUt<>dzP;=9b zK>ibA`un6OF(olav8%-V;aU4_dxh2{KTW^zjcfN8-sEUAKQ!ZiN|jfnPQaGELhoDW z>|*v}TBW<(ant?U^i7}EF@`z5yM1`B;)U3%8!680%ft4l`8a&_l2pHN(tXMM<ovlE z{g>9JSaf{l=y^Ns|Kan@!of8s#Ud8vE&C^Y$tYxDkkj^;_q~K(7_W_e^>6*Aqh@n9 zeAuh7@caVVH`eBRZe5VP=gRsuQE|o6jLd?|jWv9x#ypP~-Z|p8?@7%yHt(WE(l`F3 zm8iXOS~Is~r~RX-Y43E3UWFHZH>x|w$1Zmxe)n;g^(zZJwZ6!@{(th{eMabOo$!$4 zoYv`2f}Y-**D|-LuWha)$8p7KjhzKQb|3$nXuRU@p7#8cEmdXgo$CuPduQ3d{C`sM zL~c9BjcC&qH{)b(^v}*`_FU{-y=Cw2Z=z?LgsT<OZ};7odFy6lQBkq<X5QkByK}BS zD$jCRSG)PCTK%2AWs2u=c?19c-0g6CyYt=O4WGrtT%M(HHt9wDEMk3rFXdOTRfph| zs=|!IbsQzL`5#PfU=yEvy6c6y`pN3W(z9}m<Lws4^^~WdZ`wKkC)a=WGtV71{(Dz? z?ux$r&MW;ZZ+%;;v+^E04>LQ@;>(*dkL#p4d_2gU=WV%#t)l<O?xWR?tznKjc1v!B zHE%xn;<2=ijxnD_H2<^x&40u;`MdqMPSG^Yw=0e3cfWh({@ic(=N@T(!Zv%&#z|LC zw7z|Nv*y=?tv99`T<?(#d3EEr@bTA=%Sx>HUQL;$;5GSzueX!5LU1Y985Svi%O<9{ zKmLlp<6l4N%~{Z4^kTIlpUsCo%K7o^9J80YE^m)2^uLvPf~j1t=giDzDf_+!F7s3V zx_lL!wEw!qQzrXOmoyyGa<(`Yuj^SPv+2vFnR1M~m#(*y*f8Pix<22Q`KsH^=CW@O ze^qrXEhmL@&*v{)zj*T}O;fi!yl8r9hffI81n-?Y_D^oCGIPy5Y!SfqXy2Vzn|3{E z{QKaEoSXTP2_2t$7o@K8{o-P*cCw=Vov$n7R_A$^-<h2z7%#i@PtEoG;?FDUX7*dH zEVkftasLq>tax`#`@-E`&)VL8l36HcWp~YYLf+!fj_lK9&YlhaelsZX{dqQh=@*k0 zJ>PP2;?HKWfSdeJReKBAy!}fKG9J7pe?-9f+Z497T1&id<yMG9t&WcKpSzoL(uIUW z7J62~eZsq%AN0(RdbPN*(M&gDq0Fw=4=ooQtW8~_A-nN!vYwS_PrnX(&|Kju+Iyp2 z58a)SdU45Hru%L8rk|;iZiw0KQMhs9)JJA}uIAOx;@DWV+Ig$ki^Y$3Kjo;ol5TuA zJ)bW^zABMpmRfh}y-VR2)`|WVn)~nPSHT@F53TH*7xXs-HB_y=c_e;PME#EQVM#47 zH(b_fcD@?^qKVDVs;1>vNNcDI)0IOlJ>@3ZCQEC|>U7c$9$udBc3=Kh?dKo|yUhm_ zZcl&LU-X}S`t`0Hzcsr5?<{_OZcQxHk~2C?Jrec*H>^Fq_1BLHM|i_jz8Yj4s6C{6 zr|IsAjt{fH-0amYbS{5nbkyU<k;0IZub0l*WT^Xp`?p&`=a^pH<>rbO6uen{Af&b8 zd3=+LC9`ho1SJ=?6;C>3pP7bePVQfxwVY*Bc=y}&yGq-Pj@Q^MzaM8J@=ajHllT4G z-n=UnIVR6{=x5EA87Unr)^IHj{^t1eSjgh5YE2)!w0V7>bT*tgsr;+#)0DmE`FwMC zHcy<e?SK0<t)*vL(lX{}tS+3>pC8Z7=$=0<eV_K6c!sQr2eSe~#n&6T9k%pb{-3+F zF#eI@>I*LoniG#__HS~Rx+O6$Gk5mK!#O*)EE8F$H~F(d`*csP+-qDVrq>E?EIzwv z>vpZcL&v@6FA2~s$&dAIxx4@Lq9a+id8$IUt)KArM8uXNvAtKe)KuTf(^m};m=f=x zHP_^XOoYQRPMMjV+pCW~&wJmyQ2W7(9Z7dNYgS5q`y}CeztpRD<Juqz$5o~F7v|X9 zS@$$q&iMx;&wSZwlirCxy*{n-ouzolrVAEXWwPhqIL}}5WTC)Bol`0=d;<$}R@(1d z!TG&$^{0n7nHKmwwE4vo*QfRJ;RE-*%N3Hjo=IIX43(?<;`#JZMDQPzQ~!^sx3{LB zm~{2My=$-Q!Pf_ROx)QvNJhP%P~G%!%e6M6l_y?a5!$p~VugRLR^;?jtB7~UD$O&P zH#~c@s^rGc*~UL?obAgLJ}CU%xHGU#w0MEm@6}=ZPw}5o@i4ly@!8%Wkxb=>C$<*I zWqSlJ{kQYrUk-+<DQh<M)_kmR(YWHQ(-7~waJRFXDAzB8JyXx9@y=&Z>XuM4u~~lp z#=CXbU!Okgd&eq{@2JI_sq1f^j?JE5E0NUtBS*pe%{vj353My_A(bv4?q%v_TOaFM z`i1d8gu!+9WzlXr&sAl&*=5TWhHc@^X4x3$cG7?2q2Q11FWS!^Jm22?#Ad@n%`*Ep zY18&vU9$eLM@;eW!h}_?J<|;vHonPkWm=Z}t8SIG=AsWRDS7-2dpE!Tc`Y<X>DpV} zs8ws)jP1F&clw?VI^lV3L&!Y$2>GXJ#~x2p&d80@P1Jv$v_#4E*%q_ui(ZPYcI~<Q zPx!@xl=J;QMeEp}tetju@{OME=<TvIxUBBT?fp3U>x6fPSzH+ob~lqke6Id!d}fk% zU*X}o{)S__(%$dU2@m9Yz1*4ADD3z0h;tYD?A()PJqtX(d)rYf{}lbtN@b_T<-Xio zvQRaKr*qvBMlE;!BljXEf2>-sDaTg1<U6Y<hhgr*BY$kelZ>loEcmm1vCh+7uBK<U z{8vA5XG=_>ljx78>)Rh3*{$Rebj`i+ra;h>&WYhXOAC(g=dvhz*Y-80W(jB9o|&7P z-gn%}|K||5EA=VYie1z8`P!=VSc&<bx6Qn^k;_`~N%EQd_mb8)noW#z*txratK3ef zOS3K5?ZWGM#-0p^J~ziZY2=G^6ie;bb(>zrxQfTsI^WeT+VZt??n;J4YlBC>(}aAA zqkVtQc9&1R82kC-%3m9U3hgyk7Pt0hh2A)(bfC<vEoRdFU7zo7i>W`!B(_Y~s_edP zZ|1EBQ`F6m1h({b^)x#F^+{b&E!yLmDEM>HxhI<ESM3f=Kh*R6n``RDJNd@DB<zjZ z{$9E5_4G@bcZSSFjWZsOSB#@S*`!}ibic5qdD+>9Z$fumUc_wr?pZ$1IZ5q9;=QXs z|G8eRy4cArx1(wEy+)D4*8luUn&+Mf(e@V*S^O_){V7Y+bBxCh8yyOr8Lauyy!+&8 zyZv6q@0@bxUGDn0Zq~~^`)vYrLt+~=?D=oKvYxqWp+N18b*n`c3w|zG`TLBe!pm5f zi9vhb$r~xJSS`(Z?EcqPCq#NzrQYESIOnIzpz8hCV#~{g3!~PY&YyA7_CE8=sWPRX zzXXP=vz{(Fb(l+8Y18lDiPyZH<Y)X&Us_=I^y(=`HJ&MZK7@Lu7@nQL5MH?O^T|V3 zbMO6LpfGuwpc`YWQ~2l4e;iiI>`a>T()#PEgApus%7yD&S`P(n=2iV6*1yv_M$_l{ z*Kg}L=X$+z_%?l$kKtdbPZx?m$R7&sR%pm-GiLTw{JX{U==v?Qw@l>}5tBVFa&z{> zuw*5-wk*qiH*yY{Yfjf|zSp>BdVcwvGu!&6-s6ZqW#p>Mw>xn4^j|HDx2}1%QghpD z`}xgVkDBl73+WU}JgBm7!^P!mnAEN<JN#|lm09YwTSUd1#05Ooag--$sC@i%@oQ1j zlxMwjJs0bln2UQ<dKoN!xvIJ(yi%3lG@VDFF6z6-tD^<xljOcUSatkN)jyRa#<~+m z<-at1L!Z9wa^6x^qBbY7a_v1fqaQ1No{G-ZoqCV&^o1X(|4!Rho$#?|x%a$w(Ze7) zTaOx(*z(V>_AXguBHr0&)@se`kro{r=A8QIYJuXqeD8mzUM45&HqH%hU0_mV#N>b0 z;ibroX>aY$ANAJUm&x>TU(3dAOB{lA>-3up#s0b6f40tuU*_(k#l_NM`sM*|*oD8W zxwT)ZLuubJbGMqhE3peVO!%&-9BX_{^Pt$?bCNYzj@)^&%<@WsA-8zM8b-(4Wpl)* z?tP@p{Y->?mB?$)BPJKUJNuK^T)$SZHH2hDdCGs6R_40%$=Y8rs(HcpqUryBzxgoh zY0=tKk~@|jXmDBd*81S#)&~=QuTJEYc64KTcq4268r~?Kt<HOen=fWLf7!gDe9z6t z9Wr~i6=tswG5u62rhYx;=hjVHw!9&F+1EvG{XH<b?{evSRXGvu75PVwwkAJ5Dyew9 zX5X{b$EU8CFlp(j{14(H>1Ao(H_bO*J!z+C;G3<A8j5D|0<Wje+26ZC?!)5+`70xe z6pi_(oPWYtD3bBG<B3HWgVX_5DgJ%@v5gj|{x9JW>-f3;cJPOTf@^;yYlQ1RpZ!CS z=a+Y-!pm0ix!ai{(%!};+{pZU{;N^^LJ^-6A{TR7wXGZ-Yvsj%p7uIE>GEGk_AloW zr%E3#d|hz&lJlg>g@>xFd>;N@ExR?TEb`7G!_N!%n@?X{!K-^TdBw(mwJG!0oqli9 zDzxbI<E)Ig)i=x^1n@}bCreAn`Y=z3HL?gYOxiss|3^s&r}u(K{oy`~Zq!!sX$DoU ztc|Lk`r}#tvP1h;GRLHUuYC1>+P@I{uUlf6{0={^dhxzGihX-X;HBE%y|=ZxE<cm; zb@CH*DEc{-t=nmjV$1o;{|QH}i@hC;5A&Js|KES|^?mM&NGUfKzQg7ry6Lf%H}3ts z|KseX8~jqzpO4PBn||9=fB&__BB{Kq8$ZVAOtdx26xE#nPbKD)0z=uQ#?Y+j7Ylgi z?LEx4_7nHds^hN}1J|_v4AuL-{!YBd)-$YIJ~@5v+kY|cp2B8U!7I0BMr^sZ>0|yB zhdS23xepfgJ+NKmVf*vM^6UK8JFXaY1zW16nr$gulC79iv3z@<Qtw69yzc>PCfuqy zEYZJDDdLJtSZ!f@!nQv%EOq^kEvsLj%hG++jxAV;|MTtD%TFI+OX2mjS{~G*UTyTC zcZ&MN|7;05v$S@uIjv&!`)zz!Q1DypFWZ`DG8&{DTkkT(_UcZx1OCfr&R1%cebgl0 z%~7&G;DD8=M8Dh&x7)(;71JNz*&p-y`^T#b&AbCr#g5Ovs<zAWP1vQCdJFwM*qtq8 zFHCBbJHB&QxN?4Hi0PUm%zu9Vxqtqcz|7xs!e->E>F=<;@l^EDhuI3k$=WIR9A16R zKE}t>oL9hfXs6%5xJ6yd7Ame}uaZhS*{Z93v1-Ed*_Ins-~J1jm)-UtCY<Yd`Lf9= zMh=^f-efh@wQ{$b!ydQBPB*#YUPSxJet`)W-mJ4Tdy_1lD=M_7QT?C9tAdt8>Wfmt z{<U8HWcvL6_3yH;^Co{-VZCtMtMv-P+f$}R`$cwyth&>5V!^+ZqnEy)vbEznb-$@| zZe@kvJ=0eaIlNV8n=k0R&p+~b$6mHGy79Z5zrEq-JH8-DQ!6CKQ(H1BMELE*R8j5s zf>S@v;#qSfJ6it-3uEY19X6|Dn?8r+AC?s>xAgm}^GhtdC}y|mnJ!^=@7k>#4ZdEU zpX@WA{n~o-$@wj31&X)#)p1^ZSv37pMR}o(i<48MRLE`p%nwe%^Y!c#AKwUOl3844 z9{Dmt_~@yB2L&_j#im`}d&-?{;^f_toXh1nPspS*+E}gV?{3gNmy-LW-s81ZY#+lT z|5QKQ+~1s66}BWv%y`cJNg%3q|MbqSPJSFbr_DcQ{5^TGXIB^(la0db+_jY#{O9uh zpUQ9b?Ck|5n~OEO_P#&xJ|W|y61OVDT*D`KIBdUjHPuG-X<RzLdB#KjlPqzk)BJZW z=*=?qlq&yOQK|bNPQB@V+s1RJr`5SFGb(x~8e4k*k?)KAKP*aLzFfInV!|fr!m!rN zsqK^EET_)$O@DuUWVD*j(Ehx^MykcT-XptBUp=$pKa)oAyxMyqJNWjl`#AOMohJSr zx32BGzCi56)lFGU`d?ly6<(kDF0#1ndT@b&<;Gx32aOHdIR)z*463j1`tGJEtfcXW zZ><;4m0gG1?4QT1fBfbn<Fxsvo_RA*AN_bNo9WuC((N*flNamut4<g0(F}E7R=a1$ zs~*Q!@+se~16Q%7&iPdkC;j&F-3rc2`Lb$%pY!CuSbLEvJhUO9i{YQ+1IP5QEh{Zr zm)72WmY;NyFRMfA(Z1&*joD!aCogYqzUwvnW%jJ-iHZMP0`BE?Xk0zYGyB7*HLLF` z3)>x-sI{^Cbj_X1n)Y8FJc;`7p3U=Vmf1lM>mW_HwQt_+tN9`!IYEB81jm{ibMNg4 z%=g)*y!K(c6JzwojXU@Rrkeav?Yj9fy!7>+<sbR(<(;}SwJ@6T@kE`N$b#<Q$rT4z zZe`xhu5ZqLx`27}k0Z;9x9;T-G5sbfcBka7U(IB`XD9X>{AJEM{LQ@LAj9948=fYg z2)%XTU$_*{7dhFZoKIh^?pW|=jn|V-?fv0`GsCh}^1rF@$}GI}_R*2`7cI?%HEoZ) zk@w~-5UAJ4_s)62=D@ysPpxa(&72uuWHy%FVRYGHTEqP6?%^GV%&QL{db0S|;-~-8 z8fA(b8#ad~I9^@SxXjR4%q`+y*+QlV`+mRoo4rn4O<pF@B#X!FAdf(E(e~r(*ltfr zNln{y@&l(te!aWy#b;%=JVQ5leXVXNwKZDIq`r<X@!PgVGEpBc?YViQK(}P|0_S`6 zTdV9gsea<C{4es@##X-Lpx?!n4llazd54I$PTpX1&hg)LzxveF)}t|NYbLFDkriqv zV4fnp)3>+c<L-5V3ZFOp%olwocz$|);e`G1Y<pU@?w^=eZ@0upl2xJh{metUT2E#E zxd=?kJF#|4?c2nVLlZs-Ft*IGN?O{uc4hVT#IG+4_j#O)U#3(k6%~6-UHnNn^BsFN z?{{yX`zKdL_pE!Lm*&{~HPhtjFWs*v-?SZa>iT@9YR<{)n~i7RNl{SUerN{A%;#HI z><HYsf6e8GE6t`)l)j|v9xFOWtI@)d$tGTOjbPI~*SRaMm`%%5<9NC~O1by6-nk#T zCX1rJ7DVhn_;AjCy{o!Q9@-Tx3VSor;aumj$%j^6PYVl~a7QZd^-i%Z`d>cmY<{|K zgTgU&GrccyqODI?*8Vb(I1syh=JAH-)~d<&FD_4i=c(FvC2AJ;8{5#bi+ZXj&sOIi zXgM=kzGD9K`(OHZ>{*#{vZyTUjbXt1n+%J0uQoVz>er>S?*fC1FLYJ&8iqL?*fe?e z#x*aG88NE4CMlW=oAGQsCmw&%ahi6=o=}$bm&>)e%Gy3S-(R>@M`f$h5v}s3&f5+u zwQ7+Gvwmp(Q#rk@@Au|OTlD-cpHY{y_A0woTku!6`O~K32Ruy`GA=01E}C`Vso5XJ z(+!Gowh!-q*_Zq%()m;WEu~ElnpaH^+}v|YV50q-4<-B0i}7FP_ljA$Uz+K5Q`cv$ zh&RinYnNGi>#t0!*LiL`pW|T9%sJ)@Z%zxF)_Y!{?8cK%@+Ws@zc|r-r@dSM0Pm$Q z&po|G7H-J+W$`fodcm`%xd+bImKZwx_|P!F$a`zatxr51qW3#GHgTS9y_8%bAfv;w z{LV?Xjf?j={b%(yf4wvLy4UlhF2?trnmOnB-|EbCJ037IX~VzIC%;Bq{K~Y?<9?pr zxko1VdB1F^p7VN2*A|Y>Z~84EtL@G>P2sHyz9suC<$$@I>Z!-vYh>g^nx>w9u_G%h zb((wr?lA6krWOemAuFm<b&~$HMVUI+&AYJTGi#OVq7C9#9YoDSZlp6+&pMVQ5OHAE zI>jSD{#RdIVpDV6w{`#GIDVO$rK!w;O8fclPR?x+TGU>oExm!8=TC0-#;x49gXf9Q zs7nvF=eBAyeQ9?8|NZ}(H(O5>T)x!Gabe4@N!yR`i~oB%vy$hsW!i2V&#E0)`|a*0 z8&scK>vjLmOZ7Q(=J*=1dN#<cZL8R*xT|Q*%BAV+RYb&RrcG)|?Ke3huKkYr*}84t zFDzy}r1STHZRP4YA9@~NHU8Nc`6SWn|CwudHGYd5Wgm{#EZrk%|0+py)rV52pr`*r zxTZb6H~Hq5dwFvw?2%u%!8lKPkEF<)PU$ND@N;V!>THru^K>n7(~`Y-d(K8b>m`3z zZ=74@{3Yp-*2DAR%h=aGOj>elb(D(fgBkZOHOjGC|7#QG**z;~-F1e_(nd?W>9=NY z{vWU^=hmH15%o(A_Me%~p8hoYZ_klbwrG=M=cgb3YSfxj=;5?w-PvnZW$kU<1;0Pv zkiL5S<l9hb^Uv3!)^)kv^Aif*aAw-2Y!*TGU4qwiT9;`n2Pr(a>p6TxD*e)Ym1XBN zJ@(dJ6^UBIx8`rv+INySes22p{I^SLbI(E7Z9*p(2`}k;>t}Sq-BRv_F7LlhYC*qV zgqAY~alKJm{qNuA^uXy`dM)<0h2D9@bHs_2G2ULcj7@6ecjLvWUJs{yD4zdOBX)(_ zOVhk(C!cF<?TlM7aoNGNh2IZK>lW?cF<kTZ=e6{yl{1!JKA3U;-z_)htbZ=I47Po_ z^JQ_k*gyNcoqVoyjvur%iHeE+HA!o3+1gr*TX&U39+@5Z7`(iq*gUM6ZJyEw%fPh} z=E}P~PcUSC$vSTx-}FMc^5u%-b5EW49kt5ubKYa+Bb5cKdfsI&dh;hR>`bolnOogS zrYBz%o|Bc3%}o{WK67l#uJ#KRiR?PN(`+~-xAX`fXxZKM|C9nl?_wok!K};EvTme3 zJkWDeRPK+aZX(Z`Pap4PpV}tNVac$5+t=9-<;`_|n+d$Fz9zMu{j22u%+wdfOkIzs z9y;KidCyDdlEACz&rU4%t-^O$MMPU<4{{1jz0SV%wS#o&1~#UL9QXU@_1{lRP|abT zVpZ>OPubP?&)SGrj;fkJUSF9p(Xi^b_^0Z(Z_bsz@MXI&#sB6rvxqy9&eF!yeF{@s zc6}F|yjkBysV7~$N|8bT>k=+jo=I{mR<wj%ef;Jc+x)+#MoTs<(|7ee|M5xNtNz%` zxjkXs^Y~_MnP(Vl6ZG3YaM7U|Iz0|I_f!c)7+$S=EPecy=0vp{9#13^&wf-_%?p0) zu-w|OI{p8y%t?=~&Rq~*<C_=y*JXCWxgGg3=8;QmZq-dZ>h|<dXrRS9t7osw?u4<I z9qpU&@95IM3+`Xt_icOomBySuYu9T1oc>B7)cNf$#Y>s_i5-)--fx!8N(^hcb2{VL zwT0WYzDFhK9-Eu}<4mx^=5x>DvsPr^;P?6S%Vt?UbG+xn-)lvFx~?_zYUuuCJt51_ zFj)V38^hCgLTmEa_6Z+3sduOIAj|iTH1nz+NA|C5|AdS0tz=C7sNH&GvXji2nwudL zk}tWvXX4%ZH$XUk+p(Pe7Ok0`ue|L%16mzwAE>-hJ=bwKPnUs9>G8~#`=5^AYO!^) zZESdbWtEbGn`chWdiSd@>VNthuY4=MQ9#2fgss78?Tff&A;*e>4sg8{sSJF#ac{I{ z66@WIxgFgsGtT)|6&tD6CS`nFwslJC$1_qssbY&ORtfBya$qIfx78jdlV1Ljogy8k zx+(n4hJwet^u1&fl72~lyI+^j;>5a=ag)S@BY!x$n*AcT-3y<$^goAa^`FyAl0CfK zo_#Ye$Y|$1$UUvfWZls_ReLVwEKl8($YOu?TVj^X^TwZ3FUsooO3hjqT9xi{@38st z_x*S7wWZAdRM2LAX!eoq4}bZ^|CU<Now5JI;@uVDf!YD@pV>$7*Q=){Tdho!4%oPv z_r<&{-qs8JtwvtaQr|7ER&_?(PmsIoHQDo&V@MDe>-vKq_nx+Ce=$)hOvArwqfNYy z>O<$Hkvab1N9Uc;*PGwhznRsvMJ;Rcjo`wT?(nrczq_3258IiOXY8Jrthy`Kcgp({ z1x0W79G~S~oWOE!{hb{S)B2Ch6Q6$|^T9lkS5JbtA8+{1WUbzRy!Xg$&e<7{FMf*? zVY3eXKCAY5rLwMR_Opw+^}p}57~gpOGx_iFLt!?#zYS-mo90bS_`XKucmCB&C$lzL zO14?nObPA_*eS2I-{$`;-pRYp#}rE_EQmX)`Ih01K%FS(9=~^=CQ3ax6H-(@{rQ(h zPoBUPlgnIY>oI<rC9&)256Q!23r?i$i(;Bl@1QLGF}I&pZ>P=+MtK3R_|}hm(jKiA zWeH^sdT%)CgU8lACWpD59F0yZex4$$Hs^3{PSLFI4197n-DhfM2)q57dMv9~S-x=7 z`8SuIcK>Jp_F;`7i*oJN&ZVn7OAqvv?OvU?Tk_7LwXeckJmTWmP4_L}Z0Waob>zi< z-z|@ygq*Do$Xv8t*C8eO*5%c$`_csuN?w&)dsS>MbFWNcDSw5&`4S@s?fZ&ud{vXr z>=l07`zj(~UsUMy=SJxp6ygtE;aB@0+y67^AorY_qef27_b1gbaZE~@SG4lfIpfYX ziEeVH2^WN{n>FU-Pg}%S)}Fbsz(Z_{_5b5*|M)GIyQ<|q+jlFA<Mg1bA>r%-aUWjn z+;C*>l4EN-xSLW#%ikQ+>6oJPeeafaKTDRs$lR?Sq9*Kax9Vn#nbhJZM&^7`_j)=G zD*V=H2nqXV$>U-)EAW$4afZgMl&OI;exFNE|Ksj@G)8@P#wpf#!DQzBdV4ev6xV4T zu6TQCk-+ShH<#8V9-lU&^`QKOXkNSfkzWm}>-Jd84_;x`-@LC>D^|2T?b=Jjdslf{ z|F}*2bM?!W^e4|h)U15uBUkEi#Q9&0<e~PUT3>E2=Bt6e8!PlV%MUGHep;!ejoI<r zoFtFe97WB^r8~6^Upt*Kh;I4YZ*(VUQ?T+c)|;y@-`==$SB5+9@#+oVWi}cx&r8~T z?a8SOIq`|P#+EJr1^%65G`E^=X@BwW#Kd5axhv;z<*lA8{e<DjNkP7pZ=y|FQN9TV zC3jYxa=BU8a&WHd@<WaHrpBo@?L8CYcC2l$sbgC6Q)R!`Gi~}r&2q|0YWc$dPCKP2 z>CwTr&}a4q#ToOa&VTkqPNLl==S;Er?1ah_*L+kQT&i===E~XKI;j!&KxP?l^S&bE zzgrgHIQx|~d9}C8<bO=Xk8k~CixW1RJ}dQdp_zTajzv$;FMP3eUnBFa)i0`?+Y=T# zoeI2r!u`kHyxJ2|(vf>+EbUr%W`}>yp4zoLBcmg;MZ_1jtV~$#r*Zh~J>yNz(~Q;T z9T7i#=6z%7WX(snv#X`Fs#+=*1eaSqn6c{1shG+C!cU(&m2s9kx+Q5gvmK{(Rr<}1 zbGT34bp8C<*;@CnVbKR+kK*s2>gMM~d@_-0>T`Gdv3AGLIa_A1M9Q8@m()@Fw<zY< z-ivzGxAyeTxR*3jdVP}!gUiNUHdiIGu2+7JsK1jvp}$dlVOhd;Zl~*!mRrv+m)|^L zQ(3NJv)i^f&W`%1T$cIA*J|AovT^=Y6?|e@Mf(@t<gc+(4wi2>IRBf~HM#iS#h;~Y zZ1+|u>pCsgl$l*@_xp(z|MEZe=C{&jepcI3*RS7G`}4>8)3-EAs}DSxx=lpu@`Uvt zrB__rwY&b-hQ$mriQH8TikG93VpqEg_8*(}MJ8jJ-4>%I6AD+obGP|1rBv1G=9j1? zr`dJaCU#7G(asqEux`QcN!{Ew(K~b$J*<}2`rF?-CbFU~%I=J{MU<|8PeotfZ-pG! zGtWczMX5L)*njk6(3`G6{ey{d?0wU3JuBrFUUBbEV`$K_q^kkGnT2)-m?z}e&EOZE zwkWc4<E@R2){Ay^KjwG)dBcgP>B@ac`P_LSW-&Xze(DVOYWx+-{^)D#QXa1F0`?tG zrVAhWcFnDTt#IbY`6-9H3SUX<yys&`3_mD&La63Vts(bDmsh)w)N@+J9_M+@?ILYd zy5s)lhQ0oxT>d88Z*Q2rAc^IueTYN(y~@^iyxOg)7Thjdwr=LqDD1ued-syZ??wBx z6_>rTQ9T)&mDK35{@3m7Lld_>{!q7H^`&lCN2|*I+}CdpPPufVPkOd-w{qC=sntzl z^;gx}c>Z(MoV@7Vou{AgtM-%g;r(^Ik5}D%{%w9`!2D0%Gkg77R_^OJ+8BLc!|kaH zk4ec-X`FZP{b#iVgX>WfKke53m-4How|CJ|7N4gs6II_DnrZTD7r)DYyD?zCo>W== zl>XXhn~xq^_wqo`NuEw~n+%T&bLBZsI|$zDVGa1^ruEM|`A_GkH=1slf*<-4XHK4B zv7c-9ubm5}Z8B~@UHzM_$oSI7iv?Y`&Of~SCQagY%Ip<_wsW4VELa@*{MpNH$F-}} z^g1fUI_|r?viom%VEK<p2Q3)Hd)b<-iYvbwww+p;mCU+P%X)V31-ZLB|E&HM;!^II zykBrhrN|y*zH@yy-_LT{?HrYK^K#89W}}RaOe=dOL>VVd^LXHq?sf3>Yf<C2sV_f0 z<Evv|AGP9y%j`LqP6XGbSM6@LJjlD?a<9axxwbOL@6Q!b*(jL(bY@^?w@B@wr2--I zwa@RraZ1RI{k6iI<XyWv@0J!zT<nNzuTSflzWenQkE_qyxL!Za5bF3J${+YSa^|Nj z0nygf{+t+py?^)8mnplRn7wrVld}5)=|`*n*Ogz?`Qi}%A>?Vb<{5L}hR}%Gf|s{b z)mMCUE(+Uh&vHiBy>Pon*lq@2{S!A=_8Qq~zLrow5Ff36I%8!(`N78Gd6^o%ikgd; zEIe$uwOP*S*s_uz8+@aGtPwcz-ePWH!1T3u+8O4~F8=v@dd0luJNAg1)V@joJo%>N zp6NF~<~G>;G85^#aUsuAx2nOyQSU`}1M}aIiJPUTIUGt^7Njm>Vw3LoyF9k*^9+jv zv-yMb&n)UPnl+n;QO79b-^0JVAD^ASV)loSs~oMXcPp)LmHFr${a`MGjR0TMlbxrs zIg_M(CrqsSC;Q;%;zMzqT-(d}UW)8nl)0e1{7_5dv=i&hzOM9rlmDTo-JHGbt=}B| zUHcmIV+=eSd_G*gSp8sz+ESLA**lVt71&JD{~jp!{*14@$#M0Uk7`?)QcEkg-A>Uj zG|KFF^jbB*W}}Gp#7pXXA8K9MzfL7}U1I2?jL(vHZatR0`S>-T@sH;#n@hYuy9#(` z-u_)Z{e55Jq}v@g9UUAlBqWD*xZEsPi)AbI@0>Wvb$W&&zv}m(ryEUqTJ(D6tbbR# zZ$<Lf+$UT2z3eeR{)pda>DIlQxcL2x%Oj&>k0>^ECZ6dC%o0-DqPOw0P1?yoixQTV zp?iB4)}ITxF1l8@Du!#~F6MgwUH>?i*WMJ8GW8AEzV_T1siT(7jFKX5+t+mp@hg0} z8~MR+_X+<`%ACF#E7GsNt0*~B_J5Xge&Xs!?+@M5S!#RFdG}uHKG$R>E5ppFqP%|- z*9N={(^KU-pxVBnc&ead(=?y2aT4M8<IjH971X%eeJxU|I_HD=BB!)p3%`rrZ2fbB z@1b9WGbi^f?l+~sl`fn}V*Bx;!v5=11qHG7hkyBU-!o^~@5J|nFL##3Bjepa-cEaQ z*yY%flbpUAT7qMiGz9DD+)!b<-x1X8zi?B;@~gd(kG^gyKU}o0RWO^aYW-S=@0Vhq z>h4e6H)G$HEkBcsUi{2#zg++9NU_T9+8qI}wwQ74{48+oyWBqC(%-uB`wl<--Toys z%;==O)(*Qsx3dQ6YfoL5{8Dl(YD*%|N;{UgRyVO~zs%YCCA-ZZZcto%+4Sz>%IhL` ze;tu7514=OV%6?)pUyq4&js#v2CUJ)yJ2Hrw}uUK?)0PlCiBfN%QS3QpgJw6Q{Q&- zJH-I4x$AFD%-g0qtzhTL$&A&-DYqS_{W_(6PsN7soJ*3SYl*4*))$G&o=eOn4mPUp zu>L;d<O^2WHTPHa%XYnaK4ZJ0_w|N?tbFnHXBHlF=sxuL8;f+#fr<~I*$n$<mkZsn zxD;3ZaO0lGyj!14blR+6_Do6KQ)E@A;Rm+F{Tr(tYuk@4ntgox<$#>uzSCL5jGv{Q zl)m^ZaodpzFYbBWUcT^yR7q6RzHg5X#?PJ7xAe?&r}TYBK84zCsm@-`#phRZ+wS_~ zU%vPAr{pJEJDOsp5|nSA6mAMXbooW7mABpZ2R6=fA&X9i@@tD~bKiMYsNEpMv?oJ} zZ-(FdrLxnnCWgDNb7MPo|JmVG?)37gcZw1{&5VyXsoKu_U7z*$eYgD7?81yYW%6xL zPyVPn6==ws^7`zJ#}>toQJ*+3nVY?iSDeIp#n4gn#*#_P^R|BX3XgcEP;+N_^8GhF znx^5}+^0A8PA`(w$=@`6wL{^Jf1juDW$Aqg{JnW$$0I?9$QGXOtOp}<Uutcgvoy-$ zyYiB0T?xe+>^4FYlU;g}G~XRr(;6r1|E$92-r{_#|9tz3!WfsT)=t@(`EEwwn^jBp zvQ#N=<ITUWdtLK@z2@}ne^qb8Cx-rZ=6tOCY|qSd(yz=Hr9SQVY}tN|H%GBz+oj`N zUZr~PO(rERbCBiDskxIG6EF3?`qS+byXK#i2)J>&=wI<x9{ri84Zqp-JInsM$X>CG zd5ikr{ikw^9zFZo;C*6VocK9@x$TLq4?YNOQ(vw*Z*|ZInKz&R33(`8+#`_WB=_9! z&a~s@lD`5EcIV}s%wDYUym$9b=?x!!Ds1=r-AlCVl$x3Oc8~Q2Zq@Ztk&Zb%jyW~i z%!T^{lvZq*SAFKivlISqJ6unho1Oi0(7pNnIRV$()fy}3FPiZG&!$h!^(E&$MWrt& z`kFkrl*UnND4#NiZ>5|-NT;WC$5Dwj?M$<+EH3H_JM5Oc`L$*5<OY5j+m$zG6jdGL zb0|K4{c+ZpxGhVsvAL@4oxIHSK$UuhWgN#8X-|pPb?c{ZDmW|j{JTSfNaVNBiX)2y zlM*TnR4Z>!PiXbLYQ)g^(*E1^+a-^V95nm!!c1s(sP5uBUv2kKu*<wEQRwe;Y+9d( z%&{{MJRI+(OE|q+;K%8m91|V8LfRuTqeuF@@Y{#+9}`)B+8CN1dCy{JYGwO1*yy67 z+{Mj>>jaK`3(mdo!tK^;>f+$A?0fo-4Qp@Z%W84%E?HarU3}&H=Otx|GKG7&=Pdl$ zdOhxV#6`QfRE`BqpOk0mw26CM)sE{7=$Fl0e!(GiqtvObr&$;3{%dF5{%cp*k0(_d z_H8Sf()>K(@bOuvJl@1r@W^OQ_`Bcm)gq~tbH9da7yM+Go_Io9Y{A#kV5!BsRi<yy z6Lhd=$u5owI8uMop6AE?9d%8@vnT35j?&n*e$`QJ(N)X%KV+P&@36hm@ajy$mE)Z2 z?QO+bdSZE{+ARNQbi7z*w$#3NXPis$jQfs9H*!g{+5E_{$j~b)P<LJI`E7pkz0@t4 z=Xy5(x#hc7;LYy<!I!6wxul!lRPE!x_u!}b!pol+rNaK)TRksxx8L(g7F!pHJzG#V z>tU6HlIoEqV&7+E#T#y#tJ}E3K(R2r?nW|y+oG83|JqZcg?%~&SI?DJ)><li`QOwo z$2D6-^bEFt&P{v6=XT>J1B1e`hw3V(VfnYTtP@Lm-4xSLckY{^|8UFe#|HCuB}wOo zZw?jhQ@-`w?B~HSrAvRyZ?Uh*4`6h9<UBk0j8jr~@8!2SFTU-cpnFwkSI&u|D2vaJ z61hBH%3j^_FiNU@`QEP1w0oOp#MYc?Qux>AYjgAZ2Z@MJWizWdCnT<~nRh9IS+sGx zgJ$YB8}ZcKzUSp-e*>9LC0TJ7w*1{JzAe7=v)H|x?%#8-n^Z24HRmorDU=(%z5ep5 zBjGYH3eyh0Jr-gZw0+ga!*i!_oPJ#>#CPx>NA{Ve49^-jJ}t}BT>EhKU1j??bq7z0 zCEOFveo8l<bNX^xqT>?224{cA3uooO{#P)x)!3E%ZN9c}*~-*;&fQJ=wX8dQCs?ml zt9Lo5F*WSn+=*&d-|PPfaVt;sC}?KAbKQUHUG)Tk>vy6TC2##D$gdf<|4>EEN>;y! z%a6~uuII4cVEwsjf?r$7e<ru)r%&1Ty>oQCD7`qNy6dm{-zDbe@>&nSOb!41$@3E1 z#Pb(hWuL~a_TJzrw6E0PR^pEA%8(no&dFJ@A1aOiP?gfM>6WJI(FJBq=T3a`wtc8_ zQ}0Y`aoN0&_xIlW8QEvURUP~BaN6>E-*pozFMnv~Uo8}oyxvm5=3~^ym+lwU{_)OU z`L?w1&os_w(v!cq={~-(BD6T!)oPb#@80JVPGlWu%hd{S%9%UU=8^dy<rELw31tS= zThokxE7s-w&aSj@wm#*oc7e(D#ljhUB5}GphdIS9(`%adDlW{lF#TBFy*0CteShrK zRpLUo&o;ffaxq@l{aD`w<%lgB51wr?n7ZqLg6s4<E0^&dt_w|5`qokz8>J?2wR(2b z!*}*!HWPZC=5vU$tUH!|v!w9YvTY@5ZIf@f&OCC+;@vlvvR5k_{5EJBOi(h5N#ZDt zyv>>L=MK+5eXRrE)BHa~fBU)5?L?1?%FW!RA!do~LU|!(8!o0Dta@_s!1AS?k836? zHRPYZJCVWm5x38$SsQ}v&wt-obm)|lOd#WHg&2dfJ+qr<C+uVS?KJC?{>FDMe@j<? z4+!JZ+L&+G#Hzpl(_b5l>hg-Rcl9iP`TV9ZnD^W)s1c9l@$xHA_^%sXF8+P{rKXDM z?=?Pto&Rb5{O+TL%cdK@`(-y{!#n;2(`Th}mvc@2NxHm#DzNt05!;Z%$29*x)te;q zg{v~$QMY2%J^6`g$xE&_x!#g#GCb|SbO)EknZC+Lv!<z>fAO=_G2SjaB}%XV_2ggM z9&NM!SQaQ>bt0e1{{7*f>4tyBl)Ep8tq5fQ@^^m!(}2p*DQ)YevSX(IbULu`eC)3) z$6gC=%$%C^RnKYWBc9p%J<HB3%IS7|lr??&<VE5A&E5htk~JgdNcgKtmPS_}KY!q9 z+FLzcUdFR0W-Xb2%I2J=)}yUwoOMH6FQ$rX$|brBWFC(avFK-VkezdH8S8ha30rr~ zZfZR&)wcG?4#U&!tvZ$$6!*MoI>LG1&g*Q>6NYv7>vR_=ZN6dJU!7-|{^KQ^EbkSs z_Y?YE%5J^b(N=0?{psHMw;T(vx5xB;Tyo)_vWHYgd?iEKo&QZWRgUM1a}?7qg}6!n z=>271(-a~%$KtOy2Y1PP<5_hz@4jrBs`1o!XZxIQ6Zw7}5`HZ+^-HAW)!!NK7T7FR z{IX+$U$nuoI){+bDYY&?z1i-sezoC|nB&p8`V+PCrAmZnIrqKn?(Alpvr5pty5L-I zg|@DFq_)7k1z8nKiuS*ZoZ46y-cmJ@ZP~>XDXpx@aR=?<4PP`Yxw1*@VVsYy9?R_V zJ**3J#5rp&MO+i#Ra#L#S7+O&=_l&e<*J^0u5hbwjq4U(1IM3*;)<)hu77#3?lk}Z zXC@5N4o~*0rr&e$zxr&do-mKO&!w-;MQKMLvlz@e)*k*W=-8inbuR1RXHS(Uq<n12 zIV@cnq46N`{;!{5TFVopuLs=`+w*eM#ZKM$$)dZ<cYG1L-PW;Bo3o@zVs=P;o}@yq z-hv-YdQa|6Pk9)7pYvb#;{z+FwkRt;I<DfrC~j?xOl!;Ibr<@k3fq6}>bSb6d6#EP z#F<|OhAU$?6g`=2^=6CTwwSteZT`oX=j=bXbB&<%V$qq4mrvXBb-QI|NzStVDG6s2 zjXJ;IpDVE1=Jv%alT)tEFSEUW((ZTCyy}dKd55%8_LNkZr%aN%bmPLdH6NOOU0cvP z|Gv|e3A3N9&^qIoaXV_-yXj5-NekYol%=ud|FxcR%6E?AT**)SXKiKQ@ULRwr`z+Q z4A*avsq#_^^sy7s`2TZ`jfQ@>@Ehf{C&_YRJT5;pJN}lPI5+oi+V4*~R}aSgn=w=E zspN02)b|I2*E>$1f5frni;e3(@yEMYXS%I2{Sej^VPN)V;rA7+8ku$~oLyO09zLpD zb!2C6Q<_<YFWb^35?7f$@3`!F<)1VE^12=8g4Vm;vgU4kGk^BZ3Wc2y3?8qYxRlp7 zL__dn_JIWn8&6mEiYe}otXcnOwZ!Q#F^2fCF3YQ}s$UM<&E?lpvr~=j5}cX(T|&vo zXqtzyaBTeolhf?dQ=?SVPJ3DJ^`GBAvp{Z<RnS?+Rq8z2rv7nvWJ0~?NgnI`al~-x z4jrre2eXRrOy+QNbV|Cz&u7tEB3;XW^mAH1^VZ;QmV=X(zqvSw+sVFWZHiL4-L0<R z!ueHRH*A?u#+g?wU$U0><s7@S_tD)yr|zx~bpG|5TQ8XNSJ0Zwc(#`b56XRyRaOKA z%~53x{+^|A_PEv7exnB6pUkT|Kd;!@TRzE{x$5LM%i9uBKYIRhxT^=~3cfs{CYe0# z!mqz^b4;(Zn+ol<S;Tj3hwak0`8GC;_mAes+~g{Bt(YBn>%-)yyHl@EJMuSR|Dr61 zw|4fd-uKiSn@XZ3M6Ph^zxWmZ>5-dV_zl}w_F9?iJpKGUJ<_M9y^8GKsIYtG-}Y?V z*GKL|Cxu@U&64VTZpG9V`)BhF_sH5##jEC1H+XHhyydFTMeVg)a&6NO_Hb1^O<P}V zly<B09Mg{T@d_)IuGPQzdXG;^;8dURf40o!j5nue{8;F+Y~KId(W(24e*8WYd1`GC zvsG?w^pQT!e_H?U{>>~8ZMe6<xF~Ms{=;*_+<l`ntEAs@_o$zeyW8Yrzah6LW&c|i zo|ZNX@yxw~v)U?d#eURzxoFO%O?lgM?_IvzJGaf#VTE`(?=+253Ug9;SFD=1|JTMW zJ-yRGa?b<L@_L>~n7i)ata#l6r@Cva-MpjAh3|#`h&sP*9pgmx+x9+ZreB@m%H>r4 zFElD*&Z5O^l{J}%gdV&L@%BIIqToBZepXRj_r|HNw<by0YT1?fe>PD3ecnP_<mQuB zrOxa<f4`)t-N{Xj+t{z3sch)`wb}HvMRLo^qq6N9mxcEEnMN^pW*iRNxa0k%$J2kQ z-CY!>ac_6Z{iZul%w6i!)5Y8htJ5>@KDhFzG35nkSZa0qsp{Pu^F-BhQWfVe-G19z zlxOFoH#el0?EHRfVVJ}D&J&6G*2hvB>ay%poL^Mdp7k>D3#f^#FT9pp*+1vLZEaUd zUR?CIy=R<czxAD;z2&o9o)hDpQ#>_wm-Ku0KZ?5feShCy6&apOd>?d|C{(%z)t0%{ z_$RwEoeNaX4as7azp-5Zba9lz-ekQ?X9AQPy(K0sXOc5}cf{j{<(s;H;@=kTzHWF( z{=xN{g`1sLPT24)hCNnt^IU21c?!oFc+@wZ6|RlEcs0b}-|U}z_Rq^O;a&6Q_Xmy6 zo68D!DZbuvc&3E@Y!+39;u-!g)+xH~lK%fXCp^Ayf3DE%uTJV81HIOS=y$X@&gD%A zOXHbwD`(nNrTeQN%AV*@WfAmCs;k>jGm%@D_rlSTEjP8c`@Q?V<qQME$*XS6+c$SV zC~fXZ@M<ySOJ8+My~*X1n);hJY3I#e*qU9oP4croqNMdvWWj|!>=6r0y(jAm{S*AO z(n<KNNPPd)1nc_n(qDo+(>I#kiEq2M{lJ5ksrzO<jNPEE;aM~96ZeNK(dW^NXEnV2 za4fb!{a@<7a<+8V5-yeP`)*9(&7G}z=wn3kNlP`cods6j5-XMOwOpv~V(pmrNUBHi zWZ>Cck@IeXnTsd2weY_Tn=33<wnXFByv?>JjZ`(I6TFUp<a&3pShlM@G%EJrMa!re zei1D%+iE_y8y}8sh|28Mt~s~m`Ac&%m+9uJ>o2}K_S82d<mqG6Mf{t~w(3l55o2Sq z-g!~!V3|^hg5KGn9}~^Cgs#4@=iH{}zvLQb9shbaJ}TDkW!7W<V;<ioUi|rST8Z2I zIIoSndKcxb-=!lxO@QIo<>Z7umQ9D0{}=97RsQj1Z$qQgzc>D+?|qzuj`%As+vDt` zn6g2(f9K>BwFv?{mVB?usCQoX{QYhjJE!J_sTQyLvUr~_cskFg>eaRO@Gla5*8feK z8<wxJteA6kSKrR8H4EOjT1)(8h}&`S_V)b>ySSxac`x2`@%lSsr#ju%&Qm+BI!yE? zr*Z7dwzRzR{!)mKAHzn^Ge_bU%EjCf$lSSyd5@iJ-oFiEavbxyGrVJO$DH5&{@CXO zN2E+ycsJU!3%qllRO}VEGfYJ!SH9#y65|6VA9r)_6Z&E2QfIn8XD(26(-u8(TPE?i zPVuV^YChK-VuKUkyQFRL_BOrw=_k*A$?zBjVO^(duJgmL7M3S>2b;0RW+qn1&ev?4 z5E?aMzTOJIq?ti`<l<*%tP)dO%97bF=d72{8D3$ZbfmL!QN)3jhxBANbVQ#o=i;99 zjm7e?(b{8S9yP(2^ZeKC$}g0BKT~qUYGclAtLJ~+Q2wOO<7sTktlAkq#t+v8g%y1b zw~nZ}Ez@h(v-U`u1rzT%<_jM<Cv}`}^S!>Yov(7rN2_fg+q)*dY|3}-<XLq^rttCp zg8PlfC-rZMdb#D)8{e0eM`pcm6bW25TOw$k$o-ZF?e>3fYi#s=wBX39U6P@UuXD51 zUaenU`zbvv=Y!jPKlwG$s?$R!+sc31`(&C*S8Y^B<^GoXiurB-T`VK+Om9;(cv<88 zPbHL%;ozL(?!RuCC@4uEU1}-M%e^PTCao*b<gDM?f;pGW^`@lUcikmhb?W-_<x(yB zU%yZ94ygKXRq(TN?)=m>cS4;H&(G18ah&w2lVk4AGlv-_)jV)dIP_a*N~gjv+Xd>C z?4Rp?Oxiqq)8zVf{CnE#8$I;)xdn5X=-50j;SEZBG-a_cw|bn{lhrcXtb2aWFc42) zyyTFyac=Mbot7)w{VUe2dYCeQd5TW#wQK7nH@v+2EUj?DpO;s<m$$8$8>Ali=%z`e zxa;3bESDL#X8iBnSzht<K&(saFN=2n>h~AAymT&=OsSibmr`Cd$D_9~ap_aDH<!=x z^j7U^o${(wy?x0yU$>g=%v0{1dU@L?Pi@sJ(|uca<lpaLKGU-9@XPgkF6+#=wD(@g zuRz&7tG=lo<bLhDKy}~OBf_hak_{zJbgdWhezAN0i4>-iUj+sCHk~|LeCUC$rUC1B z$G<z(UrpV-Fwj0rW{qk`O@qaL+c1w$G0rVh9e#F9$hkS8{>gWf$sblH8y7q~den2; z25nWFYL{k<C40}WUc_Y~_2spZrH_o@A^DTrvYK~2)7_P6zD)jF@2rmr8#3#^PmR&4 z6w`QF70^|w^z-lIUq&6PZMnaAm+bMZ4qG{gE3Qy=L3ql6UB16V#ANDE^3*0|nY`M3 z^YFT>JIz<#{Za5~j;7K2%vWaoCmilDW%%)jx$gh#^+)_)8Q<Nq3t!#my;EXXVl2Jj z;9q&ZfXO|2m)<_RaMC%mi^*<o;fB}xUnLltAM`)<k*IJCIHDJvE^ndNC2zd%Q+uYN zyV~b0^}o^ElJe#K&WcD~<+fRPFu(S3gV5JN_TKg#zYgx0&Xu&SG~$D)wb3(vtLSA$ z%ifn8M|{|>wB>iPT9%R8yPng$oi4sBjs2$Xk9_r7cyg4)@^i60(E%^czhGuz^u4OA zy?qrU??nB4M_=z6t#qp^u1oTn?zMbT_|L4~y=F%Ls_pM4ES1U<<UIV#ZTTHhPDwj! zsSZJ1>pl;klLr*Pt#E8;{u45L(vKT_i@D-;SJn1bztz}wrtPQwC7JFQ+dog~ee&ti z%(rr}e|MPKZQ~DE&K{Jensee!i8$|ujTMI5&H1K_OPn)inKN~L)`3+VR<hb#Tu%s{ z{Mi30<IC@D{<+?#>UT&8UNft`l$gu&J<wRJHfzJBpSy#NS)?b&xKu58yz`hAL-_52 z<uA1ssD7DoJot-Y=S}X%8hI7ccl(v^TYYUPXmkH;nZAc}?gYN;-Ksqz3e)Qr6zax& z`8@CE^FuH1W^G<&y?S%u;)V%t7tfm!Ty$mXA&=+-`<lM$va-&8{`2_+s|Izu+o1<1 z`@K7O{QZaEynR3RS(W}Vdp?cBYTuOzv*Q1*vF(-&m8Ksq?vDAw;-Z<GSvhYpr_q;w z=TQ5V<=f>99Ja1eWs0r~x|J)utof4pjjf-Y`cJ*+nQu{bWY3`t%hR<7qW4A`|MXeP zE}JvweDK?#)hGYy&FW4GQkOn_l4;#3|5+EdZrSp@daeG~jYeWK7#My&?wXOmeL<*z zpT4~MZ|$XbPRP!Y)wz{k-@?hTc+Vt`Fc0<BKkt{St`mHIYv);}R^tuRmsV_M+t4g~ zxH|gHjO446mQGxC{dRhrY<y-AW4d05OQE_!;G`$3@=NxaY-sf;D_6=^=d}8-Z`uD` zcb7efTlvNB-BRqIv!^P47cN{Kd1-n^i;GGq@9cAH*tSJ{$`?K<EvVc5J|w81QFQht z=2m9c3!aiWMjpWd`9>!c_!N(?x%AnzQbO%ke|)I;ir7=H^j?`u{Os9uNoL_>L)#^t zCGOvK(yAj*8*Dx|^}yqpT_KG-uRM6edUBu8rps*mMf4UXY>+*+;7j}8ihY}!k8S#4 zJZJI|<y@H?{f**6lVp=6>i>BxP5igK<iym>pU16@OPb&Ly?>nQa%8qZ;P#{|2mW8o zssG8UD-v}r>#VW=<RX_-Ji8`8J5lm8eyaI{_m}QI`Y`j%okoUlcb|Ogkyfrxyi&JI z!6T35v+M(NDdV|XTF>jQ^siktm*tD=S9j|yBT>bw8@A<#Rc<MlOtkW}PCO7$Gs)kF z(WoQ)h#=QN&Qc%0b;&oyKPcv%zwNp}{qti<>7O0%?p^rgdy+Hb>fi8Pw`H&OEmUN= z;b6I$e;@DVi<6E_-m_Wf>~?<9%H4C1mOYDn*&gBcg8N!zeAIv45A%{lXCM47*-~(0 z5nJ53wm{DOS>9cb>t8HgW+cvcQs<J)dO0!f)W;7mx=&x7H>qI5F^dgNcMi&)d$Fi9 zF}Z<L#@TS^*}dPVz6?KqJMe;M)#@uD+fUEj|76CoxRnMetKRBqh$;S#S-`a3M}DXC zqSzf1gQWyF{gktenEvR0%a22a0Y`toI)A^vFS6HVO8S+luhYGng*!Vr<9F@3&9nK( zY|jXfA5-$5*gRfp<H9v}if-iGEGcEHO#+FlYFW0~#2T->v-56D-_OM}f`3E{&hy^% za@MZROn%PO4{maM^UigLn}0>ev%9fcrG^KhcE7Hia<lO(qspOAu8$9I3JjaRYH!!{ zf@9jx9rl<Nf3+#uv_4k#ZeIJF=B%6+>pin;y*sLRcW=(q6`ByH{?kjjWc_NNFI|u3 zm+36umi%BZ?{$mb&)ZfwZ-3c*-Kn_YU2jcr!?%4l;qHsBwOsnl#^1lC`SKs0eYvdf z)8wn}3tl{{@owjgxL21q?)0>N$?C{`y7jrFVsv`9lz6A1!0r6m8-G0WVLNkV=k;G_ z?&y~!zb`0F_>@=Jd)W9~(UQ7_605C$-+vNuz`<hUng=0yWqYK9l$*tp&kM!|Fm|3* z{?XC)Q&UA$UHGouG;5zNzb@W?d7EqHl+!n)i*86Rb`_Tw77G!4Ah*2x$I0Y2i=e<2 z-^E*h->+SuapIfUQ-)n<Uq4}#UYX**PK-+=d+yJ(JAJNh(wr5N62vq~;OQPV=bgJH zRinS;di-=P2|Rh?^<4FyRz5*??ado^S#DXt(PHoSd-pUw^^Q&FllH_VoV33B!0wZU zK=u0VGj|^TWMSCxuzpkbtbF%h>YrY|`t>4G;AGjXmGhlbi#x9DUCQ>l^pSgoKqAw6 ztH9FJ4D6okgN$Z3F{*KHb(ib)So-Gqr#CN>_laoO`5n0YgmImG>{7A43w6A5s@(d^ zZ41OR_N@D;q&U^-h59_U{;L}&Uws)_H(y>X?CQf?nqPjfwM>iFTI`ai`sq$Vbbr(L zZC)!DE#-OO?$N~SXCdBo@9vfrTK*oa9O}u8*GhM<JMC_9%}vP1CnIO^noV;xZf=hJ z)6TeZeRE62-st{g9$qpU$Jp*Ct`)DbQ7D{oewwG4z=jDcCDt<WMa7H0J!d1_r)6|r zA^Yco4MvInk||Ta)Sb3}`RV+*Cf^<IOLAR~OW*su)8%&b>9n;|+52i8104z%efaw2 zv9wR9c-<SX!{3huyi>XF{WHLr=TT3&VqPGV(uun(7bdDL+_1<e@3^zqkp)6OWmgH` zDztcUtgO1`(&r@~Cd9<n9ZzsxR-EEnv`(gNX+>(u^mE(JN3q1bxjl8U#>Wkz0(+0w zeUm=CWS*AGp&Qo@-u5jmS!8+j<3o+J2QT$?yV-`??D_I~?-G~(^M*(JURM_c@hBfU zZQ%HNKaY^+%8YA|pDFlW+w)1ocFUsERj+L`{im`8_)RzzuUr`5f4gUc(D_q8Le}qB zTm0*Ol=BSx{LdF#)`tknJoBC1_j}WN|JI(5C&gIjTnzj$uQ9=I;`N4BgU@@ym~uPW zrdKYyW~B4fMBS6GbzMM3y_NgE1GU@#H6DNY>x%n>EX~xs8LP6oe{Bs-dY`Xk%~k%u zb@A@FH#HH?ubwsEbM1E1%Pn0MIpg*W-p>c_^uOA%Urzf8hw)Lq%bFc6OSR9<Su<bx z;q+I9?KuS}4HTcOetqiIgAQZ9xp&u^T~TXaE-gMMyQ*cv@r5y-k&}cL|8Ku4owHc{ zO2^`-=buT*9r5ikk6)&?lUeBkzmJsfGMCLfa_1h18K$O9`Mt(mVO{>p`y1jnrE@I} zvq=lElH%%=x^VKX@g#*CE8VVt{?27`_%Dmf%-sw#<?sHyX#T>wNvuHA<9EdG4c3a= zr=Oqlaq<1vk0KT&KD`w5v})>O0liG_`x~>jJ?ylxytQ=q{=ECj`%an&?qzXbG{aXv zN$z>co?kxoLGkmfk^^dtgZQ4QPZr2zS+?gjucAraudp*S^AcpXhN~9yamvo#?6UiQ z9Q%sZ_VV|N<=^&jG$aLnHa9xBL^)k<XWlA<SL+^L+Phn@akfK_j_an`T>n2e%5Ac~ zzI4IKTb1b-9^_`WRkhShoPQ%*U_H<7^uJTbvv0hvimR1hyKLQc)uktIPF}mN`QBgc z7x$W<%bsc9e8+r-;Hf1UZ?`RXe0^p|{<DlUsV<YywLu}pnoO<7>%8W1T@aC<Zlrfm zQGDk~kA3nEtJI~cSgYjZPB`XTeA5uTT(Wk?UY(dqC&!?V=bEQk{8%sHtXg_=SBBb- zs$b8&C!Easw)x=v)3E}YjI%v2e*LiRUt0M-xgTFv9=q`^+^^gFapW>7$%T<QufzWI zFxOr^`i<*SS*?)lx3%ZLw>qAEIk9xQ&dM9JQhsb*$vTTerBn9xK31{fgbiMcwU*dN zotp9a=iyIm1(!-LuKeaO+3f!QcwrZT_YpT1yYv=a@nT)Wr_jOfaq`vK#Rtx+-f2Fr z9bf2LS@&Dy)%5H?&JOM77bd?x-7<U07LErRo0%@B7)bx<4d45jeW?}OGXMDan-dNm z-nK3CW}#eyqWFg{nT;jx&#w9}cy+?Ld+J}E7ut_*XWR3g+a_~n+Jd>?T%Q{FeO<BP zs8iVVAAW&R9xv^a{r&GMeeV+am*E+8%h{7Tc$c20$wehQKGxHxx9GVm>4*LJ+xhB3 z#*$aYZAolTp6yRL>|&MQeRFY{>7kMrxr@DwW-k!ynzFc<gYoE_Kc-p_ryo_GWu~%5 zSo6D7(Uexc3^%5Xh1E~hS%1rJ^|y@aIgsvaP!y>#nPslm!UFkcOKe;P6(1e>%%?QT z^X@k7NADFxPV-GvO<sM`#O0#*Nx_{*<kqgbmj3ezf53gKE&0rcE^M1}Ipfch1IY>f zjH1bz`T<T4#B20#oZ7&6-aACxhNnDv-RJO1!<KJf&sXVfsylmh`P28pJuL^`)orjg zF5On`zr6eH1zxWmXLxtMRw`|1^U7xbV)^0~d#YdP>PMfJc&^d;Bz4U?be;}ddC?cy z>#cR~Z+uUA8W`|l_1{^N&zDAIPCGsSW1D+%+^r+i=FD(pTR1hw>PTkcj1XI{13wHO zvPka!yi2A1ER*lj1KZNBm&>j<Kl8UL^6tmS7ddK;)?MQKtfML-JnyspgU}iEIkT?p zJ6Qc_<;gococ_K}P*e&#+ijtd{43h^#r*F+E9&w>>o^WbIq27)I{1A0vrTH53_`aR za@?CjrCqpmR`O0>xOZCrn+o=zug=@^*q<(5{b=8*m!_ZBpW3T_@~%q#kF(ED-#K2} z_mW|&=7&f8uT~4(y!OWT&Aq1+`!W;fmoY4@J)?BBKR(nU-1ETxl;DSlGY@Os-rXv+ zs$uPi%KdstZ1p=5_fM2tmn)PlEj>le_wCQdiq-PR7$sM}w)&!4r&|<tm76;tZ}|j$ ztu(&8_wVOLTq@?(y<_M+<Fc8Ik>&O9jq73+SvFdCNOH(G9oX{S`vU8myHar*m9Fi5 zSlsh|)tOmu%a`i18Z>MO@n*kr`m#pj&#K*?Tl>laZ#-D~BG61g<4UgY<6}3Tm!Cg0 zD_%7`y!qZcalYw2N1ph5ZLspNl71MfvaW~Y#M|tXv&CCfo@jOnd~5NE+2<KL!>m)) zia|MO?yp1d-yM!)Tpz5l_L5xmy_{2XJ!kcN*ObrHnKWyO@tQpgn^;b8YKDCF4NqUU zYQiPo6XxC9IL~ccW_PXA?Yjeu^2FMJllP*<vU=uzVD4q*U9wX;;eT`E+JpA9-%gmD z%(Qyvp$sz)-#*Qhowqg4DIc|GTXbB0+3|&0{15gAEIL2+Tb0q0+OI{26(0TEImdJM zyuwnaf1F<1=Pr1+=SupmOg94^kuTroSswaa;rTFR!i$?HUhQLI*WR_pI8pz|td|G7 zGpB9HDf}CvW-R6FZ|Q5dS!U6b4SNp-pHogMdTq(y91wc&Mr`nh&*o05p{?7RQ~#D( zE}42)jI+J9Y$1c#+L?Y^OJ;a_Ha?quJ9YW;r+*%AShz4gJZzs@w{lzEuGJN8PD$<Z z36?reLN!@`*^Y}TpIE$CT448sjT3UOxc=?!<?D5sYp~=@$w8-&enyTPLKRMAS<cLA z@GcYDls~a|U0%m!zZVnkZ=c96yL$aRK@nM_2Km700d=o>OfK2(So>r0!R0er|H%8T z{qFLyRz6O%tC!j3uIZskeJ>3e(`{Ien#uFAO1vnt@4a=RJ*D=MNM@zOu3G2+FAkmE zz*+V0+U!K;I4ho9g^Tk0C%6SHut^p;(=2~Bi|w#FGt2GV{%#*>hEt5zTuaw$9ay|v zR_n{!;~6_%9Qv4`uDL^vacRqnVvge_mLG0aMp%CT{qZoTk3>)g+n(wLFN0oLD&Dm6 zPtZEVaCp<ETb+wfOyT<c?#Z%7nd{eiq&0lwX0P7*bG2U9;mNla>pZ@;=O?e(I+M)k zb@rZid>=jvO$-jaxwl@}AxNKV+3i!!VoT3xOUIP-F0i$6=1*dCJU5j~O!B?f&2{{T z&KSPk#(y~Sc4L@i-3^vos~#qNRQmFYIsVw}NAvzMn?C!acx>f4L+QC<hTOSc(sS0G zHPM-pshlqE)6vJaGU3gvMS16v>MbkfFV?PGn)ssb<DnR*wa@03c>7nd9L@9WEdFwC zi~fhe`<nWH=h|y!R~B5!{nJ^wL2q%5U)!{_tV)qZEc+b}l&=>JT`r!oHE;b3+t1fL z`q|l3`1Kc776f!}*}BBw&&F8Og<D^GZPl=7yd{3W>f85-sKtI8ZnYYmU|QiO5UB0n zWpUi}P3y!>C)gb3wEc@>xKZHM_$_-zgMS~-h6n$ywzy8d?^3$Y{aiGQSE*zO_q&r{ z7@w@oI4Aq5y(<3yjhjDKGSw{D?(FwJ{>I!B534qsg?Meac`~#m*mcR*I*AX{1$B-I zc2-R7j1yBk`DCkvcATw(7Nc<E7qb|i#^bsf4B@-3JEb}AS(oDP!?(udMzr|Bg8Job zmKP;nPPJEBwR|J9sbymLF~xPWOb%A}JvMjXe57Tx`W7?qn$=#8J6BaP&r4p<wV`D9 zW_f1S+NY~(RHIct-=5VMwXir+IHBN&0^jp|%{x73Qf@I_e|2Codpa}cH?^bp#4nwQ zR<2x_Ug_mks+f3S0&B-M|M@o-w62zVb?cPp-AzmPN#5_!o?2pa`}jnwt-tx%6(1<y zWtsV<G5F_!;|i<qvbx;7X~Z_=$5Z~vHR*4p&%9D|WSV9i9(XyE@9)wVnICuF>QWJ9 zshOh3x^LN5sY)-Ejh=cBPqwQb?P3t!p3)in=-=!;%kqDHyx;q-dBVP??vKn<)Mr%M z9=>k8^tb8OBSGP!HD+m6(h3I*bYD6>>d+{c_?YvHnX_w+(eB*%4eoDOy?(L6_Ne8a zE%Pn;YW8f|z4Qh1in!YwnY3%uJ~h^h&Xo?Y`Sd1tixkr*let2R_jR&Gwt7k)KR9=q z##^5)XI|MIFFso`<LXAg1J~~zdV0~Id%EkUr%Wd&eY!LMP38GD0Ztd3+ut^{6#h0} zn6UWm$|KxrAK79x(pIq?pL6&5!|xw+R;x|0a}y2P9A)XXb!}mztt9K4`o^xZ=hM#w zSbX+L)}78)%6H#kU;U~RHa^RlTrV;wq*=AUx|Fo)`qKGl%4)36_q_U*z*e+AD)poT zYebB{z31cTZ(h44oEw%(Dt>YPdO51h{^5-K@7Qjg3qRkelANwxZ);g8>{MtvhqI<J zEoi}Hp-)E-Uh0m0mE3jui&boP>f6qhE5$FcRIv;8pZ7g~b-$<(|GUBjKK@O*bJ$t0 z@p~|Ns5pK$+r2gYW_iy~!6#eRD=b*y8{+w*Nyg#3z@jj_Yi~2%-=3WF!%1Gdf|XN0 zD&lP5BWLEcl=fAbh3Uz=s$MP*7hUKl9AP2I&8Piz%ltQj4H_)z+x9fh4Y;DHl%Hi( z;eIN+TUMKGS6I#r`N`@ho_ZJra&qM*`CQ9s&D#C_htILhRsCx;o9$;`zWAtRqvOGB zL-U6G0`Hr%c7ImrbpLx++gWUWaLxA@OI~`Fx7sZ#xIQaBs(+D;__px!Z-<ZX*J@Xr z)9KveeJZ$MR<uXP{b`kZSI(TpIz#xgPlVTIq1^{N4kbI>WfrjNJud1r&u8srwiSC4 zrY<@7z`Ew~K97mpMLzf5edk#&bIeP5#<wT0)i-s&*jnHbq|<fC_vtppkGhfTKW})c z{IOKWahZ6{l7lDBtUSNhFxX31mTp?OH?n-*N6YGk^Z(6Yh}Wq*(fR2+NA};JOYD|1 z+Mm$uzv5hM)7~1o@cx_J%}??s&Z^?P^S`4sF~@P;p=8m@zUQ_X23j*~h5N$%{rDdh z9Q0S#oHsFWnzGROs|EA)e^y4=%)TMyQQq*k=4i@(DOG-_Ia6LczTK$v^F#KTzpXLJ z*SFri9V=SteC)y}uRr^CKAE?!Y4M>6r`pp#JU+3)(JbnAO}OFae@8Al`)_@scU6Al zqX)J<>jl2tz8W~;%gM-T_DrnH-g&Qa>Mh_qaQDKM9ob%Cq5G7b{`qwMoqMU%>9`Tw z_EU0eP8SsLrWu}paHodPe#zEXmMM;AY=W~Uh8>MQ=<4;aKz7Tl`emh04L0>vc2q6- znYHd#+YepwPw$t{lg+yPg{k;5@9EEGS8NX*i%#9OYuVyC?)I7eN6)p~uyJ!*(SNo! zE+Q<wR(t9H)yoW@+DIsEHSzW|Qoho+@2qkB-nKi{eO;{%(#pd1OG?U0Z!FxVXdssS zWI?sYsV{Y^e^b3{`!-zo&A!>GsLgO)+@@P|ju`GQt&c4HV6Z7g)z|6T!JXR*Ojg%w z-;L_FpRZIhCAV&OgyXF*`APHhXGpR<>rkHc;}&<J)V@O<p}UO>e|khcpY`oh=;vFB z4=-%l^IdWCA2#>ZcU;U{#ikv;u{5(fC`dM=C2aXdryZhov#w8GZQmsNlh1xqa=E)p zUbN2cEP-p{D|h@n_34TC_qA=i%O+Z_kgs~^bnS4Q?MurC)33VLik{?}{<ZUm<ld=a z9n7&SFZP(f2^2Xy{Z(yOsA9we|Cku==|vw5SY{RXI6j_d!@X#Qt)%|$f+?F5iZAwC z{PbG=aMexezWbT7+4Ika7Al{Y3TG(YCw=tK@zf4xUZZPE7}TB>O#SmfT=(~_PomjD z??r2r({lEwGwjtUVSODk-RDB<QU2RrK?k}*0`D)~;-50-^iFG~Yzw(#A-w6&%Km?F zydBN)GS51QWxB$})6;}|z301Z?CQ82a3S_t?t`r-a{Gc-^IVT8?~7LE{5hd)cSp+U zmo~2!@^i?YJY*`<bIdKaRxeLw%Dv_0@0<*{S6IKClfk&?(gk_Bu3a6gSy>N8^Ujb? z-m~(O*!i1xe?D5-s8RJ~<B2on=jSi6O}n|E@YUr%Gi)DJo3tz3y0T)8>@}y<=`&gW zdA&%RH;3W)|Aq+<57?jiQnHc3lYQ3oH^(zJ?VH1M|JKEME6&O31WnG1aQH8qJKHKf zV6xEH+pn%GA2}?WQ|fE|FWuUIxm$L%UeD?>g9^io-}YU)A>d^ba(d~{-PN%yU*dZX z2{{}qJ{x5#z^n4|!Sl}?)!W_W4!rkb*}3DJy6q`t|7kB*Puw?MbB926q^+y1@$0{u z-xgk%F40!snAN!Nv9Hn$!569vbd_>yPHr(s-xv3>#^#c>_@mTgm*uBT`CZoXJ)fsD z@^$L23EcguDY5rLpIrKGY9RUZ<Wse~uO{6Py?bY-^|~LEHuf%84&2Oi@={3c>$B^k zSE!WBZw@Y=p5xsbpR}2=-(upLtamKO3M8)VeLvGr|L+ZhA`4cxy{TG9zs5dmTw;H9 z*Th+TI){%sPT3%M?(6JZmwF%0ey1;IwomrhoeNiHb^kpVZt{DZ<|OX$32dA9^~LP% zS&+Gsk!w@nyX5SZ<!LKkA2lkzy#HW9#aau=`&{DI#XrmL9P^tcB)jE_`#a4|yFV?g zySB8oe7c6g0*#G3=AA8;m^x+Iv1)_oT1C<~{NIayye{bVHO0VeN)NBXB^K8mMuAQ< zcZ&x$FJA7ZCc9CIb8T@*$s7NFFB<A}yX#B;OS&z&taCm3#qvLWJc~TJ@6FxZ;(qn? z#47^q8=cBDH~;RM+i~UR=Y4D2Z`=6kOzJy%U3|TQ%}xuoC6B{mGFpzNJUrBYna?cH zc2{9mFV-7^49yKn_LLlLJm}r@=E2MLlcP#!*PBmOXURVD>8#S3?!zrT8-<=EHb<41 zOgJlW^oGs6_Aj|^+d1z{`nYmO)EU>QM-FUde9_dV<|29Ia63<S<I^o_4--PJzP9~* z=jW-eeSsOa1|Hg3Gc?Ktxi6edD`@K4yFy2(;_7|T+ZWmDKl$b~zRxq=8Bi_#dDg0f zX%`*Mr~6y~{I*8NC7APEF;_1))5S-3yj#B7m<MaROC4R#|9sIwvA_pY_P-CQVs-aU zE&Z)sRO$2RE|VHl$MoJ3ucvL|#xruiFPq9&s`zW!m7qW7t9Ryy+~qi2$~R~8JOPGx z*E-&bxH2Bu>ZkB$dg;;LHJ1WcZ{OG=_bPWM55Jz9Y0fj(7{T2Nd+%|1pZVGR<84cC z9e2yZx@_~?>T*T0g->ogFPs)~#`k>NRGliHi=wHrQ`0i$=eX7!;i}_&&#QEOjZSsP zKD|Qw*UVl2vrkm-*S`?`uO@K2`@xyQF8<ydr=E3mZi*InTK@Fkm&aCHe{XNjKDqba zTSkNat+t03>^@X=(@XGyA>SgtYNJcLKSgCtYCSEzRU_E_;?flH&}&i)Q@ZrRPiriH zy~FeMyoc9JV`nw}>)2Z6STl7Ai|bPDhTyHc_Gig>{QKI)EIRXZiR$!d+qzl&LEU=} zU(s4%vE@xc@%fmksXiCI+}3O|)~sfkA?~AMy4(2f!*<zh{mh@Q-^FQ%^}2MtU#?@^ zzpbpA<5I%$#7pxf#1G1>e(@zB@4mxP55us-la19LC}|m(lq*Ck`Z_Bc?rIHO6Kor% zR&~>$%-f$^dw!@x^@C@Ik4gjP%9MTK$zwg;KUM1Lhd#~CSr_WRFv}b%pM2sY#|w4_ ztCiyH4#9J-Xn5`Y@aN6ykDke#A6P%gOjsQEblUn8+Vivuj?TN16EAxD-HWITjX_&@ z7pX5ix6+u!J=SOGg?r`fFLchn&UARV?X=;o0+psZi!(o+quk0;Bz`deDoeU_iR;b! zz#RpbqE|FkF)i}@by~6RnzCy|P|Dc=GxHeJw&naiY$qf+*|xVSA6)RF$N$0xQMW4R zj`_b6Ua?o%>Am)voT>lB!TzUD>ks||*WT=lX`Z=B|F2f-A8{6wk6Jp5u5U4Zu%_N7 z`%r-5kAJsbCa$vW;@gm%-5K4nT&{A0<ky-Dn)aVqosaLFTX@8~$Ll})gb5Qo4If{A z_Vubtq{PyQ+gU%%6aHCn?PXe*7Wv|fUi&LPM!C?o4WF|VVjWTvxAkw4x;AsAVb|WJ z8t-5AmXuvx{ov-rzbsY@-}t%TQqx+WZ+~XO-q4<h!8>1k4*Anp6D~L-?qpnbh>g;O zt!AkeYj~RKM8YGSdrw}CSY<!`%$XQ7iMsW37Cq)siQA$c${T)WS3~f$OE&AS9QEZ& z?>*?a{%Q5RQgeaUc`f&2f-4x<AG!YIo;i~-u6E9zH!gR?a;xL|-nKcHFF*8rXUNWf zfh$@aZv4OE&vJX+Vv~S&&jVMEDeaoq@JeO+!@97xd#x*0Z#;8*zVD3u{ioM{w70OG z^o6nIz>2o?b-!&mW5Y`(WvrHaux|3|6>eTul0NHB+kEGn{%#$|M%SEt<}b_cRCR5d z6|(T%<pZ)Gnf*&XKm3;Z`1y`C96ujw$mLp06~5x|PWPp_`z(>B;IoFCPixJ4I%`!^ zY^+k_qU$RwUKBmH$q8aFD{pIE-{P0hb6H;Uy!qTUg6H*0^OiLl&*6(yk<h!Mobt(a z?zfFMIE|UP&To2mdt<ihzwOI{4K&!~C8N%6zhHM~r_86##oHFeExqwa$NXi$EB@r^ z6&sq*AKC6QdmGQDP1_E32CFG^?J_!3nzB+aJpa+|j60h{>ivzSTzfbC)1J^!$!hT5 zpR2(4_qh<S<)3+r9-7(|Ut&D*km1kmj^z{IS@XBvnapI?yZE-nAKh5xPt#3x=EgiJ zPMT}DRXthI%0DSBF2*m!LC0u|nx6H_%Aoam$whkXk3;X>UGF#1A~#v_ov7LJw#9;4 z2Q|(F@l6cZDP1M^uzAbc<7&mc7FUie{8+I`Q`IkTQM%=kf@Px3yIAZ(3^SdkzCCj| z(J6I>`I^>tr%76;CePTwRrbC)o3ps|e6DM2>M7}?inrsBsQf(N{my#PUXKso6mu@H zXa@ZIwQU*agi8!3SKL|ueeGvqwuUL&S6#fLpXa?L*YTrXFxT~?f&22U`5taezu&ZS zTdLFBl_IbH8E{YHfAMqbf!tZkVvZegspl@MtrvK@Fw$w>oCAlpPUp5+;buDdd)<-) z!d!(%yeI9NJ?HeIx`;rbTRHq&rt~j8;&LK@?fas6$DhpQUsUSQ+OOVq|5`wW5BsyT z+g{XM*>ZyCN1ySl<NW#xf7bt&@O(FGVxRR#%MVtUs~Vo4w(UD)<Cat^E^Yb#m;Ld; zvmUwm{^t*+mA}ngAGdAN<X@RR(!y@*;+Gto{56r|aoU|r1(z7=uXPp93}#n!Ty^)) z+ncPp<~n7Iii7etP39N8)8%2GtX8?{!|&q<eP*hxIy&9W!=iAFxE+7`wfcY_+rKIv z%)A!$_WmtppYKgBU;FmSi|WTL@d3Xkckn4*-XgYtz1?r$@P>fb+i$1&q<Jn+jJ)UN zFfo4nPM1sk>z~yutevgDUZ8bJ1C!XE%UwT}_@fvms%g~rx-*~UZ?d?xP-@QBlFa4$ zjG^+KSJPe!E{SY-HtpcO7iM1=-DSL6i#D+zo;PLYig^O|{en{-E^_lxdb~sKa;XPL zR?E5XCk@jd78#4j%iO;wIepT#=PIs$_kY{t{B_g(l<Prf`m$d+-@T&0b#3IfgkNX2 z1x)MCku^9K^Xu2a!`qrm>P(_0{aW93#dD9n)$35pc@oClItnFaMZvA5f9{lPT<Q+1 zmX@q$D3smp!sC{k&~d~sHud1iwJVPwU48q^`&CEzGG7NhoxN=Fyr0S|y)Gur^|xDm zWK!nh7k<)94Vmt4?~XY9dWoLL$C*3J7tYIM(9~U%BgriGzjAk7Xoj%-Lsf}xMrrML zcPB~~rM*wwx7G0BrRT|At$P>@qZ6}(v{!$T$z-;e_d)o2-NAfEq0<8D2Eh++eQOlD z#m(T+)GmC|^sUi+k)t|7`RjM8HM-nzR#tPZjk|dv{Zh97k%!^W^e-JT(O}EmYpm~h zrS9%km-!*vAFleOtTo$p`uXRgQd85`m_D0Z`(JvGBJWeV>$N?vPR@4DKglKB9?lhe zH0;v(_->U>_hU!JzHvHy`EqIAV_jFTwUfRv$t7Rw$#Uk?n&tT+(c{alAE5>Bjd(?k z%W_;dy6jSC-)?Yqx2&emA&vYGlj04hO-j6xeERT|kEg1?eUgb{N|<_3Y{uy|_L|2Y zuuVwLpSiE+_=LS2<`<5Bi@f_<LMU52+FmQ(;Dg?;Slb4{=}}dh{(H{f|ETxv@#odo zmj`JWDDSZ>l4@ggRpRvbj+Ni(*_RU*pL4S|KK#RqM<&hrwWmC{m#$-Q=se@PVS!Xa zut4I3D_f4=Pydj5@cxq>wo!LALXYNmIX&L1q;{%+@0OC=RHvzu49~e=Z0KRl=c-Pc z`;mRFjA)r-i)m|^t9RL{=ik~+-eF(0IbuuFf8{5~Lf3fa&6?q-YVqjqZM%h~)8fJ^ ztbJ!}y?gegvQ66`=?O)*SWl;xe!rxs7g8g}Wxrv?{5;X99p7JjT%WK*rhop7n(p^E zLT#_lxGCA3k#@l8uBdv$54Vqps^4}Qd2*^gmVBx@;m+FarwwPio2@-}{bb^@qI(Be z>TWAfeaiH3C%24v|8?thTXmD4&(}rnsS^2Bk@d&=h4meI`^VpF4*m=+H)s7Oko92G ztK1hwSE`~Hol7^V;8Bpc&@h8%>E{;~+k`l)Tid(-&X_Zy_WR*)kKcq>E>3-FswVWp zqVGHRk(HGm_mfU__-L)yIQ?|G^M8%UY?W^_xYiwd8MX23)9Bm-b_b?cWhr^Qzj^8A z+i(xt7q{L|*5103k6UT|;r-Dc6NLNbNG-mWAUI+9(mQ>NZ-~h}e#~QV-H_8#<}Ob- z+ijLz94ps21S<YY`e1b3qf27L12)E+A#6wXM(BUN#Uit3qu<few;GX)zcsjR-k{uj zmanGv+AUTekLJ=3hYtE3oAGe5;~Xtv=Sk0MH?F((arzg--U8kWT~$-%Jx<QA3j32_ zwy<}HUW)egotIPB&;Rt%{Y^ISp8y4uiw_=coLJ##om2WSCVE*{m9QUI+7pIfDO={3 zrGB#C8ek<^U4GbRN$#(-OQ-vaF9x|j&Www_|IPaO>*Z;ZZ_7%qi``uD>b+^aQHb2L zUAfM&r!OpD%)`oCa(d08>nlEQli=iO$f!DAXW*uK(4t&?`<`Wi3oR4svXjCE|Ihv^ zzp<<`&u%&ElBJW^q}^G-yUbX!BR$XP`&vc6eGd*ctvUZJWq!)DiMAg-rW{?QIivJZ z@0=K`@K<l2HZEh`S7pI$vu;Dm+K2youG!Qb3BRwmhRG;j@B1rRwNC~6Buc!vtc9{g zH5W{?t519Xdd2VA=E2KY_`+U>FJ=E~*(Q+4<&okkEu*q>&cx(EssHD+UUSdCuU_XK zYVYzVvhLP&nIl}sxl-@GU}AV#Etl&)`Gn2quT9&1UTbO^J!3Ad*>TzSL0>&*-lk1y zaup3bzA&>oo0|Ogs9wVM$MUc07Sk8*@6&gdU76B;v48V{*9}R`A?y}6=HGa+Om$zS zZoKRfbFsCbi^^xZZI(>2JMu=X=7aK;(`+frQvCun7mE6=<KXyloNr%>i%7GzU9ml@ zK*f{=f9L4WJ{$Y#;zDcH*A-nnDK6`FIvLG-Eq~Xp^P?tzRn?M;-potJGe2Hsu`O7! zwQ_rJ{^_k8{`vKHjjMU=kE=Yr_3%tv^{0nF=AW6j{La}0i%xb`Ra+JF+Q{^r$t|et zx4L)aLBglUTDs{cBzaaF?CT7>E7!!`tfSpw*Ud9!&yh3x4u_sBE`6@Yadztd$sJmc z9sb8o+{j%xuWa!FuFi#zzSihz+X=ZWkdfOMy75o$oe3`{buC||IP=_zA5;6+PyF)n zlVb7}9&4AKzxhmUf43LJUXu`7k^bnMl8V3zo{1;2uRGQiy4{`kDAxGXv7c+>1MBW8 zSw&o`V_z)kVkx)aW#ht?JlFiLO>=)U^P$uo*K<LF2TmDnS{J{%{6o>w<@_^r;{0bn zpJA}=)+fbvnTxZ9!|$)>cxw7lcFM|svrl`sNi%XZ^d8n=dHTz`yn4rnU9RE}lJ_mr z;+ecT;cXSqOTmftPZr8Cb!JT46tp|ns%7`yfSllWJkuv1NVv4E@V-#r0d7m-CI64M z_jTRdT=d6L#pScjRxkb94DN;^gClb~f^N1S+cqt0%HwJ2%Z+FKstA}lkLiVaNauuJ zxs$6lIc|7kdh0AxynO4km4c5B9XxlbE#+kATG<Ynl6M{<&(Fyo4Ba$$#fQsx-Y|N+ zdSh<vGnHQ{+;ER=u9WF4E8VWODa9@#8d(>7(wb|&ZLwUzy7|tHSQnQwoUhobcLpDK zR$9~P)8YK$V(1FR){Zk%GpsY$W-r+I_mpP#qIHu(9z=edcw4T2Psj=7Eo{d={eM)G z<i#I!R(s+tW6S&tfue6RJMPTf7u526?uWYQNnM|}Z(S5W%dWOP&CuhE44<Ze{iTLe zvv`w^Uu6BY=b}&awKaxk3IcMU`NrNn_DplOmfFrRtI3bf_1=kE^3ZA4A}#F-{!NXg zqABv4vCN^nA1Vn*PtxC=B-ko`Yuf1}Gaa^6Uu@(oF-=;3d#S;vl$L<m$!q_9zPKr6 z&k~kGwQ%K+y!Nf<OzVDYKkYhwUz9J?@9F*kIi0{g?dcA0g09R?Y+InV`mtW^hxe`) z(`FfdKUey~u+v*ubMc)gb?+0(0_TM;*m5C0#ZTZo=dv50y&K-V-O;u#XinmpQpMAE z=G`x`THpLyW#)_DH!Xfu_a6_r+&{nJNA2Y0Zm-#te{%k3_*J2|Z%x#_B>V8$9hYwS zwlV*ykrnjb81{khi0kDuQ##kAD`hcWc{BTly`>}XzP}f2HD>HtY%aOa?4k7OSfQiS zwEx8B?my9TST?Lx<xb-zW1f%@+n76&i(Bug&#m;Ux_l_p>Suk&2d|VV>npF^jV?*# z&3p8yLUvQ+GU;0ZNqhC56m1WDGr9A@<bS^VDib$9a9AyF8n8#TB-6L+Qs3pH=e@Qs zW-+Y1{Uo<xllC;}xqHN;cCSf{4v+{CJHI9EM#QvOv3};_m7A@O3HJN#Y47zjetc${ zNOxqp#q-&H&1S2-R4dBwxT{{`Yq-^N*k)18ZOcyM%VJmfJNO&5PcN<Hattfr3Rrl3 z=8k#!v-OKTR*Hqa(C3qya{Ob%Ly_|Qzv1(R=DfUnI>gXi_t)fGYI!=Xec8`>m({CC zGHQw)<C=f_TgS@%w|}!ee``{t-pu?V;Kkt;Uk>w}FV5RH^QPw~&g{3Rluwvcz1sNn z?MucVVUJ^<1wN}1GGH=@dlh^kx`^3iZ<qLTjfbzdZ7$H*Qlz|H?(EW2ZavG6+vRe_ zybevjRryD3(SuW-&LRq2yICXV{r=$WJR|&A>dz;R;jx98do0bTe%x#)nrgCoPv=jW zDaYkB&oMr5TKluCaQ87*MGoi2W2Y{Ai<;a?>3I8Zn^H~xk<D$U_54Y5t8OP*P3xJg z%_uQv)z3{^zr0djaas7JK_u@>OG~q>M)_f~`|9<sFX)Uhh^{x@o?R)?u-C8B>i7QL z-1AmhT`k`5T*!6VJh@NrYlAco`5kr@Nc>jWID5-^2BTZ4$33##TA7k<z6q~?YWx1f zrf?T6gN3?pr`>Q7pR?wplf{M~OPj9kHeB}W*XJpQN3LG_-{k!7`E(DfJ-@CB--$1O zlBT{~S|TlIb~W37mm`hmc6!P3^-SNe_)MX-e&v3*m|sE%u5g}NEZop~{TcWB=8WQl z^=0>$|NO>(&-L5R*DpK!Z|)B)JbQ;z!%k0{e^;K-$)eQ-+g>RsB_EQqn10K;LAM}c znpxm1#n^qTzZu(=GpofYYnwmao8Dr2@&4O2Vp})qJ$*fk`DYx%p&N<THtogrerMA~ zHi#VdV^<MK@l|lNs)=embz;Y&o@cKTqfbA$e&nt9!;QflPDVRV`7JthQ|?dRJsVGj zd6lnOpWR8)$oS2#IXB|U`Uxtz+=895rDe)<oxgZF2?_ZgINmw8>z3Gyp8a>-m|k_o z9kDTzlvhtoW;nsK+DS=+cip+(xySX{Km474{ffh3-6>@+Y9Bt@@xbECoi`ra^)ioZ z%~<bjZcrN-=(5sp*|E#fycY~_sE97{^-iAO{JA|x{Nz@if4%!zeBEDdn5q~s>8$hp z(@AXJJ~6k|y*sl45(___uPb}TxHGIx{*LBnVP2E2ORN`Hu77=FO~u-sw=b>C{UfsW zBi}xKQ|TG~T$3|)XH0c#Suk<YvqE+Wy**u9{!A@UTgW0Fwd`7uM%r_QO21W^SKW8H zik#t{`rI|yv+A$f<cLQPU8nELV@N1FVj6Sx>yyV%IowWc@of}{D$u$1|769s#Gmqe zRwTS*T_mu<e!2S(2bOS|y=uws&G*&TTnq5?f83v6sK7n_ta{~o(Njx#<@}fK=J^-! zysj#u<yNV@^Ow8HI&-9#TE8#<;?!)H{dJm6Q^HE+k53{SRye8f$t>R;w#Lh7bN2kg zx>)u7#XoyF7FCzcsF^cy&h`VB60B5Dvk08ePR)G5w$;HgxsIu5qDxiu&yO#88if+q ztor+)XT_drek^*s;uw2_ME37^_~l*6%7ttbpFPUF@$=cEb?^E;4xCsn<6!%8n<=|U zZQI(g>kD@CE$a1rV6fFJKyrnQf~Fj2tM}WCV;d$i{E@umcE7Lh&e!G@ufEM@W0&N6 zd8qMd)@t4zK6_`gdY!bf;ji)vcoBSX15^Cmxx#wAb`smdGn;p`+9`O}zKSzwyy4E_ zSeNe{`pZnfX68-n%X-GlOn3Vmw|;tHIOnlb<aVB{hKo^_*TXkioZsst?)z<(o4)Is zfY1;1u63HN#=W+4E|yF`P~WoSz@pV9M<>q>e^_wUI?VFpCegW;7o#UcmnG=4{Y+)! zigsBR+tuJ?|0;3C-@e^<UGILFEbyD<h0>e{MVtFqD(*S3^;ue%Ygu*D+1Jw*C1Uw2 zZpS-se^`6`shXl;slkquMrZCVIQqVZIjVm`=$_qDt91i9KZd<r*f#3{pQP<{-UT%h z4&@W(ESxbvdrpXF_F<v!1s_zWIA8sC)i-PxZ|%2zyA?Ly^x3{Qp_X%@))kL)iy4}d zE-(M^)cwcr$=lm6?&FXUwkj}VYi0atbTn?NNvgbu`fjH8Mr*%iFOuZCBsSr4y7s%- z%?+0ujd*_8GtYY+5@95DP<{8h=}!w+g{;a>Ejv`iZ`j_q^>N^>Zv9ggXB}UhGW&He zf9BrgZ;ty}UdB(E_Ih{Cv>h##e;BUpUM-r#(vo*<efd$(+dMuqAGYht-E}k8Hd<=p z<MgDa>`i_wU(aV9UXRnhEJ2etU+XCA`=0i+{fmU3NTk`9rjlLnj%~2~8@*L;y|8uY zR=<bsoXr8BHf6n>`$vD)I*%tcFE;YLQg$}~^`}^r)w}73wYh8TzQi1ZF#oV;tQUM{ z1?xZeyk7gdMRM!I3zaixoVIv5^{BjLm?Xb|*X#^;KlM!hCn8B}nXXO>6OIuKUO6jI z?a6I__w&`~<9>c?xg@f*t6~0><#H?&+p^{Ee~H{S=Ty?4Sqo)46nJO!{czVUNYI+U zakb|5NMq0U1v9;``W@fd$X=^|_uU(_E6WzyXk1vB(D!Hm<;Y8^+6hnO?oGPdsuQkl z_Hcn*x$_3bPpr$o+T@!yBo`bJOS9D6ark#`SnZ^&026^TzDruG&oQt%{M!FjF8$1u z-^cFnS!}qB@&4k9pxpgu&IZi*Aj@62e)Tnp=HGHxd?cC<xcBdESADwe-1LNk|A(gk z^9ov!$rO1`;X~ZZ%3`<QEYaugEo|6)+%}Wt|K~E+9@g3K+LH6n?Dm_x$WT2nSaZ&@ zmy_ls9r$^UX=|WT$YL2SR|fBUyS)81dhYN|cM>=foyzxWH^)-l?cJ%e?-QpRosG2l zbndpS=Ru#BQzcf-%G0zCvbp^=$=IZE*|hYMOOD@M-}bs)+u3?3H?U&ecKg(C(`O0s z)kLlDv8bDqa^&>6m96K^j`U`3`@W&#ep$Nyo474POz{y_GCR2P<z%WG_dH2`AvM)4 z_34aR>Ko_!e>y0;O6Jr&6~{)myq8xG9$}iX=Sb4d+!O0}CVz`rH$&R2<mbXao!3Kd zC^Gb|5xlaN=}d;<vbldnw;#L5&$Rh_`LFsZZi^h}Jh+iy{@bBmwf}d7-<G?s*IzA| zD&=%w{o_Zj`WdMOXI)NfB<<%tT~IfzqRG0%>O{`UAieJoo2{)bXWq3)&!4<qfFWD( zR3dM-@C(jEi`L&+(p;MDFS5M3x^Ab|wAu+18yjaf%{}{5?)HMej}3oqzA?pAzGhFL z@^0Ne`^ORKB}O(ILN+JmZ*OB%Nj#Bu#!BgP-h^0<jVU!(%X5=2?4I=ET5?low88qU z2Z!&uUD1}`EF$x7o3ib$&N+9yeyegkJ$K1KYf)~Pmh9e-(aY_1_9vb#x_If&&)8cR zn=8Yo*)4Y|zdqrT{g=k43q#LU1WLFEOxwBO$W+1IYhn+J@H0jT-uWADYP{y6MB=(R z?#++ZANmkh?J2Y<qHN#sI^Q_EIl^0JS7=o?8;aI5b*h+jZCv@%ai#Hr^-4TWHB5Rz z7GZIF3)k&>pWhXJeLc?xvFAdItXf?AcgUI@^{kGqG~6%#?Jv9Y<8IrE#Wk}VqyC?? zJrlmjYmR!sZ`Q|JK~;a+4gEcX*Dgp~K2cC|ov!5Z=gXJ`^7b80J)-p2zM;Hye(UvF zCY6kiF-u<Q>N$Fv2lX?)I;T4|bIPiBSwSy-CY9csSh(!pjPDJRiaUJ@mq<iRzvue$ zWl+JaZ8fdd!mi#`m6@{Pyr(K7)_l5`e1IqE%p;y0A+ev!bq=#U?>>FGG%GqTr~K?v z*B2cR@~u=)`9Abd{Nj^hbt`v&wB0Aag)0iKU0`Z@n<KP2c&q)LC!K8R7k^A&C6mNi zx!}Er&L7JTr;Gx3HG`Zf3r`kLXuYBKXX_t{SN}SAEj>?j7kiwa*D)*Qu-#+ng<{3W z1P@8Inldg~boguIByE@d>p~dSrrzh+<n+qVP3-5XUXz)5mn2?t#0aqQp8oN!-FnX4 z3D-3FXOyw<J(-kau4L^b9lbm5+s#|XTlO!$!?WP@ge{hu$4*WDt7ECMCq<g!PUvQ} zFMpoh_h0bD=l$#I@Be?W?>p@h9eCAKv+?VW6XDCAef)X-&hd!ClR3v^>NhyNdgz_m z{=j^m%anu%8+RE!>HMC!GgN8amMiw3s&2a{Yzr;b{#E_8{_muHJ(a<C%iVW0<-Ai0 z_Gi>x6+YpH?hTEDJ5PEnndbkt|9j})bg9b+vP*Wnf4P0vEDQh6KY<3@ow!|c7#>Ef zd{Z}3hNbyhS-!oLX;(sE$!*@}0_iFh?^yZ^KOGOPO|vy#6tV1cYa8RMD=Hf{2cMLm zm70F{@70PE{hdk&E^~fMOPk}YAR%0JYu*2&*MnY9EqhV8$8YVv`B@*{<iDI)Qky^L zs8*QolnLA7XP6uoIJHUXM(wn$|B5XOwO3TmDb5dm@+(0(*`?>l_v14^EiU%aownW6 z`b)Y)o#E=k(d?7Gt1ULBr8Av9E>>k_5-zP#{`>RU*`A%ZAM5(|SbC*%MNJR8cHmob z=@yCD$!|79InGd&J9a=wEN!8`*_EJ-1IcpV8)kMy8}KLnyU2d`Kv>4R%@Svi`HKf% z3v{lzv;5BmtrqsiRq>OWcD+BkXx4`79<R6j`TJ1x=&@xlsz2YHa(c0bp~?CQd)|jA z+esT5UO&3Guu@TuF*5&s=QP!hJG;CX(my5eIjy^Sv%v7({+ky6*L?YPjiobo(RBw) zq4X%j$97WXEq9gTt{9#6RR7Mz?k=}4bbh)?oK51j`!1XEmS1yxZhz9u@JKkzr-u$N zVppbCh{<}de6M?M?(b~1#M9ELooY#f|2tBSFA9}dp|`_0$Ib5k{yXo^e7bw2y)9{# zPTM*`Q)X?y8x#7?!y|JZnytAKwq|2{-^`5>C;8ZB$@W*Ii|}^1T$MK3T3%gPb39O1 z@b~xp^vG?`FF3`rPEolt<D}=4Ry8pT*Y~QgIiEgTek?+nNi?BUfcJck{G@u(6O4`j zR6J6y9a-9PeZOuGZw+hyPVU0ldQ5yx2cEd7v06T{&%6^VyuCSB@#>|E&Eov+jh-L2 zZkV;;z^+!$`ynqvZl1dCGFR&T5*q=gI&D6s%2$7{G_({gU$O452D3y-$(aWXITPMg zmRN^+ZFkpV=esN9_nNimL!P8spxv>R5vQ)b{t&i7LTO#FP}je_z_Rl1Ax7Ef6<6v> zNB+$`;Cu2$kJO2MkM?xiY<m&AfR*+3b{W5z3vbHJs+Nf_67TGIv|F{X>xw0-;{oZX zSMN-gj4{3XjnBGv){DJ!oR0DeRs5QjeBt-{`<s#-7E2{-+??6^^v%p8U%6emj@7fS z*XEJo-M@-$jrw1Cqj1HNn$vozbLN&`uQJ{d)+FAuJ5@$PfBlO~JoBY9N~f%jjhG-1 z|2#i9qs3ZxZPJw2D~g1^Tc3L?FX^)_SMN#9u3snX42%Dl#P7d$NG;8EMl{zZl{md= zHcO-n@4Y_fQ+#Cd{R?}Sp5G(&(1-o!k!vom_j*e0eAH!Ev71}B+UWzk?TLf$CvE;e z=Y+lJ%U~A|kJoH3PZZ^}^YI-2YORs{K<edR-~S>lw$Tf6Onpnw-jk2rbSvOM@V8~j zPx$JWWOGDcbJ_ZN14o(YRzA~*{buvdeJcHtZC;ghIA_^`=~~rqEB2^Q%htbfBB|g2 zvuNGnqghQtj4yVJz55p-lcgVicwU^oYr?yq85~o7U3Rbeexoe@RYvpK&$T{#CoTw` zDPa`4n)!X{uMEETWqBgbaV~`!_vht#H>kh<qrPInuDUx{0#aqauj8Hed&yp-cFlKz zUJr$)HkXTf`7L|+GQ5zH`Elb6)qqu3GCTEk&n<j8_4o388Kttoypub-`qcxucJB9` z{oPUK&O@s|Z-sog&)DAHDblvvT(UD*^ya1;$tlHi_pj<zzxluOj`ideJqDW%7kT|X z7+sRKc%J#o6x}14-!sD{S9tNiat(o(Q*X-r4w^P0e@4wOwTq9tZZC}v{QUIQxkKEO z)?8HG!L$5wx%cyLzjDHEamrfm^e#H5Q~Pw$_oykmza4v;H}@&G!9Di<^83FWe#`X# z#79+=YrZG5BQE#&FPN=dvRduex4J_e2{N~@T-`bEt;W&5)L&a?buSPyQRWHDn9=md zh@;#k{Up-_MSJhfTZ+Vfif>ueEs?tJu6>m>SBca=b+L0s4<CO$;<fvo``*Qj-ld#* zywS;rHD!12nRu_4P4di1o4V^-2?mU8OVyONz3BA+nH8{qTLHrvZMih#fURbH_fFW& zFmq+y9o?Qj+t7;PzH^pCW!)~TlS?OTIX+`+(YLjn5~=TOCv=*xE?HauAXirY0>htn z-Nf9otJ9mC9+#eW>(aNIUgX@ab(G^8YgyYg%ee}-Vq@GcHeb>{dDn)`yn9oNJMVw{ zGY93%LpZjqeH?qK&F4ziMbU?bUi`Kze<rA#F0B{gxGA#!a_sHMsVk2c+<UFGQEKb; zRe^G6&1z4ddXi|U{9iG}^kl`2z58YNi%w%&!sjBp$~=0{{rK7v!}KrylI8{?C-SF# zZ$Bk8laKGscb>J1!r~g~GZwO!D;bm?zkTfG?Ck5S--n5Dgs8ddzHEtGx;NvoK?29; zz^t{q7#4W_Nz`|pzk1rooGlvX7nvS8B7ES(n&1spjyE*jn`Zg!+{%)6_}LtjsMzP> zmMw+f_q;#Q-|U+|#qdpHz2JY3t%bV_3%yOR_1JCAJhJk^M(<3eT`PUJ7xXWj#3c~2 zo-4&x`0ivjiwm#oP3HaA(Auau&-%};rwS%DL7`!8_inmum)dG=zK83tTE}$*F83oX z|L6I8w#;(yo^;AURo?e>g88kv%bz6dQhi^g$ya{m%Gbp_r^8b;eb|au&hh$i`bou2 z#r3b)Z#u54*y8i|$ElSQOKlCZ8B>lNz5Ad`Iqw(yp|Y8^!G`Zr<+YbZCDu<53VgaE zR^r$`=Vu&uiZU|Ogj03A&o@@?DLK`Dw6U=J*^bNixS7h1|B%Uix8;7J-M*IT)kY^4 z%|G5^o4|Q(nVSDr?bGFTlh|L%HXHeWpTA=QXK|tV3WM)14SSixU*Gw4B(Q@&-;me0 zGeA#s+CzyaCz)POd3^E<U%&tTp1`~Q+lwxQ$!Pzt$y~P7`S`_-+U?gk3TwVwpK7pr zU--MTeeIG0p2-c>yIL0r_Pf?IEKFT-;ObMG12Vlj->ht^o3cfCb-&I#7W#eek)FNR zf4Yj!W!?J7{#AhV^SLD)wM<fr{`!XoK02}e*E-9X+!q{9a}wnOr@wk+5PO8_u)f3M zW{nPAkGjWSBwckjY_~uA(#a-5cja;ZtHpi~R*3vHK07ZkMytbo{>e*o-NddfPCwu2 zptxx9_qxf)9wq$Qb|iO&y44zAooB)`_F3IN6RO(q&_5!#sV{5MJ?#y5Ki|BlV8B1^ z!MzR2+r8yHufMxnmddmE+u@vuvo@LU%wvz1{m*+aVcP}6Z?Sa>cb%LaWG=6nVd+x8 zOh2XdbXC^}jji`8gLnS9r0i&ZYfb#cr!$i_<Q*;%`0%D*NVZ;iVjq{f&(4nqjs+%P zE(ocJ`&2dj%e44z`B|hd@aOy<eFe3-SHI+M$}-|`chTCMzLA@2RcS)<8qsB`Y}0ku zt~_@5Y5vsx{>?jX9yrQk|7hFtniG4bK9+2fKX5MOqx2SmyjD53bGx3fPx4)oywp;t zeQU#Zvp$9x-n~gzI5cL9e)O6#(;(A$BD3S0ndjYZM=ET8KjZ6))ne+k;<u}QC#Rn& zHGg{L-Rp~+q~FT!dT}wzF23hw;2~*=CX2aQjxRpnKl(Uq!`}&imvAg~^k4QvPI}ty z4OT&OEovH$#eDo&vGG-#jmqY#pH~m<nO%Ba(v;uAsH1a}+@rrq4}Kk7<IVN!K<{Vg zU+(9XcMAU9)UiP7M%%{NJGSfo=(VceVT!$DuvqJx?P?33n{tA`4XZZ&3NqjQPG?1C zn*aaFj3sr+WvP1E>oaB@Drf7M@Zj#&sS+R6_J{p8TV}AB?LfTgwKLOrH6A?T`8Az8 zD8;S6W8!=1H38dC<XqmzBPzYm`+oUpHq%|J9FEU^rk#KCq<`}6WsE+>)(q2Jv~!ac zSIlkvc;bDkh*qrFp?hn3H(XPA#c=72%ib(o@x5m?-oM@;RB}>bNw?c;pR46Jt?DP3 zyDj`Ty)$I$#W~aWo(-?&-^XX3lD*Vdm|ecSDK&M=xyGrxp3L*w`be1H-!SvLrKrH0 zQV#jL%=SO8-hW+Jn3l%;xxe?pX3mlrk*SR~QJ439a<=W?_i@T<`!ZFwKT}d)riIj< zF=p2MxZs0gjKLB8<<o^Py)rAZbh&i*;e-PY_c^ti7Roy*tnJ*J$>-9#c+&mPz3(eu z=IB->xUogdUw`|E(@bIZ{zp$bVveVn6}ZgWaeq0FcGQISO^hy6zd9V~KKZQY#It#` zK8JMl_^+9t&Jq8)Dr81De?&j0`E1KvkN9^Jw%+pd_AHusSLg7pz@IN)cYf~f-XqbW zZQGOmM(e|_+1qRNuWqdIotUZdk?9TZ;v*UAX%jZq79O;l9m{{Qk~{vGMC_Ei#+QN@ z7N49Ty5MT#-^6!ELshufGA!<vySaGY>!f@GotIzkoxhPPc+yO|_`wUuB{dUGJxcYL zsd9NTry5_ocq-<vigo(7L)~uYxRkc<(LHz}A$qTraFu_eyl%+?2l=R8sX1?+J)F#2 zD5m9V{VdCH?<SeJtf$vw%HQmbOsZOTFoRQN(?!LdS>~I=3a3f&e9n{I{q~UEJF%rT z{{s$f@kvw=)8)Rbvj3R5bojwN_kMHKoSt)Uv8mA7WZ503GhQfKPf~eX&0{esbN}_N z!A<<1we7p#e@$aF`B9stXwhCUso15J{Ya_F3E5qrrc`t9sM_!Q<dDb#_rnSH%$<yf zy55GkC#AS6A6pgpS^ik8Pu!!F^;fRBF!^nKvLs>l$qxOGM|4kfy8L)LPfacAdG*Bq zhyEpHKduZge{p0<-t27k|30!e7xO({X3iZr&BprE^<-&g>-b|H=QqtayO&jYRHlBu zMD3DIEyq<WX5T&|w&5TDzP-8^jy?|LjXwL-xGFC*D6f0N^LMX}6Qq_O*OBaA?RB{0 zPQ!~^jLGL2f^@21u6uU)T#cd9gyZj)OmCW2Ha#z1Qm<Duc=3ih?UlW?O|oXwmvb`p zx|~=4SNLsKjQq-%&)W4_`!<NpWB;6LYObEOLgu=0Snnr>4PWjkWvK908-1O%`d+|$ z{weEroIlkv%^{=oyI29YUXJO^w)4z~u5tZ6+^ntjIyW(-^WV-+iMv^g)Nh_pY=6^~ zbfIO1U<m8$yGuH!<ocbuwo_tfM$mE|_PRO6>Ray4<(#INBii-V+VE23ew!}|hlK-w zUTzCHou(YH{rdl3i%Sc>Kc4j1<)WndqBCV7+u2q<=m^oa@45KL_mE#qJZE#_#ao_g z(M&Jys+#+hUfOha$ASPE3y-DC_gu2GzH!R3q(|%{^MmXyXRaRIF26QIdF2lucGKk- zIhyzjk8RAp-KMgrx!-SpXJcvNoI2A&k5|#{5*fXwx0h=sSe{<IL^PAh-{f=l!FNG+ z)q!gYCNFV4>~8jD>zw=R_>~Mcu5Bw>&STMZ`1r9lQ_+CHPv7dcnjXJAapwuf)c;=m z1_#!xda}N9_o-7mSDgFa*HJI$KgH(DpN_-YVO5TYB=XNhmHIC$J?j(@z`dcwaOI+; z*0b||?Q?1kx!Y6|ddSyf=MJ75w-*<173;7wZoc!Qxa`I%6Gq0cjkzWkdSPemy?^HZ zD_>Jk%iZ>GMTZvm#HiQyT$S^U7P)mXWZ33i|KwPjmasEoBI~jnn>$429^c`^7P{2q zV{Z+Q|J2Q&_w<XH%`g<_44Ce_d(~an)pu4t|7x(9!KLrgRFA&)kK05H4z6^vpWPsH zg?aOFL(f&Lkvic?a~Nhb_J5S_4w_P!qAB<FYYMC7K8>b_6O)cz{dFvuRsPYW6^qo} zGrK3xTJcCX-}w;V@9Y~K*?tj=Up;hvSTyhbNflO=E7i>BG}bfmSAT!{+-`$fV&F%& z%*C!Ztq-4&SUe$!>-DiIS`U?lYZEf>O*y^1)2-`Oi@miQk11o}Ke5|O7^8a@x*Zqp zH+*)h#(KMA>6EWbN7M@U%{@MG^YMAB+}zqM`y+doFek^Sb(Shun^isS;eLK#_E)aI z@tSuoM8D>~$^Gn;Mhts!&uT9{m0F|Kf0ytb+hhH5o7V4^6-ue9W~;@_rKe2(Uema_ zvCY}%$)ve2JReV=^mBR9RyO;4DsMhMNcdJM%d?C1-Ipbs_EbLMDT*yTazOUg8@F87 zj1M<AEj=yeYxgQO<<W}H^5Q^-j|`QYf7E#$HA##WlWQ?pcmHzjr>t9&El>IGZ<9E4 zU6yZG$**r4GEKwFdKEa>KkonMveld4WsgGk?&QU$yG{8UZwQ3mF_!JyxL@+}-+$$g z3ocC0@YuI(&H-iJ73qsK8tN8HynL~^cy8H}l<gs>s#flfcUr#F>bZ2%@1ppxKcyDE z{c<;gU885>wnO2Uo#(7zU3abS=i>aUEpEkcE;?6SWYzSl)cjY&S94e4|H~W4_Pl!= z+vnMKTzTp5jgy|tec$lEebR)_x=up>YSLKM6lA4M#QV8p>qB`1u6zv1znhrw|L*%R z?#@+bmME{@DU)ef(tY0BVx`K8&wsdV*(Pr1*qFG>y6%J8dN;|v?Dv-VKVLMdW#bg% zCr=cT=Y-UTKREw*nu<%9e*ec4656|~j0E!@ed6nWdsO5or;@~igZHiV3zsshA9?+8 zH?vIo$7*XH#<dfKj!(MU+huEd&?;I^PuJ~Jbl|6kjHu`4)pvwu)ld3z({RTBe(t5) zoecLKo}?PO>uYJ(=HpL<N&+HwlwFv+?a@UBz6QSg!hG{h%;z1=k$iS!f?V<8ik?+V z6h8)-{`jfX#N%1_b#3H<fX7O=F3eE~jdJ5&bF}YfhfQc!RQaxd{!(`ALJ9Gv?<DRU z{8dqXbNow$>w3nuCk^^-_y79zzb)KC;hXkD&&$>K)q75-FI9T?>%dy2tLg8zsa-u_ z^|0)dGlxXuChvsn*OSU)Th>d7?MhhIH<7O=lFjv57fXru&5mPLi*~S2k*i(3d4{}N ztLQ25I>8l(zqpm%>wloV(Mb7+_m;LA1ykvh|3pvpG54q)zkKMf{(1TPQ<h%cR^A_- zJ#V$)(WM?yhx|j2{+ChpGPv;QiksM@4^wU4B%XFW<jSqNVybNF^LO=n{!WjcTJC!F z%EzNSJNIkUgWUWrBFyH?mPtG~k(=W$8MS2Lk2t}l&nkX#<g{z<NY3W<Qd5X?<&ivS zQNNW@XH|^$Mg5WuTS7RM&IR~Sc{1^>Vcg2&GhZj(*39_z;<iQZs{RR`#g`xKpWb@a zj$OXX{=n~v_XHlrTq^!1mZ309K81bO{TSmnJ7%?CP`iAhoL%ag%+j3(*XD2c44K6F zBi!^^#l5;`5;qjO(pP)j^l@j~&6WEh;mWMOu7$T*)x+jpT6xgGopq+NOyK(GvmZR3 z@qzE^>7Puj4^7YR{^6H;GJKEA?6VVl<co_}`n2l1v6STn+a2&(dhk<I$Af>_N{yv= zpKAvit<v@Oj}fuHn7VUQPx`&qhgN%YelCjpy-sdvr^@g1Ee`!bJ~w%DZgzZ)Gr!K@ z{GD@~ud+qO%U`j<XLf&ZODdVLHDX)+uGxaRT(g@OJc>QAQsJvZ!Y==ojfUSI?0Q!I zXy%C$qculPS7u5d4rDx7QMlrk8Hdt`ossVZbKN6Wwah&K>Pp6*{(Z4e*=lun34TBG z(Xv1NuGP*xvfqQ*G;>^XdP9~?e9wI#hW9q-!7X1Fr?^R2K7VwFC)?xFlXdz``prB? zVzc-CH}Co(r)0lJeR<f|bKwsK>Q5+4v$t-W<bPQ@eL=ws#Vu7AK8IB8ENoakEvZYv zrki_Z%<QGcX+lo3@<QZ3@WtgMe!DmAch<(A5zV#xr++&UZEc(BJ=12_=bRf4<P9d| z+&}PE`%Jp|hdZ-(<=y}0cynSv-Jv@zH?D4qdHFKo+hf5uweyxQjZkgudVRuo-g=qG zEl=xP*U$5Hn>+b^PExd-D4({So9!$<#-=wbdYR7(#%-UXF~hp$Y1Gn`4^^2fE?3O9 zVmieCRO!|6=D4L>(ruf{RNp#&zH#o4ip16Us2|+#l0#+`dF<$)Gh2PmXXca3I9kJ> z-YZ?b%3iW-O2D(}g{vL@NQ-84ls{b=k-tV|hC*t}q^Jc6i(AT>|2#VX@#X)Il7Hm= zPL%D|*qGomQ-)XGd|p~oaO91Q&v#X~XSNg^a8<o?Ecos6xl1EwIPy4kF-wLnyB7RZ z!064U3lT0#9p|jRGtCmqG|s+X5G%ufekJ?uqBQsJ1MW_n9lz(_u-3hC<)Gi3oQ~|x zg^I!YQ*LGGT~)a<{d~^pD<@i)^QYxhKAd-qU8&97RO6M6m5j{$wT|x>-+S4%SbuhU zMp?GfqsL*lJ~k`H$@#6mu>SSr%iKBle~Rt7F*92y%0xedn@2)i!7g9e`rJc5pLtF7 z%wE?YsRTc0T$Lm6T%2{%)Vcp(@=h-b`WFyu`%TR0ZDeYej&9AeNprKLI<8B2hdmdU zy1+fjKBrUdp_RD3o6^5&m;F~gH8)!C)!6d0tlCpY`bMZN>-qq<r@LQmQvTmN@%zgu z4-@Ckx}8_Qp<Bf(X0pyfzk~ZSZ>%eP(G#%bMDr&8v)A^E%@@}DnRxJ__0^`=)(_)) zMEIt<|EUUk>v}M;@$vWXFD2I~Ea!f=sUc^t$+ov9p8LwW=NssEpIRk5apiBXcZ*%$ zF0&P!mz8F!+4)Lx+9l62?HS*g+}uNB98)Im53ir>dz*8)m?_tK8J&%6p5EL`W#$LX zva@II70t}7*cN?0PFdq;@yp1$FTA+VJBgLfyk5M==&0e<X+BB+VjhWU@8ErB_Sazf zyk~1Ab9r_&?O2&0#{W3xHAiVcGP9`H;eYS1ZwQs$qc!W){M6?PY$vs2_GYq1?2;>& zIB54YK5ujLi(T7(oO>DDud(U&al^oaeD$`j=Y>lQxYrh3vDvooc=yhDd#8g1;g2pF z2>W~K9Ortrua9S5TF}J9vFA%q9Ec5=_wn`_i=Q4fT1}7ge5G!l_#(GlJ*E8H-_T?8 zwYlei$nt#Fp4IJW8PC1&U9!~q7uqeqzHHue^`VH~%<S0#KmYoPZcl!ZU%4SdF`uJp zefZhL){FOKn@&4b&e*@Hr2Fhm$?b9-fs;QkPT<|YamLsBICs0-r+8zp{JL~?hUdfd zxp5VbUjJOPOzX*vZGu|SPp-}lUQ)NSc**;#wu13nE~hU4@uKtWKD8w~e!O@QT=*~` zrfALqjd=mr4#e3`d%@5tXug3#_KZzi|CC5~;ixkaLe_`Guel4q?PpHEd+umXu55B_ z2?G-YpK{|W+tBy%^|CFy<$iY@5Q>}rQhah<oo4oOiLfS@7d;<sBMb%aGF|FxHZYKJ zVNmM`o4+}7>*QBW{-;gN17{l^J##j|cJW@N-YC`S$(;Rn)pop?{5wA7Rc0ykr?i@F zJ4ODjD9Sziqb<eNh^wUkT!(G7V)e$=6`Q*iINI9d*@dK~s#y{(j-9<V=hNCwzWd83 zJc_h@nI(Nf?|j&kX|H)N2<=|RczJT3i=&xU_gXRLnOk)J%K5LaIAfPx*z@E=4$t4X zCq2yXYeU@*cJ0&Qu~Iykk!D)!^u<lr=cr6ZKoHv`)yj3=U6a@R?c(2{eb}2nKaL@D zd8>$LhIo19pK!ext<yrw6K=g(wPTIT6JOTO^ErnO>KT<6Y(68nwQ$GL1hp@vg^E_9 z^L98T3otEQ^``OZ-ijGtq@r@K>}0YCP>p{%Wy;+t_YTale%6%Y@`~eYgNmkAw?^Hh zm9NDoEm+#2bE9MWajEPR&zE^u9dP>JEq`vJNOHLR)>balnOZE<wy2-lTf*SF+gz?N z%;~V0)3e=cH$0kn;_>6w^>NuNAJ{Jc`*zmzKRe>BboC`(>@qWXGj|tL*te?IwF~4g zT*%z`C-L^P-Ha!^1k2d+8q=mTBwph^QkbZJg7fjGU2imkj$dK<_GRMd*L$XhzMQbm zMOFCHLgq)SGFHVDO8U<i3Y;DzwDgkgB}KQ(YdOm2pL7j;9J{;Y^Wtj`5AHl&*?+;! z>foy|9Y2}buUyZamq{!?u2#G(WPRT2HScPz7e2Tqywv>y$JNKJ>ZQ*(P4ljPWL4Lj z&>6?9v}O4&)hKSMH7=}eM^kQl1;4!?7=7C+l6l5arcWG6TNF71p41i}Pw#D5;qd=< z%EqVbjP$33t<2oALD7DZ&4M-TbqjXB6>NI3hR3w{<8_`d7HV9R*HzT;$(J^~`?jTf zn*Rs62UEW7K3lGHD@SIZ>^mmo4bwczvgUg<{Ga_k_;|wiJ5SGv-j8$H{BCio-KH4d zcAttE<L@f|37kJ}>!eksn@-?pU|DV3*XX*js#CA&){Q2M%hudQe}#iQ|C@J;`#p;H zKErJkCTz4-Xy3)SiBp#U5IE+^wI}NJVHMtGt27)>CPsd{;Pxt2_51apJ@=ltoL`%u zr|a{$Yhr|u%5&C47d`%(&*u*uh%Tt%h}-sq%|~6?q(U+~SUS>lqf7Wte+TJ#kyk%( z-wIlOgi+|){)vwzly8UC8+*PgoSc?1;TUt`?Zl7>F^=6uCo-6Rhg4f<EHTh66OePZ zEZCD_%6;yI@!_X3W`<!mUc?5e)@40f`nyD3cT!(S!~A&*z2|+fPK|YO+FVoT{Q7#$ z<)atPOii}zTwJs6&W`|tzYFr_Ty2yw<dD@q_-ujexuz%T=?dO2%R6tdS>@@=Rn^DD zt!B%1f5g72RbH$9u3bpP&YG9|H@sw%@=uvmA>X$;S2t<Hq~|HQzXdtlx6E8GA<{5E z`JK=4#Ug3`d4Ut%eRuM&dbg#UC(XQG#XQMr&SLj(@qsE=?p$8C_H*dPpt=P4lB$lv zb${BjU+vtw`k}76dic)x8&)hwZi^q{+|WEB?CLYga8>sjg*^#B*(OIGdvCt;?rw{( z{6EjHWtqXWTDria-b?Ds$%%G1{FAt*s@~njDOzCW#B<KQw0%b0@|!=!7H$pf@SN?u zhbv2V*FqcdV&0bi`9h`3=B&t#+RMIp>kXqRJJ0@FI{iZCj<Bj%OOp%_{P)kdUl8j$ zZSJ=8YtPP@@4sGIdU)|SJLwg6(x*KF9jCpua&p~iJ;`plkWo*N(u@2q1<r%J=YCtt z=31P0TWk891<sDa{fjcq`#rrvq-+=#d+s~hZ?opFH)j-c%>U^6;!PSB?svU3W8*~5 zC~TXtrhAfm5Lcap^_&*o-sYHK38q~)c%CjjdG^I?Eo<G}w-dNcgbf!l#DC8IaqHCH z!%`OoZ(rG2Epeqg_r-j@6@h&}Cx@&&l3sjf;<3onvlJWuOG#_&7T<bfLn)KX4!IY9 z{T}RkBm3&4MYF+QZ|#X+a+f}l&$-0xRg%RLzIOM<Nv9*DT;2&TUVC-voC&|4t-JAk zna8>C%K?iz13Ko4mtQ<BV8<6V-{p>xoWPEUev3*~IeKnhOnV_+F17xG>b40p@@s_U z4_`a_;hxtqu>@B0Rd-gIIp%-;dLU;93+IKMvqT&XZZYMmUkpED`(xdrkRGkqeiN@6 zrP%A2-G6n}P|Rh)kEQ#U1vl+|Xc8XfbK12zu0YzQ?6P~Ws9L|nahL6qpC_4=J2~Cr zk$V^Vh5gLlyLtM{9{cwGsrh+p(~TD%&qF=Zj+I9ro8iJQrD@|Wa3KESX2Cy+6IZ=H zsFtt0B0Qi*Xk&Hgv*eq+4|8JUUS4V_&uE_Y>){T8b>BDs-R;@BHpKnbwB&iq=E^)s zI}v{CW%*{-+G!u8goF;yz4UydM}3-U(z}mLQi`jl+_bl937c8Qv@o}Gn~-w1Y*9Af zi>&T?4Qpq~%O1aV9)$CIEh&~1{?!s2{ZTjfv$fA58<B>)^1E&rwO<uIZ+PIQL4M8S zMYb_-zI<D$D>QGKp_$Va7l!*e?`@vtwf*i1@Y{c9%6)Ua{d|urH@`FZ_T%*>7A<=o zNs*7H8g((7uL-l}39MP7-|l-y_l)YKTPFg09=wPO?dLftUEavEf>pcFM@9Zy&GDLA z*Uuc<t!mqX6dY22+{l@eJ7I@->gT=+hoW+3B_`$V`GR@n`W~)V>g)RIe-<hVl+|C2 zk)1B+@bjO_Sx41L6Z1n~^sxF_ZDck%^8VQ;<{8uTa&G)lPkmx=Pku(YL+i@C8*`H1 zRKDDE|6@kV_Yd(7{5?EZFQ_`~d@*sca&z<c4Q5@_>-wd&whM1Oao?E#M{1#Q&2y#A z@6Gcf&Mx-%Sj+wB@7{~t?lW@Uy;-(<!`x|s8xO9RKKSodET4u%_ddQGuFM>>ORhiq z!|ZUU|JBlk^|NNE-WA`s?D~qh8>hdWn<p8yXSS1&fct^&4f0Mg+-8@`4`&DcyzeLf zd`Ignt=X+osh|HQ7zfO6iB;+dZe+RWy?^_r%AYGFHb@zXy_n#s6@Gcv1&8M;nZmo5 z7xXP<a=(9I({t@3=e9EmTn^{G{r%*-h!vOBf1L|TU%=k2TK}5!M)FVFJ2T>B%v~?t z`DJoOF05Z{-_NwA>rS(0^vm2hxPISk)u_a#V1}UfjaAo`GyLl8cZdq^%vPKyy1L`| zx>tE}@y54qe)EcsscS6!Uq1KG!L_LiHa)CzexuR6K6*kV1M`PP{#z1P%yr~h*H@wT zVT;_$5dY&YJGL~(h_2HKmv!W4uurUDKIO2Pmu1H#X*;1MEt|U=TlU2%IDWfdl`6s( zo2?Tt<D!))zf!n;o>uF0t;^fzys%$!=>D5MrTt~|k7iw+x$k`g_dK`chjsQVZEU}Y zD65^CUAV5)#b3~1LFlu<c@y5gR@&jyZB;EQ_%wmJ)*w`BmRo|~37rSV2J_>p;=DEM zGbim0c(pcg!^Jv>%Zs-4x@8Alb#KTvcRi-IlvS1abJB*Tr$0YSp6no=HZ3blmGxmq z$nT&c0j*U_t~f+=hX&n`Qd|G~(PQJpBk%0E?|c>6%b|3+LE_1rZMieIKkkb^!c#wG z_cudsK1LQJoqtmK{}qmBZZ^0n?*B41Yf_9`hT|#wt)`|m2No`OvHLKkYjOV9=`0pp z7P7qyy@fu1>ti^QmR9Ptp#Ns%O1DQn*IpPU9EfrfUMRiuxTHhz#}mto)m3}{e!93! zS^d+4os!Nae>iUKmRa;nhu<{y%J=yPUatM4EvoW~aZQ3(Uev`arFJX1zOPhmi9OjU zcRBdVjh`#e8_9><I?^&j+@?^Ib)&ER@*;-Ho7HTccN35K>HiLYu=aMy^3T0TW6t$- zKD@bNqR3(8FA`xEQ#LKJb$4$*dAd2$?sUz%`e}Ow)Wf8tn_nAlu@(>h`@O#H^}~9t zP~Z34l|md9Cp~$&b%Q~_=;E(CSF_IT52-tpv40tJ$jYm7y8kR3=U2>GvsP`-k&lO} z7W<xT7rLNXzEWDtGIz$FcJ6~!*6n`R{ymNLIVJFKL&AE$P2ZQ+H?9m8Xeyn;&pPA% z(^ua&PgorCi{ajegy$z8axY9~nH&7#){*^MM-8iXbrr5Gn(1hi_J8(-dFPJ{2(OwP zvi7pTbY*A1oPz3@&vPADcpW&jS?FqApoLGTz-Qm$@Q+F*H}AY&FLF)ni~D1FO@q@* zjOLh}@zMFfynltyv2EM0PN`XTGk3medWw2gidMD$(f-?~cRwm%ELr=GRmc9EVZP!! zv90|UGZu7y+T*%kJ8|B$h5h=gzNFn+Xf?5k@l)KDTbzqkXI&6u-ItM+(4EFEQE?`E z27l`9P`#42#w+?a&WL^YzGV^mbEfvkc{7$SygK>g#j}$RmwZ;Yca2L`4l;aMX6IKq z-9Yr|x19gh@(lBPSUw*qH#$=uwoudV;(=R-{aUt#PkOZDnjUAyC)<o|u4``obzDFB zUen~1Kc)*O@UPv!vT>^MPtB)NvlraEo4>h6y7PsWW|dR!p{u;Bo_U3T`{GnDv(?pZ zzSLsxOH8fd(r*u)Do^JRiEeze?(z*q8R4F8k*t62siA>kQzV{$t?c9Tuit0$B;B|t zAZLa{=CY_Iso4eRxQtgW^<ll=+3Yc8M~k+v^SUj|zXmhUSG@GXzC@$3o%#1d$tg)p z)vKod{}$}5QgHA}V6gMP!1j=<?G=SCf8J?bfBa{@S9Z$YrZr!$Z&13tBDdD%z?==b zPWqF!uL>?X@VY!Oq0Q}-+T!MyiCKSrO)fDi_Gwfl?De>)ep9wwbC=^BE<^M9>k8K@ ztNCV4XZQP~7dC%KT+^qjIif#3iX~&NRxC?-@@!4S$(4!er!%9YCdqXLeoy@P+0{r& zAxf@KeIx57or#^(%>~4Tww;jUy77MJy<OjqI?29B=T6j(F}bx_)~5OU79qRGPlf*; z(%7%}e(tJ_J5SUF?(BLXu%>tp@0DDW#~SW?Ej|4u?(1tD<koo894i%6tHkb+!M7#r z!;^&A2amp;IR22kuOMCb&b514iQm(ru5Po;?)~g{(kuT@DnHYNkZa4nE5}7P+}px& z|AxY`Lyp;@^WV(=abfBDoOTY04Zjj5xLmjTeOK;hjEha1dz&sB^Ro_<2`etho!QLz zxmvv0_2JtFrhmF+Ws5C6CBn5`yC21;{F!-#{pan%0L93JKIK%=^6wsV>n5k&d13In zWQWS!pGQ_qs?UouiS$f8y4&L^<Ab2)s9Wb-&buvk`uz35uML~igcIxo67mCmJ}}&! zc=zJ8il9%CwOcrrM~f}X3OKhj<5G1*8$;gVcaMyHlxjQ0<3H?6DVK7YbZeSxrbrH7 z!n~kMM%(6pmK6&#bXdt$n9;-Dy;5|RL|T^U>xnmxy-!Os-@S0jh5mn!=0tV*#ms-O zBO?Dl^O6;|${wqGIUL{g&OEO>uhnqn?jL_QY>1vX>D77jrkSe`E}cGc;q*VV+ih3$ zufB6;PTb#Lhwc>LTdT=mA?SA5Q2N5$4`%#P%FO(i58N|!abK?Y$9qz${{Q|7`#KBN zVqY0fy8mxlwPW<1&5txqC;73?`y_9;b)(~gR2EU&UbPR69v=V7xECI9Qv0?4MUTQ? zlYQmSV~^$Ews{cx`ct#!Goywqw&^GJn9FvZTD7`Z`Q4RM)2D`eez-Kh_=;JB^s>#K z%b#DGUGAlntrvKWwW*;!;38XQoQ;*=BALX*gX=i2PVaxgv{IY(nAHl-B>Cl^rT)lE z<*m8CmEXhS&-PoqFAgt?pIf-)$FCr!ukRxcOW3{DjJ>}>rsLjbCwZqu1(jRp_pmUW z6e-xJ8sRSTUUPNT<)cbLyRM`MH%<EIv6CUO`w#o+NAW4TUuqAS8dm(jyi>_^K^P<Z zrbpqt?nb9w>pQnhj(Wv?rJ%)Qwpjk=vPCW*BkZ5ovOLuA?q+|(>v(<BEr+1B>t+S` z2js5TvUye<t7YitA(k1cE9_hU<k}Y{9rok7LgLC!>Z>CBynB|NVE0M-bdFz{>++VJ zIl{X?Eq_1BUh|L0iIVR=mYf^c-##Oq6F2)*W5l}nGyU3rs}0n)+*eoqc<oiF@xOfT zeT4$^&9c9>-F2#{c_>%$(d@bNm6Q2=-OEgDQqD3jII&{e-Ro}u+7>QfJ=b8D#)-i0 zpBx6sTEZ`Gy%DnRee7BCcUIosGtwKG-znDI-*Q4-#5pbWQ$Jfk@ym-<4kcyllSRF6 zsZUD~s*Sy!>HO2WM!+n+m^(BoDLkq2!daCsZK^+I!UY!S&Y3J}%lI~Tt@X+e)z?{* zXG?9J?dX*mQn2n?K(a_o`r<{3fv=oS{-3cfxy4dqA#0SguZ}4H2J5P0<*QeQwq4VB zsmo?J;d;u}*thjjFL>X3iM+hi={Wft>x;0f{+o7v(bB%HcQe_1@BK)BJ_F5`@}<va zv~ezNIdWg|S4Owr+VJ~2ckl1pC4KR|ikUH!-G;kwoeYKdvPuR{RS`JbQGX#iS-h!W z={HH^>z`bI$^Ad;ANDTf=7E%j#j$ydcNit#34gcIuAcj{n)tdaxgTo8T&r&0_~*l* zcG~;4t#(S*rk|XSujVW`eSXuqHDY(<%^KD|dQm)IjeX(+FNJ-z7mjexINQtOxaUXw zvc_!fJ)dgCmHFpBnd>Xv?C<ctteB<v(u4_ntnC;WVzfR*1iQcec_Fjo%I>e*4(UlN zv9hPhGq0a{ghjH3MYYqk_t1^q^AFo*PhZ_>HE~l}*Gt|+IhUi~|2W&fdmwCYT^AlN z8Ma<T;Pj`1*Y+@LNmpv$z3=%mdJ$`B*7NHxrwgu?UA2f&y5r`w=lfYb@~32m+X~2j z@X#r?xqM>deaq$j-!`n|KluAVf3py~m&O5$jk&r@Kk{yQ|1?Xzk!hu8(4W_fRy}=K z{f2KIPtNl@)~=>4^FN-HTlT(tU+elK_SX|uGG{bo^78Jroc{CGb=}m=<ANF@whJp) zyuX#Vdet#01JB~c=a%x^jjntxDH0fdqGQ@z=53#i9qdbNr!AG-#5y;`Ni)CEHl?y+ z=eej7+3L7!yNeG_&Fwc`e19w7!LqI5J<rrvl^dLz?fdU^#nr0^!h_ga4&**sD!sqH zE<5*8cZT8q=AB#l{5$7yytCq3_FOV7Xwz-=#YV|iPVao3Zz{}s+q2u~fWaz%uGs|+ zHsKGyRyeSqEA%RA6k1%mK;^5wWmCeQ$XVC_Fvukw7BubMwBnua>lfMc7yo<ER%icT zqqu0TV`YiinJ)dQCeN+6q@3=a({xwu)VaGw{PkK#wU6J(_*%VbzK-Yb-k`2@3FGv@ zuj{hce$-!{@~mA@U6TFC6o>XkqlersyY^M2-u);ZG_kGnfPV|q@#QXGpU-3{FYle> zB4PRZ)q#S8IsP^ux15`_BC@2KeM4fmVTD4o;n~%`E6alXi|#LGTyFci?u{h(MWN>0 z;s}!lwaOP$&Paayr#<z{ggRZ@^p}^T0%Po1+2f|HU21#f<?6Qzb@K`X8U-u_1>;hE zw#~nLO>v8Zt@M+N2Ct2K6kepRnXn;&W#Y|!6CXWOzvk1i=Ja#x*X#8!rtr>SDSH{+ zR^^s|o#Xd~hPzsy7WVj@O?h7vuer}U%j?DGUr%KYoZY*?QBzd;ll!5V2TxZmKk-^r zvGL8HD}HyAR$lnZ5Pxe6`!eypw_0@lE<e+D5Z$8x=}b#n{FR-&53ZEdn9k{+XTAGQ zyv(}_Wv7s(Q;hb!|CnGkA@|6V$wdrKTYh(6d~hj2aQB~QW}SCESVFExy|?3eoFIH= zlR@zLU0-$!Ct7_B5WT<Y_3z0qI+o-w-7c)>_gqIbE9I1X^wN&@wfC6|znBL3gwDF_ zYHpVt^KON>ReEAy^?WZDk@}X5gP#Ng-(BAQ{r$3vm)%b?og);cDt{4s@%mSx@#!sl zN*o`@G+uO6d#ZHGeSTEg`#}4v=l{(8yPoOLA$KY1BZ@8O5)F=AS+>Q(?$n8;d(6zw z73|WR?7F>S@}`d}-&e1grrOwQy^7!6G;yO`#In1!{THq%td!ASU~kOeaXsro$mafn z%b_m~Sgz+L6pLN8;qF;y^JKQn-Kujo5>?wL95V}N@>_ForTvwYSxK&cZ8)dDxnQuK zv-|D$!iSNmOP^|SI9Chk+;Ek;HJ7{asLy26L+8F`UeT#8JH#FubpHIwzN|RKcZJQ9 zI-YC(zW9zkCMC99;NzXI6Mj~kE@Vqi(y!;tIoES>_45q})@{CjyW)jrukG%}qC631 znaKKOsX`ZG=c%1adTFjcXT`s;e}`wM6y+q#iY!+8eD2w;v*GIN{C+ZADBQK&FyZmX zB~mBSzuhU}5PtewbBfe`{_c!_x7>deJND=9GS`3gdDT5nu8)@{3xCKn6KZ){CcHUD zM~&ed|NLW%Io$V6^!s9>G~?EjXHoCp?ua}0S#?wY{;jj$JB7~J)3|nX%q)wPPrCw} zuO8a^?o0Qw^OfgR1r3s)zI4-){cZO1=>Fq}Pkr05usrJK)>xz2<?osKimn$juep5M zIjQP);NGNlJr~dYjM%wumB;%M-FtmidIow$D(`eIerNdSz2cSZ@!!$2FYbBUyR|?i z@0w1&_0gi0qEGc6*L_;|%tuj*O>4TfaZ5O_rm)5P`iHzTHa1pWIsHcYO$LYGdB({b zBG0LN&0=6aF@-bZp+Nmd#@*ZPmxw?6UALYum$T!(@Ll<$Bhw}ro(kdEqfzrl`}Y0I z{OSp3s~@S~Tb#Bmg!|Z~)lLf@x=q=ZBfdY-J61fqYx0j!Chg|WqWZgA{2$(ATk^(2 z*CKPyq#cjX@6g^fbIHnQC94XiADdBmBl1Mkyv6>u!uzi5YmwU#{6lT30@K{*1s<n7 zt)EFG#P{<gygGPxeL=#kc<EJZ%d*^r|D0NL>_El;T$#Nmj{8i#R-e?|u6ouekZIXk zuI}?2DkH+mw@rV}?@<+!wBzbbH9ZlNRU7Os|9qQuu6o0hCMFh^lO^gu43&c{LKTG_ z{atqQT$c>^@hbAG*W{R8vXR^8%{k{#(`vA3wf3IWun$VhuQIkDN__1x+hnPNva)dU z``WjwGfkh)N$V?cxmjnbT=MYh`Prp=wHF_{(Y^R_<NNgjCAuCR1~HeUoaV3KF4C+j zQhP7%KVicJ*_4A%q^!f69hAgO6_z!A`pFz{>Z4Yy>_62)ZkyFhgXfqto+#d+;AB(V zt;sskx%cxrO_BLpVI^lB?i;v2zE%*OJu&R~12K(Md;iGAXSbPHvs+FPQq#0&O<Bvz zab&Y+ywR#t9-8{Q?(CoM!&9nk{9DcQcfMHP6~!M6Q|5Q9Ed8_J%uLp*hAVHE^Yk12 zfy-R_Z5r1spUQEk*Gv7g|Gr;m91WJ#3$1eyJ{f2E<KdOJP7h)iaK5@zcR^;2_;hcn zE0s6?W^YnyoAYwI{I+lBPcOStZYp7Z)UmPAc>l(b?yK#*7iv#(B}~(LBCdYv$o@2* zIPw3EJFmD-l}_6JWyX^4Au|@OnQ(IU%HaPt%#!}1a-0+WCjJr4ou%C^v9l&SIq{%3 z^S8%xZ*IzDFBZ3HT+&$kCd6Ok+i6>~`)hRWMPHGh9_w*p`GK=>juz^hQ#&#y{{6=+ zB4#~vxt)yit415?WreLTr_a$@`TE3@4r!mDM@_zp%qL6IJ>P!*Q2k8k(Uu8@6<7Fn zy5(M~aPK>_P<~F#L7Oc-e`W4f>Kye-HvGRw=c%oYr~TR-{j*ah3s3j1V?T8BsO~v) zi8$3eil?%Bk9KXg&Rn_Xx7Z8|=h9gVJKcY7<e6M*Z4)j1u5Q{c`yb1$ZFE$<bS81> zYa5||ug&LPSaU{io}A{V3)?n$$4-=VKX&JcLV`s81@+HQR!=Hky8oTU&ZwgD`pF+3 zg%tWlo|$#{^6IwF+?KWHulusuJXM|%`u$eiV#T1ttWzH(m6a<``7m#JnEm9B##bNB zUmmjRz4U{(Okd(Ro?%=5>aE7QC+TaOPp?zn+mNmNrr|lKSZKpJtMe1yX+5rARQhTD z?ZaFrRzHwGZ~tv+?Mk)B{|x_2ez7%rQWIxA{~fQ;v3ySloAnjHqJPYgN||RRdwW5f znlz){%(dm}1=sJYyz=MW5TG^F+V7C%(@MVFmh5G}>bBoc-XD9?R@RW4yHNT4kCJzl zi;e2EuZO(4<2_|YajUW{XMOgD6KVM;K7P^Irmh}(*k%IfBfb^f=K3pjj`F%})yfQB z5@VNUq<eJXwj0OS+WMX-*V%JRW69kEwa=OtEl#*rp(n@oTV2z2Cey99LsA=N)$XjF z#y)v#(CJ;*zjvQrd+q22C;f~+8>?nI%GbpTN-mg|V`Lfi(}F3ZnmeTOUyr8T>Yg(< zTz5rIi}9M;viOgX!Oi^*V$RP`?>_QsCU4yaroOV$nW4YS=1p;0I&tUC9^q4togRYX z`*$_6S2B6Jm#lOBzICz5E_OCUsZDHOXRy~MCC_-RJ9nlvo2`ha%&}ch&BJE=kgWN5 z`r^}LQ@c+o{t;&Ke!Xe2$n$`hPq)i>AIz%~uXyTo{OX)DLP;C$32I0xbFrB}H`mYn z&9~+h|J?Z7;XQSi;?|sYNj@yF^xulxms@Tfsd{|0^+pTlW-Z2(311h@I8^=q*WU(D z?*o}_s|D^(7IO3yx>=!lzTc!tQ#6L-rSR|lDY98>rK`%?uk@_C9d*caj)PF?=2Hil z7QNq>v#3entfP)}760Vzho;$dq@{41^N421dj<;>9on{cwsA4n`pGLxB&KL`D^&hD zTh}7Rqx>r2_2Wm1n|oNEF40xwHrcOKVdH*g)(PzwcZK9MCVkm6B~{@5|Cavr7H2tc z;iVU)LiNteR0eR%i(WhathnQW(D!S7PwkDS{m69n*O1GbeeLPfNw<Q2cuW)RO`rQf zV3XhT8y?<ol6QWqs=3DU_SxI2fL+y7_7=~X(%!UZ+2TXqK5IXQuMar9ReJuHoXHv* zia*qyA6fhlU2o-dSMr4SE574KCaZSIUGrL<Uz{1vazsau_1_YPYLjrL_rdw>3C?qj zPaeJ!$=b1>GxY7>d%nA48042%<gH)YbK_{F*rAqE)-PhR%QpH6YiID8O_h0cZT9iz zdoN9^>(~y|?q!IKnW4N~Jo>KDW;dsu>o2Lg)a7>_osmCfhO^xg_1kp{H7~+G@#h%0 zMC@FC_uAM0JlUC5hr8qpTwjYnNUb|LS;Xt#lM-2}#km}7YyCJS3U5iyXr67kE^=3; zQYv5CY7Vmi^{TH2TPKEWKL4&`X3eRp?xx-gvI2Qk5r2Oyy1elh)2Zd=YL*|_x=so8 zHEy@%wrSXS?ZwA6%Z}ycWbayeu*1hca?89FYj)>NliU=#sx#}lVjj-7|NTWOWY2fz zpS=rSyk$&|j0k?q`P5PW<G)h#m%e?k+CP|jO&66g-eAJ;T;HOXrBrtMrir}M|0FhF zj7UF_oXKVIxBbN9cEzs@pH4LzB!5xZbL6JM?)s9Gub;FU72dKkznUBp_I%Ib)EA9@ zQg3{J98C4TarGNd(j=RpnOTob55~%Je=Y1<f98vb##i6o8pZn4#=A})?U7@@8@XKK z+B)Heyu6U(uBIl9)+_%o%wqHv-1LsG#Ci7KN7?*KFDh9syJvcQ!QIXpHtp9YQk$mj zxK;f;A@9ACp2oMyuh^94s_$TMe&3QUbTj;Zdc3Zt<sB8_ry`SnMFoClO)@RgY2Y)o zt`rT9icZ)59~EtJOQZdGt3`L*yw(-#54Wton6&Y?|60}~V$T%b_%w1{yM6NBk*~M? zYJaToNqNHU&8ps~sA#lL^5)S}hpox8=Gk+|>YR@^*~QkeXI;(*tvN>4^Kve3e5$kW zkKC^Rp6gr#K8M(ENYr5uz3+H)LV#h+Hpecu*UHy~4jtdWjx#<oHD9dk;`K>4mXv%G zu-qb&*exINb?Rp=v*Q&@yq8FqurZaz_y3z)SyXz5>(-Ni@{P7zS8P{j>lf>aI?T^k z#W(4Vw#18E#!2z@zZ+~G|L{7pXTxNDnS1Y=e(y>@bXm}FBKvpyH4*RJxsEOH30QUS z^PVNE_XgPuN0nyXThsX{m2cIXJDQ6$%{UZ2j$f6?EcRi$ccf>xqdw2I*oyd~_<kON zW2YB9%38AZPW*ZAQuReswCc}J|D3M+Ouy_v>9>QwBERYThndgcH1Dg)hKp~#gsN4h zBvmEP`hHF0XL0jU&50}j^HtA0@pl1p+aX!4OQIhtT6^#2u~ozy7flUSeJ%C8G&FV2 z;jm+?{LL?z9}apM@TJf8h}y*j>y+nS2co@xX}rvT(Rs0W?xMvz?$(Ftd)_+m^nmGW zm%lfb-&0SPIZ<Sw8N9Jr^Knz>T>j3u7tB`-*QIi4f0(#i>^hsQe$129WViH^4IfH$ zQ>2|WnRetSHhyr7t)Ew?wBpoK?t<6+(-q<r>$kn~+VyvjZ`RxLLlQq<3Px=Ik?g|m zD}9yA`SIkceB;Q(eSK<+PUHl51o0TndfBXM?K1hg<h%v8cG+c}(p_e1x<}v7uF<($ zoBEtZ^BdEBodZ=ri%QHFonLUdGx*SKyZyiKHBC#o%B?KwDf!E}*w8t)WS*&Nme%fs zUdfHh4yTS)Nnhf8yXaFiNABF5y^T+dd;Fy5&3yer;ngzL>)ang_FZYdtMuP`c5um= z6DBR5m8Et@d`x*N948(xSn7Z8{q$tjN1No{Nrx+K%a&1ov7C9kQMZWC?GtU!<f7sp zJ=(jIVZq{BQ;pKFTj#raKe?Oy`g!1Vk>>O_3e93ZCBZ8d4L8W<>|)=YWEwIx;9C>l zrUs6Gmoqu-KC(-R?O1<)nMbzW{kJ!HmcA@dc~m}UH&21~v2>X;b3U-$tv9JL&H4~} z(srlU@mT?DJr6M1@ZWr~(l}tzwaF^(4?k7qt#}^i=gW|}O<4Dq)xIsCLT&4GJ*MPb z`>vL7J#M32-erwr*)zO)mX^n9|MfMHIL7ti?ZrifJGg$!JYN2!UQ_wunl`s<O&o?B zp1xUka`p6HyW|F4<r#jSiuc}&8E#^*?t2w)DDYqE(W{`3&JL0Jhw}d}=V4xc{L1`C z3#;e9sna%`c>9ZUU&cQv<%?(6<n~V2`g7^!^&1ObmKHu*Z1dyj{1r>iy!?=Va^IB8 z8|^HmIOqTIwv=zVRTE?vV<awh-E@|XC>Nii39Fm`tdcz5vQ-X;jBdP0&~x3syjw<_ zeUI=vU9&&`?<dO~e=|ePH=tE`O{tgc&n2&V(lVDeHoLrteqmPocm7H5r|Ns2yz}R~ zslEAkzx{pl%lf+;Yxn=>?<$zg7Rhe${LO}Mt6ps22uNS0^KP=uZgr*o2gBtiI8DFz zg|DjGLgG!~)eoFIUY1%)#?4s1*zXA2UQ<`=tnW)+cgr7}>#<hJP?q86wr%}0X4@(h zpLUzzR{6DZ#?-VajN1!#iSM-zTc}Xw5zBYSWxY(+_h$yTzrMb(T+L~5<t^s;-y2rf z+Dtk=%T%7j^3KVrhX1xzMC_a=de!tt<sRF}xh6dS-&_dl@9**oF@Cu0uA^v9Lvlg> zvzveSsZ8dyu9#-Zel{TGaN)$|#`|AI-C=C)s$Q}tGpn;XM)>hEWi{;&9*6$_T~j#! z3fF|CWop+B`NiHSx#akK*QN)VIe-2M>E!(uzO*HL@5JYcM<49dJ{$D>+s?d$+_RNR z(nThEzfy0T_y01};i}F<C!M#x(R5h*-m-jNp;f(gqjp?kfcF0hNh!VDV$H3xb52dy zEx!0NsKM(|rsw|nv}=c%OUl2ln|@>MjQ5P?pZb(OaWc>CdK^99BQ`tp_Jb;~=AA39 zzGl6pYq_ncm-Vii$woW(wyFomrcX*}dOz!lt>>{zhd7<>vlqSl{pzRPnZ#{ryBivg zuC!l$<-*d$?=8#szE=~P_2=!QnnkgJwFW_pkBez#_1;hL+qW@m{}wCO(@8t_8;NVx zrXNc7{=)Qk#euB!G}WiaB{CJf*VcO6kx*IV)%tbgx|D4<rEmVN+n_8lbz0n^8yZu7 zO}2AhwSIPD<=)aKZ+h>(T<`Pie)&<Z+z$=Snk=`ExjEXZ%x?LzGr{o1?Ddm+xOOvF z%@5h>yEpFTZbg~BEw#<JG~{P)`jYHBK}t_U>c@mFn?pT9l0}+am1=fWd0n3Bw&wX? ziwiQ}=UYu~u${>p>MfS3Byjq_-uXxEn?4GAT##A(_`$E+H$|2U3Cl7q6=_}gG9sG) z@Vr05voG0L6&GE%xouE$r#i2XY4uqK+3wccOzVr9RGxg^c~4s1I_}iDjLh|77ZO_; zc{25Kyt-^-HtgH`q+0aDw3T0U|Gt^(V?TdEYulz$$EsZoLVlkGtJ*}~pNv_iTVU)S z(xa5iX`mB%^>D{3F}62e-x6yDwt5(DY`@cTNhrvkC8qfP%*U$F1H13f+|8J_JEHT} zisw9=%e)H@WUo8cCwJKR>f003+K_H!P%ySMO;P{>OCtl5+OYY~n>U25e`0%&#jxtW zd+)UWtfrrwuBU|8Z}m;?SZ8?G=YRgkeT)7_t~qqBAdzqDr0InLvy!SdymzurkL7qL z`t0h<@(GvD-`mVA-7}l<?vj&=i%#^VPRJ5rE1ci8tK_wY$8_huE`d6&!z}JapQm#4 zl}ui#sd74Rp;Aau#Jee(oM}978>854-~3zt&HMkn22-6QM%x)?sE56>P2<04BW)OF zXA}KswaS^xr-~-9w*`mkJv*hoJCNbNfyjxon|#iGExMK9@#MH@kzc`vM-frq=gV+) z%>40g>AqUWq!xLrT-Ihw<wNgTwp!)i7Pw}8J@LBy-m2+-KhE5a`p564<F?`bi-&Vn z*Pr@Wl2IGxb0;ZF>3n!+g;C5VkGa#Y{yP16)dgb~&MOR!$JehowWg5UFDT+k{m;*q zY$xP|m_xEJANCRO$-On}LwCTt(-UWIvheB)^tkzO-f7Oc>pw1VFYWk${?&!VN7|9? z7Yl;8I%AvEN+0~2vFy2iK=gX|=szAVyZ*nNBH_68;pHUR$~*D;J^9vqcls)=_$R*l z)jZQfJ-i0X-=1CeD8M1P<DjsCrn<<|v;8--zt?Vg6r_+~p3MHjaBp%_-h;~_=OSPI zV!N~;dV$N_M`BL9A8F*w_?I5EW+mgSbx)%=${yW0L&}NCrB&zsUq-3S_PkX)>jRq} zOD+kGx2a^c%Vcq_-nm0QX^WfCGL3Sbn{F+d?-wWZXJ1#zi@f>lL+^rHODe>i<i(=) zzTAJEB`c|8+e2j`!!r?S0qc)^jb9PI=KUj&qU1i)B{A#MnYPUpocrj)t$8cHt)6u) zBj&B({J-b_a?2k-A^2RdPlapo%td+!G7mUN_iGgK9_c%?Q1KCW(W9@6HlCO`b<^iR zhBt!OCM6aWFT1+OcxtJ}0(SXSwg-*JcP78wlDB%XN^{SO1UuEF?`58`9^v<GcgkDE zZd)p7!zt18mjBPAB9+re=5lj>465DvUuOBE1(y>ppXNFFPQq(K^6qM9EB4D1dHrms z_W7^)uwcWI>hl)tM{h(md+e#UNH>%B_q4jceo6J-b)pRixR%vAKHmC1Z8nqK2f;Iw z6#hO?t4x^o>t$}dV&tm@`-=ixOW00_ztwuL@WgWVh1<0U%~zf~a%;koi8oTkDwu;5 zU8*hT8*T{{)&65M_rJk6$s~adC5sfdF=v0>s}plkOtyZFi-q;7MFLs>_gVzUyzhUm za#A+)tY~}mQ*C39I8)9fzU8J@UR;%uICG*mq_~B@>FP`7^7^>anY%kQ*WR(1>VBZb zEn+_JyRA&WMXEFNe#g$bx&Q4C)Akac$XxaK`3gIa8gR~)c&y3!kY9K9{`TzLE9T7q zzZ}VL?Wo-nqHa9FLALpBVBC+XC7(;u%@(^Hz5PS$d6?``k$}2`%QeoaOuPDG^Sn)m zwGLNIzG*k*PJCg-?;9;%=}{Raf=_Gmp4R)NOgzw7vmrvLPT_1Bld{yb6<gmYTn#Q? zRARd@^s>{;jF}5&$BAB>e765+^~Uaej!BzDx3wp4<#}T^dA3Dc`T@;jY+Mg~Ij6QM z3;VNiN9s(sXMM5o4@(BWSy-a$g{o8G57%WLyK!&(iHw*+;Ri1bBmW*rtlJ{5JkQ-} zI-{PE@csO6HHi^!39Al<ZCJa?<_!C!xXrh3C+wU(akJm1rT^b{xNTl?>y7iFEoX&< zuh0K(_+=8~{=?hf_a}ZWv`l4T5xlhi%F-i`jE-i%o@jEW#;@1d^V5r4H}+Us&N^SR zs8Vh}^Vwx(`@|-ic%;rgo1U?*NMxhcY3>;A6R8YGZPo6E1ixawU^sjGR$kV*vW!ZZ z59<4W`o+dwco6jAt<LrnPD>5yvUrc^Pl}$Kyfpe-Nz2C<s~uIddG<ZBeiqhY^6%|b zZ|flb(%-DkHOo$%c(=LjuVURx{y3G|@V-A=GPt%p5qzj{@8}hkf~t^L+^yUJ_ov*O zZ25}0N|f86w7B3`3hy~p-4FH!GOq)xO(s5P66C+DrQ5gv$_c(6?!f!^o?m=_@Q#ew z2ZOC;;%oEoZ)$BcmAdEV%NuA@w_?^3uCOIneJ=h^GnKhh{qy*_XPQQ;J_bitU33q9 zCv#&4->)a?^{-yW>0gL%aC+AF;<lf~wA*f%tzOLLyZI;Lh~g&+ZOvyt)M8gX^l<3g zt&_1$?ccMBT;d=58wE@b#r_juv$^%x`n-LkV)bQ%8zOr<937cWznGkO5;=8m{(@zZ zCrU0nPkmT4-RODei`?&JvA^tA$bP=PrhnzXkn&>P$;G9ralG%FL|fSV<Qx`7X6Ls) zi!;Bs*zEnIndRY&QlHmc{e8taGyR%#6jyqn%Z0NAdqfWgWy?e~SGjv|ww(Ml>F1<= zX^zgTM*R1Tlw1x(Y~_9b!F8)UyTeMc1wJcF`nEg|_~rZMuzSr)Q_)52vmy>%J8(88 zNtc7?s^sd_IEAGLKR?sZG3K+df4kDT{*!$-Q}9f_e4`VyBsRYJw26Urf3Egv)ymms zE9URt<{@-zuhi<=j=VJ*Go@$hKh|Q;)ITWE(7bh<*z0$J5l^4oH2U!NS$O9<t8Qjn z!JkEvBB>TREfGBZd1>Z~rW;S1HC?#VeSgNcq}zcHm6NMi%=XXz^SbSQPSI!0zmsoy z&+a^`sjz-V(GB;x-=d~C#o1h!+8Xm_=i$ZN*Y73@3OaA&7MWe<svld&!xEd4uK((2 z`qPz9f_?}!Nbx^-sQP~GOfkhLIy?4-hbP2XGyYm+ROI~cm2KwgVE(Cd&S+&U^E;hT zyL`X2lJCt`w$@AdS$tSp*nW#g@k!ov)BP(W5OwEgi|Uk%ElawLP9NBEs<{5c*<kGp z;pG`|_I#BU?zbIutP`rP^Xu}Kt$MUH-%d@)sasRf_+ne`ocbBpl0P1m&6`;x{a0xH zsY>PptA%GDV$ImeC1ui?_-WVk)h}cko<uu#<{z?qJ}dZ-{l5GAzIr^|x{H^~*Ke8Z zshOwGlz!G(vGejp^Y2?@?*-ab$ZE&VPMvu;@z@{j@?)D~RW~I65Z*84d}!(4zu%@G zwv9KpiFJOHIeoUpsyS+^#?jY<+ZNPMVOyYNX%mpaI!VQGdi3F4QVky_xtzK!=<T-t zv5)L0A(<6hDmP}gUaZ>kf9d26C1#HnsvU3Q;{N>M+PSmK3?J2fe{O2f`YO*^v%=r( z>&kZl-pni_3=9k$3`<kCMm;_8{7cVG28Io{85npOI2jU4Q!?`dit>~7Qd81GLs%J@ zudmU~$k|m~pdSB0Pv-Wv+}qcVZOgsAZEnt*-nlhNw{P6oHuuiodsXj$uZ}ML-S2kR z()RM*^Tx}UWtrsKUX8Ro>e|uK5i#Q*=f!$H?ry_S?#JR2)TE3yeEX;@8eIG2hNVGp zfXliy+8HtrCmZrDILfmzYujS8zKc)AbkquUOmDtjJ2%JVhxL=$|0mCSsDDDCgRf(e zthC?~W5JZGW;SO$6-2La@z>1YmG=9?V0v${jLfGM8KHj|>Q7q6Gfla1&s;A`spr<6 zMa$D%MdMOVSZoS&{l7RZ=_t#lK-X1VOV`*&|68;-$(pxYD#a`*(XPT}qg9T8{3Z+g zO_Fx^xeR7}-<csO7?oiVt{`^Za;4{q1GhSb<t}|nR}vF%G+Mb`Mrp@HCDoJ{D;$Ij zm&Y7BpwD_={CCu(qsLbnhJM_{VUVaKT399|8ua)sS4ER>pQ3AyPLGo2g^3++Wn&BX zTwz?=nsl61G}9$xqLhT+C%s5lL;r;Hj-e&LSIuP9REwNk_F{!mrp}SNx1UN+tV&&A z$hfq9&bkaeu9Z?1J%27TUVNgpVp4`>NF;-(O#1Qlo?<&DzgjU#NjIka*&X|k$6kjx z_s4v(NQeyxyYO3f;Z>>U4qIifp6yNccyRoyM3~d}50}209r|)X|Jh50<qAHx7AxsZ z?!B})YDRJFI%fApx1$qd*KDep^5TtakdB}cUrW<$kF0vp%+#Z=Hs9bi>pSih8_L_O zZ{Kv?VSC@>i@qx^C>}O=vE<>(Z!i9q{x(RTG0)(v&|PM>2Zc-GGqu^yZFD%qaN2Oe z$+jjJpXsG{3@p4}yZLo}<$saaAsv12j{&Q!x{&F_?W-4^*t@tOKx^A;rA2{vx83;1 zJ5_*hWAbDbzDcu7c+><rr8tbkPHj2Ec6jNF1*c|CGu(2^VwIBg=2p*2k<)tvj)W{T zOFby}iJ|deqwhs0=iO{<CjX^MTuP>S1qo(dIV8o&B+Xa$?tw$Y!rMH&hWma;g*)?T zrt#RYPAk5@NnG;AQZIf^>6FaNtN*I*I&Ns1a6>~@rsv)5)#s*o2kE#5aWe`}OzE64 zHSfv31=mX+)Sdpj-H*Siq?ha3QNDL92Md;l>PzPc)SsJ{yypyu|EjxFc(kozqx^(9 zCzl<V+4J6Y`gFbn(|Xrkacnib!8l7vJgF<w?bQ^q<TE@>(-r5mxAWDkc&WLS#p(2| z1tGfb8xM+zzCNSpom9NC<~64eU&3PEn@6wAZ4!9<o8QZ2X6Qt{8#zCxIllQU6M0;z zD1{?qa;VF^4LlE0R?m$I@)55NZOeA%=8-uRm|UV6ezN$#6(3v1T!Y!>F1~vgC|=UK zaH~MQJg4j8;Z2&`n*XkkITBi!{%gm=Cw(QKm+fO*S=AuuwoCGIl!n2Lv&9ldAvZNY zioJ<SJ^v#9$2*xugEe<9S}4i;7{%4BJhG{CqV4ZFD-ZpCKY#Y>?6%$43c2cg{r2Bq ztYrGZC3v%%+Y#YQk&!LAdo}Bq3Y&3g9`U=O*67=_;X>;Fj7L2DS1vKOpVm4g$l2=D z!YCugd!5hm)mEe0l_yr8bo+hyNQPd>!52#olq6mi(EhTxd8JZ7t8v$+diH3MFxA6b zlxJV^;}AG#5$xWu`rqOm8R||c>8}Fj3#|)j;yju6Kx3xVp<o5UIbJ%OIj-D0-|Qx| zrF<RF_h9~p%g3ERyuZ>jE3?4HXQAB`sTt**N1D1BtFBppl$|Ly<@2p3J;|yc3OBia zs>p@aY<Q=+(IQRIbCt#g#nLA=ymCc9+69(~%rUAE3t>H2@xM9wZ)=N^q+0g=#76y1 z2NqokyRFYP|M?s}>uDd^_iIg(4B7g_BjjP^<UNyZuP${LYWehUL+rXH;m#!mmu=qL zFYfmi)Vg%qF~+~O*Y7Ql+6?Ek-)&r1UUV&(dNLwqgQJT_sC?VCRWcm83VxBne1iK{ zXqdcw#d~)0;hU>U_On@eu3D&h?Z&2uvn^f}%~)a3c7kEsOJ(lccGAmc{MK=k^jq6~ zbwcugt`F0?{@=L!`OeY@KaK~!{a|ZTzW2n*3(^gGBDG!1uY5{Ynz@BViBl%tP^GA% zM0uCw+m@;<-l^y6kM(Ms?+T2o(PiN3X`Oq@pkXrqGgs3yPwwlLe$jgHdhN<9l3AN0 zmgG0EKbTZ~e0iS!IVbOPhws|g{xG~J^EQ0v#A!43xBo7-xOM2(HL=BwJY^pn1w;xp zE`9HR@H}6fZ_bXb*X8;5cux9#?_PsNHa~yx=PzFp&s}Jrulp}!Ps2^O(;aK03sp@X zZuH%6v&zkC()^>JcFB22o8*~GT)J-O@k`?S{<C2TcRBCZCHx6F$dYu0>*veE`i#pS zpA%Yh(RKM7SAj_%z3P673VE$ED-2C5KV!2l;!wbn0Ji>^iz;hNn1mh{?D&6)jpfe| z6UA<G$;_X}>gxXeQQ29=^2C`d=dbpJ58gqXXWxHJ-}n7~UU~q-fyebOt;=nuMn3tP zR$Zm_)WIlr&h@K_Me+^W4Oeb%S~U0L-<aT6TK>60Rl7GcGCX-wV{`MwoF$t)9RB7o zZnA26rKfnlCS6+De*LZV>e^dga;0qR&d1s2ojLvEe9i0G_B?B!RO-JqH7u)p6!<dv zSl+s$YQ1}HXT7Z2bWO@%U&ZEO{I*l}l`Y)dGJ%^lH<{ILvyo^QzwwXd^<IwGK}Q&S z=KT`q2|YD)i=o^<S@*)#+kGCNdU@~ZEXmYaGm_^p^Q)gcRyEh0U%m6lp02F|lh>B9 zd#G(ZWppR*oSfXoGe%e3ck1cv-Qg%xmVV4q$ZXym1v^FNXgTY<)1{xyJ-PqgZ@qwm z1&^IhGng|x(TmygdM&rT(=P4b`}3ZfnJ*GkJYXPJYdCLvUrkrcW#$x}|1-{AV=qxr zy7DCC`~+1#C8iraQc~w;{A~|jUKWxW(6gA;EJ}#snT1Q2qEI-~4r{}6OACL0J^ff} zfzc}+(VgwZ6K7qyzVKUobZ*u^_p|@}RTQG-s(<ef|F-YX_A?%=uU_Y_-W`4V=Z7PI zzD}JPdgXroHt`LQH-G)P`S0G$um8_qIJ)@le+@rt$y3Vj7KcpZ)KL!CIQ0G=gTw50 z?$ryrcuH5E<|(gSwTWk&r_N`d@1E;6oskwh{UTzO(Rt5zYicUjbQ!OH`SN+%z5h0= zf7hQ(UGX_cLv+PfspOfe|C;xnsP8)Z<?Hk3>91eEe*XMd{pqJquU&id<;)fPpUWS7 z>ahR2eOq2$*{2Gx*~L>*{rHZDrd)dIlk~hZR#~9K_jq1)b$#9ce?O;ZDI7Tb`010X z=zmAjjUS$UYhSQwlV8y6rAGV?Z+Y2R_SoFMJ-a!M+2sG;6!!JbUVB~i%hs*izJ1%a z81)5ZX8+cwZCkUW_}NCDJu~9}*Zi*D6LWjE{Qi={eLp^!$p-$bdX;`-=ZkMrYrejm zpjBl#E%eu!smJequH5j@kbCdUx=882r|Lge1X|XIJhc2+ILEX8rdRsJ16BgHu0`J~ zKF(a)Z@K$l)%&=)fB)?Kd-E6n+1t^d_LN1PZT_adwj_0p+?-e+X0zg}`(u8XhEEay zuYB}zjaA}C>C6A!4G+zj-4(*t@I^8#P|QF;K%j$DOh8IxXL#Mr>Ai9)QUW4uqFi!v zQi6PPLR?}(JS==-Ol&fIOj2?}Og{qZ7l_Ek{0L~)`lXVg@bB4V=^H5xn<shQU9!Gu z#^U=yp|VSwf8Kv_f@QO!rQNOrsn)^LN<X->gk)Pq_IFo)be<7%<Mz>+M>+$||L9F* zTd{D~<)wDKSpt9Bmv3C!@-UO(^Op<Tj@|!Ry83A9sVAyWU-nh*Hr5t3Z5CM9a^#vg z(~JGz4+_gBUu^oK<$pXdEp5+6b~pbemI8rOXZ0ti-v~%F><hkpiofgBskjrG=6o}D z3h^|2D4u4K7vgrXXpPZM_s6RrwyyXop}PFdlS#)4pI%sKFkwT=tdz(rty5ZVu@rN1 zKK|{IoBMpXjP`H2T@pLndOmWP*X+{W{DNbVm#S3IrubPr0?D=Aokwr9=4{upK5&Ek zgb~O3GBfVY6#;)Fh1xrR|Ek&(^)T)L$3q9vRVQR~`1`)-E-ZZ`&dU(&`29Yw`sN&! zD_vZrT2j+YWupoXRm}DE`NAwF_PcWWzIR?*zJ!_<*Vt<I-@j+R^m@aG{En1b{}5^S zO&`PZXKOu}y{nmJr{7w`<DIWw3WxgSiiBR?b*7-%Yq<d*55vVtFU|M2-J9FhQts<v z-c*=*SjbUW_oLUDWsxiz>ferqzIgC{ljFs;4o|mkWMN({ntb!MmHF$)Z|8iUukdGn z(Gq!6ONDFG&rP?#zUI;rJH}MhXt<?pmOG<p%g&|-aUaXSj7`6`Mfn8@+il2A{dQ-H zo672_S?k+fr}ftQ#5Vnz&>bSMC+5D+qD{v=92Zso+p@57!WluX$Zb1ToR#W&;&J8U z$-^tOE~?0G-o1Tl<+&sw``ICD96Kh?RtgN95mL2^NsCuEP-3Y`UyPzdQHI)d3)?{D z)d6V+T(TN+x>6Iv=1(^$_;q*PPsNBn>F0+zq-vMuZd(1zdpSqX2DW836YuF?Jnn2) z;&iNB$n<@<nCm73ua|75e}7E!zWKhn=jydf2D813zc$yovMmfUyYBI;Yq_M+?8=}C z*4d9z*nc!J-e_89uxZmatF&3OZG(E%3JP^qS*&<_jtRUjGI_#w^@+!!Rq<1oIiGrR z=6qL~k>`R<nF-S`M91k#e=t3E?b+`<^Yf=K&6-zVu|nD9mDxwJB-ZxD8(u3_ES-=( z$G37tbaK-Ezl&eKHj+;eKeuP+gQr}bQ@-9jcj(BvJG&3Nt@v_y_SGlRi#OFzK9%LH z|Jho_-l@k*LG^J=>R#_p4<6U{Yx3@hyeac;S0Cru)d$w!YgVjjOr5?n;q9!MUoJP! zbu<#xG`;Y6{#z-_MD2fGbCVy2#B7c&Jh{^<Mpp9BlP<X~;rIiw2L)WO2xRHLOI8Ux zy05JGA+I<4GnaR}WWHFoKXtgX&?zqB<B_&{yK^0!^Vh}&_`HtxRpRaW;>U1G?eL9# z!toB_h97Q-HOTaeXRTK_?k>9H+V|-$Kl;|}UAku3*V(Ztw^z%$sjp_Pyv+PE-<Q#2 z$G&xoogSUOC#!e%|G_>()}*Tc4-!<w{=PH5)@#cf{_=#9(puHFn<@`^=P}3WuP|UL zb3V*;QZ!nQ`A%f~?CJ`k4AG@RGKV#PWPFVKcW`;~B-ckvb9dMu;baM3QuxYSS;>@% z-{SYagepJTtcK}a)l0VfdpYE!oKEX*m_0eHCL;RNhBJ;XbKMtSn3+<Pw9)pyN9&~> zb3N`iOuV_-{)^hQkhvGdLzZo2Gg4~h%1OSxPKhOmWqsPCStlf~XTEr^^t>{sb8gQ* z{?-MY_diN^)NAuh(_5KmEO@i(&%TIPoL$#;@#Zdw>kD7S<n*Gwp2<7I#J2U0ui2e) z&W@QC`9*x+&%HBG{no$w-(Rj-UTz`VqV0pYlJ5zpxy-C6G-;}cVAaWeml=BVt>(!q zx$1|KlBOrSnYDS$SM%oo5c4eOk?!P;r@QC!=`m=xJFvgtVKA?MsWqqCJl0lW#@+?% zTo$T$`|@qCzQUu@5qW|4n3aWGOzekUPmcbR%;&C4FmY4i*WzXCTc`fxMD^pgb4n#v z*J_O3D5y=|I=y3-?bjmDq$2-^OANRdOB7yl(Vwa!ar*WehsdX17P>q1egrJHXw0xJ z&MA3yRidZi_$H~7p_``6Ke+t<%{THTr&CLhd|12xQrE%NQA<t+-dZuYbdj`ZX<opI zru(|xncuvke!C{IoeeVSdQ|sVfz9{)xz^y;s%<NNP4Jz`&&d7zPO4GHsU6K>T%K>Q zG#c66);m`}k+t)%(N4$h(K)s+{vWyJV|z}=-^nK~Aa~UQS)KmSUegm@P1EmOEbKqE z_s!~0Z#U}Joyz`Hwy=Hg>z{{yUY$NgNqd&mWXlW3yqPtY9!q&s8&NUgp{MUx#R*3O z?oH<WuQY4%>B@}BYvN8^Jlr~^M8I-^Lwz}`IJ>yvrcJ+gR5SP8vtIqjqJWV%QLRAU z#LVaE(vXYKCuH|>_gr`wQ+KpvXW{<)hhnt!dZka6OuByPc$L%vhuLRxCp<s;z-;P? z1;z6_N-sp6dc$yR@4OW4u<)Ea`)^lsy=IrcQ_RG%Czjp$WaI(U(?T)V4gVjQX1FDD z@t(B-`sY_x=?kTcI*0VFZ4qKt7Yh9JReq1-()7Njr}d)irf3~7d*>tfC-${s)^z)f zWlbyAK3+4kPW0i0MOorTt;K?`OXnn-OT0M6|H$I(D>nDQJ8$goFJ$E`IX|(WCtSMn zT!fw=OI=Fi{<_4U(q}U+9amA4k!2C?dLaH;vcve*WqIl8RlIZmY<xF&xm~3;`@28h zdvuPeEi?}({gGv1)8TlLrS0AMgLUk?eO?^gmsGyUT=eLDuhz{>4YIRdoRK+bV7l_) zqw;y?#b;h!5Ajy*ofVl_`F5#*&4rH7CoBGIZkqpX`LmXQfTNXD)mQgE-{#Q$XAS4x zOWzNiJ>JH%WY^R0WhZi)cqRsZFh6f;uy*<1cUM$rSeksc`#wWSICuKj`HWFf*DtY5 zIj6ZMN@0i83fA11#O-#5sn3Kisj9mkN&4>`{dM23wyjHFx61y#zaj8&RlT0t{ex>a z^KDjQ3OJeSvh9^h^~-a5v!@n_Hoo_;uub(6>}(Cc9qI3FS7jDeykY&SEZ%Q>_iT_m z{K%HwxA8%{Xxn*Kmgk+C`yQ_rzsGoNSAAxiR^~||$+T74I}0D%911<SQQ*=U7dMV` zLAj<C!fzkFw^&m3e?nu;Ld$1n%x@37ZsvLwl%Dlw+r1)%BG!$<+<a4JT-zc1^2qZQ zjlc3d<x)jvbaDkmSa&b3fBa4B@@~~Cb@9nj)g~==cNtF3W?8sZ;O>NJJ`Lx;efin? zzFD!ZUE$#3OsVEy3KHAi3I~2LR0*?^z3I%C=D;^YeR6>LL;dDk_gNN-g$sWaXS{OW zpi8u7#c9vFhi5#jqaB}?T{daIY%J0GuBrO&*PF*?N55Neb%ENmvsYbz7D~TL5z|)e zdM?9mw=V3l+%IYIcTT38-~4^D?dSV8rrZAPe$}UppC>L!Jkzs>ap9v47Y>PM?PmIX zV6v&w!}f{$?`bA|5NnvTL;hx`MXSBYe=a_E1<O57>}(~;TbY+$%U=7sT}j->PXDR$ z@iR4U|9quVefD_n{cyai_<M}*iGxcwRmx~BobkU~iTOqai$mn%FP-z2x3yk<S+a0d z%L4Yd4e1dH`_7xi8XSvT`^%Jz({Gt{1n2gp#V>9-{$Ha1IL9<EU8vu?q-Ek(-Rq2Q zf5NWjZLZ{viZQjAcR&2=cRT%rS4U@l42p7<dE#`(jp5gY(zoBU4FBo+NHK<6s8^YO z@Tpr8DL-`@w^sNRj@Y){l964-E47-AlrqH>H7yN&pd-~Ysj7|R%$rAV>U#u})QcAL zy*qRB*3(N}p<KDfZ6`Taq+XL$-Vo<*cdYII2h+->zXCT#s0#jhxcZcbb;z#YTy_?d zCmnL))tNc3|4aF&{L}Sid`Gsu_5Iqff84|B=#M+OkFUSoHkWhXWz#=5W-`eo6&5ju zu9*Aj)#e%JHu7vbQ5$n@OLDD(Q+#Ie`(yb^A3CQ<eg9tae?#ol4XgR<rvCW7V?~eF zwD&V7>tEf;d41D-IY#&Wq02vSF8%p7;g;TdHN(}nnq-dig>KzF=a$06&mFqLCkxJQ zXE$dTjGi>9DPDD>w5-~Pg85tCoqr+RGpoOtK}+xJ9eJg98}?>>IjtOhF!(^Fc~M<V z^!2S1H@-Qo_q(R;r{+`<uBsQCei>dYVw)0GapKvTg?1M<y;QHBStg=)E@tg@ThX3w zy*K)WJ%{*zh!>l6e%tWnO=`BwChHF(H%*tg9Z8+oAbV3!^~#I`OQ#;3&2{C^n{=*U zyO$*ztzPpw&$Inl_~-lk^md--4{vF`qW`S)k>s1x&o;;A9LxAI=YfXHz5_d~o5COU z<{onXryynJz)|P)_^eg6UB-_aub3WPbYAwbcvb$g@C7qEqK=q<*uG}#hP8!<GFF-d z*gu?gUob|>{>}Ck6ZWf}*yVk!F)l@fZOOkb0a^8s|4Y=mYrO9?|C--CiCevsP4H*p zrO7s53tt{Bd}Ap2E_JKql~-S;yz+drGUMHb&O4j7{NA?d;wo-|(hqH09%dbVwS|%W z;|!_n)%KdX8gZ}A)+eq~DY&*+an7WrI}4WzhJAZ|;p0}5HDMaEC*EhT@cH-P#?HH| zrRxL!PdWEnbk^%d@%A^2Q$9uX*l>&A`W+b?H+zlP>WLa3e2?yUxBdQ+?Y?)OzMi_h zDxOK=O~~{4;cQl)Yy4(fsJnkjS|H&eyHDt}P_*&0qjeKDiQRO($v11_q%*TcIX!(= zu}wI>^M$8al420+|4H)~w$3#7mh3wz*c0iaIV+9#ar&F*EODN9?Y$DMmj*0jUmI7r zk?WuILyt^*WA1#_wZ9Dyajw*ql5JORt-1a0Mtbv&gJo6L>m?#j221I6)xELw*5q;9 zbH%B$&u^vwo5Sm;uPtghyHx0S@z+o83O{ERyytcl-5C>Ces+`Rcl&g9^<~$D1!de# zratYt^k*ikckzpB)*WwF3w>C%^n9J@+c&EmS6yS9@nVj?tX=sdj`wy}Q#d5~bbZdR z&~thvdS9boyj`kG{Y0zsU1>wsLsd7I^12-R!a1os=J2HG{&ha#*R!U3%@l}U{#rqC zmG}D_iNaA`ng1SS&iuCdCF?s0!`-}_6z}A*&NAtUn6|F8Im|Jqci%~;HQW9inxLBJ zzU07%?z!g+I*$u;DomTJovzM!md#dtzqaZ@*89u6_)FFt$czr^+J1G`%lKUd;+LPQ zN*nI<J*D@$>;7($Q&VHKH|NCNye?~QU-;*-+oPE3!@Myww_E%*nEK|Qok%|W;<@ZU zR=XTnP->f)T&HQ(A#h4x@O#x3-Y@@kRVQw9@?B_b@-{Ei;OjPHiLld>!Cx0g9=d#t zyZ3>GaK&aFJ=r9i85z$moG^C$G$s48P_5t9*%AwnIUc^GljZq;<07q}PR<wXD_q z(is+79yHh4sHmdbAjBAXjCW&(-^1xv|4IdCC(I1g_+;d)usOQ$63e5%vn39MzyJ2` z9yhC4XXUhaP6xaCW!+{boRsVreEdg@>%jr>-Md2`Y&pwUdv+6VlbCLVa>V5MNzxbR z74FuVCGuA**V8%U-Y)0t;?BY_fxC<T9)8inZ*4DGV!JUY=3>5UyIVw_+PyrJJFcrl zB!BJ<4m!;HZI3#i@JsPsdy|DPc6r}9=PR5g{NmQ8%G%`PCdcmj_OflVIg`KsqUoRJ zXD+(?WqMDsWQoNYOetjg6(<-Pdp2v<nN<O7niWw~{jZ(avNZmM!u(M4lb5dfCmh!; zyO`5*ZFZSg|L2z3O_x{P>7BCXoU+u9w3*76R<_*dcJ<#an&7u3`GoDAbB>DU%YGc+ zC%<^<q3a^WQqv!wuXvhwY3qCD0Ezlf<zN1(?EVzE*Jtw6^=jr!oVj&3whDA~sUGA$ zJ3~3*toZw{R;}OOEIG*7niB8NtypmUTB?9(?kfJq$xJNyOD+q>RXFf}?O*WscXDn2 z3(w*|U)8x@Jnu-jesB8PCpQe_JT&>%>PfF=Ibqj6D<!h_Y~1pUdLH4)nwP6MZ{B{r zPV{5s?Kw|BWK8tj!Ytaf=(y9?wk>uNv+M=A=0&;KwX$a~Rm@lEQ+-#H?LK|V%Qx0X zf>ZK4?N`KEJ*u7RcAaY%^H%qa_75UC4<;)mdbf&1|2!A5YkG>Qw*RyBZf%n$d_B|R z`C#8Zn>S06H(9=6x%Saixp<|ve1=H-(&Mj$Bn(6*7c=|slU^r$w}AIl^nZ?$%S<tx zo71~3R@@M1+H7A?B&k(ua{H}g<HnRq^U2MXE=nz%S)~r|TKR0=&zG;&1QbuWU2(Rk z;5PZO==G-WaaoGtbDDB*Pjk}EoYB6w=Vo9;<|OX<HM~m4{Gx4*=S#>w*PePUs^&}9 zrY#erPh1S_oju`XrIeOu(3grMN()nkvo>=EPfO-Wz4K48ssD_DqQMSv{~WJJ(E<B7 zuRP~{%j>n^-G?o=VoEr;lyet3d-va6@zvyZOWo|-t^Rqx^`5->u`~SmA=_?G9fOL> z4rTv(O_6tZLO(wW-Qx6kcIrCABdeOy-Y3_76aR8s(4)#v@9OnAyBt%(!}C&mHlNtL z)^K}W`5!ZzXsd_QFLJ0Vx;U=?P@f%RYTdg?GHTsf<}=Axl;0>NgwBkau-<i#QR(cA znGzC@%%r<_%;7ZT`*pW?>AHtW%5uxM_~(6lxhD17UDwM-hneS}eC$xk)A4(0zIpfA z{A1VdNiJCV*n(BQY^Rj2&%+DyHia`I1!ZnO(#>poCvN0^F;|vd^{^z%{-18XHh#aR z=DK#RoF^Muw$VAU#<?To7Q3UW_Nq5_TZ^v9-nz%(P*W!B-qyBw1(Wo=Rsq2W_Ps~= z-syHPDF1HGvu@9&?)v6yiBFf=YO_>re`0V_P3f+dbmXRv6Q(iEA11G|Iy2ub<i>6d z=QXo=jFt7P?}qlTco}&{#@G9n+OH+s*ygZE_}qDv9+I_aYxvoc&cbHFTPCOV7usy; z`d6mGW8_e+>Gop7dGUlLDF?4y=Bqla(H!*YM2HLT$u-k<woISB*x~5;9arBOeObl6 zdl%m&_MJxa?0DBOuRr79Sa$03RL5U`O!iz7U;ayW&&|xXWoC<vwmw+3tMS~qgw=u1 z=gzB3v00aB-|#l?|0?sO1mXL&vktkc|72V1^fL6dnJVXFrC_DG&F`8oGj6(k!f4*? zpT*aUC9X*d&&%lf<@VX)_V!a8(|7Un$@#O)yWYSUGnd!$Mb&`=EPBr`iC@W>!&?8+ z^L=ZQlUZ;49r3i-wqFt_7f96olZ+~RxanD_a^y6Py$@baecEap^W?#reA%DsuO`T< zn3?k4pELLK<TB2d>Q%)tXC$`%*eU;4PkLIXv}NYS%d>Ot1>Jj=`0ngMk?Wl26qo#b ztbgxMfZ?TE%4hQpC;aS+*v-wd++m*CYy%GdtJl|5s^*;k`tgsM?W(NJ4wWs9w~lQ; z<90Iit9MyUGGpNVqu~Kwp)ZOa**>v9e{@1n9@B&aU*iMQFE0Q1;jB;Nq(ecI*x4HW zoQ@vt`?qM5PNK(`CsLx{HyC6GFS3c|Z?btm`FU!;<UyUw0hiA8M>6g^l(F40)=$}f zuG{kmzjxYvTXd&;iR=?@R|D3Q^8-Ibx%;FvF8Lb~Ty~-HRH>TNCH9&<tl!=CzdjZ8 z<e}1O$?g+NZ90op&r4qT9QjuA<J#{g>~jAT!VfC2U;OB+Vl$(}%z&@=+{y0548dtK zE~_k;x@_6CAR}aT)vVbfi=5XR^>uCio3rD@1T&jPi)mpCFZ>a!U3o@-#|^*FXMMJX zd`phbUboZl^j22&ZILaDv+wxVF%_;?Z;+EVbL$F~m_PmZ?-Twzw{Mw}rn&grl~aGp zo?pIvu=JcvLrL;Pkw}S91H*kY17k}TzO<A0IOo`>$!v|+9OnLTX7uX)K3)9lHR&%e zx;mUv!t(xKx$?2}Gv6t*a}%TND|lRw1nYmXyVQQ6EIM2~bd9Rl)oYWr7IvIlwe3Lv zy_a6XPqKOptz(=#x5#hvKgKNkEST@s$|6(oh>H@T<>t{}=jqhlu2>{&CVppg)}u=; zY4@uRt(uguY;L>nA+_~J(ieqxSiIZ$ahtC129B@GymH=HFaP&>wfFp0YyR1uc|6M@ zD{bmJvl9Qv?wU0@rJOY<mG4bTle@LWnW5L<P)<Uv<IO#P%QvrhJZrt-mNVgQjA6<l z3u5m3vBoW}$U33rzg?lHL-(7*;wg@oF7FQN6?W#Dv-ekpreyV<=u1D6=I+~g?(wFk zW0FBJh0iaXYm5`Ae)&{r(X;=r#f8H3l<jO1=1g%m(NbD{g7rb*tvyG5zq~GZqLN{E zgm<dZ{R3itzjo%%?F@Np6=3V4u;u_OQ-Wqfdcv!qnU~KjOPW0Mbv2vl!~~<2%O@Xc zx!63@@V8M@OGmf$`Lu5*)cyBqHMLw+n7F%Xb&+mp-`h5;wd?0jTEFdsp_h%8%#Wa> z>dTtvmwxYcwY+pe^PRBH<kz<}Z*Q94d#$&I%`23Bhp~g%H}U13=Z?7+ElX}pdKTLt z`ygwroKuR<b=P+fs*+x9-Cp{7=9){Bc-gJ^OxB!O`8<8~^|GtAW_zR6e->r$wfeI3 zyYkY~OS_gvev6+IC(9*e)-dhFl+LqE%Bi_}nkR1lE_l2ldHH3I=J${0HOiE#a38vN zZBxwlWpe4Z#=kFHo=uy6dDZ7NX<A$VO^dlJx&7<c$y;*ng{>_Psc_fJ{iIs)=yvk< zgNb`5Dou1+{x8(*b|K>e>oPY-m90;>Zv42e;P7=q(#%jNZQpZVK_|Z?|2e^97REjI zN5;Erf{_I_<_=;^TMSe-7R}7=SvK*GTD^ZaUo*=|tM;=KPOjo#aY1!k^Vi2(e~lF% zvTxtcS>M{$W8QUM^k{Z@Jqrh41V_J>K*+V3yz0;9yovkRJ==d`U!2ASHw^)n9`7T0 z$+c~f$Ih>8n<>fXKRMfE^7hQ*b#s{e&ldEB{7GM4syx>x<jjqZnKPC=EZcXrHu-4Y z;;C^gTIW^gRi+ecYrkH7ecegEpJxr8%+M&&JDs|$u~elvS^NCKn7`@=^p5MbHJtID zIj4hb&g3PDnvy5f<8I`<%KPjc`RvUSN8ga>n^DUq?b@Pa`uo4d&QnH<jnCZoJR|q~ z`f7>C+I;WW4o}FIJfps+{u-0nk(YvN7o>c9@apJ^H>W3Wd$Q6ycxviDO@YD`4YwQ# z6U$X89GmQI-|>DuFLCkQt{#&U0gE+*76@z%Oj6L()e#o&E0J*b@i}myx-B<x+LQwd zml6{qic(7cmt<+gN@y_3UD7j9Vlt9o<qEK#;8CC%JS}<ImHi4)ylk9|ZI9-Mhqkt< zxw|z^({vT#mtvk3u`B)L5tievj7}oGF-N^QH+}z}Z{3q|IPBgnhr_FVFJH}?`*S%n zXV9Kd^}So3Y+5sU-Q224FWC-H@;JVeeUGot-QN{6dY|<aHm9xQ=A5*@b?JN_CyBaq zO_TRZ2*%xRsnfjoz%KZ{%+$G?FA5X~EfEQ9l`LQ}c~QUp^s9H=axzS6FXfrUGVh4; zy=%Vip0xPXlS#_X9Sppp#!}IRrdM{Ut}5y5v3;EpwK;jp^!Bjd>RM9j9yhx6#MI8q zU$*VGSY(^#zf*7HnbmvtJe#w3^S8+ly`<M}D~!yJpZ_e!Mk(*P-n8JEKkLL8*1lW6 zxo7S7SLMI9r?_03RzIUvI`Xo2`{{;e4ne==GWw?s9x}a9**2*^I{V}6i`|!YzA$M! zYr4bdXyN+|-{0@I_-(tza3kWreYI3>*tO`jMM-zo|GRm(aAC@Bd!sGZY10(5#N?u0 z-f1|=x>+l`^(z~HZ%@;L!=KM-@yvXhpn8M1UH%Z?(Tv9_4KF{p>8{zBm7?_e;92L> ztNixz$-14{W!s#-=<z<ctmKa@XH-_Twy_A#Td}y~(o##KkoT<HvR8iGZzyqxDK+vO zySB=5?{3lD**;I-{3)H_tsS(qFR$c_LHXs0%F2$3&Qdqe{3^O%kQuOVWB%P-e*WS| z4+<`9nRhC>IHS4M_R6CwM;69Ht-D-pEke%xp;NQgT=ZDwdgk;kr-E%2_c(I>Cf{1d z_LQyU`TYlxGS|O9+WPSSVZ|CISJzi>mpw8Okmypqz^FDsSun0<XV^NI)EmZ83Kn8) zGLp<<++4D<Y;qzzJX}0NY%)wjLVSW=Efob5L<D_QL>9kgG2b{vNSfct`P@T;O!-1B zdG2fBcM9S=S~RMEx9$_JxXUwnd%#O3`AaM-cAZOIylKT-ot5iZJ57(A=J>h9_v!r8 zd?GWRzRnG*P(6P2;0DFj{N|}&tWN4`Y_aZ}-u?06_Fru;Hkk{jtEKt!*WJ!u8!mbG zTKyT39HYZ)?3f#q6aQ2ld60fF_4wnWU7wA1>;EWS?7+2TRmRipSA5w&Wtv<%X}DhL z|E;NJo!in6PU2JHoc-`ihE_@}gN%~(R~NPN@;iGfk4|?n?tB$?`*V}M?d!7D4_1h+ zt6<mfDgD&J^lKN(Mw>lh2`B#FZMgc)@w~d9K)aV!z^jQfr!B4wIP0#YW%{(}ds5$l zBq`_PSLX_H$u#Hx*A-&@6V3ef*-;y#=ijuCEBYNb`0?-Vugqp%eO|S<+z}cp+`Loo z#Wdbw+{*ZV_WNU<@j5F?dv)Cl(;WSlTsU{}hK-9o|10ynwGT4B86ULr_0!z%T{&r) zR`ja+-osyS+Z<UZvoBmf?L|sn!EgD!8O2it-(UX_kl}tdsQi%K@dnmhHj&jf*|wMV zT8O+}ZJq5`uV{R9Qf+;=8?S7E`eq%?qjut(E*#zeZP%>_>6%+5-6kk#DR14y>-FQc z<n-0=;~(wY^h4^W#L^r3Y(9C1R_SewtGcslU2p*Rq6fP)#TDfeTh?4nSY-CM)_3<$ z*~Bk#4)tD}=65+h(0{n_+K;-!?u>lP_I#K$XY1|U65q?QDbhlJqsmn@`S)<D_)coS zeonT4E6o1n%SgdL3cNe)K1}$2UpvXq`~u_Ojkz-YsY|rwXUxtm=H7L`E~ea7nf30) z%vzNXhb2P2X8Cz9iH>@t<yP`ggSGGChgeI;Hl<aYj)$IAoU>%&qwStKoaYmM-ICnq zrS;<K@@pzPL|ZbZI`8*w+A2ElY{1WdZ+vG;E;-(`h0lA5{8QG8?!r?!BPHfsuAV9u z`mIf%deJ5|Q=N}m|NdBJembILxaF9O=);vS8jpx|G(9i8J^AX9Q<4u)sNJw=_^a&o zeButHnGIVu+FpqJxGL&Y!A!NkyItm#@;uSJ=$O8E`S!gVkA-FHD!E=hqOnGj!|d+2 z+T=SzIeQL2|MEv-_IKB7du|()v3sn#T5ck{^Tw~|D^E2DmYDrC4Ob|viw#qcOlbCY zN<Wzv>&|dEyJgz5ymbx>FRjt^TlsXmb`{eM)f1jZ$KH7Qb~szb9#UL({L1_*4sA=V zH}Kl-jxIZP%a5r^!_>>5M8+{;`{uNOtr!25#&&(Ncp-6Q?LFbAQi}}bw`j_l#g(tM zY1p@Ywov5rIhDc%EkA`1rM9GGS#9rmbL+(SKa6>NOdOKYjFY$CE{^Ewc)QWTRE0yt zi0jFjMeP%AJ~Gj@n95Y>`_0KjdFkCxH;>f)nR9WGbf4M3w9jdb59MN)-drEibXe)I z-Q+_~f8t~}F9^};kklzq=qgG6(|NSd-rP5$eQU7Wa;yEkA+nO8wQGLcU0JKwzWkNP zbgTDLSxYKrWu#PjWtVC_UZ$tCW+nToZBtgBd!Y2-{2G(Hv9&Mva(U{!-op8MhDqkk z$u=?{mtU&)Fg<qkfq7{}qLJj2EHzO9_Au5n>E@ShT-awA-kyD+Xj1Bjs7ni9XFZ-c zPr4x4?q#vmyojw+qdOPhE15sDN|w9*_LI-y4`cRJ^OrvRReDFXrSu;2gw3zACrJI= za$3B{&u@|ZwfgGgkvsdo@|=Bl=BM%8S1r$HUwSvIJeAp6E3<dU#H@<W_U`{K?#>5F z8oGLX;*Eo@w;Z=gk?tumE;-L9S*{*l6Y4H^E?hE7eaa7ian>(xv*(<YX{wsMt-f}F z_E)iEuMGAaa{Th$UGdR&!>Sg={Iogc6;4OAf8Vd&#UH=Pt)$hC-KKuOj(37yq;Z<X z-LNk+7Ah9L*;aaXYtSV1FwG~m^VcuzZ%AHoeSMPK&Tkb0>^E7<IGgjXY@WVcb>mKX z&*cjZvs>Cuy4Ld^taYDIv*<(Eqb@%2yZW&S3mz<dx3*bBK6q|ltHjgP&m|$ns^&k{ z0~I4p_H1PgscV{YSU0lw=?$}o+{XJ^H!iCYo3-LT*U9~Xw)wxp(vq$p5Q&;oFWNLi z@JdqBrrba|X8zaV)@3fgl=`=Ci(CFW!RJsBOH9+^e=%IKv&DMb9@egySu<hc4GW3a zMtmU^=U(~jSh=t^Y(YD#&eM6>;{3-KYrekqIN4eLruC7=1&z$FykwqM2pJx%P?+8) z)-8Ij?j)m{xc&|?+pwF{TysTsWKE2I)V<F)>9oF7Z<31br|z-@?ReLHX)|+H{H?sK z_eRIAPw$bPUbf&d{@N8wUe*1%-fXdOhK`NU-!;WHH(u0*n$4BF8WjC>t-IB#Wi!Jo z^L>MY0}feaS?qc8hO6c8qQ$kIM&(C&BIPWrVx}jm|Gl;DXTs)}=aw0rGt}Kw_}L)P z_tbZ5$%ltLDrZPddQ#A3q*$c!c(%r}%xF$S5q*~_1@{&l4`;|R^x6M;j`;%D-KxL! zO#ih8%&!Sqr13bV(WhZ|y2y+8Dd*!1p0WCeO*x(+t-ai9@~X7Tke1ZiPkyn*^KB2$ zT+6-Pa)s~IRkJ=w<??@Vof@lm?t_{4Wxg3=GX*6U{STONpo95Hh-|pLf7tpAg{;o4 z2VUy1&-*mx-JXuk_YbEjJgdLcF;S|g=tb~G+mL*-`PntM<<oBDmb$k~wAQdT{0-e+ zuNoz6%4@w`F=^8BFIqc~-<;+ialg|tB5~u@)@oPro`~nWKg{A%Z`jusq4WHZ<;RQP zPgrVPQ2ckNPE2|3nbPyyH_m;R6Qa!Hf9CA%tWD+a%QM%^d418RxIE;v*c2Jz&Z8f% zT;BZF<D&PI1Ml*j);c~gv3NN@)o0P3vyv0<x!xC;>LU5(QUCoXADnL-bS=-ax7gcs zxvb&qe%5<4Z(lHFi?h?bBd&Ax^!4>icU|3ZcEj>lFZ)?trku0*pTin_we2oDkE)21 z+3_D<EA{L3ub*dquzGjFr8yJrEkpMIX5y|qTD0nCaPFa*Ys4H&UO(9JE|pc{&x3}V z=Px$$ef3l|6RfJ`O<Pqvk4<k{?H2jY6-_?s-;aIu`mNX$9nZ#K@Z{6{CAZsN#ffv& z*&DCiG3V6}<60%bt@<iAaw`KJvb^$4H41&o^(MC6&zKO9QMPsCg!}au|1uul$W*9u z?aP%G`zi9Jv5TZbj)j>=J-^&8_4uAo{+)}=8!Rje7$Q}^#;v&YVcXt0^Z5nyxDQCx z-#M}HxW05_;H$}R`KuqS=sVee>;JdIA$#A1nI2?|UTpPii`?reQnBGTKYvkS5U^$X zy*bGCtVq7;-0;We8*(p{wjTWE>9Nnd_IAZ`^Sj)kGWDU3TQ$}eY&*SX@=r~5p}QaR z;*aP2m5DP?OxS3#RCmz=hqzd~ofbUFpASsu*SmAoq2t`sgA<oKpXB!aA-^H=Q{nH` zZLy(eZU3F#yIAV*{rP7elwRrX<nP()b@%tFj>ZXje+!OWY`$)H?c7=WK;I_Kn(uG7 z#_BdqnyIh!nmg@nvVf`4rOAe!(YkfgTnUdAtFvrs^Vgj=`!MgI#^VBe$HPy4%O(h} z7Fe=u)9ov~1<^~MB}s{wAMq(^FEx779b-0q)1;Y(cPuY&IPkyx%KdZq+?d0R+?Q@) zI~)76^^W0#=jBp?Q#7?pIhS~!<lC5Z_-Fg>Gj$#1OO9q;y!Y{$_+zF8ny(j~zHgpb z8qw={TvM>)Ztc--XJ+&1uXpjB(aTon;|p37VJ_xBcbBw#Zdlyq+TZ<V8ZREIzn=PG z*1C!3gWsRmJia~ECTh~-f-6%#?dRF*c4bT8^0WRIyw(@JUz+B5CN(v?U25Xnw5p(I zHVW5u#eK^E?by_H|J;to2<eA~E1D;Uy!6SNu<u~6xRYRkwC-{tttIPbhR(^md2gfj zcbmkuVHW2PA37P86dM&QHd}K-SI70I^X<Jh2N-#%ee9Om<h6~@bnf&S>i)B3Zn-Rr zduI}V>BwT)^5X@+bfaEuER{B?S=rU)|MLCB0$J(cOa-xpQs1x5X<c?l<;04bcZr@+ z9TGJb2Og}`?fU&+>h`xyT{5-G{;QOy9GLk*=yK;#&7iG2Zr^w0+COETB3HwLvQ;`A zW|Ljz7(V-7|KB+G#Ub|1XS)yWXY$|u?4{#@b@P;eZ|&IE;;tHFYaH2|@!^wiRO9Uh z>jZOqja&|u9oYBO`n|Zk3RC5>iSJjc&M&G?h-rSkaA}u*hO(K2q8i^%7v0w@pSm1T z=sa|KWsqg*T1A)0^=Fq9XeC7yC@el~zPoe6ru(kX8GDVc+3xZ>EU+zNLvNJ)s)Xz$ zNt6ATYkeBozTWU!dfw8W-Sv=4wqy^}sy`yVCHsrFE-IfCxjM1>!^`uwmtOoA{Pt^& z;sH~o1u^cywNZCsKV~in?1|Xo)~%)<K7lz=sAvawTCeiT<vUaFKY8K6c+c2PGi39T z`-#?TB{ftZu3KHV^9Jjj$N#4@_<X$VuUy+#q#E43;z4!MiPzb>(leHEs4Aaa(ZCvG zvaRXvkGV&W3m7r^browZ4?A-1%$}m3>sQKr?s@${?xtg68i(wvHLFhrEM<53yz=Yg zV~qa~R+q1@XZv%n``pxw&&Bz2Z@)Iq^xKsreq2oBecuIvcZ+@7SA~QwzRjn<tYqqE z`{umkmtJ4o%v9N7*Y$t*;gYQOZ?B&}Sz`F;+_Sa&{@NsedjI3~L9YV^86AnI-*LzO ze6f&WZ*5<|#HzwA$IRj`7N2q0wJCae<*v>fzpmbK7R=UL=d;Zwe$_kUgWq4YpOv{J z_eXGR&iP8=cU4^uinT0(jn8-QJQ~imC;q{yGjBFGEhq`8JNlOW)BS0?qT@6_uTm<S z^EX{@s(oW@9^c=DZTlAZPHScf+~w4`LL_OXz#N65w2;09M$HqRxv%>+Uu|dZ*Ev!y zPh_Xee}8e=3(lM0bEnRH|0s?_Zb#My#hy)HXX+OD{(33gu|(5)gB|ma6I$=LY+e7l z<>@4g+%HzoetWpaA3pIxS<ya8ZpYzcD%_ms50peE9lH_JmDhcsSM>e!V@m}pO2sSx zDYNPAlDovDQ4}A*T+Hd<(H*r>u=eZ1<oE3x*63f7vDns|Ij3v;*)Gc!Uz$`mPs?wc zBB(uKX`I)~S*uRIdB5_5qlmN63`WD?6AW`s-ajhF$zmq>WTzWbUd+cgv%>4{UF-;q zXI;7f`q5brtS^c4zy32#*l%x6TSVi&+xOj8_P8f)ochgukycWnz~eO&_7x@7)ur-Y z-kRHSV2Sp*D|z2y9{yOToEgJndgnuPM4{f|Nfq07D75Ll5I#3CYT{wnduvbqTG=Kl z=kn%}vB?|n|G#tU|Ge8?+P3|x?VB01Q%pTwLmu0{sj05jF+UJl#dAfC_xZ$L{>_&+ zmMl5?Dn@rr%8CuAtf%?dnl34*X>s~!bU;j~hsAB_p#@tb>Y67^3V2_$Te|6@8T0$p zk0tWge_9JS+1?civW=;?d6Bm8v+6?6wN05^lCPU03oUZKmw8<_ZudBBGu0uWYVNJt zjLDO$mAt%f9%W&+%RTjS&ZE5Ym#GKWOJDoA{o}Il#b5sz9yw#i`^o5l=D(tKCv#O6 z{*GwnGS)kg(N-|=bm5_b$oa>e{29*3x=#=N_AW|)GIx*F)wSoVB_n>w@|*ju?3pvO z^;+bur!w=G=S_Le)RO*OwLIJKeEWUzMKN#RA3Ni~uDF~*Avm$*W5KfZXWm^_OOR=< z@9WlHcx2zZJrPG$uBo({)p)Mmx?r2#k?o&eUV8dst9jNd!MTA!8ZYvko}{<mPPe-3 zQ{yFj&gH$_S8wy6r)mjH<6P#hG!IQTuv->hds;#_XLj;py>(sshYue%bayase^h1l z=f$p%{BfdZc4SCR{NoX*7P3VpPRh@E?}ltA{~sI&Z&kf8TJmEZN067(*)TQjmst{b z7M@wL@!j$4zHX5m-Pn6Q<+E<zT=elM(<&~pj~$1j?>v{;@bUcl1c^rdl|i>nXWun+ zQ)YcUsjuwA<~KnCO%h+%@Sl%naO+EG;nA1<#@h5TQy}Kcn)Nqprg0kG%!z943Yqcv zi9~1RMi#Y?-|ubwe9z7B?WUDaj<TH)b)I*rKwb9Lq8*(Jtu`+U>e?=w60%YIdd>bA zUqMHO{bo^j-TWRNn)9snz|Jm#=M55zC+V+DP<y%PhzHk}4*4`I$BV^>MfGA0R{1*^ z&oX>f=@_wk^}KM~XzdjT7SCERL5%NA(@cXWYs7SYmsPmGj$&a~cTw?5__WRN#3jQk ziC$jGc|SMZ`T1qK_v+Id{mLF%T`cFDc`j+n&PR_wL|J@mGToau!P4;O>en;VcbB-{ z+O<Mr|MMH`r=OBbv|l^<tNW3&LFab9Q|;r7KfTVW+|(zBU4>I|2j7>sOb63BQgpj_ z8knZDlzk~mcKom*H%(Y>)w&cV!QVU{=ZX*9e8Ox|J@2N%V)v-Cd$XJ78BETqIQe01 zb5hgSv)bYv{${ovJIqU3A{L~1Zja#iQL5R~qLaB?SDdfrY-aL7&FIZHo}7BErysmu z=vwg6#r|LSW!JYJ3!KYYW$DvVH%tEE{1~=1%UAjDUsoHx+4AP4+09#uZq$oc319gn z`CyN>+~03GZ<<Q#+5aun)0teDt(2m=fPXu~S^W?7KOHATy)<EZ<#Q=Z%dfxu!>n%> zD-EYKwUxPPo=Nj!J23I{Zd?1f^jm(t`el;lM!{M#ExqTL{7Op>`moC+>ScP|sijl0 zaubSvTd2K0s<*{UP=e=4sGR598o5ierPYkJ9d0hs>+ZMscQ(y;*|Z1O4P!$D+ch<> zbu87n_j-GGOWRxJRclv=m`5^icIJAt)T3(ZthNs~)pptF7SCro*VCs~Bf{i(AmyF% zvq0`$_T@P|ynJ3KlsF!5nQ(A|V_$V(mQjr@kEx{nD)$qdOpV@O|0sOqIqvvWx|ii= z>K476m7H0-HZpb#r1SbGhWZwS1q)62`l#=M(bcC)GDolYZ+w=Vd}!{=6H{v9MSX9c zsJim9k?HKpW#v{SdUsaeHD2+f_k*TzR`E7z_HBVz=FHe~DXuu>#EPT(dv#PZ8XkX} zrnoqe>r|zv&e!M*!8V-X7nWxvU7s3tUd~2-2{$jRUcbrLb1g<W!Me+G1UaN1{hOtt zoe}ayf75BRy{}7GI=4T~bm)9?Ww+o9jmvuFg2J8CwiYMa+gC({tBG@1UT&1LSoHpz zzXiwtCjY6r-a-Zf#ga;^^9?>6;JBC>vENg%r~1Oi2a?hnJg2NurvEGwzswf8w_|QZ zxxaWm+X~yI#S;EoEi?;U?VKu-6>Y5deRjCA$Y`4RBloT;*PiO_dZQsW?;@Xvc*teh z))#-|u3eIucGy7iv+E*P_E00IhlX$bvvRa^15fcc%m0n6E>S<V<7=f^dDOeYX7k8J zmmVEDR5IUP`oOGrKi0ae{~F16H~)!au($Ow&7GgV@ShQDe-Kxqx~Wb5-Q6?tw<KBK zUzz?!dSCV9%yPY1pLhPy)ydwUzb;97R+{*u@4o`|Bo3dObLzFxfrT<VwtxRQyG&{; z@7b5D+PoSLWu{g4?7FhPaOIiw*K<Cu|JM+?{y{c(G1twXH<rs^yRm{})*&wDSk{|- z>0dN<y_&PRCG_%<txvR-Psli=2B`*A-C3V7xx=GQgE83O;C-D;+@cl71FoJ=ew-Rp zd1~kJw#E0(mRIjQ_0!_KSdZpi*8Qz#b1v;on*62s>7idz7o#_KY}#F+{=QW3R?yzv zoA{ZpEt9rm+<lPm($k#XJHKC^T(XMe?^l<UxFa9Jo}5*YO4h4%agJzvAJ)nHbVmS( zyt$Xn^{UH!aq}K_F;90C;#ym&m~U<EIj2|l+Z#?<k98lc&x`Gt@jT0_!}7?2Rwb6J z|6VDjei2E0_Fd%AY5Np|xqE|y_xKi_zp!b^9ly`|c{8KxMfu;?v+GPMQR2UD`=jRM z&8_jL`}XZg-+O;w`jP)Krh8&5l<aL<?AO@8IIH)zS<mp@q=j)Wt)Ji7;dV$!<cpQm zv8}tUjn2y(L^ajqyh)GMUi)r?((hkYvz7=gQMBrKHSeoHb>R`){&Pj|L&Um|)z440 z)Y`iuBkjk`m@3oPm#$k^E_zwL`=tKcUPCDhGp-Y%^G*wKt`19(Z9S}8typjM!TOi{ z*U;F5JO<Co_IdV1z0(M6?_YR-cY<T5Ne1(@D^LAja`vrU7I1iTt-eq2_ltGAuTBuE zy8m|l(-Yk%LUR>vxnG#`L3~z6*`lTXqU)xf)t$2LVCtsnK6y=RGu3w%$9>{d6+Aa7 zWQq9|jt4LQNKG%Dl66y>kE48n-p{!j{C@k-mrg1a{=-!zJ>%)^gSLsSvxPDiZV9@~ zenrab-|d?W=gz<Ru_e)V%OoM$d8~Ib1MH=qe=pQt;Vyo3UQlID<E!Jb%T{@BQ>j~} z`h&sLa^{6~cGg=~UC6&O@xX)a5AHvGH&5ZxipO1UuloNnMBd?bPd&XyOG{9E)1_el z6JPgk-NLS9(zW#Tkz3o?FD&6`7Ehepv1^ICJ(JzUA55%vTE!t}j&fyPezl3O;A~TA zU(*vt&Sx2?S1dNzkeurt+gqn;Cz17Jl324_=lOfnR2mo^pLl2(?z;0&)cBLG)Ty4P zRp)FyO<&u1Z4mGXsaHF#@n_~bdtLkJQ*66q3>vSsADqkeb5~=}F(uvv9%1><Und_= z`@7^t*Sqz16MFa#{(tm%?qcK2UE42OpF09NjUe~-tQ&r6+tjuhZk+3rbH`9^+uyUF z_q;E^_TKt=^z&DHtG?d48hW?%?XShZSKT_gcf}OG8$Ne<Y9hTI)C1jrA2T^JQ*yoQ z-vU>EA)C7CM~~WCvwJ5TaAcdmN-m7aKa!#O2rKiYOABXik25>H-0#fHvKKm48;%uU zzUiy|Bs1#3{8JOxWM#MrPkGXG(K-J5gV?aud;Xr*n3U;1Uo1ezal3ek{TBu=#YL{^ z2SrqVJ~2Gl7R+^TN0pSmo1wSvw%@OkcCUR~rLy(MR>chQ1>zSz#vO5Bd|#Wx(Z+7) z*2Y;rXXb|wQXk|#JScWcKf_bjf9z3o!nxEROx<d&XHQfrXFI!3bxitm=J!_l=0g3% zSx;&%|5Cgi=pM8<#WCn)>4}LKy|&r5JI1rcxn@T({I6W@EfbTv`KrRA_Ol+la!+|I zdBB+!dP{S1LCvX6igEjbRCE~Tc5gbDz}m#-A-wZ$5r6ZW`710JS*dIJ&lAzU791xU zxcB%D(>zUmLy`ZPx~5KDPhE`d4SP;6*q5^G#;HIrt?rqZOw|*`Wj-;kd#q9U)yyK{ z{^@m@d>ZNgQLP6|Fa0yR-T#6wBYcfX;1qT5m#r@fXB1w1Xc(7g&f4Ae;CHfIs@sOy z^;X?S47V)}VmRunX@Bh<Q@zm{zDTo|szur@AB1IY{VJ~x-`!Abep<F`>*Z&Sn;nz+ z_l3Uee$FoY<y_i^jXF9X11yg@dNzOCXC2@3@Nxf^*B&cQzv8-Pc0Me}!e#E$@a;@S zSH5+-^V;-?&Nuh_-_j?#BP}WN&*Z4)hgqV2*QPCDRXi19^zyn*a7d~2(XFgi`@XF$ zkB?wIaw|tqdl}Epl{akf_$)r?T{6vX!i=@|=SAvgU#!_MUpCl2Yp0iC$6WS|>$}P= zUzm709}N5`?{hooo05tH_acrPcas)Jx#}KBoc;HWlGo>T3X7gOAK7J98npVtwxD9e zBgbxLHaTUSetl=Q$fAtQKTpqIz509mmE1FD-<EVfc1@RC`1bM73k7a-H<v5?e#u>W zG)h@kNp^y{ht-^eYok;+Z*38q_I&x_eE!7x&l`JxaUU;#dh{3LrAzViRlY5h5M*0d z_>0B+LTcYDKIWFslWj7BQjT8Sbo}wgnV;Y1@Vn`*RO04Xr#@YVd;Oz>j8~p=e{}1) z;t^QPvE=RP?-esDB6nWpnJv9*{$;*h{%tp|wy-UGDrWztra|bY%cM9jjVXc>eksp1 zrv!HX5ITEo>O=3K#G6g4XZU3%{@kEEy|E=OJ?f*X)U2f4D}s`Xof=o)blZOB+$q+R z<yJo;v}$-GpNcFoQS{8&a_=?IWfh@r4#o8b!IL6Z?=@mE`xv;<kZpmE?cPl;X^Cnw ztD_%OyRH!~kh5FuGRyOK=sss1O=XQ8Zv=%of9{-<tzs1w;<2=C?X>TDl?&AqqVfwI z*Zg9TIQ*XN!G8YvocCv1s9oZ%?~uAX`@zknsR@Y&eihbPwt;Da*|$Cfu6rCVnSINh z<0(gDw_DTBj5kUdLEf>CT7p+k;A-+t(as1+VSRe^-YU)BY`duRP?hg9oo+O^R=sIy zwq4nlqsOLp@<WXD^at$U?z#Q&+WU*4q?hab_Tt5j7vv}I@n~jTC%D1nSy;>Nz<c{A z{QJ|9@${t*V^ia<#P9x*bNH|QUnae|drssbHvRQVS3f?A|D46CXxgXh9ooO}z=snP zo2<&Fb1MF^>%M<@!XCYK+B;^o%qyIzxZlgy&v9=&$38{Z)7zte^#+R-htBeRy+Sb0 z-ev*=Pep^P+KnZh#RmR4TmDHFD85=Fud-A7S=!pN%@NyvJ@LC~f8$;H_xk9ChjS9o zas6Yp;0<z83Z3Lv$enxQMe#>-p;EO&rqistXT-9*%HK}X^b|aqd@VR$sFu}+*}(CG zkh4$O=dC+d7zivfy<*SQ;F*+VzuGQ*-6tKX-1)OEOT3p5s@2oG{pP-z$?=P?gG02m ztJ$s{xvuK6a)pHV7A@W?+3**pQ-5u_BEK)nEc(Qj)*nlj>#ymW^lf@GBZI?&YgW6q zXbZg64=@oDYI##J`O6)fW74wSdNK_!bPXp?JT4ocA~D_Q-BI&LDV-^Ii@mI8-{xW5 z^mgWbeo2GXda-Rj*Y5bnJa;}Gn353pr9!y>whaH$BNe)Lx%uRTU&S^Rig2jCSm^0+ zz?r?vcImGTy-PNv*vY0&p7t%u@8zm08MUnU*1=Xeuf@LVH{ZDx+ZObnMM$!EW#ty< zgNn7y*EX>mO>b^Ap2_aT$=S)<)fD=(e9~*HAN<=4FHf~$kj>GIGR&5la%KA3x|zL; zJJu|p=&AlUd11=Jq=IHit*ICEU#?!bpD(Iy!<B}rddrNh`CF&^G5srabZeQGwe`eW zewM~2fu&*Z@A@>ghc>sjwp;HCo9;6Ipzm+5dp<&^)xt{W&RP9lIBEI|@s$SC^9<(} zD1QCK;H7&mcIzZ_kE4uhKTNndabj|*Rn7c;%y;=KC*8WXGiDvn<l|q|a;`nHnQd0G zso~wnt=fe~p0SIA9b{#Bw1g}Sw*-FWKi)5QT%A3(-E_M6ly5PAu4z7O3oFf^AeGQ< zyP?&`Zu+{9^XK&>TQIo)vwBm?yLDD<$Y%Xx|JoF_X8K6+#Yntd&ucifMcC2ZY4ypB z)%6^=wqNqTeB))%<x7_mLqgrS&wc4y_~qZ@5{4rAr#t>l?)yJ~@}Xyn#^DxU%kNxr z{d?=^*@J3<-UkxAj=NO%Z9MaAAy1iOYWlermIf6L5l%HF9-*d`qDSv77My2sZWFy& z*l9KK>#e*-v+Mt!wzIQpUHap`nnyx|?^NOxi5;Cuk3xDR)th_QNia6`noI5fe`mf1 z3#+%B!=hJRO-&nmU5ieu1V5P-Y;yPf*`wm;Y)+_{W#ud}X`0?Vq4k#XBeg6xaY<)o zEjF2ai~qmZrP;V!O1!w{@$guLa>Od5E3aO~_c>JGREfE8gmL1b`%>P0&sE$_lO9eq z*f>8w{+q+6!rukI)%)2Jq;9|Xl5^$P+r@Pgo8Rnl{VbAMot*P|?>;-fOKq*PYipmM z@zE_@b86eUXAy0NV(VVLf6%$q>r{Ed+dDOFajSi5e?H&uy*lco^21k0ZgBlQa)v49 zY)#JAw`)oZR!zFMn2#m@Bu~C(jK!ACt5ffK#@;aB;620ph4&Jz$~o0bt>30RJ~km* z_;lvkq}*8_u3lYTGEK|(O19tra}w3@=l1<O^f5nR`~S|b&uXr15{-xn{8_taKdXYl z1df0fRtM(d#Mv{RFFH5H)rGmHxtYcNc+>Hg#-r`-4UJ6=ZEbGNt<6oHcY-e+YVH(x z`~LKf^p7zt+E?y7i+}Tz;BH*k>)5uJ%lM*5a97`z&C6ULr;05VGqir<AJKbg-xNWM zCl79}J7-{hVpsQxIJNct4%y;)nks5HJi2mbsJ6#?t3KPXpxS(uYS12;IcuM+uKx9H z%GGNHheGCYe?F&oYo%GnwbMsh)qMKCEYh9cxFlol!8{{JuC<}n8^uniRR(SEG+tkA z^i|Na?#+t18m!Ov%~^RfuYRg$TaSI)7wzKbzKhP3>{&2pqrij)lkOV+=C^B`J34>; zO`1Qy?VS0Xw-KS%AMg5Qt!XQNUF&pi*3P|0>}Fglx|h3W_n`{UcPh6v3s=sH$(Q|l zdZPYSDP75balb2LCB>|JnOdT>?@P`PXywe;E|Qt2d{m@5TZ&6e&Bf_<U$Yr&y3guu zXA)I-gW}Xa&)c^_>*Bu1+AAF%5)RGtA~PF(ZabfSQJ(z!blb<sM@KTArOFDLX5HuO z{FmqW@yex@3(AgL2M6wQ4VE-xF=>lVy>cg=v+3hDeXetJo>yFEsGR8GbpKEJr%e-? zEd8a{{LX3rvh<a;ldxav!YOk;u`T}cm*d`~-mTwSuU+4IXh-4E*-Q@w|F1}Y&~n@( zZl=h(fK8K^{_?TD?5C;L&TYoEO<!}8_6kLzf9v<~cuE?%$-Hg*Di=9PBw+HcG~=S8 z+8-*D#OFnpq`Zjc+SvMp=lT*h-}$0j9TyaDEPu=|QZTb%wos))*csWLMnSt*?OnTH zE-UHleR<L7`Qh9xCIb6KJJ<2p8%|D)NVa|FX>{d}zs$!gJD2=Dw)LOX=9Un#s8u3Y z1*dHJSI&`>qWdAN@I2RM8F#hwQ`c=dX}8o&)KU6%{_3B>k9tJPE;=pp=+^n<ddJ~0 zQ;>x3`F%1Q@_pLsX83*;FnhDUE;4`T2_KmU(R=^=`^ojO<=tYQTZgU{|JfVREq#td zQ*i6iD`x7i-yf_|JnJqN?4{=JWu-3d?^>;#HAUI-)1^*Mmk+nxpU33?$O>Q37I*i4 zVQyo~-Psd`U9I=A8Hd+h5A&?&I4A3V`D&T|uL(S|yB}_eJbL2CpE<lsRQ&=2HfKg@ zD4l<Q{m7;x3yeNJKYMAyRl5UonOrus%{pwHxBkIOW2WYgkf6$=pJlb{G@5>_>AJ^Y ztdu10X<600W-Z&DfbYd!x)MHdHyIO`7RALMdl9E~HB|cfX(oNSi*-5gla_D!7$2(p zLwx0@EtRz|7G=G+T&Z=>Y-)zwgx41*9(d6r+pBVK<#|(yBgwr1@=>#DWB>l{ExWg@ zibeh7$tUL|j>>gb7_x0Qxi9ft=#0qAilw((b(GlsvvkkQ`56~9Uwz$@dD>GBOkMY} z#ne*5rsTjx@mVUpb{W|_Vt#XNnfk>|&ge@Rmr#(3#iVPNDHRW0*G3=Ne*2r-yGt(5 z&Tg2Te#F%1B>&1c9r-a;tot}}E0(O&51ekhXZQ3~M>L!=@{(5yA1etcI~66bXtnHJ z#P7y0g~Ib$Szo<8^K0QV_9H8D<U&>Y3Yr-+E-Bq#Z|<3S)2GxVFm=K2SnKBgYjT?F zCf{tDTJwhK%%|G9JKHX*#_utT6;iwS<Ifoh-4K;>F=cn7FYAtfxpY$Ill84VPD>A~ z>iC^}6ZpsDhiZ6J!K^+<vtubX4T~*}r9v+_JTVt?GU>|WK5CV>L_e|e=!@gN8zvst zSg<8gGlOf!qZW0B#W5lGbgE6ZL~RW|nQK`7d-=w9OZeqK+1_bQKe)B&TZ44s367v| zVZAYbw6|t_IU#WVg2dGPXXe{3a5p}f82KW&Smx%d1)K-Em=-SS=$cxy>ie%7W**(4 zUBQymF3g*C>vVA;^ZfeBRUa9$On<V)E}q1qe(#`_pMz!F8P{t4xy5Nc?_LNztyWgc zI%z(&_Ikpt_>(KWzC`>@*AjW2srF}g)3rBWLnO?-+F4V4b}6^oeGi$kxnlumtxA%0 zWOLNrmwQ(E>SkwDw0IfrNab(4-qAVL>Wu)Cv>Vr2p7Q6q0&RY4pY*EFcqp5gD;B*= z)*`KofvHHh;{WPEi_Bf7+wGaP4@50Ka_vpXy05Drt$&#GzA|GHySdWC#P24ZtAxI2 zPGM#Lmunt<_S@M9>(lIP%s0E=yMAEWg4~DfDixM4UE${+ACRe6o0u~}V#7(dKPEf1 z5-&;1TYvhpsU>rt*_W#eZz(UyWGr2-t()oVy6NosOPAG4t}=-32yR=kaCzBsfqO2s zS}Q)@_Mh797oopRWPeoX+EW6SuOsBD+!Yr0zh*o&W!AR|-4|*EY$vXm<)44`bNjCg z>g(-hXh$$Ex#{g*sJ*;w#qLR7O7^o>Oj6)JWVn9DHP5o0XFloZdEfb_vpM?ZCz&$; zJ2Q-C^-J99d$I1u+z9_Y7t7m(-cKu<v+LoOlbcxC^O%%<73v+e4e#BZIq~7=eK+gQ zZJzYyHH*|$so)Nq`OV#bY8hjiW=STAd|vc$-KNA(>d{=Chvz@an>wrb*3u=?f1WKr zP`qJ&L8xN+j~q+MC)b=AUriL@^O!w_Z_0%75Brr<Ba$E2)rj;>dtKgMDV+WIyPw(X zyQ!=GE!oge;pD!G)l|}Dmup)264$M7*lkj4HB-aB*4Mb#dt9^mX>>+q%OZ8%gY$&d z*dDF^7`Ex=2~UqH_a0w(tv*}UX1R7!TdU&p2P(<mzVCC0z3nTry}o2Z#?IU=e}t|k zSEUv4C>38;_FJ>nH&4|fJ36HGsB!=4uHxO=ma>+&JK4TkzFKIMTzD*Kr~TKu=$YXM z6@CZJkGZj9UO`^w-md$ra(T<%Jbk^zntk6B-q|u8?x&{P&c3thOO*2F!{WvQOT1n# z{$_1?W<lijz1P0Ky8kBZT7KNI4vif;dS(xzKP)@M74@j{&{p}xch^_9)<!R$a8gyM z^txNJ{<;^zcIz7!)`-t!31tluoXW!N9?W)7lS9^^e_7s!MMtuh#HMj?*m$YLpJ}Bq zPv*lbC34^Q1zt#q+ncyETJe$EYtMu;w?*d#y<8TOS7gg-eSiA70Odl-)`B^)1qXKT zxWDL{9Ouqk8f#7_c4yi26kHV$FK9Q47jM2%&T1-SKm90!%D0Gnx%2x<ZccuGlA-?D zY^D8NroGQ@GKI6m`EC1BETF=dBCzaHS&)!tZ{Yh0LTl#DU;B(nH^Ma_ATaP7`}4`Z z5tI4l4K0?2clR=0f4x5Dt?cH{`<bm2ehAesZ3<jjln|6~@L|)tZ3+KZK6Op7ul{Zz zHSf_spFM&_FQ07Ae$=)7X1vCuotD9E-@gVf`lA#fB{}uazVeWk℘QGfzHw@Pxzq z*DB9ted29TG0474bLu>p`Le!&|6G)}PhX!`{w>+vPuv@QPq6RGo}hZjU>$RBp}6Q} z{pwQd3CBwoh{Rdc$FlX?#t5AF>^DI`rNCLsJJ_G^rPs^Qj(?4AZ|xO4;`ufi`kcxs z&tz&a_*^h;kNuVB!j9KJI104OFFSI>r*>`IPZ^Efg1`8L|L*$U{mZ9q($!51JC6Gq zUwC_MYvI1s9N#S-EqxMqc7*nqo>a@K;S2lsJ8|jNgh`t!19Y!5m?}S0v+}z!@#Wit zA)$#E%2RSw)21tQE35E^<jnc?bKhL?rFHL?D2WSAQ`zvL>DSZvxz!I9PjX%5^4;or z%s{V@ua`~oM!dq~NhM!qofevVw$$1$|NM+6(tIo4&t#2j-TK9A&c_{#KCKROx!`m$ z<ClD&_m)Ie>&lPJ31{2a{hL>O^nOyr@$QI*lZOSan`WDQP?$W~?$7h38|vn%N4x&x znyaqmw$oQxLThg9Og7Q%4e7zTeMaqUzjdA0TkuUjA1hL(Qx#SfFl+zQjf<<M{aLZE z_w3JIv9o=o6iOpFOef24bPPQDLgjbdo1S$3If?rh8`bD|nJz2)zTy7OIV)VJ`S&#V z7B3I{b=NHU;ar(RN3TzMWTm3i(#<tV`|+MB-vWhxs{LK&=egDI<kSR)8sCKA9ktst zcPtMTZM`XXB*o%z1f!0{Z1<P8&pugHo%i{1-~)4g&dYVtRv~_Kc8E_&vzA{orFq7^ z4dt`<YR#Yg#xc#%MNrgds!u_))XYCAGaQ0mURW)@uA#TUHM{#Er`A-}iJOXS3p-Dr zsrB>7+^iirtLK``wgu6aZCMVs&HX#xull$0XS3Bqsk&{AjqhswAG|pH=#GWCep{-r z=R=NzEBrUd1iZac66jiR-rxiCB?Ug^xk_g~UO#banp)T~#by(K|D<XAB&zIw>B#=( zpSpKFzv!ZUX$&G6hY~8TotA5pb+P%h=GYs@V+!kh&)l(|`qxnWj(_~6x?hGYOqc$A z{*$uAh-qrCr|Z0&*7&ujnyWe{EeVZEx_7it@%YNr9g~dCOZ&%9oA&<mpUpe#G#-UT zTCaUx7%!q}pQ_Ch+MW_yvM1|h$JxIbk5#OGX31Yn>rQ-Po>jVc3iCbTBc<0;gId_k zb>wBfsU*FwIz78jXa5nQ=UnTU*e7P6irnrJV72R4D!=OcleRS)97>_w#v8=~qMN@9 zSQV%~^5Rw3ou*c0P=8a=XIZjpPIG4G-!jb%&v0J#ZJ|5W8ic+Di5MArKA-rDcm2g( zCSUIDdU|Wxafym2Kf1z?$fxQCzxEMK6J6G_?^2Uv_v%@@SLN{^7cQ1iD>`<iBwL}= zL`7>q=l{9s{msS`o<8{N$gS=+@oLDGtBuu7mfnXCik4NGMLiY%sP;zt@7BH@U4OWy zaY`7?nHIb8?sl^Wil^7F4{rPxH{<EtMQIT)=jPYGG>e`8;ErOCZc^`&X9l-|_vvK> z^_D(Y&<=U+Fg06bM@~og^9`B5A}<=wEOC^Y(Pr_NZ~4ES#*w#l-=zpja&q%-wQQ1k zlk%jbkfX6>dejmwJO00)qIS0|dC<e$yvN$|-Q13ts=b$AUNl$vzWQ0>8Pg4n@|#WA z9-b4qxaG+v&N&{7xFbV8sxR5fGe_QaM`Mkk^;Zw`U;Adi;(u=Q@v*9%YRKjLC(k@; z@|N6w?dZSd+MndVf39SdeXlcnT~~g%XtZ5q-GaAi_f$ni)9&91I$FB7>w{R4pNZX+ z+Yg#;)K%oPr+TfunB=MNZ<nh*zsP^P_kF$dGm?+5K2zBI_jk+_pZv-s-x+d~B;}r` zy??xDZe7T-3bvh#eI;Dq#m#(oT7IU7(4Uz}-)rt>cW`{ve5?3&-<8-;606=Ux%#~P zxy0s#$Ur~wf<=O#7EhE|IAd|$DNjHBzcW+*K5q>#Pt)Hlr0nncaplWg=h)D~hEHFQ z-d_6Y?5EJ%!A=FiwYxMIn3SKm^!8!W+1Ez?9s!p1>y3OJ=f8UQNA<#&Bezw{_pUT# zczUM7q{YTy?zc53u2<f?BPUmP@c$8ow6J@M;eXPqFCO(#4SyAucc;(p&)mAlTa~qs zHufpcXD!&k%Kz=)x)A2=UzW14TI)RIxaph3IpOU4Ig2;0eDNvwr<fRjluN$v;w#@Z z+%~x8=Fc|&#bU-Y_1Pn7i9LVM1aGdacl&<s{-i+D*}o1+&ibEnJ1e(Yce7qryie15 zizyPNE7MjvI;fh=7s`DR_Ayvh{NK?@emm{h{)wdT`BHV!_PyYbkeP<7e{elm72m0w z)NX#aYpaTy{`$N3j>!aX`gr--ZJk=b>#5A&n@bz_OnjwyKCDskgwJfPEAKQq4y+Tn z=pfSOXCD2-xq9^`?Et3jxqHu?X;xj&d3?d%6~cdQO%hlBWr~(wl*zH`lnL)w^FAMO zcW%L+_7fs?9!1N7sy`ccerJn|&ssI<$KHqY8hEBMeRp;(&S<H~lHi`Q@YdmFT92bb zrYJpLzt4V;C&!obKf1b9|5`?eCZvkmng{Fn*F0|x|Ej(0-onc6sr&3RxxGT3w%uHs z;Cew_Rbu7aFNLp*!%9DJxMuX8+$+6TQKTX6-?EiA4_)bOaY?=qSn|PsHs?xHscY(M z4jz+QEwU#o>u^1<|El6EQ!iMm3Mue-y2KZJzjfy0UXyvd&M5dcuxvY!cI6G5!|c|| z`wjcc&pa?`n~-xVIR5pTBO)vr$zLMAy>;+=^VO_!_KGbnZZooDUe24Zdf?8)6_-;F zeAJuL_oU{E09R$krS`?*uf!~$r&>?%Ix677{A07#=E_CPn_IT(u9{YIoU1=jx76_T z&nMMA-b}vF_b3D_T?qf~%JfXM;KqwpI~HpyZ~Uv~s#DKX9<$1Meq}*nr_1tq?{BpU zC%!D<4Xrm>e`wd$rcS$!9%7HCS#An@_j;oHb?el;zrUJ%nG_GstXL|0N9(_!tV;Yn zZ=vHJ6S_J-uJ!YY7E=C^zDjypiA4yDmHp;}YR*w5FC^AKI@flk*IM9<_ot_x>u0g7 z+Homse#`-Pex;**^X`ap`6t$g{;c^J{CMKKDGvo5*FK%NnxRFM$3=(J&A_s0=cZZT znh)KR3-5_n<9znh!)>~jq_;`b7W*e3POQ3T!IjgteB%%P?T=>!Ts!Dda#d-n?nnRW z<!ooA8(D7qzUxf$@9>}X`}yN_{n<7*ezwo}*YW*;GN<|@`F$O$u3RkC;o>a_wPU{a zX!qn4(XDk$Z8T!;2CS%vKDy&jK$zVGFZEv^);_-P6m~`C>yIygB#%#cd@PvNHEh=u zkB?t>+U|6^o7fd49w2Zsd>1#%)K`bvFQ)GJ(IS<6A<5dXNoLD6g&d9EEo-+O_^dmL zqhZw}^BYTN&paqron;i#`LOtIkE-75ZprH7nn~X_mCvxh)xPJz7svgxnVjxh>}0So z%lg4&7(MYlw+-iQHPK0PrMErSoD!q%;azb`%4`3bGX~zh4vQUU?wp{Vp653AT_W2l zv&r|{wq|98-O~83pm`^JV(50qexaL@GBS-bt=w48RvK*-a<QKuk|n%e+)HbfzuwOi z_YZF?ZPEL;;mgBwMXOC}&u-e}6|L>}amoSvhWSqgMcws`pZt%y#9bBgV#A}ICm3J< zeri$^9^%ox%fQxd!yjcajWwlxGPAxhtnB+0`=sE^GXBj6cO_m7to7jB9Qfu#d(P%> z@Al1CRoK^Ro#3o$H8D{_NVV*F+H=m(1JZ`qyv0;+<d;?0i_FgEJ}u3?U`vR10WatL zr0q%}yqw>RS;TjWvh4lMap^>aO@Q=v|4lImBX1WTFp_`npZ8E;&w0~XGc+?c-jFX= zslI#dM)z**xw=NRi~fE#Vp~@n&$aJNsI;U-P}2e_ty67P7oKz(G|hNBRUxZ%r>NA? zvjM9g3C?-{v6}B)mjd&R>&`PzguY!m#s2o&ZIajRx^F7{<?B=5-F<p~k?zlcm+Z2S z3|vEH6e1J(#HJT*^>H+PoM*ZEK$-na!TOzNs+F8FSr*4+?v$Ep?`xNBW-W3wbdRH| z&*IAS+H<V$Bn73h2L26MocKW1pJ$%I1U*N_ve1vlH$<03_$IAjy!gUJNHbGbIQQOT zKh?6hpDQL$I8!0}@ywO|!5zA@^l#rx^StaCV!!)a;3N*W$bF@k`t2j^KlH!)#4_pO zU&jcgg?Vz9n}18IU0#&q`lYw;=#fQt`8Pl9*4Pkja;GhX>-{~Ub(_`(b<g}fckbUM zKN=TwbOpp!WnW@v`XjX2-Nxl#k&F4B#E+cwZ>|xZ+BT)vw>i`zE9do5)n&eazrS2H zxmQP~Wg1H(+cr5*riJ<QewFbCx4xZo|1j@m`Rgm+tIrSBlRK!&x?`rxU)d9Tvjlc8 zt?3a>I8ohpmc8+}MlI8dhsyU}+c&R>T=e-s*Zh~6_4hMHzb^`|GzfZogw@-~zPrw> zg>A)_J5w%x{lk~JfsN<B=(i<%YXr<SYl^tumwnayf5f|AfWIz2es`em-lSDcJ#!Cy zU0TW<=-0{p*)IKT)FQcmMi~)vE>_wGU2(Sj&hsc{-g4m&KewECd3odX*SD3vCM_st zu<SEBEBWKq0(;XtMN|Jy)qd0VZr7XgHS#A8zK#;UR<uX+^TCDXCv4^_-ZR^`RkAir zK}?Kw>ccptu0_SXk98c5`xQD{`NykkzUy0gQu0MgJD=vOsrIYS>GCi2DO5RY(f8?Y z(zDZ-m%q|@eNS22d%pGKSz%T-^D0%pbTO=7z^3{A^chwD{8tHiYcft*sw@5VNc}3z zYPS1g>&K<@g-^TQcllH&=J!6y>bbM<_aMeSNjsMcX_xrdd|%*|9w#m@l4n_Q@R|F! zrAy!MU_F%C5}Fd{Z!jSzIJ9f^>I0J5T0$pQDmf(_nV)j`u~}NM(m}=N<@<XyR_RPx z_v_g=*AHv!)`X<FlzlyZKJ$F&s-6867iPS3^a`%ks$6~TjHOPJrR2Sp{3cp8*BFi; zO4uAYxpmHh@>hEVPH%hMyGMG5+!Nj-k@c7F-}Q)jepdIY)cG$bCw-aC7Vu>m|Bch% z)*lM7^YmWJCil~@W9$BzuXI-b-Yb!Haf7pc;Okd!TSVs`51&wW<IIApI5{1u1D{Um z?w{{`dc&2Rtvu;U-~N2srny1-+>dW8b40`#d^at=X!E-Fe(Z@wMFOX0UozG9|G!nk zBCtOu!m{w+55ebWWV@s0F|W*;efMichH%iwxM?fQ-k&aa@`=0ujn#2QaNW~`KhJdL zJU-zd8k13Z+Em<X-Ia|uZ|b`qt50%OUpR52U2(_@n|((v9WdqWZQN1R@{QZ2WE0C_ zq1PuY;~Z)$Kd6W8%&eSpBqlp+t;mjplNHZz-kflCyTYHjmg~;#F*lmJc;UfA`@9~M zq+FQRvn$_QbIt;(OU~tTOZRRN71&a+bhoo1(<7t)f3|8_t8a_8$ez2R`!G9IX^E}P z?_SprKYyK1ka>B>SHk@7h42-chSFV2{;YY(xM!(-NbaVRKiBh*@XN3H67%i`tAtSO z!PuEUE7+b!$UN+uc!W3IDS5Z^rIp*_C%(EVAP^?r#S*ve*`=;s7o9I|iixxEs#JIP zd%E3x^Nh6*_e^BjKk1&>%%Jr31|c2${Ew;miLP)h|Gcu~Y0L)6lAw2XRypriw<{cK zl=7C|uV;0y^=Q%JWObiX&vhpP<=LiAw5~nrXntH&`}x^^gSm^A<@?XpzL&M;hDW$} z#@VzB;zFq(j&Vjz5@|Rh)$vnSL271!x7vhqeR0QanJ*5lNj@^=f%}o-`b^8N{VR^f zw|cw}5L+pgKkW|h_PfVr1n({@kXb5FI{Wadw%fc@^hNdAPJd5r-Wqx3?T-n&3Qjm> zn;dU<RkELXDrk`y_kn!wpJ(2Q)zrvYr%pI^Zh_jx*C$hLd-=aH_eow8w()-brkm@X z)xxFc#EZ(FIo$tTa`YnaI(3UDli4J1CokV<_3HVywG|n27KkmoRS;;aw5O?5uy*ms z-fdg-3Tin5r*AjvJKlV1TY5HY*^Lu-|FZcjRC&)0s$KP)ao*oM$9t80c1-=@f81GJ zy5mz&!O@mSZ%#(ft?V$|*I46T-l5Gj>GQv%&S^^@I4_v@+5VO3|Af@`N8u-2HpX&H z`0!}w^zNR|(>$kKPC9sIiqhfVccV@n?7E@PbMt>hTk4l{v-|Vbxld|&Bg6mm;OXo{ zua%EWnICs}|4&K^<a+n)=bp3Nx?3aHusyq1DtuU7p7#-}S7^6yyzisMi>|x8*F97{ zbIP-fqPtlqZXD_|Y;3!7d*Pm^`=bjhY~3DcM?@(Jo0fK3d}8*vUmuh3?@(0CTF1>n zD?|*JO`XsBtA6gU^yg{3YuQ4wd=CXPKic`hS-mc$+U|aP#l$)(&Zenf0+rmlIz=X} zS$QJmT=9~S@)LEX2R@ZD=P{-;<Q%z_VV10FXw0S6wSsy19C`aB1%>au5*zA6CcH2< zl+6AwsHl0Xct))K$ysU1(p9^+Y|i-ldd&ysZxS!hZobjYFS#ei&o8Z3@|vmH-<L1U zE+tDf$~NAZE}8pk%fpj*ugrhfvT}A_ooiCEnBCjj=#3d$^Rp^%XWd-0L^m*6%k5Hj zVY^jSeD1}HJ9hPdeg*9*WU;E5x_937W!1ZuH;WyqimHkz*jJl#{ph!qx*L2&->qRS zVOrfj%j)p`=xnF@<Fdv3HT}&qKj_?>GTB3Hi^9Rhjm_rz&XX2wxh>^rzV*gtwvu%r zt$o=u4JS_IOucYs@>BMm8gs9i-MYn;dC-625vHZgu}YjrrXFmqx9+ie9Gd$}uw-AQ zki&w~eT?f~Tc`8)=*sPnGBa#4+N!U!>H4bk`tMilxU$FM$PqT{Yj2_xXRZ0m+xtG| zWd6>UNvh2K<?DYYaC|s+Fn{Kf%nM34=42Y|6TNR_SpCdnQEJi&LB;;YLuqx{x2^@e zNtt!AyF;*<W3~j}S((X)oe~||_4*q-8=D!|^6-fncBU!ayQ{pDTSkM2FXznnkR*wu zWeEolFr4)858je8cjN3y4<DOqilnc;cmEIfpIdv+oS9UgxKCl4S#is(?_XYPod5qZ z^WnwQH5Z#~uFI9@eV@Pcfw9n>Ur+b{*&90T@zM9%$9df%4S799eBHb^yxbt_A-HhH zW&`(6oEKG_)ICpXh<@N)IcZ}01eIN!J14B1q$;??bMpaJ0mn;?hK<#SlCGXk^h^v? zbkteF7U-aKs-;QmaOT9G$&Z#iRDa+pUZXv4^P}K4hN<(#PG3=yy#08x_vEf+j+0qK z<v$B~Pc2cLpmI|^@U3}LghFe^?R#%OujA0awe``Zh={W-iM_rI&FP7M?Hm65KXkRe z?9KoB59jCo{VzQKzfaSD@&7snKkk3C*ZZGsH?7~HI$@=m?yIw3Dzz_M+p^s{_w&-_ zN=APkuAlr>{{5Go?xE}6v(5f<$eyi!O8&?9et*9_<<(g;@&2ikbAAXdW?)cZI3Oad z(9+r_V4KrZd_jCOU#l1g8-KflQ=4mBgJWY$D+@a>b8`b*b3?<zgDmWdaRM4Gtpb*L z`%WME%C#+yspLhO^+vz*rPi5OzrHB3)}1*;_I}X2V_$yO9nf3;@}gs8+UZ5}ZPL$e ztSp}x9c=y1PIddE*DHTy6z!NYaowJ)x7XHh6~Db!!oT3vSCxbQ!G$bOYAx2CIGb%7 zn)k`<#;Qq2tg1g|Dm_?dx$DN4xSaEEb8Tl=fBJW4)nt}A)j#=#Y-XI<^U*G9;_sR~ zb1%NR`)i(kS{!k<rZ7%p^{*#+=H6*B6_t#fYohzl{i!)oR&?4ze`;_|)wWxzm-YAV zImgjr-LJQ+_CS8oWy|#w(rbCP?NVi4zh}=q4u`6KKKq&jjFsARuAeA5U$a;7qss1b z`7irYK2*ks?{<B7zQ#82qu*h7{$Kl!`Y<u}{dV1beqZdzj}h$U{X$Hhp2iOM#5F}3 z-Htm4J)XX9-It0@_T~LzOd65K!gKY5{FwUs+?S}=bANhtvhTg!Vb)1p>F;db32Xk@ zR^|2RwEw$%#jI9SKF_m|7t)TqU%l$l(P{l<Z~tES^7+zyxe3aDcx29Z3;p5QHT%(J zxxIPQS#uiPv+eT3&Q-ScO?UlVXM1<<M@#>>{(dHv+wQ{o`A-`wQ~R#FK6zhb+x(HI z+MWN)zqE*^_;B+jC-md~oosp3?w&vQeUMHQV|2X=|NY%ImslUZpU3yszJ1yD&GX|z zTA6va_lZi{+wBtnR3SG{&Xmbz+V=DsyJNk~GP3fgv+V75HB>U4e_8jt<LCR?xAiw9 z&hPJ&*A9z!_n%)?-SYk>V@LVNc)R(JT^V2Xt=G1dE9&8h-Ff}t@+ZYxT-Z`$55*~b z7x??~=RRTo+2$LjwHf$F{tmXk)GM)+_ryB`zL(ciVkH*uI{K$h=7gC+la$e(+xxe> zNhwrY&R?wmbHdeLi5X>^WpAxdHP7yodi3j+{f+I0O<!2<cYThD%?qtmw7>bsuKW9j z)Gfv*zHa*W`D1H!LWCs4e&y5K_P#$}dw7$g_LCXgU0-PaX)yBcE@d_0c%xRudiU#% z8+m`M_VQ^b$b9RXU-QeWPEm%fZsHz=dvSTwYuZZp7r52V%-{R<8uzwsbwYDv?)pi4 z?M<nE_q}QV_prZ(>g%s?>5KeXVSBmug=DRJ<#S!u_m5aT&p-Psa`0H!|5eH#(q<m{ zT7Fw`$$6W?on;vxI;7t2dcUeKV#{2~Z;G$$p3Ryfvo1*Hd-AKAY>C_7Ol;ccH{>4a zc`4SVR(|N#`CCWJwbpGH_<g9ACBEgB^IpB4yc#$2wOseOcHaK~<3X$6$CBcPrusrT z#iOoub3T;2@a#<F)3q>OTBEVurC9Lah6bk}ai5>AeZTgD>DCKZ^k)@6y~MZrM(V8p z`}lS~dHY1Ec29Irbp0OxkVM05jar7yjNkt$ir=`g{{LMWhK7G}eD~#UewsZ0yQ_F^ z`ySD%9ZL%~Z)hr+@b{3Xa>9GQ<3SHE9n@Phb>q~{AD<m!+WvRm{ZO+u3p*+Qvs={f zaPsqQKF~SM`aq=U8RcaQYInT(=x?+<`Qhuf-xcD{XWnk@6%rD=efGR+v$n{ZdsE$) zPmof&a_?TQru5fs*J>0CVq%)_F&@5j+Eio0d+n{fjlwnG%%t@f-$<Y2Zq9Z*|LOCC z*(=^&-hUu_+Mk!<Rt7mKne|V**SBf~-PCW{eS5{_S64b-Yus43+px~`kJ3VOrRaHn zH~&0e@XxV!*{(gRFSS4YegFK&@5M{<Pk$@o<6PHg_V(8#-n~~(A3yN9B{$q=ZF2g1 z-}>DeA}12f?}!QQXYMc%>aYn?xHQ4wPNTplTlSeU!a*Ke4dyE>c*$3K_5ADRO>bVG zvvqj*`a`4k$LJW@Yrb<G`KNyV#QWw*Vw~i%g^kBv=RV0bQmtyJ_fbx}B-py<AJZ4} zD;}P|^#dZNvlK3o^i`br*wN>wnPg)O!;G3sUmM@4lzjDL<y+P<x4~@_gOZos5p(VB zcjHApr?<{bXL7te&-M1F3!m(ixOMM-TitKk7kqVU&U2X;bHC|M+px=)U%YSk>9S|S z9X$13%THb1|1L*P^nQtX)C+0;?1p}sCtgKbo8Ak)n}4HLe}RhHG);jzUH*h%3!~6p zqw6gR;W}S0OE%UV+GNd{HB;x{-dVlo%v;>p<}P_WS=3Jb@@uJR?pU25IsYGl3iiPr z{>=|fK5;J!Tdz?pDXJ8-H`cq7xqD5CMs0qE)rRjMZ)Qz$PuXEy#_YaS^}(;}AN?f% zJg*Q~Yar-xImSW5{625K;556RKirjfI=#~f5EIuB^|~yT5Vv*fGa+s6m+uVrHA(&{ z_{g#Se*Q`^=CW_RTuh1_d|l0Z9)?>eJbe&#uPiwA*zTvFt@C92wto2=a7};rntj@@ z(_CGQRgyF!_@_#)?LO1G?Dk@_+nvuI1q+t@ZA%Y&=+e}mv3qJ}Q-8Me&*fPi!j*ar zlip28*ICM`9IpAAYtQOg8=hVA?hSEVdU*NC+E;BYvp#DnS(_~U5SzKH{#%+`llM|C z*}|OD2|P_{cWP@^h1S^09&tXod4I3b;;rvn8J4|%;rvavskp$9cSU(fj_C1o53H8j zxbMAjz;YY6;;HQyey2`8V7ZlHa`)5E@fqJgybb%_-L^pH_(q-QOK17Kzp%M}PZDRy z8M}=-OWboGf3<Jkmp(&$`Q^6b-#<(%Np_mv7<_lplv1sw#kpx-=ieGWGSuSVSeWqc zR!C}p*Qw;9AN{V6I<8md1oY~~R809%+7>ry)`T+O<<i*?Oe2ya;)~uVFVt2mX>M?> zJpJ|Uv_E>;lP2!FEAndAQitPCD@<lBa+vq;hlYvt2c?crX$#k|{<+v8<Q+Zz#7w0! z&RwrV+@?Eae=}9{mi*ZJukobu0zS8$`Ik!{a_;NPwaS$*?Az`3Am=s1#&dE)TydTv zdwXw`Rvc#HaMr3)5_a>Pmz%b2??&;H3HOyBD0IC0bU3|vr}0N-n-yE71bcaxr0C4! zXk)zQk$7|A@dsUjD-<+~!ykIwaNYcXvq|sxk2Q6H6BjwlvrbM>`pWii!S;B`Wn0eN z-1#v~@Xn&d1+pO`4F8KHc5Zyk_v1qED}joS?s2xJ&o@-9NSN*s`s95}&MZNV+M|&( zQXj5(eXDy5k6_x=8{5QRybWnQeR=YKzxP4E1v5UcUF+u1_1SK>(%jbyAJi}N|J!U4 zTb8uY{l@~ojiSzfB!1nsi#KyRtU0;h=}bu{!|t7J>W6t&88wS^OkQ;AZ{V{zKIfMB zN(yfNt5mbme!;)P*JWHg$|o(dxTvrpI{!{Ri`V6LpY4jScE1jMO3|qEJpb<Ds?F93 z2T$-GTUc6m>)OOuiz0Y3?=Rjbx^udyQ=+T1b@Zcm0p%Pa9@Z~wy)_KER5xTFe)0Qo zoBR2?mMiO<gS6+QsEDtSsQbQ~>F)m|<pnbzS~>rhUgDtYVP|o}ZRG)<Re8P3k4(_% z)#5emxp-$=ccy&Di67zWed~iCKHM(yZgYV)hh@vg+}L?)XPFj$v+sVVb*^Tq_yeK2 z_r9dp&fgJStCspAWBxnMk4G<grc4cvIAOM`Hn4bJ`}HNlPByWtl`KLIE%1ww3f3sN zA0{qTHzV!2(vrp5{$}A9^?R@7*7r+WsJ)MJ`MJ|iU8l}P{m$F2Sn)Oe7k^4?Traq~ zreVKyb${!m7Xj;bEq^sd?#jIy@mFk)>3(Oc<#Ghw>g}7=Og1D$6y`_@SR8iSQXRB9 z#9H~zy~}5cCp@WH^yGccvP~-T7X)ASR0Q^FZ7GhLvG(->#w^7R)AqdXd|LbH&gK~( zzkg55PX4%X=aJ)Aw9>B}*zq+_Mfov*2Fo#)7u5%wSi?@6@$X%Bnjz-?a;cl2ZeCx@ z7d!dN_J0|x>ufupIMz)5Q+K>8LF3zV-(>$^{t`>L6@OY@RZU*<gV*rE3dY^1o-)NU zPFAVtd+#f%6F&Xro@4!s15Iv6%wu?SC4J?IzqRpP_OtSzWgPsuo25XnVL=VA$_KN) zx}5n3T(#cRrVBO-`gT~@HF0OX6@PZqS|W1a-cx>kw*NND2TJgX+nlbr*cSLG*y!+r zRcbEF5Av*Rp7dl*_QT@PXI}SPW(9kdg|?KbZsqLJ$&cq+oHFn0x%v&)c%T1XpY(k@ zvys@0TS<Oj7N~w&uvBYGhQ_^JI}AFG?RgcX<Hh5cG-bagtGv{-tv7`&tgmH;y}dZA zVEOX0_4?+yUxOyGi<meWw7$Jzl>PH&edx^Qoj>QFTgJWB_soM?VjKFR!~Y0{-PGaV zeLel-mCJRjR&Uq5miWf*#XRnpS#Ql&|9!c<K<~G^z_I7fRzXLn1;^LkHOnaC@{lRH z=<ws~(Ovt0cr-4}Y&Y!SJ=hoexB77Fv+RT{p2-XK{PedK=iDpSkPOU_XwCU{S^huk z;nwJGjr9?B_dHHm_*e_EJN@NKR-g3MI?j2<UirS}u;8tW_mu}qZs}*e74Yo)+)b`p zmi&JV0vT6K3*YTk(PK9y|KIZKKQ#NR%O0gOobJ7-dD*F}$jQzkp!G|c@`iiObEN+C z&S8JJp(cNJX8Xsp4%Hk6M%%6)bM+Ar_AB4EIk3L5>T{Y*b<U$uxf?%r&6xOJQl&jX zSm*1*fQN4{v>ND?MSCyjO-!v`^Zrw@SXiG)$t^kKhbM2@rfoR#`jyVR{Q^^t7S^nC zeP(^HS7*ZCX_r5$Ewg?hc;BpdtL@Tn*%OSE79=)L;xSv$V7DSkq3UIVm`_NcLhG*| z-|kvp+!on<^5|pnw}Rzwc<R=C2}<Fcb@?4vsfp#@6K;_QUH4rvX5!iNns=uh|C2aP zr=WE#4Fx&Nm6dwjPxz@>nFLEsxG8djO@DFz1)*J^ZXYbTTQFnp+x7YPXCFRU&t$We z_4^^C(jAv_Bu>U3=;F$co&Wc3=*_2pwkHUFshlb%9U=3)WNGenO@TUj$E#&O#7<pv zHJ{P!xMqQTv(+{yqvOi5+Q+|q+HUgeSIoMr8j5jmw%WKA+udPtR9L4c=6Q6Q;clTF zbGUf_pYgd_77@t1mirL%-^EP~uU&VX-aGT`8i}b>yStjUT>Gq)d4c^{y|&8UIs5i) z-r)AvV<E%SQklc6Y_{dDf1ecRnbKOhHP$Nk;E$MEof7N1&G}^qT0h@Zd)>4~^pBzk zFaP{WLBCWIwfpC*UFu2LJmGGJ*^4>lN_!vd`YLy3^-uRbd~R3wn!K{|d}Xre$%C-9 zEzb@<{j97%>%gQL0uKA_8KrmgNFDn+SyFqF_5u4Pr<qb?zI12HwDc&gb$J_?XyIM( z?DO5H;(D^{<j?nJPLSokyXs`_^+$dJ)!$gZlxUV}Tz8AAcUb#x?jDJY=PzGyx7gQ~ z@+8vaUcQivQ!n3!7vX*a>LOoH9oz3Q|A(UGEUp@_P>E@o>SllT{Xgc$C>2!1X#Uo> zKK@+QpDzq2S+>3xyQcEu*V}sC&b14e-!nQG&6;*Fhe10_aZ-X}`ve!&R!;SsZ<lp3 z3#uMI&o^Zs+vE-VMEQ+M>+XJg;>K`O^lSXoUmlCBU)4w@h%7r99_Y8N`FWqRRmke@ z*p{Ede|)`r8l0H|es1}wu`I87ikh;1zK2!*9@#GYbtxwgZSbGWchSmqPK7Gxrd{<l zynh4g=DiR6k~JqKd^$()&Si}90p&NsHuo+MJR&$-PuZzJVcJoa^Z$Jvn)WmOxveyv zPwv|CsYxa$rpl!%JknkNseH*Lr{tHHisXM;tayKH$>pUSx?&MiXYEW)+q?aQ=+>=k zl%8n?6x^ux6lI(KYhllJCuM$KeeTcg)*HN-zf9?Pl2D<>y@%1r#9{{X@+EP0i6T`q z{~i7n-%($&%$|GIvX_<TE`Dc9X}?pxGWXWUGo8j;vd+CqdDia`bkef3BW>k_`tNs` zQ#SdqHx)iG_#S(#fBE?YE<KaS8{gC)XnEqcy5Y)68QDGQrHj(N4mKN3trVE|U{8O^ zrFZj{_*`6hbLty~5B%xl@o&yJa@Wy%pWKeV>F<6m{#O?LcGJqKI?aq1e({_L)VgfR zuqCeW`mxRfS^ImGzgRqy^tZ8RXRM!gWWw*I`CN5D&zd4G7H+7T&isS_fMqn3cXeay z`Ie6YE~|d(2)~PZ``5aHul>-i-nO_{kE_crw=d)qRhn>k+ZFzU)e#$&v^8J+<*_nt zWxlXK>h}9CO_Q^;PZ~-r?mKxPHf&|iZR4U?_Fr2aPMEL-wY{o)@t^Iz$*p4bmrri4 z|0jHO=8u^lzwYGsSFf7&$@>ET#%0yK?bh7Zog!VzlXRZ`*Sfk%a`PT>W~(*H4>t5% zyR(nuYsT*^-}3T+Gm}HSg2PlDKXo3N#_+ZwzG2yseDkV6J<DaGLUmIn1Pe)2zdcnL zx|-$xqo2R@I|Lj#9De>|Uh4bpMCk8FnUkxH&aV=y39bpQ3Sv4aI5#L_&keSelm$of zH`NIkaP-P1@mzKY;E>p;P_kHoXZeD}wVWnvC5$qs3C{hHsT2L?`qlc&&HpApQ(5~_ z@tD^mz1Ib=YgL38|M!Qf{hr^nq}l7gQ_d5=BEROxzv@c2t&2>)7~sLew*Awo|HAA4 zJGU<W;&$l2`%dpCow=8PYc3Rbzpp2Em@Vh$^L^*nuQ%RiJN>=Ixl5i7y+%(;E|ul@ zJ(u{^xg;q-P|@i9ua!%mY>asNBb?R5?2gZpnIWqJ^Lm~Y&35IV9BFO*DCW-LZ7&kf zSb5re%~%t$^MvxuDYZ5cvZ~*L<I=SI+?LFY5Z{}~bM^mXE7qK&|5L(F7hkWwxY(dR zSLe#+u%7B&iTAd0_-|%><Wp&_B@yD&^LD?Liss4sgiq@$cKlr5q#?cb_xGv)qa8lU z$9}Yb^vLnakx5@C{Jek9YWx4oZ@>L1d^x{&^~J?&^MhaOe)*r0@b~e*^yqv0BYsU+ zKlop3PVxUxqhzK3-Sx9e{%^lqpY^Zz(Ek2Qe{b(P9RKXr|Aqat8jVl>X_r%HXSA_u zjoUf7;Qo>s(|&K;9-_2&-bdluDK{1^(K&faYDxO!W9(fK!etAuq*XYXY3Q-YaLv9I zQJ3H$!Srm??!Tw@tG)cR-~G`3uu`c8lXOA9MNB0Nw$`qyQ5E?;HBw;b4W<^!_-sWc z4#(zI4pRT?zh13h+rl`bY=!0-o^Xl7A`yE9EI;Z?3+jIRZ!hBDGI`p4|1S#XnI;w9 zU|4vrVzFbZ+EdSf42A#?3kxL^6D=JbHDx6g3mqF16&oEL8zU<%GZhP66$?EZB^^E8 z#IOvf3k?<T=DHT}as+KS@ySD{)hW)#ENe%C1KXT->1ZQEi!_yGt-Lp5zMe{U@iNZ* zP_8;9xA)TBykpNC);%kIuN*AiKfTa1Sn)!r?*9_gxi&Wszp&J{zJ8?AuOR*IF~h!D zr57e%UH4f>`_|T*2PXfO-*oDwA@4Qu)z%(S_7>}}uekZv;BQvjhHBS^Pv`u7;1Ff> z<~t+5hR}`*j?ZZie!qNhHr2<T;b^yng2A7lNb|!lnm2B9JsmP7b^83tH(H)-ZT|AW zTlw%Tj^?EoIxi;8Zwt77vSa_ZApSt+ea>q-dg^AK*)DgR+a%8|Uf#gXWJdTaVTS9W zO`f(lpXDo@x3$iC5+>1<QJ^!msI6!1n-u0lS658RjCi(vrTFKY)!%OK`LoG~YeU(~ z$hs-vr(SL_m)p0k<*&|ScH@|J3*$~ipSH_5eRAJ@+ZeqHueYve3d>Vkox2!$epzw# zE>i08KbV)lwOiOM_2B=2?xy*V{^xu;DR$`Xu9xL2P9Avu=%t9=i_aq4uU)b%db4wn zOO4g(@_iM~XKto^)6<xKEK5(m=w@Tz>?DWKkc#B2pa~MKr@lx|d3^k=a!6sv{2Bw{ z2lj8AQsOq1efRzJXl_i-oa%*Ee|oM=`&+E6b=foT=A`)yzap&~g>~u-c7@K3*z?Ia zc%Hhr$yJYYwwJwAwV8fjxfTDQyl@+r-xKLwe14yzL}C~GY0H}s=y^YH*@P~6J1+6N z=8KtTcv}i_J6*i+z`;-<TXTxK*_<zL1wZq?m(5rxuqVdg^fT+C;O*vh*TVH$zXysl z<eL`QAAR*XtoH(&{_!Z&=DAja_twizsL0;FV#?>+5sYdIj_xnC-hXGXe>F{2(`aKy zYJ*6;<W-|7vhzwZZy4X-dUd|fez(0ZKYx69Y<`#W;fspXedfu!85Y_m>#HBu5-gk; zza`0`NkB~A{T+A322S&Oi?(aN>Wj}rXK<b<@fH!YVY;~QQMSc}4fm&L<V~qmYO!E8 zerG%BoP*IvKhI$2x0xBL71fnfKdd!=pLcTK((H|Dd`s8crL=h(@J|1@YGc(7_ZGu4 zv)hiQPv0(@V0^;5_{jD-$%m>u*lvag{cxG~Na2^6J!|AzwZw*BJ2qzBo)jz<B3;Ph z^L&ky?o^MRuRRie<W03*>h31L{nh7!P!+cATQoA5yX+3|-Q3WAa>GtGrn8!-dEU;Q z{rj8Ku7}?aU$vdJx0Kt*vGQFiuaMh~mdeWq3izU5*KhnP=1^!Fd;P@&KI4c2Nw)Iq z5AqJ))pcli@b2BacLEAKvJ2FA>~`L;;9&l|=YQ{2|NnpO`qpLVUe2radv6;Yy!G6y zySqc3RO%1CyQydWYlr{l9Cjb>DJRc6*ewuy`1qOE_sNcz+A;z*^QHE%hzj-oG3H*k zC_Uxf#LfxLzfK9VD&5aB=<k_P)mt;~=4n4Z;o8lX_wRcM1<Q5WFRVV=Q1Z)G@~{wF zb%pQuo|957#cW-ln}sKRT$EaQMssD)#Cy`s^&QLW7BQt)FfTsL&-~$vkmHp*f<=<1 zxn3%qOZ)z=H8|~8ReWXDS&?1wK6$G$#n(#f<ph=6?9w`Q{MPl==4r3z?LNOcIP}>x zr(63!x9M%&753_-){1$5Z*95S8gD&y_8pr{@wv$!(I@}J`5M2!dGt!)r`P?5E?j%- zwD4S|b#vLum7nFCm#!>qSf@Yx6r+kWU*?`K-@3Mm+owJ<*&FuY1@|ZU7b?{fr!UHS z%{PzS9pJT8qd7jW$Z<Jyz+MkQ+tx^Xp2F$P@gb*H_RQdNbG3AN!~45tW!$O>Ich5P zaojCcxpBWZZiQ{K`<Y{zCI7eTuSnUyDYnUr4C;1Q{#5vIW$$sJO;RhKmp$CK<#k0$ zhmq5p<0lFjn=gHPclFd1hk|qYhhuyH#s2rMzid~2ds=8q0OQLDj<wVO1qO&tdQ@v7 zF1={w61(3wzAH2BekgmFv#?m?+RvFwkLP`fYT8)x?1<W8RliI76wl9W<&sY2a&z}F ztBTXt)=pY|t3Q%)9_u09BP$aB1=Pw%U0>E(|0iO8`Qar;g5TY(-oYs2=znmja>`*1 z!>7UrGkQBZ4^B`O@T%+Gusk3$^(<TF(bEa5O+#K7at5ze>bPRDPI?<dlzr&Kw|^$} z^x7t0oUrtRzeMt<N1cW%<ukRGG1e;Gx%56I`pkuU@A?;SxW8G({PKtU_oG#-`CUuh zwK~o?&i44%bF3{_@TK@)O}*X?#ocS=W(mBHTd-{L_k)iP*PS_?pZfcj1^*u9+#WXR z9`ksfP@zkHjzL<nLGRz~xOsH;p2EX-`q}2)%CC~!zo(y1Z^F&L`484x%g4_8zHa)f z$161Ve{WFdN;+1RbBjG8%~M^RWz}!yQ+W!3x7sH&ojJSht^?b~B}uE_Z2EX@E&GKO zCT3a9TgK60FElQ4ZHtlZ)tjweW5n60<aTb)jUSpndzNqc?Vcmt(;#Zt`<W?y{o{@O zzt>3|i%smF&g$?!*~W3J2hWO!1{bqGMdfGm1)Z6nc<^|Vn*T>ByGy^8O#CWQ`aCK0 z{N?R-(+)1Na+VOho>be<+PUvx=#m+$B^3FSiu9kXsp}AR+Ac2PtDjVy&-%Hp<Gj<2 z3kMi9J_b23tv6tCYU>o5$D_ZGdnpeO$8>e$gPi)Vg3H@yX7WuaQ}h;LS;lbm`a_{c zgDt{`tgfX9wp=>&;wZ1d{k+zn0o%P7oS(MvO)8_~j(<KLqPrSPHauzNS(ws)FZk-z zN!)ro50tAHu@pV`+ErM}dB*-`P-#}@w)5;-@isxNnue;cPS0MuVecoO)Bt7~TcPQu zUuUOvY}8>-+bn%_iKgt@^biZzlLyw>d0(3*WPhyodt2f~<9Ubb%(TVpoW5>mZad8W zwr*8w$8FyAN@vog-?TIxRi16QXsvrwq}}41>4Nj?dZTOhW#73mRikB6_JgxCw+OA2 zYql_0dil3{t~Qfw;7TQp?V_&p>z{?c(@gl8$bPP+(JNeV#g=&@CEb31O23BwE-ie* za8-WN!K<a_3BFSLeX6^=8eTarnDwk;<;6+O>Fj?cE|zs&W&FN!b>QhGo=bo4&B|2O za^Glppnvba#JnoAmSb|-$7eY{-u>3^(DE+Z=l)Ta^Ph@m#@lGj)16;--eSv%_2B|r zMT6?DZ@kfSDd?Go=n>^-TuvEWeg!VdM|LI(7Jd|tzPjx4JC1!n3%YGY91bz8neyEJ zbN9pWG{JSQM_pRpemFMi?FHuih~;;Ab&V_2PCYMscKPRwofb1Lw%F{nN&i}(<tv`F zwSMP;J(<sq>*OwQ2yd3Y+Yl0S)Hftac&m)8D|_S4i0QxNZ*jG$vRY03Hfcxhg7Rx@ zzWg1Tvd_$PU#+q$?3Z@EbS~**gq8kvn>9Ob#P>M+>vzj2)f9ZVw(pOe!K}4kPTWa& zu3B*MklcsvHPhp~>TN=#3wN3>TL16ZM3oDP9&@^z=W%{;^=x7excfo4ajNgHL-I`- z?sxP&du8Y7zMdfQ=3bEUE0$=*?*-?tghXAes*pJQ<zKU=>y5w|VN1i^b|1^r&e^5f zD+C^KyqVawXN!W{nKxS%d(WHcdoDWN5o##Xcy(j3r}0#2jfSTp{2nW^T=jU&Ihi<; z9~W;G<|}=6%)@Ar(r&XG-y;kwl~UX9UGuDVPt%=V6ZG!=;p8`6?*b-fRdTmazZmc@ z>cm~9<A=UJY(8oJW8;Mh{jY8ry1ra^UAoM|>9yC6Xh+_e`SY0dzWGJZUB2D)_T<Yt zi=HVx-+SS4ZE)AiDCu`RQCTjZQaD!jKB$g)_k6*`aQ3OIQY_+ZUP_#3Ulg=ZKtn=a zCVctMpc!`}Y_7bt-oLl>*!h~pp?fw9q$}Jto&4G1Yf}B5bxiKvZ$%>2&t)$@8Iyl} z=M~S1r}hc$u6i_?Gcv_KZHcnvyO=-QS87?jinmS9lk0T7|6TWeuJ%#oy@olTzP`Qq ztz)9Q)zv-iuNFTk7S8<2AmsbpBiF+FQCe-U+z!?U5^GMsX#Dcfzb|~tg+;}4(hbXJ z+Pi;>+jO2YLF%f>?kDT6P0R{?^~jq0fmi3zDf^SV`~zZNF42*D8Zx8H`vQO1qGPq} zI)xMGEU;Pp^0wD`59TkSe%+@6de?lAzRpu|?WNxwk@}BM#8=&3^<C7H?S+DOq<6-3 z+e)t6O4>76pM7~beZrp*=fx9N|JcU(&F1Ud51zW;HkF27j>%{?x8r_0J2}Cs^p(UR z@4&-9TQyg3#{O+xIOCqtvP_Sa6S;)<*H8XsrXjNM#rFdhD?+#yG%1(Kxu!q2-g)d~ zXHwLqm+x99l}PHW@Lehz_3+%nS0d~6Ha?oN^1s8icw4m;`B@*oE5$9@YBBR<)bX>Y z_V&7|ALeKlm)a8P$Qya8abAa=|71_+*qiMpQ5m|9#uuWPa!Tgs&pCYCM2LO*n<a1a zjsN`d2%gDVEyAp491zFUlD{SSu=9KFJ2wsM<{nX9>Ti5($r{%)ZPNBBp3iUoG+>>& zb*26sDF?0g=%zZ8l+;Dn6>hx@de{B6ZI2;i>qI3Rsa5k_#NVoEUc6vmFnK}LUD;ea zyXTu<HJ-RUf9s^8x8f1IJ?2l`9UySu*h_qdi{zv!pV!QFFn3ubdiG>8`|T9Y*XK9S z)eu^5yXDrufJK7G=PD>~3U^v*HQk`yFY#x}R{4jn9(QFU51jc}ApUN4tHX?k1(ClQ z*-p+p6u{{twk_bj^0h3tWY-N9`|sph`g}MXyX$wSL5B1^Hh;VGcOMzg+TVC~_O<go z+j?#lTcn4%X)X9^6tcsk#Q8jvW%N4l=W}|0KRp}6mJ}N%5#J)R`kJzQYRL8J=H;q8 zoUAw!!k+~!*s(FCM<n{&idjp;mOpv<<)qlZ1!r3F0<J|Z3+pV%6!e*4!2Ww(=k!Vw z6W@f1kx}>7FUj;OZatFQI%}TzJF66ZK4sQ)miNMYgB!nH?zqVk8g+Z~Cx!!T(|hB! zc{?QkDb;+{OWIMm^rTopx^uwM{x>SjWqh)aoQ@uy{nBUIy$}13MJ?Kq^5(VuyxBDq zQr!+jIH#4Vt(>HO<lj7ovIdnuYS#{bQ$Bwu_K4@ahs`q`+3y?9)zSES@bKrOid^}B z_MN@IwKSKr_Ls2v#+*OJAGfrqU$bjd*>b(3eOKux`NG#-w@ntcR4q($c53@B99d<w z;GN*s1%1iC+~n8nm>65oRvIAlGwXtP(Sn$D_R{NvLR)HY)=5{TD^&SrMMlI$&OB%G zu^{ZVPxHgfe|5Dx4Sj!~{aq=Ndiu#a*98YXrf|<%_S*W|+pN6DB5G{c<u|(BQu^JQ zyK35ud!LtAFNye(Y*J-?aBe{KZ+Gwf7v7(OKdgD}Y#(qxsF}Ioh1B7V@4R~A*4;a9 zA(lEXch#5W!rcu=G%~U;-)>QM{-L{J#+0HJ$_Bf?t4)Y;j5I1-_xrnz%bktUyz&m^ zIp_9#IU#PnC+^UuPZCW2bG0Vh|7p0LASqtaaM^2L-kbAXI=S!a8O8VCcK$dk%wOxV z_1D#B7o@fK{B`Fkx!IbjR?*_fXp<%|&1Knx*brV<r!95wE()=1zVe(i=e~bo1M|06 zqVs=rE}X}#knEloRGI$rl*ylx<g!Pq=~AoKz1j3E_5Q1kQ(juT1${AOfBkc7?B&zJ z=3%ew&)T1va%6_Xn%&)6N^2j!a8hmkkn=GuP1W|sk8_z<y61c>Je9`r_}%Y|dtLj> zZb{j_*nXjAl8utyM!#iRT8GLE=k1($=I5mUbMGcSRPYV>Ui>y}$8K(`zsI!KD^|QQ zV>XPPIjb(e#4;+x+PCszSgy|J$z?$cZ!O9?C7Tw!*Uk4TlW$h=I?LpV=aOWTr&$<w z_B%e-?~LE^Oz>$gtL7S(09Wt8w`{#Kr!HQc`DN4nDZ3A~uX$GeIscc)O6__5qD$u- zsEK~MNNCOsi_}wzLY8rl+;?@{?tAm$#^S2#{>q?DoIJaeEGB-RdeM#1ZRyWzN>Tm% zrzAZ-ZJ(`Y{%hja?4-AqSEnBMroMUU;=U&frm6Z*-=>=OeT(be4eargr{$z8?ltjw zzPsbqtoV|JWlq}V<z>%KON+!hS@-C&$?LzHz~l8Ujo)ma-pa-6BqLZ3{8@iWRbAab zl=VQcyx>!3yQMr$A^iWjCiyoxOga^@sq4*|-M`A$Bss_}U`x<T-X6BDlX=$64nFzH z8(B9q(j<Bfe>J+N1s}FpHIwO&^}kOGD>X$gHEzoZI_hKi<x7E{`~8Gy4e8vOxjKcr zt{(a$J9RqqstZN)JzpgyYh12LbCEYs`}<)3tVi`v4Z8nlKKg(8U+AoV%BKJ33*D(d zvU<(eW{;EH?wnlP%}gq9*opsLS}*X)u;il2%(^}E9?F}{l;X|rw-n(0->SQ6rLoq; zmHS`rTHUkg>}-M77j@g~^1c}{C+^?*vg-KL;_vn!47dKj8uwR!)(&U$`?=ORPh6_5 zTs?a9=FNkTe&@&cTIU>7PS>wjIQs76ALccG7v5@@i#Ct$KVn(>b!LG#_s752_lLzF zn|y8dTaM;w_pi^AUbt!F#>|Xm%nMbo9JTaOSt)aW)z@g2itr|8riJ={uYD;k{%(3j zRfEge*g#nBPncfVdd2t>!5vPv_pirH4_aC2udS_f)bhr`zWv+(z05wJeT?Nm_=c!M zi~epuIzjNu;$s`GssCBt_-L7+J^#dwGnM3~tTM9PChKtO%QxBMsUpG=d$;?>$L(A9 z@J5vCrv2d;694VhXZ>*^f9<Uxz0BHAcejLTOqr0h)>65&R_gkSkNb=6|5udyw_161 zv%_TJhY$7tYl?+NGP0$oH8}LwWtZ*he9in`TP!>C=;^bfB?~%wdOocF{xi+{-;q)U zo|*|A0&=e=cU&m6>-=oOCabB%@YR=vfuUu!)sljn&i|tqy^{a)nek=yMkjWU6~@g< z4sSdKZ|ZG3rCV*Z@sNqhA-78@4_ieS%q;jL9I=0C*4>yDFE$iK_}JSRD)BWKC{=9e zn)XiWw*15i+`^)w9<1FxJpBCq9UT1p4en_Nn-?TKYBankIB{a2VIv3UmwR_kcI@}? zP4iO8jQt^h@Of2cclhF$Y?HUk1u366w<b3I^v9J?&$2J)i`^AcSi<3cc9Mo;Ntz^Y zDhF@R+;H>UYkR(B`#m_cXMW$LvkZc{ccWYFFR-L$zdn2>dvTxo1-31h?(1?N(c(BJ z>Ftzomt*VH?5#4VT@UB4{k-<1gRT6O;MVPpw%%SV-F_aBTbZ2mU(f6RJ0Xo)OO3Us zC#pPey!GYd?y2T)j=i+;G=37XQcl<)!gQvx!By=I`;2x8Zd&wT+Td4cQ>D%MbydRa zjvO?2^ZRnScbnDStkVqVj?IpYP5pIgt&?o@{b`kd#5;bhHoxjH{kU%W`T4zScGqVK z{R=C6smH!<0^9uPsCUPCy~VG;^ZI6g?$Ua`6^e0w%wO{4&g-%3hqgtfzS$nOsoeV0 zMBeqsw>b4nhw0^a70v%|a?HMR!KM1LS=YC8&vH9`NqpnHgA=p(ZJDAsyRWw_ntyk_ zyzhfO3tf2PCoB@==Y8Zcajme2<ZT<_wUWga>+hYJTj<uUV)x*&@{CWP-p!MCF+Zxb zzGrXUvCAvj?6*EPJsY{Ryvx++e#4);+?=8JyV=VcR=;|b>epnVHFI6O`K5zp_EWM{ zKCxa~%sS)p!bgI~o8}$YY>|l+WbAc#XFvOF)UBTt3)gOoaC|iD@yefW{uiW9eY_iV z;-|y!)mBY6V$;^i?wxMsVYbo6fpy{zb=#2AG>hwUyVGy-{+r$tR$a_scCT}du<8DQ z_Xj-{yC&YgQTHnSuFIl(0juVnUN7_daQx9375mEOX1oqOvd_lg?}q|ATYV9k3B1A4 zk6JH>?+^WPH2+DF*P8efOg+uQXM}{aC)?dyIQ8Nh)=a<6YiB>b(VwBmx8>BHI3H8> zb=~_d{{M&*zNGef$3)}Gy?M{--o*z$emW;)=611r4?`7qI>u#x4zce0ptL`ZQ~L6( zyf61Mm!19h<jML|H=o7L%e;BE`nb0DuG6>AL>iS}(QjMo^=RwO{NVCKU-v|F=lpTb zeRpcP;xiNPb+KpHXK&p0d&#Z-*0T}w8RZsBW}kS$EB_%W=W#o0hgjZuhR6xQ)6(Y$ zA2G>^;9q7Vv|H)?M4PoYjrXmuz4vjWgy@fD8W(=eiSv56I7;dH{w%(@X>-<PX6GGS zYCA7ob76wyG2Y1xlQ&#HGK+b#dC~c#L+hEGED94JUf{kYVE(z_9$%R3_x1-9^Macq zUkV+TILyTU^5GJjsM=jSJtx{O{}tgq=cLTq>0AFj_$WE=lOeD1T#d}@nzOXhySG2t zq!e}OQRbob#yN#;2lt$k+HRG{zjslu@6sKHH_yhqTGqbua5G<hcH-3Xi_0Y>wofZq z^KReCwu_f9GRa=^p0zF_{#^5ONz=AdGRMra8LywUKH&YZraj+P%~asUMipL<`1h(y z4}E-@I``Lh<>39NeL7WkFJ-+HU$Rpv`r$!a=GkX7XZf)0Dcyau?8MaE$iDbFGcE^z zO7uD{bFr#o(MIF`iz2e2`qyu6eR+M;N|~_Dau;;HuTAtl^yh;2>Q@nSuJ75M+IDPz ztHQ0N60c9KEfU#zE&s)mJ$vu89a|xpx%gVk{Zl6nF71pJtf)9sWVpgzaQ58pp6e?O zkHvo3tl5_8ad4CL9*)?$u&SIDlhb0BN^u|GG*|M+-@;`Px!M<cC6;?1dBHmIUE%V5 zR=1vo%(7p^<i}v0TXZGX&+&Hq`lHLTHv6A_9}`t_!DJ8jPP@5H*Olzjzs;LvzAikW z{-0&$s?}lU`cpl;C#E<B`D^J*ot$OaaWyt4WS`SMlMS;b23sip^(s5GEWFjgl85WG z%JLhs%M4bpV>Dt6T($V$wkdPk<UMCd<S$`AE2JMYzt-}#ZKv0gm>FBoZklQQd@gI7 zeeYjWSFN@K*1uM(Jgc~~)_caxdF9OIcPnNIJ^r~{<NMyl$z?e$?2K!lWHm@+2;Tdo zW5xZuL8--NYFE;p3nIl{;lX!)F=saHzOQwM?^*YYIq$D3WVtNz_lvLoXD8mL68eRG z%|-3Wn|FC<@7nP5h50qx-Lq5n-n{?rA*X!C-nU+|3T=9kqEAjdp4`78WZB~>(km86 z#Pthb47)RHcDwc=#VPFf9CcgoBo=nv-#q!1DO32_cd<WXW6IU8UrE}sMK7ZG?z^B5 za*nS#O&FIkZs9q1;$VpXllW6t^EArBHZHdgUVYN^nsUIM`bB3;l6p7BiEOY;pI|WQ zfV$B>-VIAXo)o+Ien#t$wMKg@zg*qCChz#;uV?uc!d$<emY(YVc~>lNzOKpp*t5~c z9*c?!FPgdC+~Kv;SG`AFSN|Q56MFIdqUrm)3|D=cJH3tOo;6lFc(c`p<Kr6jgr=4Q zk1zdlJNV_x8v9ittQ&4Czu7G)bA<7*T}tONrADL777amNY4#V)%$L$iFWd^dX`RiQ z;25KteW+8yxWP;6p18E><{z5U!cGr%*6PhZ`ec(v;2sIT3SSeBYahb2W?8btPv$8# zv|8BQld@ZC`8%1cg%+-Fg0;Ad%%@!w_!@3+-7S0ZbCO>c=OVlFUo<W~G;?e=Il6|4 zf8~=&CNkH`-kL0HpLAkFqt$h@7V}MWbt8XH+N~cixnW08)>V(6Zjgx-65j%i60 z_d3C~M>tN$>HZeoS07^Z?#MQS2BWLL0&N5G9-NV@)D-zMZ8GEGXVMc7b~+vizteH} zrJ|@bPtqN+1uOiLkNX^(IV<4yxtO4*N-L+%`R7(DwCdHRe{6joA3FuV&r1^3mt0__ z88mm_zgc^ieVr_H#k<%jL9Rzv%P9O8drbPOQyv@Z#U}riHq^}d9kIm5uG7(==CFs~ z(FeEB@QL4iT^KXvP~Qn#r~mT8k-=9P55M@qZ_y{5db{Mj@VDdKcf_nNc5|`seCvEe zbM3unEZ=8t$b9rqKu2tEklKGUyX-AfLd%WggH|LQ3V8WqLCU--tMvAK^Y*-Fmo$q} zO?&65e~rH+p82=$PTG6?)r?!#^TU1#{x}uM>wCKV(6(u>s{3^<8yrd}Z;ZamWFWrh zma@R&E%N<l3KzPc{jL-8et1eNfO)<B?+FKDLK|DF#g_+0Wm%|Bd$`>yDY8J`%|}33 z$JKSqqOL0yPK@m#_nD_nV*h-6!mW~kTV>+)7Z=N>`1AkN*nc?Yy-$Q=-3R8{+%A=S z2G1g1i_clnb}z@J!YHa{xua+2g~^=j(so!b)4aOyr&gQ%k9Q{XuJBeQKGIOEc%pdH zjp>4G|CC2EZL(TLdYs(9SAMBJ7_PCBe|y|t`6a5+N1dnj+vrwqEs?r(ckhCwtG(we zdAZ-pY44jxPQBpo>Q-}DrIHsPH*89t73KTj%dbV%r@4*fM11;ptWT<9%+cigEG&9b z>E>eQvtFlfpPRJ8*CNTrfaCt%oJCb@bGVkR>`I+*om=N{Q}6c3+p81oef7;cFP9tc zNIYkCDc-(yUfJvJiJrcty@zVf+pa&ND$(;a`HIbz)-}qula}mWJLT$(Ge^&#Uw!uV zj_qIeW~@7T(QeWNuh1>0gq~bqyYb=X?6ZP@clCV`ygJv*yWm81K<Fd4v*%77diIe2 z=(ot(w`MO(e{Wbeu_N=b_wMU|A2}VF_Qt7%OQ)`Q<+b}f+wTgT)U?sNT@t^6Z~qm( z*_WUB74hd4&3W~{;h&7fRMpJG34d>YbK3XY|MZMCHd#yd=*)JEix1kFv+~^aI4$Qp z5^}Q}J%aof7`uf3&CZ`DvQFi^RK=|0lehOBxZq;*=fyj(Q*+<FVQ|pS<~)}1AbrZR zyRP<ALmu(?W!|39yeMg@=>ILRk3I<d>MnOHDQCaE^oi*YWo^El?CSSBSS#Oe5mY4; zBzx1g_V~?1rDdyU%dTFu?e<sYxb|<)JdebFnH~9b<*x5ra}rus&iJ1EFkQ0L_?KEt zcXo&K#+8~m%dVteIoMaZ<^NX3PU)CPdqJ6*SsxZE&yz0Y5d7I{Ae`3u!t~iR@ok1( zs}9L;h`ZC6(keScJ!D>qq_>E6@2*3pPd6lp8O~d=;zj%C500*um)Z`#zw+&H{<<EK z#+6Tt+iK6(`z2RJC59j0yl-x3yQd}l0;>*>EBYphtDm?olX<qCGdcXrq0;*s*{#-` zDd>{h67oi3m*>hA$`6B&`p=)f;d`i_)V;o`y7>~n53d&3I<+Z(`i!M(&)+>I_Van* z>r?jO+}3(OH`%GFv7c5s%oAo&!89{EWYNWiH;#WP$%*CH+Z7qW`n)I6t84AeUHMMk z3oGqr%;2czshRfqn}<Z3@Ld0%xL+HD#X~u6>G8Wa&Rn8UyKIizSEDtX78o}kt*h9z z=z(MP0!D?#opR<&Zf*G(Gv(u)!V7cj-Fec?KR8``biS#lX+mv4?F6Zbzn{eEaWiUG zoKU^OcVuP6;!PLJJ`_s|y0(UViwxeiduGtB-9e2#r&ie>w14&P)?b+kQkQpxhJU$S zv_De$WWvI<_v+HI|JF6dR+-g_dburePAlm$icxuFA!lPV>u1%Lz}byF8)scwxzsK; z{X6I19!rZAdpqol>%VzCZZZGO>|PYbYkTypgq2e8w0@-yn*zV`WR1Q0i%UL)1)rR$ zc=D}q%ED6H|Kjd4G7B6PX8ijqQoeN4M%{H=7ES&ALTc*X58roiT0LC+?ZEcw=bpcu z=e{NONy*K~q;*|KSLte)+pc(Bk)`Z<c)_LHjv<2gXB4{C*q#v;c=vVd7e4z%-7|cX zjk*QWFLpL%@`OyC*WIi$$!GVDM~qKyr93#g`|dquk06eu=Mtft=F2wz|M*(qk%Dzz zS51<Gz@ry_@AcnacqD$MZmrFuKu_<JRY9Muc-VrIQdi$$zp>$7hRch#T27nt|Kj}{ zBb;OJ?d&MJdglhi4pEm7^^9}hR^-oJ)3*0k#+DTcXL5O09XU3C$11)}9Rc>$Ph-3F z4SIsBmq)g(iJYc;Y5fzKl_j^FZ!8xUxLV0`b{A92-<iLv?|1)Y^p?2ZRP>L*ZjSye z=j%Hz3Q0a{iR3xbD6h0?+dL=l(lf7uj6XVYm}Jgc(^r4y<<tG^`wCQ+Uyh%n)$8<s z+PsT}KHCFTzjaQ(Z&TG%nwM6qF)^X}=;Ud?UTRCvR963$GUeFE#g|(}x9&E6+}ml- zx9=H`>DDKX>nDe<o#J35yK9!$T4^uot%mlukJ%hrDYt0Pfv*Y)HWF^@Rra|@$J<ms zm@IskeL+g#<4WF&n8os)3^R8Jwg!n^{SkLU<tH0&^0ef?3xeHP9fUnz-m^LGRXBCs zuD2UJtu8-!s9Qhr&VoX{>-qLRS$lQYwuMH1-r==R-MwpS`0TJ%lQ+mD9?YCFA>qk8 zu_kUtz83c0papeqkz16!7Rg!uX?s?-&f)0#$rl>DcV)gj{@(WV67NknDw@vKu4_2w zAJAtr#Y3^uw7hN3M!({s&lwC{rw{slj5`vg`kvFOy(;I-)-LPMdaHFR+;`cnS~ThF zn$#A*-e*rAZ!=}BoG;p&o|#))Q`#Q-Yo6p?7GJ+}KDLj1wjDb?FWSoBPxYCZ*S9=A zRi1TdTkw<Q4|05aCjEHJ;rn8}3OC1%Q)i#dYck+=^wz%1Zd@szFVm_gvhJ_!jh4Jy zto_fL{jB&(#ER_#RUgLQD@%VA{jR0SxmozYlhr#@RDOA`%X3xidU^4W*;j4;{4ZDT zx^3gFpP<k?`-9Hk_nZo|XIEFA;>`YFF@Lu8-)`ful*T*Z>r#(Qd7AV;v5`+?L5INs zc?O0FihFI|GHlBi*}}DZSIveSmlHNx&;8(e(>Yc2^Ye_NWjv?8sBs;dxW!j!rRa<t zrC>9IiA*WJe4%Vdv_rO(X4+rzQ!q^JSU$PgN{idqF5PAEL}`znEkP>Voh-66ukKT> zwQ-%)DIyx6V7@lQXyr^c6AfOYdr@^Bdt86^N=x}Fh&igW9adkKSGwkp>#lj(34IfK z`Q~1dlJ(W@$=jUpV?xX`#)!wpn{=kEadq3^6Sh#y?~xeaL{aesmf6QHXdI8^QlGNk z$tG7rINz||zk~m#DBlh-r=Ma`;xg7Eo~tjso0sr-xoeV{>!I`Rmr6zXW~<CFZQ*}C z<E`<jS%!i+#-VdOkL>kGI3zkzo$>V91%_;2ukC!dOm*kC9jPxnrW`Gq={NcK!z+{h zuHD$NgKe?VZR^jO_7!;%9iiKF>kt2&z&h<mR$h6}^wfYy5#c-P_DG1XoVdm4$hU** zYNzSW;p{7_kuDbJiqGGAmG`QiR2SR7)yrJ&r`N?XGR=wP7jd<go@dO?f49}s^Fy4W zuI<}v{u-A>C0Gj{-Fox(z2w)*i#KC<#U|?<{LHZDf?#dZhO&nmi@mlQ8R+c~xxdMO zi}3lWi+nd$ay*iYIg$|Mck*AVX++YduBZ^U;sdrRb%GxaueZ)R{z6yq(VDe30qf>Q z^f|lK9@S%OyznQ#N_!^f@{<jG4$O;OGLFRZC@*{DuFSneK!5g%y?3`r`5$}I&U~n@ zZ8B>I!x4s#3y)OIULBP_8*@uB<<a-<&a`-w;t=J?`}@OM=GQKl*(*LTYuORm+Vu&S z+Yao{Hx<9V&0yQYx9?bHJrG!QXdzdIoXUxvmzsnk7Hc1Te%5#KB8Lsv#b^G?+Gmt= zdAqLZg|2lmkFuBgCOxh+eyX8y@TZDpP0O3&?)#fLE85JvOY60-x&+rQT@rZ0>)$8K z$x&)ouO#poW$nK9=kJ@YsXq@)Tzo;QKEhQt@QcEn71@*Kx+mmrp4n8h@`l%pyg>hT z7dlUuENL&?uxpVW*8-pJO~+b!y1iJKSsfhRotmc?sWb~XE)nA9a_NcSp0X;e=wEP> z-K<iM^Cq*t=6?FStM>J?o7qn5bR%7_J-n}SXe#^swfi5${eIpdmJ@nUAnw`=cKvUP zb6VTl+|)W5HyXzLkUV`qK15}HD~EN7f<q@82mj^lBTj5B{BO^Sr#T*N6XezrpJ5d1 ztDCmAa0Y*#Sg_p7-P3-$h|idKYEswf$@=xXny=ele;-(z{eFAtp2KZ#PfivxF*vB9 zSUfB2z`5mL&%P{Ti(4@F-4_4tM<!k6_EdWFVR?M2z?Iuv(dh--BBWL?EL0W#`I_xt zj>_7%rW2JX{S#hyx@O*e@tI2%i!HNsj{jTqp|?o?`oC9~op&GmSoW0v)EyZ!`|D0q zo9=|%eU|%GIxuF-&RCzX`}2H+Yr|BQRHx_vXzhO`Vz7_#_}cvC>GzH7IF8?4_dMeS zkMywugX@yF3N=k`On7?h^cKSv`g@N|2x-~y`}T|y?>gl(6N3MJf1TPqaY-Hbdk#4@ zM&r!$f}Rtl%X~~aQnz_17?tg;IaXx2#6fiHvna0%{tx}){W)sBAKj$>YNy9a_fL7* zu^hpw-N7AyqL$v>9(U*aqFVy~Sr^X#5f!<1`G-)@zq-FJi|2^{K6d0z^UCwKmdAqb zG=ECk$D%ZY#nU5m@;Aq|Q<!QwKNm%4NzQAUJl9LRnfKfZt!eE?vrmRElKy^f+No9{ z)q0QPuFBy&niDRH2XvG~U%jIp(vfF#?Z+hPHwP=1>P%fy*mWkd<)7A<Kfg+=6F=)L zGoJNZswQ4OtJ;uHq-WLj;5pAHANy{>zIyq@eQkx+4zY2;xoS%)O_yk{eH~_Rm+G?B z@B5*oVDa0{FFI1Utbd?%#vpR);$^Yiizh7kE}O_8x=7yQvBg~eWfr%e99`F1b@q2M zXFxzzNnGpGko1*1S8Y3V@vF=t%{iRs6ZX&ZxH+Z#+CjcNw<bYuYl%{eMi$HU%str# zn`1wR-!SogdHLuseyg4DR=S=$^Gs`cf9{nf>!zG|_&QtYi%+s_NbQ*qzlwhMNE~XO zba=t;wfA)tZ7jb$Zb{j)yK36?>iJS@_ZQSOJbcq_chkRo31hy}?Vdv_Hbw^xnwYx8 z-dBC;{TNx7f1LBX)sG9C=SZq*uvDi6gef0eY*_PSpYYwkbG#(IZY<orB6#!3MX?<d z*CpHOy}9vc)|7-DxzEn&COQ=Vk-MEFWVrc{g|BAS8Hd!vhd)R^|8w}qUxNdFEgc+; zEtBd_&3ICDBr)oL?c~<;B|DzqQaQlD?V0YgB7twBXv3<dm#0oz#}X9!W5MTS?z%Vs zHu8Or35uK&sMa6CqsenER&3dUP5rOM`q)kiiCx<nw(;+`$(!dc=zBf!T&{%Q<dVrc zf}wXMw_4TtX=<H3Z~5?>+*FbB{@DtgiyY4GVczG!IRE4cA#0B1hJW&#kFiWY9DMY? zhC#r6wq^I$cI#y4Kc1@etmJ&2x4ZJ6(`;#XCTX6YwPgm^hBT&Bu6K)Kw*B6r!P+@B zqx$1X_3vj?r@l;x_Y}Tt!Zt~B=evZtW?9Qt8<-aauR0QU<ldB33W}^Z8f0(2d=@<0 ze7V4dX9u&(Z9OXkZ<Us-zGwM+sOe?(lIw5%nGf%tui2~V#W|;H+xr;{yrnFiwOdS* z8rr#6-|b%W-rq?p<n#5?ottH(!(Lv#adz9pe=1J9KRRrgX#Oh7>|^Tjg%QDX<l_(h zIn?bXd0Ktb`s?2(Jy2pOvJm)VlT&!(YrcJd%>>@c6SH2fTVs4|mjB5pGYJjZ7RO?a z^AEzZA8agQFQ{mm$yu)JzPYPEYxllT?Q6XY+H}vXytp+lV4tI<a(4c^_n+t0{OzB) zeA9Gc4dx%sldA6bOn09*cWc=Bo$pTye@lF!b;nxof=;(`@P-we7PTCFe2jU2X6wlr z_SfRy+0Ib+(5sv1nmet~q3z|KRiPC@_ie>a?p0`ieE&f->%mQpYtJ>X1lzP~KD7TG zlzlu~p0&gB%X!JKvb(sBDleIwdHDa42&I~}pQ@{-G2AKnf5TBkg~9vO1Jh4R;*%$K zbmYngDkn{LJMx{;IZ@e4>7q<p<ch}`)m_a+yZooe2yOYk?)>iClQ-LlF)f`aZl<Oz z8Y^AgCF;=mB(Q9!SVm&Vm8HS!|K;o5le&BH<E}`{iK+J&ba$t$zP=z;J>`MTgVmfm z7lSw-Y<+i9`0jlbqXwIwEa%m@nEd1Tu4!|Km~066VUyG76sjKT-K)Q(m*0NbGeH6Q zpZkomUK|U#SzYmF@;wE=(v*}B!Mt}@pHtc<aHF`tHLbb+Qw_I`vcVZ02{n^~!apM7 ze6KI`IxKxLUyeDtPI2bSFAnGZUPznzb&JgW+v67_+!H==C+Ft1<u0j5H(rdkyK$&s z@}1&R`}POH8fn!Ld+yo1yPES>a&L5Sp@3URQ1!QUhWqw@nzHuxcE?aI@BZ5_M3=vc zc(*~fuI+K_lhtb!bnL!z{*2sccG-A=fS}~w_iXCt43yWreR{=m)BdWYnDf_L^RIh+ z?wGv0x%WqT?o;1qJL1|D4%=RdHamGasN?DGNk+?3@0+Av6@ILK?fG;Ew^=VbZ;GA1 z@UI}1{ZDaM^Yh@7JGXLvw{V*E!9_^Zayy5068H9gF7FUG-LpK5=VSF2M7`a5MSlHk zs|}~qpUbNo&RQ$|%<F3T7cs~0F-?bAUdu@Af2*0dqTuE;6@~8wZyP@?_+evZbD^Ov z;D)!Z+W(*PeXh&h2;=$JSUzRau9aF{{pIOvYgg?PxwK@-*0~aF0lVB%H7wuM)CS$; z_bk|(zx$k-X-|T>d32fGy23j)jwk+Uux~#&afSVw+c(3$|C3Fc`-G`f^K5C$oEbKS zHmlrL9o-UFekSqU&4u48TX`>K$EHtE_s!X}IpbyA+4o159RE=LXWm--KcDaDTOJOR z?{>YhB21h=c7{!Scl60K^(X&YHDq~lywbeRpwoB5;-o)!x!LLUd$QkL$rB9VF~75} zVN2+tZz2~?*L_=I>L$BoX^mdd&KJwy7-k);N}F2jw$F9po}C;*wH@y_=<nP4dyZPc z>6rD(7v4NMCo`vE)1h;-dg`almojg@nkW5W!sNA!9p<seiS8D=lkHV9X)TxARU@Ho za~$`pdn|o)?t|hz-{7Mz7Ll6%h1JPSH`Xzln)+PR^x$Y&xMyxv*_%BR-<^2YkZsrT zdbg3_E4!6*A1_{Qq8___zVoYn=|-H>97U&GE^gXmT{r1^P(#cn_D3gU7IAy?)J(hh zUFk!=rQLI@XG^agOz7SAP0#6;1fOuQ_#e}WfBY|I1U*<QTy}A(xaK}RhGPAw2WO75 zh82g3vVVQzu<h>hU1$3_o`&=%AN?Tew8-s}-lv9ep<Kne#_1uY+ga^aG01G0@nD+H zUau2HlU_V4`S`;xgEt^l=9a78LqBcplN0__Z{>GolY3EhhwYu+$?5-Urt_t3ty52a zb+BJstB$9zQ`D+m?B>%M`|Z0fd$B$;TytgbxlVuXJqPd2sm@4gnY?yZ`$X;8@{7&X zlCNkOl&wFx`N)keZx;Vral_+XymI3H|J@g7L=^X_mKK&vo}F>{>b!}wpPrh&?A?Q6 zZm$2+k2QSy-I1v9=fB_1vp1S~8NSQLH*B>KU?~?|y5{ez4?ia?;`H)vV0~b`K)gpJ z$nM)=t;uiRpFhi|$i*ZWKK;(K2TLML|9Y1d&&rROcCJ^bsrmGAfz93zg|Dr+rgM!& zE#}8og(pu$b1tObcS(y>i<z-ifz_e(PlIKI!jt*`k6-_v-sy8pEU)G13MM12NAr9a z6*o<e`E<wX8KZl*MgEzZ!VcYyXRg?<ZoK#Z?ycv0dV^wW&YaRaWEgzig@rZs?3#cn z4d!KM&z$afvSIEFMZ<shB{?ke?#2I)`#9-){ZDHrp<88);ve)~xBs=~50exKYvH-^ zz1-@}|FqCQ%j+)H+f4p3pQrn9$SnT<v2(txzx+Rv@7lNZqEmg662HZFZ!$Zzv7_GR zc-}VOCKrdb>};F&2kA6Bo@v~+_<(7_g0(Wy#Vkk8KjKZ~HFjhw)4h6z&HGvIBi6+; zg=AW9oUz#D^Yu&pSFV4v!#@0XU$2}Jk=UvJWI@e)hZXZ1n8i4xJH)3ccv?Gunttc^ z@BadVg2$X)`NRyv(i~e_`A&$d9X{5=Yw(Nl$T2>#L>=$kR0ocOZ#ZfehP4PMe^GwU zbH{Xlcd&@4*j;J!Tech3FQ1xl)pmt#Q^`d>`*h>U6Wy&ciqgAW7fRfa7TWk$Lvpp) zUPsk0&H@r&&X_Qt-WpKun7>OU_UvY6p3-@5-cLOKBDLkRV{!OeH_n$jc^uYzrNzI^ z*uccyc<L1gla`I;v9jmNEt)lZCt9vG`u0k|-sQ|zgE#VhvD@}8o6E})dUIy={XC1N zlkrydJFWk2VOsRAy!~f#7<-kEaohPYK9}`wi4Vi7tk$rLDZS#Z{&7O~+18usR<RD7 zY)W3;|28>&^ZNP8Sq}_WJ=*y+q1}7o6`jpeRf}J5Ha>HsQ*_lQmNUxs{*IsgbbSAm zWrniONmKgtu;aAioyrpz;}dnJ2haVrvDdhQU&q7f^5+V!N#2_>cjhlU8^<2tCcJ!4 zTqe(+McdBbI(hKkk(-icQcFHsG`4N`U2v{;=`8)}3tqS$)9x;D%30{SW}D2L#suk< z$2UVNx|nt@_)saCT=+UtndA7~>0%1&jLSKBTSMHY#H|gKDqE7>n|*lV?XJ5qce7Sx zsr<TB$sB%fecGXCBJV`st3<E!>|MLL`1Wk^#<lG0=gm_#XWqI}>idp8EMK~JxX*qr z@$9=h<KcNr`(`M8J3MDG^ZM)WR<}p&Tl;p3^ee5iPV*m@r`+nFvP|aZuAbWsLg9Ju zVp7t?J6|mcd!L@x^<z&vvsFPv-ojaK596*Locz5g_^{LMVxNQGtaaEVgSYxMUVJ}) zX63=pPxskb%wPTSO6H!UuZvRa0}_&Sw*@36%jZ<C$o%LiU{J=;>i;Am>SaRG8rB~k zN1WIdc1ep(G1PX~X^Qyw%$bRS->;`t{YL%lC$$<!Ii4}GDgIl=x>xbrpYlX&W7}fs z#i#Uj-6AjDNa^~wvXp(Rdh!GD)oo|CHfpZaOX{^}Tf59HVyD}F?RQ^fDlV+-*72OQ z*uGT7ZMMV8<=YO53eIN`5h&PdrDgd<B;;ev<b4GuH?`)Uym{^H>mz3hw)2X;GU6*+ zZMf*F&V#wD%?-Eci-cunow>T}NWsVBQA@-ci@KHkblhVsvO3k@cZx1r`fGVt**l&4 zc0v{$X=TS+<2+dRp1*P63?ECujShi|WAZ_w%`>``FRXDpWD#*-^16i9te16%AIa9N zHuq#=UwLMFOF)RE#L7tNoi`g*_@pD7z8yLIEGeM8kY)8%4>ou0t52k-9-89wKlS4| z_to3|S&n(lv^lwuXOltUW;4&t%&IbGt62O`wog)3I65h?boFB|KDl3>@l~zdH|zFK zVtPEeD=js9mk^K3i`5<1cc%8N)2$DZWRAVItXyabuWHBSw~aN@ud>fCyKG&|GwaV( zy_5GnI=|hiDe4!qd}{4x$yp*_aqpCzPVPQ_+4Ps9f8U+D`+4<wp4d%&WwPH@ZvJ|@ z;Z#KC);X5`+zC~I71^d!o>lu-G4TF2R{pGM_URhe&Rr=c_EY<IIPO<JYZ_D2pLb|& zmuOe@{;!Vju5UE?xhrRHZ>xopYqoSU%gMkVlci_O3$Dof<<GNH3rP99K_*))kh^UD zrdn|sHd+16AADQ#=iZt&vF)GF+gJ}xODn}$(tZL}t-3uTAD2v8HffOym-4yee=fa0 z&bzff@8_Jb8yOM@R?gim8rpHP%>30v)(=^w4=m4@?5s6)v;6l_@|w7r>Z{3l{QT>$ zMOJC<zcXK=`DtiZ&;9RA%O;6&1l)8pJ$3lX7p`Uex|Z3gKLfNTS{d!rReE0?xW$mC zQflD>GvQb3r)|3btGwgwuJn*OM$sHnp`EFJx+SHV%nB<t7C1XkuUkKRnod%@al^{V z{`+F|OWG#SS;c-Z;lz?D7f#LKb3Q*~x=u*X>-?Q+n-2MeM%?c$y}m{Npj>cNNLcjm zk`>0k{WldH-Fswq+-Fv%Wsk0>adxfexPE2z_8n#Ab$OHTi?FJmXurC!H?wi^iJf+r z!@oF*?BK54a4C)F$r~FvO%Kz+mkXPF9<y&MQ}tH8eR#diN#UE5EM_^p?3i11!SUyv zf_%2qe~wH0F83`o`u$B?EINLE;R=<@it^rj?yoxk_KTVM`D;1SVHQ>@`kG!J#P^-~ z>bqqBpI?{u2JO(h`RUK=XQGc(Lkj2bee!8v=r<o>y^_z7FP=Yby=E=EjkPW%$l!j> zsn`^ULl^jCLT*0Nw439<OQd5}ZnIpwZ&CGZnFDH1SI=MWm9%Ql@=HJSlYW2V_GZd^ z^H@~OXJ(jO<kAg)>!sQg-^rRgy-PE+<9rvz%k;$Mb#VBL*MVu%Hn48IDdu!z3eTZ3 zkGBaY&1$9h@&9c|;&>;u^i%VxMp4)0zKd=w6**x0N1!AzJ(H*LtxJAyz;4&*q?noR z`<fbO<~$Dh+^E<Wsu^B#^7yH}Rn3pz_>?M4Y1_I;hkshM)g4{AL;TumzdeqmPt}X@ zU$0QnEaKw1PO3uSqNnkRjw`!w3rsU>t>Q46aqh*G`kn0uTDgiRvT0mBwDKfpv7(h^ zfooBN154ELJBL>POkXMX#Z0hk<D|gEz$Vp#>7idfbuO_IF*7;XJxRPd*CbrdXu0rX z$CQ6rNgV=he{#$v*qI;Oe6CsQ&7Tpn;#yU{PsH^cbHi&pM0cAw99-SP%qwy7^19yp zpZ8@4Z=E*nN{8SlWyjLp=k2EK+SvbDG2&TNj?C2ZcbjE&nT{QwZTW=bcl4X0X-{OA zy#F>?zE?PYnWt8|hStSD{O#h6eJQC|McO>XSBS6dY5o|>8dLkoXj*N&-iFEFl7lDS zn8=;6`t~UiKHKVP)(;b1=V<OV$oyx;@pM7rpUJ&GKjqn(ry09zWSV@ETj1+_c8AG? zdK<;BUdmc`%lvlTUALdx@9zSm57+%0{<_GDT<xBg-T7u)hf`_8x4G9MjV@o9@_CYd z4SPNB(RGcb{PCaI-+27+U@-nP;p`7h^O>5(2GP?wXB%zS+i3Kk|G8AyslLvIQMtUb z?epI{^YpvM)Na(8W+-28DjNHGj&P!e@|F6|-;Ylw9yb0cow~5p=(^Ll+-JA$s-KW@ zdw2H4wf1M1!&g3FPAlvWJl*in*+<N8aSPL=BZpfQvP|S2#``J%b@cak+3Bxi=G0&F z+45q@H?zE-8IxFV+^*cv>-g|a5L-^;?9<8e54S!!tvb!AE#0xUZ%x*gtf-?cFS~jf z0yjGw#vJ^!udwvzmdg@4`?NF#r!4ppHN7hF$%IXtj+ky@*8ASIO`?3a%2dhdJHmQC zUwbd4?Phx_dV6EB-i_AYOXAjsQ)O=(mUk6d2L7!uwUBi4dEN5o3S;_&AeOgIkIYZ& z$bQ(qfANB@BS}^qe9Gw?c(32s5um-_{(SYm%$++XZJKYeE;5;IUUyGd%m%(t%Q-z( z&-QP7CFoUjs78AC19_kFF4-eYHH(do=U+-+5PEjenW#*!eXIAK3BGT%<NwrGvp-32 z&tQysy|wY@l*Iv+fz$WSwphUOMt#2rZ-bTt>jcq^CAa@rzW!%C)q>^E^p#Pc)z@X8 zSn$1T#y%yXn#f=OJU2*6OmbvPGO4`yZo}uD>zcXzGGw=VN%g7ryoi_{XMbSZN}K6r zSB`$%CLqfG{@2yI{ACB+|4iTZ@9CMGgrhE-<)X{V3?x_%Ra}qvp6R#r!Pei?ef!Pw zmo`=IS1a5QoS^pS=dP@D&FK^F8b$rT<#RTBI*aWQKgMG$f9w2h^V3@-OB;U4+wuLX z7oPvVHtNjDTW==iRR7!eeo|^8Bj@zG$_<VV5x@R-vGG_6^jf9-eJc{3>UDhGTl4k7 ze|eTGe2h)932OM2u6*C@_K|v_+W#|O)W7|A{PX`eQ+K6p%vvtJ^Zv>Y<pLrff0UYT zm#h}?<uPZrSB$ASkpJ#pkc`l(K);Xe(LDl95*j{i%1!AyzV}$0Rb?1N4=^2ZYv&V4 zV_fu4^~Ir-`u@g60jDbJUs{=nWWU|B`9<L=KGnzFd?ClfI-R(tEU$VZJ?Ydd?NvVy zEwTJrTH5-qP?9-$ZsJdGp|vTl)4tp>=Luca8Nh4#LppWo?nT*qW*qXkf8x|Mwr%{^ zGBOl9RtkR<;{PT4`T4fC$^Q<sAC~@+5;e7Deb@brd($Hs?z|ES=dPETQNShJE6n10 zL^Yx1Z1=*wZddiB4yQg|cjxJhJNKT>Px9zWdl)_CR+ydhew{am+PS{J&fD|j-kkbc zuk%k{O`EYrbkb*Usd@YFCT^LxD}TrHZ!;eJT#+!jKl5bp%$?6>|8f)k{&q=xx!vzS zpMPF|{Y7Wpxq7{WP1ow}!<r9T1}@Zk_9&(C=-mxsuG^Rc5B#e=xV1%{<rSa8H=|iB z`Hwes-|D}8<6?hF^fPrOy(9WBHWp0sZ98oLcH8ZCjo$Qh9gA1|s?&DoJ^ZqweERk7 zZ^u@q%1=I1dg?jj+kLThQ~#`CS>GWc8b9aagT~CO?e7m<o2KPw8FlHojIZlChmHS@ zrMn-L?Nyq*w#vh1u55T>;KMH!x8#E_mdGk~?J#XT!M8E_`SVR-bN4m=o$Ro@LDX-P zma_C)^^|qz{GKzh>z@`CkL%Ksbdk~tUg4t78b4{7%BtlHyf4XzggJRnKPG3PeOzHx z`s(i6@-O-#UG9qS^}ME;)|$b*=KVzNr&o{H?~j(yk2s#Jv)5Z(W`U)){fY^bCNk~g zD;3W3YoAeU(`C@kI@@fSzLb5Ux0LtGP~-I0py&<8>PKg93f53xz;4>**y^*$<W*#M z4ntv6^rSbL6I?$EY!?)5bQYZJI;CU!r)Y&U3EMB7E;?fO&(fUZjo&%W|G@{YUeW!S zpIF|#+`-+5u}of2FCovZ+|KTif2FfR)`#bp);}$%eN%kr=ml#@^_CjOaN+G4od0LE zcl}HDyTGmNW%BkK$5!K~Iv1YvyF5@163<Jm?`@vo%ksl3k)gb`TH}FW?`Phr3z{RB z&2(p2RB>G1g5k-E?HU*UIs8!HVy);h<NAf@OAi?0H?sHGJ(T;T&hq$=?$YTB(_UI! zu*x)<)_+C6=WI`2S9HsMMGbqlzr2pWmVVFY*uMUDz4MK)e_spF*?GZUF=XOD;X^WR zdUZ3sU0Ne8L>0B>$KLx{w8CPaOq7P}rp>H#F5P{_bMRFShxxbeiy>F^X8+`5eIs^g z!w;!2=H5`oCr6EYqvzIEzx*-hv-Q+U0ZX4wXQv-0-!Np~JF|X)>6}tCUz@gu-S=Xp zx$kMZZ?paAvHDd*tHHMShfkip{cq9|o_D8SA1wCQouhv5b6Q2lt)r6hDz_NsvAs^; zQBXWBsmCKgHIZ@R^HkprvEec8OQiemyV$r08<_Fdb=<7hekuFFm`g6+#+BJ~?%f&{ zXM<bTESgn<W?w$1ZEMn7Ro@ohRTJmrQFkK$2W#+q)4fIPrawRac=PhT^3=_%`&a0) z#kVU|3On`H-TUHoA>`}w51aQtyK>`u{n=1KUV~p;EZzH*=Y)$liZ#D^UVYl%)vB(x z_0Ch_yBn*kn3Cszh_qMo+V@L+(gCrbT;546THH^?6~6SfEaZ_t7y8~{?=hQcwLEWk zEVVileWg*aN6+yE`^lZPe2yXiFDy0@e9{s)Pt-q?;ga&t=hBOh-^dYO;^DUA%IRP^ z-lEn2H22;=z5mP4Z{N$#>3@s;zPi&|qnPpgVfA`8Ax3riM4g_PxUA_jJd9sjny@^Y zHh&#YnRd}lr(M219|O0hA3K*;{5(ow1*;d&o7Hby<K+uOzfVv2Bk+K4h1HMQAGD`0 zKF~Hbe`ox9+ok+lxqoUWu+GY<jEds2xidvcZEu*>u8r1BalE&^au3^gelV5GJn1Vi z|Eeyp?&&FUQbv#Ncwbpxs5du4ZQ@d&_?>6-{8Dd=`X<$J>!p6YIVqbbDDPVf=j9(x z=eFsG-4j@{rRGFrlJJ6g>Y|EEKiH^$UcO@G+|mP|FDqE>S*)<tkSQekUX1UB|H7)` zw_Y^dIp2BR(c)eEl-CL}-<>y=3iSO}JZr^q{O^<m*_Ow3UTgUd722zYvOnB0|I*6l zf;;}5R~#+gEf;yEAoE?B!=IhCZ2skC&3yaMb6$3AzyCbs<$}ZSizk&_c=+AY*6PKF z-*Y5nOTO27bn@-`ZKvYQes}Kn!k(!*A^giu>N8s1IQ(|XFPS3`3%siM9u?RvwPr8e zF<)qIv&9{M&2x@2@7x9Q_}oq|S9bES>YROd$3>mKRptddtLJf8Z>T%S-?Q0s$Keh4 zc#>_^4cQwF=U<-Q>~d#)<LQG+O-EM-)h?GixJR+*>I%DJ-`TYvolb^zzN&q>WZHCd zm#nGlyL2vm$QCrWip`(*Y01;8i&Uo+<XoKeD^69KiEomd-s@>iGJo1DWe**9bhz<- z%i{$P%W4i43J6%+T(!v9@ppcTjL4BXe|<itr2Xn*><>4@2X!?U+|WOH#L?o-bdyI4 zGT*$J3I+In>y}z@wEvxEBGYoX&Rd-CP~v`7F7}5T?gzCro6Ia^+Q}&C!n~BTrMcNt z;Ya`75UJM_Zq9CVl<ZSC|B$=7)H*yQv0<sd*V>F$561cGmZ4jVgcEltZr|*`>`q(A zZ5O^jy!QmQ7M^9>>^keIPngD!%^kw6k0s4(_8qkR(!e2gWOCI~AMNVtnZBxF##K8^ z5~FVKo*Nc$Yc)ss)#O>*H1{0bn8Ft@@#>W`Uj*;*p6c{%TUV9NbK%O)3?aqkVrz`6 zGF_H7pF7qT{M_}Ra*)2`PqqH(p1U$<>quIiv~c4+&uiC^>ECs>-`OYN@(atrSG+fs zgKljWJQLDz&fRZnfx;B6u<peTI~|X<R<h)Y+E=z|PI(!inBRWKAZSZWaE;?0zfB8U znjVy7@twG>XznsOZB66V>xOF&|9E5<cGqaJ?VNeXL|Cp~VLjECyP?JJW5<^y&!Sc8 zJn7fjod1b<`<`Z<6zbP%xa!X=6TVXuC6_kpCb?xE$klB=^3=~XLff=<#p}xn5?NXI z5B7X~*y<z{#~I?fVxPBmwetK!F<~+yf6wS^UNl^_`LlxI_pnKiSDf!$pLSWWyU}su z)f}q=Lj#R8)7gG=m&Df{R9bzef^YR<hpf8?KJR+E%=MMZ)Yh&=Ry`R4lFI424PQ!v zuax%}vbC`~-Md|<+PL>;IF}G#Z=>Rgd;iXVwq1X0-;=$@uE#WW8ItB!g|1n7b$Ys# z(D}Sy=3t!vtNirx9{1n-c{V@W;5TW~nk7HK=>9*usb(_!r})U3{5CnEW{JzrH3!BE zA6Ym1&)F>hX9subb0=N*t>1iUa^;p+r<>G!J{G;M;q2xz6JFaQee*>rbAFfaJi&9Z z7N@0(6Ao~fp1pg$PBQF-@2$UTY|mE&hkSa<=zrqDoBzyyh1=G3E}ML!wa_x~GUKhj z-ycgl{hxPdW|{amS^VsMFonmZqCxPDwZSYo=61Wz^9~y?iAn^gSecq?e)wrPV{=H= z6%n`9H)b$wUHNj7;*7)XRgYg!)W`^PDqMCb<e=X*KL2UE|4r=Sc(iH554I@{N>l4^ zeU-Nkov^thU-v}x5jlw`x{nU?6p4M@&1TtsqpNw3>zmt-=alSzD&z}VH%-{w@!q+k zcwvF`<2GwHvoyA42d4hJD7JZ0p7YOJsV@{(`07+#HWaoARpLF9mT(|}zadFU)#ut` z&dIu$o4w|5dBE$Zf51vTF`4yn+|px}QYp+zo3~bNn6EjDQ(7`#Xi?L|$chP8tlf39 zwfYv_;@`z|(eBumPm6Z(>|(kY7pEt4;)J_q<V=rC)phPn5jhoM#^$b03JMAesi{e+ zAllF{)tI%PA<IdHTk}Itj+N8XHNQWE^KsO~#d56;3s_*p9nery@P6-7pOX(VHyRa2 z)UdP(&0yHcFoEG}>ME(rO9I5XN;7@bS9NM_UTMO^XLIck3wLi@8}md1F`f&H7bY+& zC1&VMy-NUup8b=1<olfLUc;+r?z@HbF5NUuD{0x^|7Fis=_SA4x^4IF(>J14b<F(s z|NXmn?;xz(GgtNOy*{hBZ{t>(vs=FYzj`jjCDc^URs6)m>=!d;TTSylf5}}wKgUF+ zcZbiKy;r`4oZ0?*vuL2<d$}Y737%t(a_kyW0u#j?xF<ETwXsYzkP_fjPIB+ftXuTy z$Y-074)*S2>H787o8q5*`k(vFnWbR+TZwI}=enprGRhR0do0Ls!%CB7Kd)S2Ih|Ux zf7%q|zs;SW8kCqPuy?6sSn!!BWS9j!`RKvp@2t|P@KC|kXL5J*l!*bF!5l&oClZ}H zbJV7I&C(FgoaGXu)??zUHpN@;?f1IpMv}pytuZrSJgD}*x_$nPm;cSIz}{SEdhA+| z>zOV8@1Juiky*a=m_+c^Ga)v@Q`Oa`a7}WUzOqS+Lv*I^(n<-FbN~Op{@%N-cFRS3 zrF$==FaA(o_x1nx-hJ|}f?sZ%De0x_E*Jd#GWOBT*}c<ave$0C7M0v%cq}9|G}P4C z*x300|1S5n3j!FqSX-MOYi>C3QDedqHkOGp+&xSJ?N1VHq!ff$sw9-!nK+{=EGAsA z>5y}GVsM?v`0~@ig}2r`^_;Eb!m8ADrg`Zi4JHO1Gf~!$36>jHICP(G&C=1}IO*iH zX~8m{r3<w}mIN$V7o4=T(XmOYk5fQ0YxA}Gm~Da|`#!zTTxH~%qthkhdQrJR|M-ra zE&0~}=PlC;KGJs1()~dmLqg*0hU?(a$bXgeW8U1$*UsJW3ccd9`TyB(Ty2L}Og^zp z$}=cHV~g}-F^2>R6@jVkkzy{Ity7N52_IlMoOmEZMTV{U&;$b!E|#W;4iW+_O%g0k z3LJ|91X!y-mL0gsZC#+bfcxUN;=*%3Mf}fOR@~GJVU5XOZp<q)=eS{R+_wl{-nG7} z3v-GE)eKMPoLZzC*79r;$KmfB$;^Tg0*``fA6?M1Es1&KbeZD@*S1Lk^Q`$83$4z- zN;z}sLWHdQb_Q!bmJ)C0|IPi5J1-t9j&N5zo89^^U81sizKKXiz(x6u+x+kF?)~6j z-h8a)xBV5vkbhT0-z!Phos#ZQir>c<@E|;ndDAnmw0}>MLKXc^3vVfSeuyo?UvT{? zy(bsUnPvz-ZOE_C5z-ENvB&7%{+Ah&qE6RqIy<hO-||#^`IhawY(Ja+)!Fs<`rpXM zcYocVTlD(xDSOL%(X}bh@2kcaeeAgOTRQn{&XTGV6{~G4(*D{z1pQcSljO2>$E*h` zzVk#cbPIHHISECtRJLsV7n7m>!ujUh&>g{vHvOjBGnP1?iAa;ypZfdbcZuqEi&xs1 zm&Sa4(Yf?Tk7tmof5Vd>DX!_ODx`0x>xdlsD5(70;Pf|sc9BG(wR4U3Ih<S7!~bgc z@mAfbZyx?D=Ge}=x8n7hBX=}@yWjuMJZWxWlH2EbX3^7)o~3+CJeITM)zOKyI>DkZ z;?CU=-Fide{@Di6%?s||Z7%vU;iQ#N_o?KbBF4%`OTHb|%{&y|*>wDI#vvWS$5(4a zG$#DH<Zbv~S?eut_)CpLmIc@5-p+n$yfsbQx`|a~!fA&SGfqo5t7!=;F5JQD`nqlQ z#ShobS4a0vIrC2Zd+7w0z@_~1rP^j4EqX5xD(LAy+In%;)UUS|CakSHfAC}-t6ga7 zQ=KQrlG<J<_QbGrn=tjxbBU1F-4NukK&zW!)()Kq?r$6~sBe~3zT?KW|L#xcM|ZeN z*Z+_dQnFFlGmF=8(j$XheSxTLQ*-1tHY!{an{!aunrYkRSH>T1&JgG?O0E}lTO4Xo zCv~-LZ{mTsJ}%zrm+uJg+#sx<66(CfL2!p?^J@zurai2W7fxt=uwy23Ooqe#!pv9t z8q7I{MI0R5TDzjRZr#4_Mo7{m#h_-#35lA5v)^^uG`^nh(DUq0VDfyKv`&tW2@K8h zOqF79Z}+A}I~Rq-{131@!#`(E?5<wp+0y5FzqasJ{pMvqK0PPp^~_>xF**H}C#Dyl z%a+^|m)db@JO8P;oT-Ll5mpy}IJqYJs~61cR-Cx;rCa(z#v|eF!HGX6|G)d@-_Otg zQk=IL)bd_<_q-;$Pjh0-&B{FY9G&gT+NZB7>fHLR6w0M|_?LnjgS4_i<281^;`mR; z9ZHg>vnyF^N`HUxMkO=%lEdTTHM_Qk%+X%??(f728*8@g7jo~+PKn-O((mib6&Q5Z z|Fq3wDYdC7+1VA$mtHC@SsAJ^GksT1_MKhsb0^I`$hB_jar<ojy?3;^SLPP$tonJw z%jexY4zb)@JUh6K+v)`~9q-OwkPt04No5b4<?5fWyf&07*Etk$HadRgk_h|n-&S_R zM0Vysk;RL=6Sdpt{(N&+<5c0S8=jvdI~@3azHnBol+rz0zhLI`DJTDY=AJ**__BEM zmeMuSyXVEJ*9-Cg&zCj%ePQv`4_aGzBj4`Wy8OrW-W$)j%${+z?NDc^3rQ9$x}<!3 zZs+~uwaynr9%xwwO!4I{=+<T6z2N@#$H9nHX|46e@_#2u+m`gj6*D_6=8M0ozA!2@ zYNMn0l~b(}BHd{$n=F1v|8!sADXrZT8p3$PPnSza@O>m>-~B@oiKSV(n=-XiH~(4r zbz?#gf3vjE>B&`>Zw6eedb;!OqpGqH!JkDxK2FN;Ire+bZni1$jNfk^I(0L${Key? z&a&wXmTS2ce14@++ToxOD6bsEu%%||0TqGVP4fjqD_0$FP(8e6z2dy!Gpa0~m$n|c zl6=hI##_(s{}XTQTkd0;xAH~))l0q&<{GBG#!k_H?F|;4WShH$<$=7n{WlIH>s-IC zysV?F%cgm%x_fbC2tRhrjJ+|(uthz6;+58bugCwDw{FOrCX>CP^-e*u#SWQHw`1Kw z&JjW3K|jxVZByNw=N}bjcJ{$E{bl;RT26P?*=`s9{pruo_U6aOEQ*#2@xOC)_Hgcd z!M^%dUxC8a_N<m$X^A#zrf2U9gnAk(HEd1G+|%=-B6CtiTTSl7+YT2a0~EG<FjVtp zD*NYODdwy@(!N4yw@<^FdW$pMibu97+!I^<RN?!sx~4Lw*y}$WS^}2yojuu-UewbU zaj`V&?6GTKJ$$T=nylE@x}jm!)l$w2H!f_t%D>LRFTrycL&1%OaW``6ABr+<{PBE> zO08&-r({!RGvCpPGkkOIe`9?c_SLxCv%WFYRX%y+8-A18jcV8ae#*NXw{k`&+k)M} z3r*PMS9n;>vEKRMy5AnogQ<FVFJ5~it?Hk<_3$L)ISJwIr6OFb?mghj>ayo*<y5|U zb>j_29jO)EO$%?wzgp+Uru~A=<M!G0^I5jN`2E4D;FYvM$lXaY&x&<}>RethHkoqi zo_HTx7ohp}V7`~-k%=p;^Zz-2-=e-Z^^Sb(VJ*YA&zV}E*!n&^doFFtQT6Vt-kqv` z^E>8u=`M0GUVlf!f6-s7_9e>$4tu}YBN2DrJLmV6#!2>aT)H#l9`sps#(usa;;^D3 zdc*y~ecF#^-ilK*IlFo$iweVrH#I3Mk{Xg4TkIHqx2%ui{m8QM%C=2%!G9(@T+@A1 zU*x)wJ><j81-utm`)PbU^K+K(N9F|){~~s<Hy?R1`EbL>w?*@}&B$ok%%&jlOl3i` zfSl6wJt+=f_I#ey|1IdNroLltu-iw`v`mig>`fCUNI7r_wO(N|TwCEQqiN4{qW5Bf zu~Up^_`$=6`og(_lU(zR{@DDmmyOr_^!VE0&&lpwwpwZvKQ8j*YW(oT++EE|Lp`?o z<gq>3vDIQS$(LK)3NKzNt>`d4$|GyT_F&fMn;+tIybm&2?hxm6o&JN%lHci&YhK_> zJ>Kkh-)|MZ%;sLS-jkPAnO>VOWafc|J)4HYGQI9tK@G0o^KhUyi{0(RnlOpO`ms zhw;3!-jW||)&=dS=Nwbv7gyTOa@<Pg*7?l>$NX2deko@-Q&{)6X6=D%4llUb1n0JM zAMF3&bRpJ6wIXY~PD69szZq+oZx-fxzEx0;f8jgf{htr!lXh+X`_FdSnb&T9g1&0| zg*g@%+?EyT7MgbMnpMw?1=HSrICO@8*3n&4V`84|D?K11t{1ZK``oSrI;I?R>lgCv z>%98cNX|;i>a6$Szt>up%{wgdbobOfb1FOM$}QOWq+B$%$>)vk=O(3!d+TkN)m+%W zxBs*xr#$0C|8w4*5C8m;|CF@GLQ{nK=}mjfiMwNb@2^{%P`S-~*~GHfy-_i&-Dww2 z#GdjLzk9l>|Hy{Pr<lWZuiUsWZTB9=o?Y8}^CH%+EVrFp$ap-CIa^gBF2!MigzEh1 zLdyfc&Nh#VF+4j@xO!i~+s20n@@v=Y>-hAQFf;Upp1(dT#`5^qn{yp|D{h`vx_7hB zg&}6ngC{alPo<<b8TdKhsLspO3kh2JU{2&Fe*Pr^MU&T_SkA5DbANvWr^otDbw`-y zXs93O;Pn6QAaikn+2YyfdM}Ah>^RP>Qsl(D=&a8K-?Z-~+I!V%S&zGkynB3bE$1(n zwr<<ZubL+rCWJ}6-4w)Jv`J^4`fi4%3e{5`2l}dwu74C(y4YeD{AR*qroLvQIMb7p ztc^O~h^^W8NpRPzDJ^H5O=i8*TV|kARU-T39b*PZp>(LryP69DftIWbjf=vs$DHl> z^5~L-Sk%Vj?`!|ebm+KXd1&2@;3ZK$-eH2CArkvv9`>CbQMxnW_V%g8UT<`kEzdvg zK1X*|f%*<-;~#7x(K@1ehk1X^_26BvcUa9=WSdlX&5NJ&FRfM#@73O+rcfx6D3`_B zR<iG3OF-MFlIjS#IWEgC21PP%u{d76Mnusmq%id3{_}<P=S`;sz4@~?ODbdb_phh- zb{oiSwW)a|5W4@b`*(W>{tK;rVz;=Zydz(_r!P%&QP58O`sjJw?c}(395pLs-@JPv zq-xe<^UgR;{n6a2@|~~LUlbHQo7Z$^xme7<7rmS(cN#kih;RDIrpHhda5MGslho@v zOBVfzDROH0wdQ%FztUmbV>VLf+x`V@XSH0yfAp-i^P+|m0W}xjm4^3Sl`Y)&_R-hS zeNnqR6^^gnd0Oz$-e|p`O$n#%R?pggMdV&##A}WNr|q_xtzBh#?(qh#2_L(r7EgFL z)%jKIi^-u}nX`=KyBK$Jt_X8(xslVgzTwN{Rvu4pkF}fB@10xru&eK7OLXz0tl1K! zJ}<Z;#NuS5n~S!$9P@4x^C-D2BpcM#-T3L$5(`63zto)jR~^l7+9WvgZ48)~<?bdc zacTXF^Omd!|LgvgEs_vQIL_+*DI<7h{fUx*XEu%P@&R`j*sM7q%^uSf8^QTpusL+b z=a+B#Ej<{&{adkoa(%_6uUAu8Qx{+Jc@X{Qr4?@)iyz-1zp(s-r_3{Jj+`s3GG$u2 zA#nR#hSNopZ0<eoH8H#L&M3t2ZA#gLsZXrU<nk)!PTB1oEU-~=yG&ZMT#9GOABiTl zz}?x;_Z#rd`*VY@QP-+Rw$Z1t((XWOM%v$l$LbCod~^PpyL>aNt;Xkcy93|!?zHb0 zo@swgQSjM^+?8|oFINzfYt*Up%*>haorNbqS>k1ZP~OsjrYA*8Rovon7N_p*IdhG% zEzt7)spvG#vuiI@zj>AM^Gs4_&dZE*8mU~HezNkh?3Ue_<~WCkf92sFZ?C?(@YB6v z^Y*pX=h+szxX*D7`V=DYB;WaBG2b3tkK0bGm&}M#u-L)jVyP*dvot_r-Lfe;lLdum z?)`Nu=}B|gzLWDCrg^Piaav4hpM&`po_oowJhGMV1vA9g-#(lfA>P0DhrrFWu8Z40 zR8=~g&EBZ;-RwW-LLvKo*13_4`7s42%Xi1G`@pl5)1=(`S99I}&8|C@8T|FveeP(u z9j_*NQ!Os+RnN<-wwHP<7W}H}@`(7}(ZSL7+5C&cHZCDXQ@6ENst%uJKPK@1_^NW& z%x>GuOG3*V*LTHz*w6DqI(o;-b~lfJX|;kcK27rN<%o#VSF_}j+vWe||2@&8J~i(> zw|!CE(0K8GQ=KoT!HbZXCuXnmJ~1w|EBL$GVgIARIWM-X)-<$IIJ8^NpDDnbnMH&F z1eT_3joP}c|D1;yBLfKYGH@~^mZoIp2NdNe>lLIVg@&*)FkfGzo1w8)|L=l`9)5;1 zPZ_dqMi~1V@FZPj+;Fk<+T&o>ga->Yc)H$lz2%z4#`u*%Yxgz%N4M;zPqNhTUJ<j) za`8rnNUL?PH|g=l{MLBI^tJZ>_XX>`t>?~}__w&wqhs%6o?lG=nADg-!wtrvS&`i; z#mT|W&T_juZy$;)xU!w|m6?5p-{;NFcmKM$fq@zXutC7Hr(kg3e@$psrS@EhFuBh{ zzqyzv|BhVN{rb7?TAekk=clh(_2|L9x@ik%O;YN=dn7ke-{RHnE2T|UNl`&wPF9aL z<!+69bJc2TSoab)7iT9&his86moAj?@P2udK0|V*#0>H2oVscHXEx3Mes6Y}rGI7S zDevB_Ws7EYu1JfLS~b1p)zSq~oGZe9t(<yix!<Xklbf3A>h73d3S1C+{=9XS>$^)n z|7RZGeYsR4*1ELrUf_y%JWI3F&z;xUS39qIMOaql>fk4jj25;oy%)SxbZM1SZ`@(y zl6AA!KPtX^<j?_GwtbUwd~|r;oL+tB=+>oETT4?zT}@S8<+=|CKG2`C{r8hAN46}P z(o%BdZdQF=+Q#tL=g(`EYME*&NeOW={rdRw;mwODJ0IQo<8%9u&-Duq=_yGGaWQIJ zHe5MBf3B9j`E>jBf6kwo|6T3(PEIGKu6^M}J7e^wpMILR{r20k-M!bM*M_aWnzi*- zj#+nb`sSN&eCHlb+8CiD*1b1wz1i2VReP^p2?r^NT5F;inh`s9<!Yl+(`D;|RtC?g z(yCp#G+uh=6*u8mi>B@B=vb;IB_$-p#l^(5YW~;$;@^L&`Oo+H6}Lt{UvWa`^_*Sn zUjO=8V>jP_`Q?`-X0y+xZQi+Q)22;_QjBK$sGZ`p-QROv%WH~o)w=2bt!F7c{`%?u z-+6gW4JRBq0_RB2mXdnW<HK{N`*e%gv|rC<mwsCMe@;?pSl|kE`|1*@c?;u=<BtZH zm7K0P`={P&wzkjIr^_`0{a*ZhZri}NKBuX{V#fjP@8|y&e|fIP(NWvK{>%LD=d1JI zpA1@=syFSHDce=v$s6ZfIQu7Q;eiWTx$koLqx8y4-rL@mShzHHf%j_f#ogCj@86rV zDE!qHsjbnA54g3Pt6%RGT6%Tr%&9l0RxU2dOi#@Zy|~+AoB1}qC1PAW-}BnOpJz-+ zjEhf+f4Wgm-(cg0jT`hg=ozjz+-R^te|^#PsUQ#v2BKha^$G|~2^GCM1q?)H`lwAl z`S@dnjhr?(TK;{1?)7Qv-Xr_gZQHVA!=__-kIdGrUbA9-*!8T_n>Q@Gk~Q&4hWBfo z*XhT9-CVz>uI&E1ckk*%R<JZ5OfdNI`)}R;`vn#<eC>-Dfk4D7u?^i<mOp*X#0mmm z<JMn){q^7OPrH6Ni1J^Zaz#XRrjM!W)wgB4@4mYX0+YcY7z{3#8Zdu~u=#iM_@lSg zzwcGQ-<uB3xyzP<fa(m}^9vZ%Wmx|;Ek5*6<3qS72xx)<Cm6hZ0S3X}JjDWz358sL z{pH9Nmam)5bsOuy{d?o(<9Ap6eemSV=huZS{deZe=IXyES9WH4p4|Ss7?gf@-_6^8 z8<KKw=9tYsyXnfE<;AySE*gby^41aSKAQBuYH!^7>muNkJN0VT)~L1rekZTKVl@4{ ztC*%H_v=%uy5>z%>OcB$#r)0o)?ueztBSI`e%-9~j^F6v9`Nq`zOOpp(!alb_wL<0 z&C4%Ktmb+x4O$r@m7D?sEY2X#tNoj=TwWf0E5=X@462ksV9}I?U{LRW@?NgP<t-Pk zRv%gGx75wGI{y1T%Q`(35D;PB9NV2+d?0G6-_qc$tzL7zw!feISX=}ISis=RXApP{ z2F7RmIuk-%OjJx<LO|4(+V_*^fq)zs%$o}WvS2W`{=Rys_SQK^e=T5taeVoy%9^@{ zz8#MCzn?Mw`gyaqssfZGEbBDP!N3v>E@qfW^*(w40)KY9?=|<DGTAcq_6-pDV|{9? zmu~t&*^bu6+RCZrB}I#p3oW?*w0<hv`?4c?u2*kYiHub4!NT(L?{goAgA;}`7%;aw zEev?!qvA0^!Lf<O+xF4RoR7tVicT#Yf=c_=gTVXg>yG@AV@XL$Oo%hwux`zg6Ul~C zj{i6{<KWtlUqZ4%o|x37Ihap5U2!Yf=B>fJvit9D-;L#DV&M=_a5z!(<HN(l?fix{ zV6dM@P|2l3K(X#*P;-Tq{hls%emR?p4<AnR$y$}XxbSfLx+CW}lQ_<NJ|J$dKd&s~ z!R1Qp<NePLG%~aE$<$?TePsW+B6J4d0sZ7}VDNA5wzRVz9v2S<rX(`1V(<|>x@hHV zmaR|pcI4b%E$Z66JaW#@uH~_<%yw@z18m;jwsrLfgJw2fDU*y7r$ONMhQz~dywVX_ zdzS}07pv+!xA90Cr5rd60=vQB_xCBQi);O7{Ll_e`aiKi*#E!n+jP}=Y9GG@KHy~I zkuXR&Q1I|jE4R2_#D;`}O|0AoWniGMCma>=YWe1!he9I%*)6n^Sas+;BvzXGGCo%C zS_cAsV8G|LGkvXmVxz#pbXRztyYh9)vDDA|maj~!@o%rVC41!ZzlSg1Rxdts6a+$7 z1T1uF<the)A}}Z{0E7R1Mq7hUhfg=Vd-(D_N9}H#GU4s5dmpUGzbq#?v$*0GV@1{b zw;*s94Az1{F9f^}6fk>{dExTq4ckD#t&xe9OGM+%%vq(=r`YV_DKGbw`0!!UJA)M~ zK>$>OEL{rDMhih{d+yxO&HpBdzj>uI;dtJoZ5y_)Yr1<rFTC9C`{wY1?~5J4t&7c| zx@40P7;M@I2D+SL8_pg*x%c4Koo9D$Jh^u3-lGRk9^AQi?a{3#j~?8+bL+;nonX)k z2901)3kIE_1YZdPQ%^rF+Ii<H2!O)p=+Uq5<JShAj^J}!uwYtSS=+Q_-!`wd6nUF& zU;JHWKiJc{V6b)#2<Sk-TGo`!n>M|ze!us-9oL>+An>Vjofd=M<j!8!`}6-YZC{|x z$mTB|lEBE?$5`s2!I7cMeEdO^G55T0TpBYRm_W(DpGh4IRKY+Q3>3j2^3}=Z!JBpp zoearrEJ-}n!YQogBPS06({&;@rJNLU2Z7`9zw%dK`BYlAfOW^VEixSqjcg(r35=`~ z1q@B>N*M<jStS}CFtSS}Brvj0(Fk1R(mClE2!I=AZpTzSC#iT&a`9A77J}sKCnqK< zyZ6Z$CLQSzRCenU(F|JRF){vEu&u%ZPA1M8iv<kKvm_iGn0&cjI6r7$;WJpUo|B1H zrQrZ$Et`mg!(?!Hx`MN=lS?277=l6J#Ev9TS*oP;Xo4ar;}lhZfCea!JGK0|Bwx+A z*Sw*fk?lq`AH*pQ42+<XVaDA<LH2XciY`0#DR@ftvoygEs(q6tGqHS-czGh_<dVnA zOH!VsFif1#H>rQ}q=^%J<fhxa{qgvCzr1}Nq(G5x0|Rh!Xw~`Jzx=X$@zDt@gLZ&Q zZ55S22f<*9oNd*Y7Z;s1H9+8pxAv2MrS6_yk0}zCn<|zRUgQuurqb)xrx2N9a_Phj zmXnw3o@6S!&Wn3;uo+Y`mA$#KG5I(jxNKSo3P8)ECl59{yYtCd6g)W4`1n|_w0Yhg zNcmxwb!A21VmID3iyjIbJ>u-5<mk*NC@jj~DWjyN#-_+;IRzX*Mvy4rkuu4+u)s0- zSdXM}+L;N0%#dQF;^U*E-QxON^gJ}g*uFgtZOh|iED9D)3IEIU<mGHo_5EAL00b_8 zN}HG+85bA1c8lpIK+2u&_+OmgT{-P{@YD*3#YOshPCWj|adiT})8XZZmK}0*I+(>T zpZ8;nxD)66Z;tOjZi$Zlkoo=XzPsCZ@813V$KNhd?XWcwpfW{ND};dw1eSSBRC4VS z(fQi7JlM6Eb;8O?;Nl=)!4F||zd06#kEW~n%rHni)bi;)7)<|n#hxSY&4q)^?N@L3 z-g#z`SJAg({p%N2jvr4n9zJ&O<Ceb7G8TC^DsI*8&M&v;f~LqnVBiHw$vs{xjy$%i z@^oOhaEalt41)tl5Elcd>TX661}1kcp%fbdJ|PZ{u$TzBmV*w(GYk$1Ffbf2V39at zD00M5ru9}{z$W>v6Lr5B?K<Qn5c<ie`}K46fPE3yUAFDBam+|PJng?hVwK_Ec+M?N zHnqN`t7_&Kb6U)tHrxJO$6b}qC!Aa6&(`jg+1dGEyKj)U8sqsMuC#{6Qx_LIuQe{6 zuWVEiu`PS*@jHogw%%C~R=g^w?8xsbvpLg$)NgyC`KNtyX4=G?D;n0>>7V>{N07O1 z!lJ-c`|`wmy&JqVWOZZ}J*D(){Pd-{YG!ygt^M*qW_H@#eL_WNO#<aRY9^_Qv_2`B z)5QO4?Nhe?eV1k_&k(MW)t@ov<Zr$S4<BxdQobVPwYcv4gzHC(4Q5^wknH7prS*K$ zhV$~i2NgBF3a_*|Y-ufI{(f!c{s)qMtJi%@i~Yzlorlpcjm>}4;q9B54qM*5*=QpZ zu`JUicd=B~d%4P473`BQ`Y2uwXbiKBo%FGjIY!f^D=X@19%KBmZwnsee7td5>AK<u zE8WLm-Jeb{>E0qxwPR+Q$W7kh`HzmhyMO0#))R5&CGLyop72XaJ1Bj4!Un<BHkPb& zdOzHD`^)5CvDd8E{B@JsjwFskL*vHfqA_bY<BxN(r5Ei>PT8@+=W@q}V=KD9_HxC{ z=v{i^#FXpd^J|Ta$~X5axoI6gnQzB_>BQ0q@2N`vgcDQB(!QKrw?w0PV`F{xig>wg zrnACNMYGMx>b-x>)UV*AfX+QH)26hKGHNzq9Oj!!KD@ni&|>MgYK3<8(;2-Ja#y(h zo#P(I{r-Sal=4xj&<n2TkEW!%J2GaqMDTcAd_Q$Vs!qK6oqZQlmhDJUImEZGk6R-B zlpSBn0|Uvk6O4GTu9*KgbM3DkD$j~5z8D?&DC#!(-`8XNRDxb*Jf3-^XyRj~P)5Ex z(ZK?59<!OPJ!KtM&bsFr8%Nl6E$vUAY}}cWKK^%$*&tB!RBh^s$teQyzmL@GwK%J! zetD6gJjZRd)BV4E9?jgFF1|SsZ2e{$=a&7On|w{<lwXG(30kV*_jSwCIp0(6Io4|g zbiNk#&z4Nfw|$bARd+onWc_DBjoLR|;XfMOkGgN(zIG3DWo_?|&@D5nzaQGb?6gVb zc)@ymA(4B@Chwzn`4lyD34Uh%e#~rTi;ZTpg;$Tt<)-I-u5I_C9w&>m6y#19GqJhj zliU*F%o_Dlaowqpn(oWi#g)F{7Jl|-qEhJd7)zD^Z=`}xx_+8{zu?I)7XgLig8zAy z`!~I)v}ZSue^L9Ny`JU6an)Ju2D_OqrLT(X>u&ze^Jl}Rw!YgPC(4ezUvBBZ_vi;F z_gU7)2V$?zRu=zB3O>ZIG3kBi!$NiU3%0*<EL_)miVBM4Rjru6@ocWO_?<ITx;-Ct z9hvn~(XT_5ktZ{prNkhy$7R|3{R=x79glvTc(~1Y=Iw`q+>=YCx8Dic@`<4$&r|SZ z_UR>Tw*~c*q(ixG-sX6`anUSS!MQ8u%%7}UzAbm|-BmC3kNvaE4YYCnRba7nPiHE- zdrI?}FAPj>E$qCRCuO1xpW3tLX;d4&J{wWALWp~X*ku{(+<%*;V&7LcCmjwjp7}GN zZu`zlqNl8Q#9Ds6|68@y-KXw)-eSGRZA#_GPi~63T6AenNm5bWdy(SBOC?|JEib-m z#1WXZlEIo$@N+&_p&##pKgvCFr!KutYwMV}XwgrzPu4FjHIvQO^sjK5+{a`#>$nK- zO$kMfT@%t3HZWY;{_@+jGxKZLvp*DlBoJe>$zIB-xN}Qu(G!cPplxgJY2Es_?#fQx z(2`dU>2t21T>pW$g0cPGqEC|p8IB%Uwr6q1sTRMcMz@UyFD{+r`gM8->r;y~DY4_y za>hT7?KCwtm|uB<)2GRx;6#?+!AUBPvHP1`-J5n@VRU@|qr8SwVR5(dQRZ)_b&}>! z&+dQVXmX0%razR+zwB=HVc$<h7aaqFH+(pmR<D)ygNyxTN8aq#%Nm?ZHcJ$5Td+3& z@cg5k7bjJ4S23l`^qCMcg+1%%rAsAuU;H*JjXAK~-GINSaoN?g%J&?f>@I)sz4Yg0 zkADqTIWm$h>c1yEWt(`@V!i8)kazlhua(lAQYBx-wC@v}?;^U$;PHkz%bv!a)u~QW zxE|ke_SN%JJ-Im<E$Mg8?m2QGBfjLw=}g)Ar*3N8{NlCh$g&Gd_LcO7M093O&a4QZ zac_HT=u59vsuqpM*0L^ko_;P-D#zFFRn?R|r4w@R7!|4Lmb1lht4hR+-%0v#%O~e; z2<HN0wLC8NX<z<b>OHCcH1JSbj&Qnnd9n)k7RP6DpME-it5*88WoO~aw_?Ai-2L(= ze1_Y4p#!oq8-njDUYLL0dV5}R?feUSK0deOdKvJ4as1DpCfAqtK1`RJaW=PXj&NAZ z2R|A0%*oA~Mu)E-nRZ^hdv?{&XqDOnQ#AVd416Z8eB1iK=lK89zFlX(E7jD!=bfw5 zDDmO7%h4;pWw-AB*=M|U#oaYLAzD#FM$4A9{ZC=sqpWx$yHL&b?rerGg=V`2>9-Cq z4Ox0qA}?!?W74#~)hhE=?Z5sql;ixWZ^DJa-A~WY-l@0c&aB8|vOhc74eqX5adPXX zcd7ML@^}tu>s;9KM0LX7!2W=<{H_=MyQ;m9-Q&+`3rWdiR|=eRaD{llvh~Vc%DbAr z)yu}Pehm4$zi;)T3az(B%bKSC3+>{2t7dlnm)82lwhv<24EmR{#oef86<ngvX}hlH ziGqK1pT&de>_Q=z<u)<?`Q*_$L6yUyD(>6<n$Bg<zNXtrXU^_D_opf5-r^&RI}Wa` z<J4xlzAJ0;$%yQ*go!>*NA0)zpDw>JX^RzuLijO3b?#4H$CKRZIwN_{GtYSV);9UM zBF_^U@wB`(+Bv23ey^W%bYAJo*1a8){u{4YshTLSvz55le#En)zbN(=!@U$2*9pQL z4pC_{=6qUIZ1}viK=jb$Z`D?FxC#xo&JEny@pav9>$khQUoUfE-Z=BDS@5j1Lmk=A zcJ)W^m5)$2yf`PxZ}ZdWv}g0WIJs5MDXczrKI4K`|GG8Lq!;ffaTH4~J{Y&S<@BR9 zk2Vz_pS$~cXwjTSn|N+DerkVXos#Li^^%R1b3x7aCH~SouC0*xe_BrF#hr%DYI2>Y zB7Xh#xvio!bpx;QVa?OGmN(fP%4|RQ+slUYz2-V^=>zY3TsTvk1YJ(|oDAGkApUHg z-*Tr4q0njUHCB5y`66znwWoSVOi498$Q<?fPUE_e+=InmC7&cbi<;;D_Sv@>x5CPu zTB~?B7`9t8KWhHfs%&&n@=E)%t&9(SO{cdq?%TR!(X@qZ7TUUjksq3D?n-XZ;TMms z5qI6-JN=GQ+2PWS2OKPRyL5A8GM!$iRLHY3u`w;JPiHyL>&r{~IHviCUwt<9gnFsh z+8K8@wF`OdGU%Mx+cf{>tY7uEF|Hp&{_f#>ANnEblt)sz+B{pcZm&CfolC?Wx!x3P zC@VUA*Tq=jm{9()KW5%fTDrb8=)9ZIy&!k*m769@=Ba;uvFF-WyVU9{Y#&Ywh`PEd zFl1I%@0%OH>WH_V?IVu`YfG~oq@!gNE>`bXT$w31^<|l_+e@vBAMLrz8ZHIR+$Gq` zVm$E|?`!wNWtoN?R~UCc%rg1e=C>~+?&?-M)#@W`KTZpZy80P3yqL<K@VDTScjbGV z*$?(fbG<WLacSv2&t+VH_)2EPAFPY8ocK4fcunxb@~;jzw=-9kZ2FRQ{^g#mRli~- zG#ALm^*@&9nUrWTRok=Uvhqch`gbYP%Su?JSd{jj-o5_vYJquI@1N$pxAcmpb5#7g zIge(T9{ct6RLaA%auzA(n(<8iidla+{nOSme2-rLs&!A|h1LI_@N0U#$lAN&f3V_Y z5e{2*%Y^RlhNhK<CG9@bwmIipO@8-&?NR^ud&Z6}HaYsixo+2jVy(=#hI#!>&UqL2 z(x8CrO>o(xRZr7wTs*noeBXU8J82VBXo}p~cehGje2V@5pa0tehx)$ET#-YQt?Va0 z7LfXN;_#6VJJlb4`X#>SrIBy_lxY`cuC!A)dG7mzMW27zsAl%xc@lqB^J0oXPkl&7 zad-XBhgn+$Wa?B*&U<|8?lj~%d8$-UJ;$YHW~cmy%uDOLw;oG3$vC-avG_hoR-+pX zQx44BeW&g8B~_zKH@1eDFW7W+Zs}6ng|@0<=ksQ?9jJ2-eVHRz`q)<SdC&Ey?OWXb z?&(_>R2ftk-!3kBPvG5=wB%EQIaY2~7v_5VPrfr*zvl58HY1P9t*S4#cvK1IO}N$a zIjY6?>AzPylpfXjsRnUtM@vXw?_YDp^Q6Y!UCX`ezDB&Xj9#!m(!Y@NoQ+)5CvOGD zcb;j?hd)lLP*$9Nz#z`cvnfSp_L6e1+H(DM8M9+<`9@8<?sBi&VHf}8mBx$oJ<c2| zR6VuqTYb?2_P<6Yi@tvPa&%SO&UI5)I9*}B>mYae!_wG|T&kOVH66AY{`z=9W}op^ zGZE&-LTA}si{C5{5LZ#suuUpyz92m*lk>;gOX?{SAv3Z!=7-b?8)+W(Di!}e!E?ot z6X_ahK3_bYE+ri`Jymt?f<foioXOvs4Vm1ydktO$y`H^P!ahav;%UAWFW1aH`<YR# zBKz!ynG>a)>`vVK{<>+}?al9(O*^;ow#H+_JVgbusIMmHPCUB0n0HQ^K-i9pP3Ncb ztU0SxCD3Q+8Zbpy_1&z8<x@i(n&*GW+|K=N@21LoTPIJw|BNp>eNVf%c}SSF^OEO5 zZ;X$~Z{V}>I*~rHoGE9^_KVBUK9&kj{l2(wR<VK0_jzX$f9y8Ro6vGwJoHK1h1cpw z=cM^ZO`Db{_UZGSu$}(NeAAz{1+SK`=nsil<lwP7Sw-x<o7=@zCQ`;8ljoWo>gZkO z{eS6f6Q%yp&P5k@3j{Og#++RAd4V$5LXPMD8){!C^oTre?Ga5fxKd~DlDLLn@Z*OK zr`DX7YOj#c3%fa6utUTsBEI9|-VFO!`4WNar~F^}&ExvkU5Y<74u5WmN@tIb;7q)< ztV<&JW8I3D8~+^_o$S)h=9w7(>|)goVbiO|5>q2i7+km@`oh>(!{haH!H4S-G|w2C zACY4(J{Og_`9t^5MfDw(zh|<9fBxW~`Nu8dJDcRr8qqC#1=m?v9Oh;?dAjB5`(n`! zjo`2rX~Tt)`2t3&vzlzL1W3C`@wWbuK6qAM%;LY<q|cX+bVhpCi}56Vo)`c6_n(wa zf82HVH`Z!PzTI?Zlf}l}^=;BUTdKNx>|YqIeU{Qx8|FUi^Y<sBG5IHTCvW?A{Xjs; zj+*UBk00x-aaHv>)ta5jd?fVi600Y!GkwZJQ@XZp+ZuEtTm7o~*%?<>&Tg6YRC7w{ z@~+HRMzj7^Rs6ZB$o6iF&2s-u_geZ?Ei7I2Q@%az_u2c>c*+jWc6E<>uXR5iYcEz9 z$;#$DGMl*V<I(5&EHA1hg(h6eXt+|SmH1<Q(J8MjkFUrEn|>7A-tGQmVY1X0_REi# z^Zfra{k}_`z(1Cg3|YHAX!->NY?We8{v8ze<C4&Avm)1vFMZCI)}GD0y)F0lwzrGd zDQ}qfba}s*K+~O@cGG<8FYEHT&04lq+Ukf4=kd(lKQ>z*YfuV}eZ6bnvl&5Nj6F;f zm?ksbxl;q0OwjJ^X8mLG{`iJQHpv?+3Y*z@jN^_>U_5-3RkWl_Sa_0<h=7N{L1sRa z0|%J&cU)lN=32Ah(3#(7&)m^1+11QqQ{is)LH4DCkn*kK4F^+gp8XYEzkB<R?#(~E zf1l=hcj(?ErqjRAp1pJC?42`b&fIl+uFS}9Q895Mn}}ql19%<-Oe_sr8KU)7_ZvIc za>Y}DVY7D5oH=v$PSA{+mFmIROH4w)PyL=(a`DZ{@3KGZcAxrPSF_*t>EE))zu#&o zD%`zu=ImKRtG3x3k}vm9nD|fFkkgXU@nhg8%{$J`JzMTa^DsVYZs$8X-L`e|gHR?; z<(dbMY-U*(W+*DN^@wVD?K!9F(W8*Sz<8su<k9c5XV08D^A_Zusr!z;yPzO1_bYkH z?|Vs`C;J?5^ltc3x1Ft5(kSUb!>h9U=8Q-0eE<3L2M8<z%{bh>4FZq9iyNgx>VBKR z&%0A#_ti<6{Qg{$yRWA4Z)|%nUSvE!L$J|lVSvUL__U$b*DoNzAko;x@sZ`@!JDAj zpJ%hDgMfNdI|zIiyB)p6w}y3c!Gs9~A3!rW@6LgNUd!Gkjnb<^Wa7km_CztRYzTE+ zW%{9M^#joj%)T)KduDOe$X|%6ogWnr0-ym?cX#kSi8*-GRXyC@TwNRt*umgCc(ULa zX!`OQm%9Svz8Ckm99{1(HT9G4Vu?LJKF|K;>A$GH_OiXbu<0JXx7GW9-s=ZVy%xQE z1_IZ>05raO?AW%F?Br-L0MDTW2Ww6BT51FyM)qy(*O<`0{d<eq+_N_6?|;wQta;#B z_PVl?l9DIxb)e~}CvJHmAt518T;o!TKCwAGNS*gAV_k`{eX+6rXJhwbBmd&1^LNgi zTzTtv@3Zv0XQ_V2&fYmAqXZt(1kE^!h`4}(iHV8H6KA_8PIBNWC>F>Z)Mqhkm80Dg z4(^UQb6n<3?w!+z|D4`E=k#8gGe>RC9KV;p{iDIU??(^GoY{Zp^zJ#UmoHzw{CG8J zxVB<WItUyu2hGvkIbOTxcx4SJP>+|!fWq^5u?;vB*`Mof^XN(!bG6yFWy`ir6(4R~ zI<;#j2!O}%H-aVvR<69b(7ByY*2-gDWrX#*HLKRFD|@qJ)2c^ldC$_){(**}`OZJI z%*e>dm~$*MEiEmr=2$u?Xkw0~y8UoIdh(u7)+^@zQwQ95rHoT-Ts?O#Sis7?{FCPN zPa5r?)Io*_fFrgXG#&6!q8~I9P$<z38vp+&USBwUyYuD_0R_8?7Xdk$AW+%Od#LH% ziItt1nVp_1S9WH4cDA;*9*vxnnwpw=G`vQ2rjMHKOHUP{&XOg{;X5upXA$;NNMsS5 zG@)nDiQYdaCf>`pH%k0cCthf5UTkbUKLa%Q9T;dS*MI!6p{lCt(O{dS875M9UmVku zTBNvefr8?Kg^CLm6hP7CUMO<>p@onz2nd3KXTX$>4N0q7<>$-E&6_7HKVNR%T=4up zXsYxyXsYyW7^v{LwY?(Aq{;7GZ?BYj#sP!Jt~`<kF*lw(*mK|f{dV7C@RU3#2er3@ z762TT0)u@=h0kAHu*`+cEbGn!#=|}0hA9UM3LRP3J^p*{AY%y_fG3NJ^EWzsl==La zV*c;cW}8ow>;FtM-*;-c&1vcXKTgE|e6qRbQ?%UAWAQ&9Z~k*iUH+$5{hul3r%s+c zd2(kA2+TPS9$dG)11cD1@83D+xaFMvhBJMZ8P5gzW8ashvuDqqy?ZApo6O!`dHdvU z1A{}HDrXu#)g_4+p1zy4(<q^2b7%kKzhy4ZtFCXK=j#%(@ONGD_FHd$-@SA8?wz}L zozio2b92vL2hFlyiSb<-qBV70N^){?u~|BELW-{ohpB-46gexA%a=eP4wNBGOeSsy zgY7qzgda>epm=S=1%YnU#2<CyMxSTT1c9j<+906p2%abTCiXk>j)sbi$euV>=7j+V zvlF=I_Jfl$cy7aR;|34_jkX&YY}kH7UQJC^X^lmtNDxERN>~tIU3qnv)6`#e{=0YY z+}V2WItYMDxareDB~y4fIIW6@@1FmMok!#6*N<O4|Azee>G}J|z4tHT`1gJX%`ZA~ z-M9q;S_{F`X|75jH)?0Ih=IVh=$y#{LK7zO^S`yem(;wXp|C;r%Fi>|_Z~Wad^4}K zyz<<$&7IT3pYN%*nq65@URDaRHw+BG3l>5_MNUXacvxr%q{s>a14ud!0E7IE@gnDy zTJ`7Zu?WbVdpzf5zTflweI?0<P9CkCv)^*g?wv6w=bYYqzmV^F{<$wpciV!%Pw*Op z$=0SIPzg%o!jp}EPPVR`Yz!(6e@@Z|Eh5-CNn2G_Rkd=GI(Yg^aqrPO|9y><%(j_^ zamOUu967LS&#oPN4(zLF`N;73*Q-Z@Y~P+<J$jV6(?w}we+bBtl~X~>D*hSH^ii|_ zdD4B~Df!@_pdh=a!98w^KlbLkID$ZBx9*`w&8dH`W!GLy{%dnBeJ_Mn0Lr!IpnUtY zLZ)9C9I@b>D7X={s^;$Y8}f~+cBfu-y=tCbwX*-$%Jo&N+N)NtUjIwh|7%eG*MR!3 zVeh|(+g|nGd&S*WWcul+MRP$*8lvxmRw`WE3aZt<t|_j4eT!ievkZ8aAG|0h8&tw& zM1X<ErNy(p_<5ZF;=BHf|N1YU`@i^}|Kjn!<%{qCFFxzPc)SPkz1M&7?7!mVo&ui1 z1;<Lj2hh@pa3?TeaAR|A5n%cFP)Y&>et>3Yn3%dEKuarriA6tYu@qwoNilIzDPehX zN<mp+;X)-P<wc7X7bqz%R$8PO&b9ZxJj<6l^YUWz^5XLH`5Bd<awZDgNGY|N>$m*! zeh{!{a9gG16yR7hqw2+na7evU4OS%j@-+x>KLoFIsP6tdttR5^|2^lwr^p}wTyy^S zp7Y;r&Of%eDAen=_~MIuAQ0Ct2bz9c2&!q8FK^0vR&Mva@SofBe7WbvA$$DJJ)W_n za{qm|_t|mBpL09})ew1UX?X$~B3!Lb^Upv3{Idk)uws|Zpis`=7&v2zg5^h>^VQwF zO-Byx|8wTF&Dp~>XAb{4eSFWE-G9zpt~qmf&*}4f&K$Qnvwu%Fcs@E73}nF(a}T`b zac*pE*S!^AR7|Tw8JT5e7W6POt&niG7GYpgQjjpP(clo15E9!E5YocPcrYQRO_76v zjme;^S)*-fK~&W)=AS3Tcop4S!!1~6ecjzPG5<|Ly7sy6QQotoGSVM(-?@Jwb*{PN z`N+KddlNX;wH)i&p<j5*ZjIVCyJ7)@Cl8Y+vd+I=(Uqn8Ys1=_(5B3-2e$C1Gz9ir zAJg;S==jn3>qgD9o{m$+^rF9d+pb<<z~!D}z-*)7A8?Un-3`fL+4w`bnej8CRaY$B zvBqq%wTrihs_kl{V{3M%Jbb<N!iS@5vC}&Ptyk#HcXgh5C+6Ngr45s`8;{LBE9M}u zMPTl_|EIzgf7VB8Fr1ICSn`|y@#mbPjzfDcT$&lnHDBs^Yf|f~y7<S(AASGxWp}k- z><&)xuOWRm8m7t?Y^!Wn5#PUW*By)7du(QkI9GpQT9D%T_~7i&cPo}AIi^0{C!74S zPD<`$PX5c<HP4k*)J|-zI^8k%;2FM8lb2=QyR>=D!fz#2j87V$y$GqQeIgRJ=2**% zQ=0{Lr)6Eev*IYb%i3zARW2)xo3}{FSKP2U;?pix^T6fxL#6j_>Ph<d8F}j`Mp=jl zl>U<b<;5|rN@Qhpt;<vv^~8549gjWEy?Os#Ijb#8O`NcxQ_q6Tkb*bc($j-ivY1YE zn;UZOPTs7zsrO!Q5!PKIIn{;T@yX>EThj0ORYYHWdL*KALm6*opxK6k%o)b~p*Q-b zE@kGsboO}oewkCt&&ala?@+m@&!J{&{LJM*^T!33+iZjtnwI~Saa$~Q<%nz2ckW|a zdE&`GjRMtJLuJ?c9o*<(Ybs%UMw~Y=?CMlDFU@%Y$0n6sJj0i4SFF);PkGa<Us;+e z=Y(~dbmmEPN1l&7?#EYe?#E*r$Q5&ZQ!Gz=YW`o%+?eoXh6-E!Oqk#Ge&W)6Amksq zt!2f@j!RCjmf3|akjRp@`7I(;v0GS3_}cl7o*Pfk&hRVYU|_fMmEjjq5wZOGHA~~u z)r2dj7yMZAyuG0<?9F3=-%GD(&2hTY^!r+<n9%pgtSj=5s^2U(GHKu4acb+t^9$3{ zlsC`Z_1LfVV9!sDqaPkRSbeaT&5zDvv@u%$;75&RN|*ib*DrZy28*t=_~fspb1%A0 zSm2%YgA>L+8+AKRNvmYe3cN5UB3)y{lkNhQm1mkNU!BtV?x@g`a$;%7>odD&f8yR> zvNY$;otk|%vmWYHI8G>D^w!Dfl&qvz?Z)T34_laRsMPM@@N1p^ysq<c?6Qhi-Z62f zd>ntB7iLwRy{}*7t!G3qqg!Hv<nG?xj*GSntjmr1*`Z;)X5D^SBl{Pd8sEkq;Mp5{ zVY$@F5)&<(;~AnW6nm`ZxcG2%D>bptxa}eOx$ldYko4X%&bGO#sZ)2qbSv%hFck7j z*|fg*3G1J*mpy?Te-|8mG=06sY;*DX+Wx8<+;I#oJ}2(nKeBS?{6%Uk;vcukF5MK% zmwmVXa%tM^_`eEq%TBZSC0_E#Qjb=X%n$ZD_5Sjz%Tf#HOX*#xs@HXX@44tfl*i2Z zrYGM#p2*Ig|NqspJ9%#ljoLydCUN>iJHB1w?XofTQsz&-0`;bdGuh8w>vflG_`HK% zbJ+=Ln-FEgeX38se8{uiYx<>l?))h;A}fwe$$P`M_2)*Lm2+23+|If3TgF1=cdt$2 zXU2;KU)epe{9Rk@wBwdupMOs1t>F;m>on}TH+}LYkDjbM6JMtuTCH%dFhHfWEMnsG z<lVVEyDk1z#!pReu`G3PJH8}s&Af?HirQOOyG_uT^X2cp?Q?k&RA$F{Z~5cL5Erju zahkRE`SmW2IAKZ02MS#RF<D0?zr<I&xrff+Q)DpjyR|RoM_zJD0FTD513#XKZS|H} zVm{Z%&`&J+97_Z9;{{jKij-L1v758cDQnZX>3+*ZfQ=)VX{|Po^d+(EUxy`6TnSBh zWbuB}<Y!BGWyCHhH$1miTBz~h`)r;v*ZSvbft*GY+oEzi>>vJiI4bm3;$~9Lp$AEZ z$x_dw|JUnH6`m#-BBWlD9g=6cWBU%V$4<xpI4b+ZRW<Z}Kl>(8u{y-Z<#iE5z}jb` zaZ^5c8Cf0m-V&xV^}-bYPv>nOp1!tzMsV<lYzeWLmi~XKb9HVR%WVGYdSG$*=5-hE zAD@z?>+SdKV$g4f_(%U*w6ga^?mAac{n^d+<FZeIMh3@?X36cFUj2@v$MDk*?kPUA z<o$zxc2zBFFU~R9e{kc*totjyHQRVj)!xy+@O4_!!A~C=7c7wZ_&h|R?@IFb=yO$U z;<54*i{5bkeEajr-^ScF_KA<)WV)WKn06`tig9=R?0;^d*IMr0{-Z8f5q0@$xTe<R zwEk^r?i$;t9KU*ITL04XANgnWo;zBsqb1M1+~@4Uzccy;zlr8gd)sl-?r&wJ$ZL(I zZyd}wa&awA54yigTmGf^qL7=-yHEb?xx(d{DL!+4ul-xS?y#^qhc~^>s7#x-IOD%d zJ^zYXR*P*_t|T%2*=j3seE#SAxdAnwrnL566!F=_q`pEncZ>ds)lbcwZ%zCyuKj2G z`;gsCYmTxl-y671@|o;mQJdD^d#*ma>%44g{GLrA_hRhA+2&@5RWx3ZTzGTO0gXC| z?RU4GH+;!vmd`49bnAzG(jT99I9V6zUhY!1o;+>7Z+En3T%4u$uWZkw=MLL?C|a#J zW{@m1Z9|2S0`ISwe)W5ooDRMD(f485y(@3l?6yjoa&*-KgD!Ow?m+(ri-iCGA7)*@ zu%~_LZRzySt1A5Ne6m~L{pcCbPqyv-!7{wk+OC(>KCW7Gxt;R@tJWb=72Sp=zK6p9 zl8=84wOaY&t?*ZO!K4#ruaD0+Z;nzua-QYS$Hl&%w?1oMeRH19kF_fAj;vh4GSTOv zk*2PbQqC8LiKh$}i29YPh5S14{^2H@!aHl<t_>BkYnh<lpmA|OYx~M+PBznKO#N@J zAKnx7CGGR7q&J7oXSGLNJ)YL~Prdv)W7Z|UAP@b?s@!#9Mv*->6Z5%4>fTu$RQ$iw zYLVZKw~p*;?@oQTlRNu;LCoIN;(cAuujr<K-ldUur(k{AZ;N%Y$=<%l?f*pemHgLw zb7$JW(<v#Y_L)Xk*ZWRb<!!UR_5PHtzwiFcG;QJBcu%QO-ZXf+$KQ{6TJk-0`wnTi zc^&@bc68Utsa==6N|sxB252k@xteu0Ny5kN;GfHj)Ba8Se6w-csqEKJZ$8$2S*CF3 zeZhzMnrbRVxA%D6-t{IUMf`|GkE8PW+E%gt-`%&HZp%0r#a|U^dc5k7$Ca2Xdjgbf z1h-We>aU8oEjHUEtsr*HLwd{oQX8k-);*UN`#o-#au+N0zI2iC-u~6=b{}(F*dkgH zvhlfumvEoJor225wuu!SCBj!S*E&SVwI=;#*ejyE;_>7YGmbp>wZ5-%aIem?^jY~Y z89D=58WuiolT=b^-hNtfUezS_Rkg>wWjsrhw>lhL<)@vr<RF^|W9+?u4#y0fTofO1 zxT+`}-0a~j`BV4ZNZ~Wu66`$tyix!_>GU=#|iQoeZL!rxVzh-(?&f4`qgs-q> z-sbvOO4bZK`@{499cDK=CU~OokitjTxw{fPe6Q_Tb5(EOPk#AxneRHxemJ|x1n>WR zRFdgx>pi;=_I;`nPgeBmT%LDg`r95K<_AG+?-)2gFfevXiC@1OxZz#?zPuOKn`I7u zTO_&b=&_)}<j4n$Hzi-2^~|(*&W9bV16;JGOfz^HdM|>jE%emPm&?j-opcg<W^*7R zbVVlX%m)X`r|EK?-FB+}s#jO2=NkbpJ=XcRcI$WXR2YhWR!RJmaJ9W8ZJX)z_GkBJ z1v<<(T)NV+a5Z0VZ?Cf1gAE%jw9~BoUjA7msn^!k%{#4XfynkZiLp+{L~<@HtCD$S z$xwG-`Z03@-%S&b#Gbp(aa+h+qsjF17dtNr-J?m}o33?~95uav#(bsx*Oix-G@aS> zo_+fNZ4=&pe)D>c>?DSZ8f~vEEk!p-?n&6froSYa?UAh9dN#SZHE!bi(O+jSKK*fB zU+;%GP8A<D?)?>PDw4H*$o$mk%Ty)tS0|tCDENH$*WSh=MiuUtX|@aa(hb>T7G`Gg z8T@myR92g{O>f5M&p~gL@+M~T`CW_h6p&I_-*HgkXQxccn>n#po_O%Ju^wtjbco<z zqh^pR;^>^xy6bM=cDqWxeLgvoANClZ`>FaNaq`AD_PZYcx>cTLQxh;HysGNo4UtpZ zBUsFn&hehz{pyub^@&UT9iO&Fd_Oz;rnkqp^4lMNGncDtci%0jJELb<)+XA@x`@GT zvhDZhzi<6?>VLd_uFd^NQ8S|Z&M{~O^q4H=T*5k~^ln-70n56LcLZ2l8MoQrv}>0s z@p=CJa@CKsA}WF}Z!NRm?H=sjba<O)c}(<5i}YEY^_!i&&rNTOI`BQP<50EImrJqD zRd1iFZ`*IT)9rXvo2!!YCZ~COjx0$CyW_Tnd*wu3=K`)Ty33sA8eZx9({T7)0^5v~ zWjmNAe7$!gZ>3Xs$e&2Z-zz0w*F3xw*|YxJ+XxN+X(miw`5QX7pEtVIa@}&b>-m@M zuim|XG=Xhf#;YUi8iTVYesOZRdu6fshR@tx?3Yf@x%VacpS(STnj^bN$iKvp;Dyh0 zauw?z6l|VgD7VV+z@$(aKBKeAvr7#3y5yNE>D_x0KTk$0uDJE$Hx1^US*r}XuS~im z_RMc$B2)g+0~!VUw%D4le|@T|LbtGH&ZhO}OY59+nRHiAZ%++7(84Y4Y7#KdWCK&i zp1=d8|7x98WLVpo;_Xki$A8f@n!fI7X4ITJEOASP&7-FrEZ-S$djEqh<(9{sKPf~X zu;Z!_=84%M{`9Hbb4hNd`qYEhjcxfg%^kz82C|80PBXdTZufm+$X*t&>cZ~}dNxck z(cNn0yHG)M9V6TRKAk`}jU-m}_j@~q6Ah;<a*sX7s^yT;?s!h&?!B^yff{|A`&Tpw zM=!d*`p%CfOphek-%io5F=3W0Uci-nnBmBSJgfM{Z|=tbSD#v_s+hYt_k_Ac4$spw z!V8M}a#=e<r9?aC)XRD@nHa|IEsxp0yutLziG}Z-#7{0-+Mtm$C8wP^@mhn*7Y43_ zE3|zB1a`h`IU*TSv~J#(tst;?*Y<64XG46SEPKC1t#e72v)PWL8@Qu1GH$k>3uriX z&WSTtJXK_NsqhPXgXCu2eSarTo;fW%>bB>*DNKi$`kCf4fd&?Sz61fzmrp=oO8j{h z)2jzvT#o7_mQ4@{Gzgry!EM{d1a&33rUPw^4-WOrHL%ur;eoVeWa%<6c>T3%Z`{mj zRu*P8l{x!_jBhWgKj8KA>k<$Ek65dyED^Sv+Q={P|Lf00DaprnXWIXMkiU1hp@NO? zNZk(p_l*A@O4ilZRKLA@9JI@2k&*y-blXJ{H2Cc94j!a)leO!zs1V=<gG5E0De?LF z{cDS!<gRdJ(@{R=&1vw(uHzuH#D_N)8Go4WKio6n&a^!ZU#IaJY-nJr)HnJzfzfG8 z!}YRq$=%y`cgM1T_Rp{~g2CTEfBrm>|8w~v^X?PUc3|+cG@R3!Lvuj^6PK{xjjbh8 zDGdi%)pS-gG;ykE1Ue<Lob1?qGDb@ozW7?`Kq+&}fs(g<(GSGlo}Os0*kHNZVyF2I zGxODJ%*-u!Y&X;Q<2(0OS9{&r$=%J>#iz5fKUaYPc;LMPyiWi$Lr_w(f$!Zxn+o>V zd%z>W&*p%Dj0ztJocjoxhIpp7f8I=GlM7KdqYiDIw^hb$+nlZQzU94tw;eoa2^oH0 z3DP|kyf#{G|G!h_7atkT31c!loG$yo$fxbBfmGPx^cgz5x-53a+RCSI?7e&Y?p@bK z;BhfS$WSMEjlMBxb$$ffX@x=_A<pI+(C~U?AsA%dbvL=qbo|zv8xgZ}K_l#$iD2+@ z+Y{H07hKH3pMQON^^55-m+<dTkGPnBe|q(3mf!NrFGE~G05s~Jn%e5MG{{gi_@l^b z575|>6llmOqwcTABj-6w8xC=5_*h(Q-@awrhOq4(TR_`Wpwmhd-uXXza=#=sD#$7G z&!jIN?vlH=S6>6|ce)JPta11-_fF7w>Tc$fpnV;uUw`=6^zx5dm|pyz6YC$}y$J?S zcY%PF3V0JvY@MyP?VW^>5SJ+%{|lVn{^8i-?{`2TMtkPDiK)V;6L<cpdR_IcIc`O~ z#^WdKwgJ`U+qZYS+};5O0u$1{{ce0H^|LNv$Db<iAd5%GTm8HHe`Y*b9TER@@wI-= zocEyk(F^>$9Rwg#RNE(jHdbsi6EFjTZGY+*4mh{-^U2A|oa{9(|NrS{M^%N<y_*iq zlI0UM;y`2Q(U5UlZ!mamZXS1zaql_j-)pb+?A;6Uu<N2eaD<C7U5fjZUO)e{Mg8Zi z+bd_abM{P}dC=jgi|?_2p;9xSo!@h6^NEx<@_%QvbABlk?^FU!3!XW13Jgww!8tIn zs+m#vLF4rU1!*uiodgCaK*Ql1HvF+FviuZ#yuNaJ_(xp{LG?#EYBgd$9m4ZflihRP ze|uL4PDUHngMdC5tOxCLS-0-bv${#km$%!My0Ys33)%JkV*9?5RiDl;U-!Uu<tg`- z|Nn?)9kUCaSodY&|1V|vdLXb4W0%GnKKU3Kn{8GWTP8_If`?Zle!c<$u1s*+DqXV* z1f(RBl8!Xxe^T$Azi8se;4ky{c(QeOomWc!KiU7ITJQd(z>lFFC*CekdDGu?VE!J@ zWB)XzB*pjMwe|M}0Z%YU+!&!F<_$`=u2&x>cQv<%u(O-(6ufGq7prc(YHDDhzDUT~ z@K1+6@BC0L+1|kNfsuh<XHSoWWOaFYb!Qgm$^L%Nx*8vCbGv^}4<9?ezv8x$=Zf6l zOl&;)S5B8#N>*3z?gjyGkL|+s-k0{LzMR#wp@~alPQ#;?yZcW_yyttb_juds8++$J zjjVeb{^_-^RLqf!s;AEGJ$UqQwCo(2yD^Vs=ETU#+?^96bLV#3ZNaHtOYOd{1WokT zW|~O#y4hRJ^{fANS@m-5)75)UdE0ZfIxXa{eewBc&A-p{bs{z-eAJnDlta8tFe4(P zSNHANb1n|X+1B3C!PRD4H*Ya}CV6{(>YJ?0jP(4rPpP#}-s%a}hOdd(_$d5O7kJyF zKWOaTv2qG%D&WcM^^c}{){CC-cT|#R?ffIWq_ea0<m^2sm;Vrf>@Dg6gP&ioy9z2d zU+UkQr2j1`_ojp-oBp?yzsdU7rqu?`*uH)Gid~>U>F(|V1Jf+<z6y0Uz4<GBa@6WP z&a77kZ#hYOvN3i!HxrlchWkp1EYDAUGSJmuxAy<9b<a=TI`!(;r&p(L>@@nOyLL^F z?VF7Od+y$clo5wO06e7bbO<yhoyN<{JG;&MFn^m|t4zzu-Z$13ATR@5QLs(~Z_{W# z1}Pgqr1tF)?ePfR8XR&%TeGKD`%Q>wsCTL9*RUI_md~1WDD92JZ+C+qtVcm3&8~|Y zz@QEc6v3O7Y8C3i;AiQxi=mGsJ&zktsPjmXpVSWm`C#A=-hOuC#CmJl4@`orLam!F zdIr3!uI_fZEdk!Yv$(Qk*XbpP8v-9mDLk5aZ)NGv*XDaK>Hoc?ZF|}L@7MVtk!A6} zUhDt8Y|RSEA&@zt+@m2;dquZxdh{Uh=$fLiP~Fv2LeH+!S|zwjHFUC<=hG!gL4m%$ zs<p2?9?i%uD7+)F{TY+6PU!bzTO|)FJ-+v+$NoAS<Mb*Aj_qoXSKW4cd~S!)`|l5r zshG!2f8`fDqwM{+cklAvzsoBx7w=R8Wu5NcuCA^oD<^Owu}ytC`S+JEPo|VCogB>W zF1-2V%_TWfnNen@vu90`zW#J-rR+|t^=DUqsye$~dHQK#-$^by=C5|`(0S+GDfInl zY2>z)H+tQ>g&sN8A7f{*Df#i>ftz*AD(lkFn3d9{3*WtSS5sS-UbRf^^YpsT=1D=p zohz4=gnaS{vJ*DHy+nDk)s%Oi=K3sAyCm58_(ZDSRQD5$|LnSy|Esd<-RF3l`R|OA z>uvY;*q)c(y<1jV5(HWsN+xnl5=@`G@=HKS$dt)(PxLxFiY|2)On!GJ_2IgL(3CgJ z8Z;^!k8mvQ{?t%CX=C*5y?6KS-TT)9JRQm6<N*R2Dxj@L8XREoLcLo-ve0a%7r(u| zoc+&IalsA^MZ>0)BR5m@rpoo{S-sS|r&egzoPFuVvJ*F?Z;Ks^4_PyD<8Dx{NMhzv zNN5mX0xit=@grwP$F~D9+cLKO5Chj7+rg78>dMmn38|d*7d~t-*jRh|pTffjib>lp zY-qLgIU}YdmB!B-J;UkHn}lQknry(p3Jk(Pb3xBQ&A}&c-n{v9&aduo>Ahc;pnTB( z*#cB;)qgez)mHhR%}h;AP2)eC=ASg>x_x0m;gaS_PhuC{0-NBqcu8UAEziwMemWd3 znV58peHO==8_Eq28d>B%=qJyAXbx^#C||ZQN=@-tbxl}pNrO|H;6DAwJ?mDaq;IcP zXFMz&!NFq4;1Lw0X)V&gqG6+9;$|eICLka+$wr82;Q<dBt-}lq%nUpS3}u=fRag&C zi{TGupODxW_b1_h^=}b}rDo0(FW>%h$nwjbUe>kyzMVHw{9&*rwN54e{rWcyC;W32 zvJxfET$%R!Rpq{4+)p*$U-|K0;NY5X)|YRGe2zD$;+&ARYoef_eO7MqHRA_6j%s-E zol%kbwId`_V$r3`n>Ou=IT+y={<=VBMNswDMVBXA#tBwr=GDnOKAiR4Ay@a?gR?Gg zn?-XB)sNVE-2SS1<K0wdPv0#i1y|1<_tSo3sXwpvOOQy>0%4t;^@?pz<<^9k#_Ybn z_32TjOOuX!ZVnNAWvy;iB>C;}+@R2P1|GRVQLA0In6vd6ZTHxlzN6!lpuE+I8R3dz z7ptSR&GnKCO_$Gi`daz@b6laJ+&q(uJXv>rXRhv9Rq!{^cYDx`vks5tShfbu`*+~s z*D}RNKTVRuA79L!m&=uXRHXIqigjn@#RW6h_xGko-FVk_r)8r@wFUoc#se#TdvhMt zd}luuyst!|VD{p@Cew^H?<w6)i`voe@a7E*_gZg{OH$wZKTh&lwku8N(26q75<7M& z?Y@aE^ACnL%)a{g&GqX0i;iYJ_T8j@Iox}Pj-F%dmP0KUbT)42*&5ar)auXkj%}gh zhSNu~%JP$MoQ|7x&AjG?;uZJA4@b)EuRZCWu)fx9!3SfThKmb5^qjnAWjuZoA|!jF z{g70SOs5V<p{~e%Co8pQYNm_lAB^^$F{`dQ<iJFsmyt`B25k&@Fv)Lktq{A2tl%c! zt*KtquDxhvR~DNxNu80cZ;eEdAE#yL1FP-F0w(-%(rz`d*|V`JhHd*)x!mjrhvkpd zWNEE4QF-IqtZ%<KwT<((%-VMAn)-JdPyWO?ANU@yk7v&R57D;`cgbD&ps7BoI`P)c zA5{%qd;1SPV_eNs_wCje)=R1{&$ncXfA@->7I-v!iO+`roUZ0q7$;v}Tl3~s!Qu@n zb0j8Yu6ogWGx>jycNEvhm}~Q*8>j4WQ;+Msne`<p?!pWnwOhJ#Co+U&IIdw0bKjQB z@@q}d`P_|Z>#zI7J&h2UZ@56{XvBh@v##cu8BFkA)^IKM`sb}Ly58;%xgF!Zn#=f} z#*%9WYeWQ+b7#%|uvlgu-{KEn)vWhe>MI@8?3{XjLdwlFzB>6=+e=){1dj<E37ox) zS5N1yYPWIm4cUL6=IwhGa(T`d-Wc=xcD47sosSyKESx_#|MHD8i@x|ledgiMxBj$U ziMJNK%y2&J)lwdJ`$N_ec3J185*WR7qm8+2GbU(A$@*M&`1dXE+Jcg`)|Y~()U>{= z=nzml6TD?%gyQ+1B8(xIneAeK&fgNp^!%(glR?Od+f`DBw{@#>W&7t(7rIhi=<M8g zH1Kf5=}DDc4tLnYv!bOHd}cm=TQBKWvC>Gy$L~U^_o{^U2NFsKR_9}1-8>e}?0ti4 z>gy{KOX3|*8QNE{@IByqcujAG-tH&%b6@;#Xs%c8^fj*xyt!#xHM8uqD?*YL3Wh!n zC&U?MZm!^IIHLVByne@|^aZjE>33yh?kzqMYRtdT#XI$V^QCKfieWoV_@`HLisW|a z+>Gh4{k^o>Z~LJb@42cvj3344?M)EfS)(E6y1MMdlG3H(b?a3>^X*u(Sev_Rn(WcS z=U+P#H=X0kFXK+A{$OXf^Z136*P?Ca-~0Xcp*5HDw$Bb$v!-_?$^G(sp>y+OQ-<X_ zCu{M3r#%<f>@4qf+@ka2;-u${kK%V~_<dyiB7d%dJ-MzrWXsOO%MwCb1s)&jI{uDr zQ=hb><CR3cDINbJ*b@)3UOE0ZRwKh=y~ZEq-O4Sqv(N9XbyriouytZpRr-9ht|Hqd zll|hD<yZ7BFwVA}X;Go{jQj1!hWQGM%YL`aW>}M>^5#`l_O3897xwn=X06)}JW$B@ zHCZB+<HPlMw&^Tei<}dy{;=xruK9JSX!)7~oi8>559ift{IL0UT4=3IZGl(N8oR$v zJHsN_w<;a)C@}N5lB;0-;;76t8zn8>RTq~`cW8Q9dC)m4?u;_4SU6v89qUi8{bFA` zDnvwkO>AyoUfKIn=4AWs8+m5eo8F|Bh)+1BCAE9L!d;$e7U#K6`LLTzDJ)zv{o2a2 z0;)5$u3p=7=Z^c0-!2MI|IKnv;Sy?O44oCar7JamWo^B}NgIR9F2BtlERr{fuItq7 zdfT|M?<n`~j`vBMpY`$2k(@lct5rH|*4sp9(YWj*9p@$o?5@ndkSu=W+KlOQdz-zu zCY`*yg1eL9{*|kz&q|y)8-8-#)?=HG#9o?tr(xnlM%Dw-r=y>h|1U3z+V`?kr}2o* z-G7m+auz%RhBqYH&%O7$?6~~C?$n6~`>xA3KdIJCmyvIIIeC5MlnvAE=jES>{l$}% z+rKx;=woX5t;y>d877`K@CdK>{=UJ);+x~ucT<C&{JMFvA~H^6+t&lKa_iJpUaR?? zc)L=D;cJs#t?>J0Q}?K|75{e^zjwK9^ZhvUXVHq6A2fdEI_i3AYu|~|vkw%{?Ox)0 zwTAoGp0yVR?4GNa$<Ny)#KC{La=UHC_jwQ3Sspl1Ds5!KI^SnU;`{JrU)eeSX8zte zeOJF-`}<E5Cw0uZ=yvMZ`A4_4sw#NIdlPpuPyKR3*ZZ#RQWmcmkBOCM1XzAv|8I5a zmipPSzg5c{m6uB=^vOz;y*Qw8q@c_F^4(_(uFakirt*2|yV-yL$A0KvH1XH@f6XzM z;-wzfEm^z#?t>-WEz580T7B-o)}|A3zNdbzH*#FP-cRk)o|lG>re6)JeYQs0u8!QW z$Kk$lPp<>tl6=|ECsSoa`ug8V{$u8KoVn;`N1E_cuZ{Z)M9+Cf*;#y)aoqYOR5zsW z*roN4^iIT_3KzUAx|uDuU^CO@4uNp9FEcuV)-{M!IQ)tFu~v@r?_rT7y9ca`FC5<e z(3z{y@<4I0k5|?#KIhHvmCYwk`jaco-O_r$@8WJJb;f5K`;YGtt7=b)Yq+ic#-?`j zN#S@U8<)+TJqs(QeOMQN_s4pUu1(oXm=bw{*Y0F-Tg&pl;#`p~n6&ZfYt3!XoC z)RwmG!zbVKRi}S_j?xHxqARg=s<q(q`&WLbYTc>!{d#Rxe4X;T)Kb08x{1$ZGc-6i z@CrZY(2DWv_>lf()$-Xpd9F-xK6&knq*62c-KcNZbD!+}^`uo}=cgO5_!NE~vpXZY zLz?3%<HjEadnfe!EB#0}eLrp2;+YJ;wD)b~D>0bLT=ubU!_x>CABPpY{_5V2@R?|I zP+Z#cXKr<8eE$7Iw=%CD@KIZtm$>)hN0$}PCx-d%z1^e#+Cq|3eU;;su(?;}O?0iy zo?g1|=jOijys-183N}4VL0M)d`JPXYraGL6)Lpx1i`19<7g;xbb;wrE`7X#4JNN0- zG@Uap;xBF1&-eA=TyOsP;Zuo2Y|}-aG^{;pSbTo1bDEaP13#~CO7&g+AI?lyI@pro zyHd%-z50BN@{FfN7WeNt`aH9qG128q+`dgK6DPlq?r_&lKB-x|V>f$!b<3Z^#~jQ? zKYb)ZdwD*57nacwmpDA%)pX<PR{>Mm5_zA0F-(~q_ho9g;OYej(=RnF+#VTQC_Z`W z*Tel1^R@RhJvjgJh}*OHMTcjaGdl5VzkJ2EV&aLyQ`=5d%3g7D2q~?UUA;qaz3^OD zkzVBkzjO{qo;UJM_;g=-&&+9&eaG)|xE3Zw?utog4-((`O#AeM+a_ziZWg?j=KZ@? zI7C%RQ9Cv9mPG;2yT4plc8AVxG_BnC>2{7r#*vr{t2^7>A69zKO)}%MQ)2kydhY-3 zlWLJ$e|vTntgK$f*x)C_D>B`z`c>@p`B7pSr=r$tr@c;^llHiA$2s<0t&_HUy8nFn zzPO-l@0Q8&;vW`y+Ww1Ord-VtvPEP|5W7uZL7lkT<}a7uvCOeO^J_lCo-!$$bz$Z& z&HE;ry8G^qD{K-?&RC#wlk=1D=Eq)-dM{o$wBl%1XkzBNi6<R%zK2aOFKUk8z+cHO zV{*PO+PT>+Q%pi@f>W&H<zu#q0;gYR8XES@<!VZM9MP{??xmDcxV-$)fu~g=kGl=m z`otDEEQ@P$R<IF$FJQ_j=b5h3^Vhf4j8)R9t@6|gF{ddOW}zAzZ~421B@0FtEWI4F zVA<Y~7xJ<<64+Y<j;630*|x1Wd+U3+X2)gi;N!aw-n4g{H*F?+Q=P-nTds?vh38zY zy`mc_RwX~xv!gXg?I!yVD@FsJtqDgad{DU7=+t$djX9Cs@Tb`Q5|b&Z{65Dxk4pVj zZetN(R6O9)!67^;$^Yt$S-h(}^?Q%~_Tu4Q68uX2&9C(@CO>m6FV}J2A-L3v<Gad9 z*1GVScXuA&R%po@x$w&77=d+h<u%cFJA!7VUJp!8)o}fAHBxFZM+<{8k8{EH7ndii zFJ4kUJv2_`{r<Ox8?C>-Vw}Ee7Uy17Q}I{2DJBLJkLk@9(|Wu{Z}+xsm)9A!v94Mb zFwgR6!1cK9O+5naY13{iZHujcJ*%%F`<afXV~}`QxmV0t>$%TfL>UULc)CrdCrCt# zD>VA$E1pMOKUCGf<!ZB(#qcjY_e!Gpe8H;NFg1~P5=^qQeu!R?2})tyUfpjfJR@Mc z#r2}>le1*^PW^s%)|rpW-tM8AlTRf&&YZo!$4AirYE4^wG8bpvnlCRx7Zi(@l&A$R zKcyX-7r#`4=fR!M<3~OjpYqTU$WY~!J!5!2J}%mIp4qb{UHbhARjY(-()K54M}J?o zk7@E21^Ys-Qs)!QpF{VVK2SLQEXI6+z3V%ly&(;Eo3AcY7fh~mReu@Fc$WA0q}0?# zm8Z^f+A*>WA{tDRN^6&Uy4LMmxTxdh)=SDf>uOx0EH<yoJ7O<$s9?K>rR3iq+D}A} zPnmJxC%@3H%Zx7)_t~63^(VDJS?uIG`I%deA5gXu_px&_yuNL<mi(WpR~$DLbdTve z+O^fVcZ3O+`7vioO$l2cWid7B>*hmjGX-yclx<k>er3>N2Yum}@5MYz4_NdiDj7Nl zPqj}mT&_Q_wm8k(c#_aGw-1Nvo6Y}7Z^`?S!P1s=SE+k`l<XpV)2ufc>OYw+n?APA z$eXl(hWn9re$Ea397~y19{%F%oa=6wy=_8Y_otU04jMTU2C*C0lyt_1JyLzvRPe)R z2mhYz#ftxCIb^sLM9jLMcH{Y`ZL)uy!*~uI>9=06+G<K*9ebzKy-BegftK#SrfDvj zz4~yehA4N3f06T6AE8Y~9#iyMH5})QY5VNDRbp}2X8(`gwL6-Vf_ElJW?x~Nz4}Mf z=0EG_RJIB|xna!ga^ES##qNXq1+9bZ&fGRzEZToriWVQ-xw?E}sq}{Y29YYAvZRpc zt-4cGzPDB}Ft@xAFKg5)OZs~%N@m*ghI@)d@wP6UMr@)Y32b*K9a}E5(P^a|`=j4i zCd{2YZ;Q8Z%j%N9-&C(iY?(Ag?%c-X`t#SS_sz?lulwx$KgY*>LiL;;wg%SIGFkbI zjrL3L{m=8e<V;sXLte?twpDvXb}>dYtvzrzd*<TV<=!XRw4N1NZH>vjeQis+cKXk{ zX>os47ly^%m@C2jMpf%vkBq9eM19i11z`_1?~6IO`<N8}v|vHYrCavj@mh4!SuI$s z@TlU`G^2ED&ioS^J!WZKv-qA_J)Jq3ed@w5o=YF6Ki1r1@*_{6=%I46@w}Ma%Uc-# z9g;2)o;O1xT;loaKtcD^@EFmE-m1;n=QbT#sBq7BvKF6?vsQL^G1JB!3p1CB8fHBg z%e$T4wqUno6O)AA3AUd<Pwm>9KDU<P#GCee4c+U%KKB&P{P|4v{khvuPWg)TJlXGb zwb^N=ivNp{^3_!u_D_$lO*L8Om?*kqXV3a0jdFMFx9?H7KQ}FM#R0*q230GQwk+z- zV_DmOaLt8vmx5z8y1u{RT4i?r&-198-?_66dMn)#NwjZX7S>kH6;q;L-*~}e2S@0) z&o%Q}rp*0v+;{<FX2O|sOzShB8{L^0zP&LjjnR4M%DM#pUAMKv*H&0Q6^nM9@z3#h zd#=Ny^Um@QS2VLPo4+(6l6QIiA#0vzoszO9g~=YrPM5vAxlrV0<My?)jvD0c)a#np zuc9L%_jTcv^^1%`Dt#F({yN5<6<_;jdE<^$zTVk4GtSyD>^zd=o6g6mH8JJ><iF4U zT;xgqCgZekl_SHZx2-OjML)frZykEEzv3;^$`eY*3OlYjPSZc#C7R8;^~3S!e+>U$ z&s1Bw<=?(P+jv>i1sAPP6Oq#0Ucc(VyC1t4d|J6~9=eiW@3K3zcTcEhYj)vBd;L41 zGj53p7tD39Kb5cVsZzLBv734K4*!=W*DIUMHYf@>%$uL^LiN(pPnX4BXQydv8nb=r zd@*BN?afD5)V1^YIF#?Vxv%+Lz4SuY>5Ml%dB@!>7U**)_jLHjAAFOv;nt#T!~D?R zV8fE7>^CP!8~i#y&+gKx8HS1nl}}wP|9P8Bt8wz94ejSuzQnE%?s&B@YeM6U8`d35 zss+y}vHujkcdq);*}bX1=gU>ion+NoJ2(5=?GpXO6%!;vci3I=+xKksaXH^(9n9Sp zn%9^=?|HFib3;ROiTCN&HIsAIRiaj&UoUk@&h6dP?bAI<L#95F&i33iYtbFX9bfcR zIE8$s9c5X3Ay@2lu=mUJd&FD(t1_CLvo{*F@f3XRY%MfgmX#jpInhGZ_0C<MluvUF zo?m#jKx(PFYrcx{w#_2fpGagVI(}YacWUpNRYppAR%d%Hdyb3FV^KK#PwjDtcS4wU zJ@X-z{a;+JZ`m^?VQsAW5{4~noETSq`eNp1aOG`@d*V|ryDy9C!_|*^Di=)saiPKM zgM&xmBjpIw=?cs9E}Y30V|etMYd!1viQ()Y81@8d-(d58v-kV&%0d&(*n*E9_Z9q~ z_!X6V8$~k*GM6z2-uUt&kRe)3K%hYS@5A|tiOY^(xHKc(B<9kE8R=C%`X^7F5s(O; zxaiE9qb%ONLZ>$x`7WEOQ~oM>-sbf4met?Bf{z<I4+fx#ruFOJyL59-6Y{kC7JGM< z`}5*`=kn*?dRbyMyWn!}Hs5WM=J(T#Q}f^7y?s0R`<=aef3!<_S^4BPT`Umf4xXK$ zBNm{*xP1LwtDrD1C%Hrq!*^FVr=O1mpB1MM0j!fxrWnn<{le46MwGwbrLCw$BVfS_ zh4(jhe|z`N^Y@aco#Nf^E;lS|exYg-leD7RaoU?JoBt&S2RCz^+`PN`oMFS3xnLly zuIuMvk{G%@chjX5>AikTVg_+LG6WB=zr9H(e);Pz_w}bsN_1kqpI_QrQWAH?^}D~r zffgZ?>3)m0I(;~m(7aYpO3%))X3w@=A0`FF8g|-v9r1d5`O&2im+0^q&n=VY?pk)q zB|6IL<kaf2`;h%U;KSjHPJ$;b&HH-0qZ^YSK1kRhuGd!l@IlCg{EyPVzp?G#nxC(m zubH=Y_G`A?NAuR)e*J7)?>*$LZ@v2xx5#X;I^A)s=%OI=F<z?)5(lj|1)n#{m@U2Z z)bi|gc~ip7OgBwjp(NQR66@-kvi#l6yLXG@u7eE;fgBl^yIB45oh@d)zRi~}J=$Vo zB4+aSMMg%t&aBYOk1mFvn~<iP^rJNT#u*S;!=smc`q8FsZ*+@KpW1dY{Ch0N1pNgM znVvfNXKKoB4Hj2oz9OKja$h4mDyJ=LiuvpG^On!wypv=0NH-D#nG-o}de0fv<db11 zwq2Zg<iqQ2In^a<J|1gy9;@z2nSQ$brrva|6NVK%vfan;Y%F^tn=P3QiimwlYbCVB zx_2gRi0HmywkvNw>v?Xy4WOwxnU@OIwTmV;Uhe04a&l$n@^zEH2woDJ9LD9KE~}`U zsirEdqdIBAw7v=MYqtbn_muLK-<f{Cl9w9<n8D!pFA%up7Jn)0T5Qo5)wp%jufIN8 zbucbY>%_Wk-0!M(-&nWf^xIcf%L)$0=uST^T3lFIxK>Y&HJo2r%DzV8ug0yF-%q&Q zbkolNQR2(Fb>*j<KW?miEmt#d^Yc038grTQC*Ni<-|Fk@dt7AM49>KonQ_aeb*3iW zdJ{G0m-Kp_M^pVjTgn{=ZIciYY-Zv-v*6I&qcep6g)4F1un|k_xN&rU;1STKs!NkV z0K8X72m~&fRejR1vuf(Ur~K{3i;g2*6DMXLkvi(OJ}^6FNmGmcwe_!-z3rDjK1FHA z3*D~n8=xf}xnIQPJ8Jk=Rm}LK&;Qx%U+VI8{Kg^aj$aqlWjURnSA1?2zr0<|j}Hs0 zU&!5l;o{<=D=6sgVq#+Qg>S2~v);Rm9bHPVj;!!=Im7tl<>9$i*MxTk@85KC-exWE z3KNklY)2g(a|C3bu(2{e70Hm7<#_R&z0&98EAH(^vk!5n{jp5^BPqsa$H~6=4@)`A zI}@&i;CRjae;HHEvVFh3xq0_E2&7Bfs#iaf+qQi9+rsJx^W=oyomPGtXk*pCZAIm` zi>Cg2HgDd1`IYS6#h+hov0B&H*LUrr)qOq153e^bU{add@viu11RK)@GhMZaRacgs zx?b|`%IXzgW?8>`_s;UU=qb=TjyW=Wo!{SZJKkAX5G5mV{AguiLEZ!D@6Yyj=I7<* z#l2mXQ}yM#*_yno;jb-ztt!n~Z2vn_|J%1O6?LDCf^)61zX;AfeneVmk=f>Rm(M6i zt;{xwJ7<|*{$2DGGml0?10xFu1B0k$z?=-jiLu{WOMBNvRwgbDVwK#l$GzRe<hV%K zZr8ca&jX@YNWNI$u(NtoaPIQCFVuGVaj-?~Xi#Kk5H(b*Fmw^v{etg_qn7;}C4t=$ zJqv}C#7+5nlhe}N&cBe<U7T9d)7Ke4{i^wwUh}-j@WUAr7I~4m&bK`pA79Un{JSWA z+r{#3`%8+xmrZ=lXEZv=Zw$U(Y<;!J`f8z+-~LSVcFjXuHgq4IAzEhGD(WgB9<U-{ zN~PjU^DaZTH;cscZ)hCa^7Hg71Iyjj-{ySgdU{R+y!+U8;*1$HZY-J<A{aVJYZ0eF zP|_B6ceSgBbHAv3X?2Rs;A!0!pwTJn?0opz2h$SXNM~o!l*AYg@lCC{52GV?h{*-d z`zTzu$^ZLJyZg_7-Eq5b<Go3BvZ<+*-b~;1D^vHT6fFYPFrsQd!H3;)LUy`BCV#hI zWM*a#XF9#Su1e%rvi`Two11?_4$cHEpnCc8>DJ1^d9#l{+gn*#d1l5<qr_#WYi8<9 z?qq+wvqJY?_wh#$mpWKlO)+2eZsNUeZ|)q=8{rZ+t_wY{uD$N}cW2zm{Jqz%sGpQi zIrY-=K4`}Hu5IO~CnqPXLly;r&(}M0(yVHe=VUeCStga!b6?-vWwk3j_42nDC7SMg z-UuF5{&umyVdJ+C2jcgJiV3aJQHiL3vi9GrwVSNWK|mc0re7(v;^SM_>isZmNA8!3 z-h(_|87aqDjCw>We+kxIeehs%z=r_-ESE!TmY<qu`x>-TWxKg(9vEmAZPLvvzJ2SS z**4z1Y~_uIj&0hwHOC<O%(G1!6|djfTHYJmef^ecMQ*Ni?0kpdIbD->B^+ZhN;;rm zq$>I~z`9hdMb7oWVulqSjZGVlO#aZmXTrhdZM)LHuLZ9mn+5?);MHBcu730OrhlAS z%{G5y`rDf`XWpE>HErF@nOvLJtXbnTed@%C6DQh6atViTel`1$uCSVZ7=H*)<x>7n zS6En@6&3vbe9v!bVB+NY8&u}EchbCHOWaSLTWMePO5>g7`=>=aWAu)Lw{RZ;gMgM+ z5XfDu9&Hd_8)>j%>b1XB`}c)R@V;Z7+rRJ0j7Lwaw#KcRKK*)HxTHl^UQb=kMd`i$ z|1YK_mZmP=!emqU)m3$pxydQ1+0wJVPqh7AUJ3%>B~pb?mrM#fxtb;HkXGT;BVJP` zMBGf;zHQsBwH4g=ZUs4J?Tm_A8@A?aR%WJb@QwP?*J_@J)(N^a9M=)ztnF9-wNQN4 zsp?9tpp3L>lae02m7N(>J#X{+NHFl2py0S@VulK2USGp+%Zw8zm2+OnSr&bb+Zi72 zzTYV-a_`h_L2DDVwUym^BqF1kAI)8GL}7vad^tIrH7sj>TTKwwF}$bpq*L?6IdSkg zpi1CvqTpSk;Bz}uva(jqoi(d!`LZPmn&GRab}d~Jr@3;41y^6y;zhSstN_nvi*cQ5 zZA!W>q+4_LwaDIc&;Rrt|2cbmrL3#Sh6sm7ZUY7fS3|Z|ZXZLp6~`}j?@K!YJ|7fv z`mbXX3#SnHc;E&b+k^h^f`5PcVrOf4)NjM&*I%qQ`uX{tShmdiYphGc(}i-YI<>yI zy6S)#CP!DOrJbKw+xn@q0|daUp4y6nUbMEvWr%Vm-BvJv!OIoo$h6Tx?*caq3(FR! zrGNI=fAIf(_}`x$_OH*I{W`w;$NVo|Wfd#JWTo`9&+qyivih97;FhT3vR87k<pOr> z;W8^Yr%6fey7pqZTugr1t62qGFE+0ZQeJ0elOA6S+96*9UcXmW@!`S2X7>KvFDm_~ z4}aQXqwjx)`SXVf5jPXd%kIpbTb7>g&bspPt36h0_|^!&-eP5?X_?bEoB8<>%iMFb zCvX1zODT?7J0d&mDXU3L${mNv&TSGlvqIITNx5J8cjnX%`?nYG6qo&%wFVtR$_y@+ z)YHxL9)8dNcdX5|TcYmnwPVNJ*u7ULySnq9{<Jpi@}rB{+b+)EsvQ--$fCrc_;6S9 z+)hPhx9ih0`WTmJP4!wD<mv3}{Mp2R@?`h_GV_z4-aY=&VnSr>RXYd8R<V!=iBoUB zl-hh~#~Q=ey`pRlUsaE0B`^PX@vG|3i~cr;K6JdhS^Q1H!QR-|__MJ+=t$eoM*5!( z<%<^`b@BVxyWcTsY3cp;N(s>=zxB^-%U}F7H)nDE9=(r^ErKp54l>JFok(EjO-pb% z{Ip;>pXr^<hm#AI|7+&AxX{3BdcmNXO*ZF%BM;jam4YwIo+nRC>@xJW{yOJwaUbIs z{r})irJ#HZULblT_sf%q4<A-nypWTVb6OanA#y5fMH_ezyi}HRE;w)8c;ytk<MCDw z4h@^ntf@yhpFL!Kcg3FT!F|8G>-*2|49<UN`1MoSt21-%Zr=U+`RAWCcH1vbZh3VP zQUUbaY24u2)U@t_wuXd+#EpH8jlW{2rtEw5TF&cktf<JI_D#Y6ch_$U-d?TlTlT87 z#Qn{c=TGe3J^ZJ;|MvEUCr)Y<OsQY|LL;zGj#c18w8LMfReA|r96!E)|Ni{n-=90{ z*8bX5S7&g6Pyb1~$jxh4Zd|)k_Uh;53zO38pInxEyZ+yswS6gD!TlQ0!l3^C^Cztm z)8FLGUH7@vYWCS3H%n$0e9kqSXt{cI-_rPhH)h-3oNjw9dGF2Xwvi02`~PUI5L2`E zty!`D*Tk$9S2T9k$O=odh%g88FkMi&cBM?Dfn8@xPREub7CKzbOeKaKOb<oaTo@P( z7!ELqFmM=oIj%I3n;}v0dw$u5kVXFPtM2b`czoMgneW=wuZxp5ZD!WX50?HiQT~V4 z73&L!?4>LP)0gob>^QcOvv*zfrK#-&3Xk<#Zs*?<OK*QKv}MiBplfoURL)O(zb^b< z)^QUZrTfP%J}L75Jg$5Ea8=4PTP};a{};5sR*ttf_td>~z&!S)?4$y}k|?ED6V&8q zHtB3$c~1B2t_vbl?Oa<=b=$u8f5f_J=R^e`W7&gIMqb+=E!wq?OWJ{@wKBc>^4dRY zdiH)R{#E=gRsLD%)Rty>=jy}x`@b!}B;{&R9QMjV-62nG+PfLnt|d=I4mS#A-?$#c z@mg<&K=UDW|8ODwlj;xWC^nX!3q51aregDD%5Giz7gm=-B$g=^2MC-!@u6!2<Kd~F zEPEVc=Y4qj>3iMkYo6-w_T8A4k`oi5r*yvY!jvMP(}$QY9zVS;z_K}7c7pxObxXdS z%k2E;!2Gr5c5-jT*|znCTb~}#I(c2dY_F?J*!kn@q_>IZW_Jl5owzZl&+E=h{hwdC z^*+Zu{a5<xTIJu`MCqwIHVMC8CwlE!wqB9bTV85vO6~SopX?cobEbQKnZ16AX$QaR zW)6+B%TomwRUYW|HGDDYx_#^Gi(8r=xa?rrq?2ee+xEGmr1A3OTi0+MF8!u)_158B zEtVyQmH`}3T@D=eo;U0M3R~_C?LObuOZ_wq;yIk@o7(c`ytvM@e^*2nXShbQzRrE) z{{Ozj&s8SHsfsm)I~phHC_XQrZ1v*H>~jx8mYeM=x_yM7J8kL9f95TZQ?G0?pU3}y zQGJuk4r5`5TpcHct=)w;_Q|alI<Wob(U~i}@(f-~XnWgMC9W>}_j=i#udiM`-?jdT zv+~y+M~|Fl&e#2tDI65t_+oPUukUOVkL?MQa{roV7o5p_tJUb6vutl|cH~zMf!d|w zQMX-`WO9CmYL|Y}pKEZa{7%gMpH~)X3-YY6e{=M_)W>FX|20dNrB>YxTPM6=^Lek= z3}5;eL?rgE71jJOg;#0GoEhzYM;&#_e9lKp<OfHtyZbcA?)KfSUpGx(Z2a%4nA*Ir zRzFvHG@aQu&u;a;TOU6wKU=L>wyI-J*ZoZjcdtGXdbDu)l$b-hFL}i#aVK7sS5vQa zSW*+`Xm@LqQncZ28=u&pL2r)U@E7>G-8oleg7xA%8TKbXH%(tSUBIyEVZ3aN#_^vm z&8uEj3$F>Wk`a!(^YD?tnX1_RB}a@pE4DE{eyh3h$O)+lZ<hDk?>g0UGut>>|G}%h zN3L|cYTvMVCph>2jxM!hwvSr)UPb?ZtyA<+rO@N5;A)L244)7GeYUHEOI7&k$BDN& z+Iw>vjz3brvun=Z`(H9|Ol50cRh{YQw{2;bAcL8CV^wfgNJsgEQz8l4P2!hYr?os+ zkCYadcW6E9F>$`@X4wxCsn?|)HZpdJ{Bd#r`{?7fQw}`|U5v#&vpHUwoPN9DCAY=7 z`3eT7B0qUM%==NY{KnLNw+pjo?9ynH&7GOQ>%|@YwHlW~*nNL5*rR*pk6ZL5yG?8t z7A+SnoEU1Uq&|&n?S<>PJFYTa(NkhtE|{|}Hsh<}Uh80&o%4R_oIT^vv*&Cl&mzr2 zp*QlyC#JS(c*|ei6>(MeK-HV>AN9Hnk0-HBGLx_h;Vx;3{us5Ysf0r>x=d)Eu0_#C zC+``#ajE_PT4v8>sODP1wk(F}H7{q^$$r5dtjzK6C6akeS*AAddl~XxnRW0%nFNc) zj*sClc2xE;f2y{VR-ZTX+m?Cd8Sl@rns0sW#8YtP*NuYKEl2w~zOCI<5E&(uedOa~ zF81f*R-M}{C#~JXzx`@1*X^F&W%U`yTMxKYbY62_Hd*S=6Yq`Pui720o*WSQm}Wh5 zj!>(ef6YAK<qC!Y22-!KbbJU|BlG+3c5(U5N*}aSv=T#%`if6xdQ85cIdzGAyY7iC z?u(9G+P-?yoPSe2RYikV8-_>bB^D*MS@Ie46#VA9_hQqx$J*Y@6E#!2q?WgSjI?fL zzAAW}OX)|9MewTk>~DQuYh0F$k_#?MdBEU2%VL?$!~HF1<pl4EMV+yjop?*_siNZ9 z;+E{R$P+$%vhDBqRPAphnSJ=UY2BBVF}D@&uy57BHGN`PaqEYLTQ=Gx9lIX+x$Q&p z!M0<!SO4=lCBB2fF7unt<`v(>!*+QeQth7fAo(`a58)f`zi+!==(}7Se{72Rl(y(t z@vuMnwFz5fxV~J_+sAB_RV#7RGe!TqOOMe}$$zh+gqK|3{g+++-<n4kHQSG{xpl8L znC18)=WddBXy|h`jbkFY@wy@z-J&~p&sf@*WwOryoy0~C$F+;Cq?n@jPG_CNG~Fq1 z@`*d2dxXzPJI8sS-h0FAU&`^hnwKtHGYIYV>3robek?w;Tswn%^Fg7btDl#->++mn zV=>rxr9O#o{k=y|)|S6rw}K}o#iyVsqBd@$Oi|3%3F%6HF4~RpD_&Z&8El>G>y`2I z(cL9GcD?owi@F|aFKr+y9(TTCL*|^rP5+gi&ia@Cqpu`m^&g3&3U>m}C@6_KX^2IZ zuq;>=cz$C4>iL$(jCP-$^Y7#$+a!yy|HrpZ;rnCu)vwokm(6dc*MY55yB9^8AH8zx z<PJmYZPQ(5?^+fqP;bz5Vv_IM<MO)$e9X=k&y3=pa6_)#b3sMU?;e|}Jf;%29V>cg zf0SNWa6$UkET^N(#A^Bj!ko1F+IBT<i{I>%Gv{ed<*}?^T@!D|2{<mh@^QLVqp4@G z5L<ZPed|lbu~PzcEdNgWt+S&v;gHJIt+_cntaLYQ`IyX5YyM{DzXLmJ#WY0oFKSn; zI&6FSsqy()`8nHO*H2h&vdf9ZS;N=(=ha-hxr^`pQ9q+0tQ^Ue;2~Pcb~bpv&%wsu zH|uh~bslc|uM*>zx#Zi5w!@nHihi&9pKz`GqRG|Y@=<%AcYl4Ya)ni5YhL)_116^C z=e4)X7;IIZe!=~~*`v8?pRT3uS@-qqQSCp`l9IkBU*6wivnEb8;(YAI`}vh`=a=7_ zklQG8GFNO#Fvpcy^{clh{dzrp-JZE~)Wl@m(^H>3ikP;n`blE%=~FdF&(0Dxzv=LL zZn|ii&4W|V)T_0px7NhD2g!b%oWEjGR+QrHqJl!_yFG>y|AacY70)kIVD9<DyG-KA zTl=(iG3}qj#Nsu+ynQZY@Z3eZsAZMzpC>QkL^2aI^B#2>Jvn;1@=vxDPk!W2EoS$r zED4LGpZ9dSs_4BGoU{2x?D~k~?%x(Eda$Yc+Vg3Imds4|kPLBf=I+j%q<c1_;^kZ` zqmDMc;FCwr)C%aPefTA1wSlR{eaSp)`QR6>iO*-f+LI|RUEsyB)WTZkty|glcAnF< z8La{=S@#Qf`hWX2{a4AIAcecV*MID-Tz1S)f1{B2{x>_{bvflJOk1FF)O)#%j_9?C z8=1E*He&d5t;tZjZ~6?)@^f!)e6>-M-R!=+Q}2wgVe9XOO&Zst?)wE#+`HiPg&W_l zi_f@y?!8;_y|(baF4iF4gu5#auL@R;eRn(MbgC!6>%v3b$IG5>bU*OQWLizm`{|J- zm-if<@n=TtqT4L~osZv|2pby*=(BB4Rb@Et^lW#&?s`WdKG)fwt+FS~nDaQyLnddP zsQ-lY*=FV@TC3NV$jgSF`xH0fv)=YCdJ##YmdRWOH{55twx+ujo>y&D5%a&`WWMH+ zz}COhLJF5L?D_P*YxmS!uN+>idYitjA?yBx{oG7j=8LKNznK_k`~CF8KUxLfl=o!^ zH?U9IFSl~{9%IHoU5xq-t}|O~8pVDW&HJso;A#03r-hpR_USxVXS-JZv|q%LC{*&k z)%{w~mur#wU8mQX?vSjobm8HD<?ONK%e80w7whj7ewA}iBk+;EvBSPH``njbZY}S7 zdpGXe&LrI*#T!{uOY{rxh(DQgW`RV@<R4qIJ{c*x&zl`GwVpAgHHvS)effs_hrE;~ zzuYq0XR3WeK?7HNcII~B3yb8ZTLt&;m?tPx{G#S?#gq%W%~FkP>Xa851jpQs*4!_~ z9epUkrnoeHu~T8poyK)lg;KQz4L<`FKN=mp$`GqGW!a@uDGTehqxv%zDLjbo&_1P> zE%xEPz`Q>aLTimBYoC99)!m)!<sR^AqvY0utS!HPhZ%a^5M8XW*dvT}*}r{Hts?(j z(Q|W@7f}D_>Ad8uo58$fxAdDf1(j>^o?ThyHDB~pJ!iQ}0sk3A-ApCjMHlAxMkH_C zA+D0NQ0!w;uF_RsSBCVWbxsGKhb-}IoF^Q7=<3l4tXrFJOj{`*Vsc@nTD-RLe2-QB zPkbyUdF^9(<}P_wV9K9OvqTMQV<)xybJa@7ddG6E&Po&0EPhZmyJOWsN8PEF5f81i zD;qmXIPAK2u;^)53Z3tg5R^>!EWGC4`K0rq(2^he4};dP2<dvw**j5go#JZs1E-v< zzj*E4e!Ed~>!0j5Rwh5Kx^ljX&A8EIxpDc)i1S>TmhSShN?G%y&S<1Pz9h$?@3(N~ zrQ2H4dYxNB)lL7f1}qHWosjm`GKOQ*wAe3u7r*8G#CfsZd&$J!$cNUOD;!%2RP4Ne zuuN99lsxY;X93UQ-u_=k3r(7gc~zXxuGH&InBGzo^I>IoXN1*9`*m7REq=Yco+y7+ z>g`Gn%|H3(&jV9_T)Xfj_vf3Ad#(%b{{E}-_m0<%b-G%)8G#&cKfg55-`!^~J5%5? zOODvDYc=-z9{Uci4NAV!IrqYY3zsuEUfdSHGx^!9Gx~vB9(~u|_~!ee^0MM4jfa9Y z+di<&2`&0muta#4PJWH?uhmv316?x@bGzj{(pulsxXa>b$HB*9r*>p4xY2pbci*8M zCkhL@voc?}GA1bN&yU=4igg0Jvx<|_thACB5zF?jQK?>XdZAX|4KvSj8=Gq<@69?K zdqQO@@BK=@i4FJ55~lfW@;8pue}3iT&B>Dw_PvT$_nVacWa|aRjaIow*j8!&P~PAq zu~O#v?-^3pnKoT{-OukmZ~9f<-m+YK!9z~^obu`yT_*Q2`;;@<^ail1i*jg(CH?cy z+|;XPz;S2#CdWzV<3CS(p|Yvai|5;m+Yf_vx4A6lniddZy)jy9m&VgKoBzfiZPRaA zndWvxXW9q%lXdEqca!JFalKykWYtsA{o#9zPTxP~m?mB-bzSI#R*lhtzx^+r8x}a{ z-bi&7Uwi1qpN4sNxjDmco?Gi5wtbV!BlG)CWt<l#CHbUIG~XdH>CKAiFD|-I$<CBK zudG|^_(yBjq3!uiS!K$y#qsN-ziV(=d}rEMIPXg7g{#RNDQXMj<)qpssBY-l{bJ=5 znFqzQy0?WE^eklgw%XBrNtm&~gx0W?d0GDwrzOc9Suf8WFJnIGQS7h3y;m9LI#~0t z^tpDFX|+j3Yj!PqGjIRj^*K|ytsg#?ow|C}D(=I1(}D`W|DO9V|K(y$h1KedcDH?h za%b+1*u_e!*5XZnJ1-sn+j8GmCh_g0P0E-5Zo9AlSB~MsE;Zq%375`yNNzlo$&$G* zE^tcH{A116g!~-dNR?(SmR={@`F@J!S=B~Ei-OXlSxg<~TmJu=%lGq;;o(A0r#*SQ zm%bD+Of<B5n(#L;H(Q#U>!nR>k?f~N-$e7siF$R`hm+&x-O9<9Q@ES*RiEFf<)(i4 zn!BRc)Sn!-DdgFAFU@Yp_pcK<f5`kZHn^o1$RM>Q_4H?ntkaA=tPefz|NgvG`?co6 z-Fz)|(RTx+{u%K-yy|=6#ukT7pU<%zGVBX=JEQ*9<{xu}*i2c)iJntWK49DJ9GsIb z%PiaNvEff~Nn#iKvAHR)yo}Ti-{|P5(un_Pdu4-K=hSr*4rT8QTD<Vexy6&!-S6cV zNM0AQbXaV*@XFkhjJTt-lM+*o`WiIZt%?;{F->FDwRVTpQdT+Fw&f0ILzI%I8th~3 z`12-Y=HIU|A%$C3taxN)HB*W^OW@in<2y^T);jL3Kl&rEvB&e;kF#pN4UTseA4V*m zZsb*cAZ@kx*M=K=`J<-o%k&JLI(_f?B{To=#d4(V*dJi|yJhxj#;PkTe|>SV&rCA> zH|N=wt8W*53vWI4Tl`1S=M(IQrc2-YEVtxP#T?cf=TDx~X8B=v#WgD})hR{P^Su7n z{Hw3d$~5iS`;g^@;G+WR1G!lXnvA)MUG{7~vF5cr>*M1yZk>7X{?<#Aw;gpCudLY- zEc~#aZ%=1^%kRwGxlx<1G;h8okZVw_u}p3MvG?s$nC<%m?lyg2!O+2K!<2r&(rDwZ zf;WG>f@XgdoD%M7)Ai13_WGPF-})EWBrUP?Ol`XPxqQAvP`ua6W9`oxuL?dX^e{bq ze`4peU)6J4Tkf1$&c15qpLXp{&pTI`q<wh*Pgn2S(nH?`TRc^Mwu%1{%w#^YXTqz% zi7odQ%={dl9{KIgBgP54cHg{Nx9OgUVRzsD#5#Si-ANyw>nv}Wc9*}%ZExVMxnIK^ zGaTX;X(sXAH7gTZxR}daBW=g`hfOsM9ZoVQzv~yLUuD<Q?XRhPWxb!NXvZDDgiSG% z=hw|)-t@)2>aT&}{o70;g%{>W^3^=?Ja%zb$i6vG{+7so?o(i6HkcOi=+&OmgpYGV zt+v0NfA-nLnTxgitYp_WJUbWNQKct#?NZU!+0U;m3G1A(R`)}Gl8~Tvj6l?e=`sd# zIn^yOYma+6Jlyna!u7vu3tK%FS}EM@{Z{=WOWUG*m&$>KeTIM46^gf<TlykBZsn&x zZHHd33g$0+7F)|Ny!z>D8J6cU#{11CzpHulKHT5zN5rq#A4=~x$T#il&oisA_I92T z{c!64vWkh9-@i7nXRa&m4}12M?Z?DjjG`Bdm${dod{gUhmsb39-O8M#XSSIk5=)Yb z0<Oe1zq#=<TkOowRF>%nM2!T4YOFpvp4ln0Pd|0?E6IKn<KPE-0$%e>(0P6T{AK$e zk5<<Garx6+kz1*`nVBtO{k^?L^6l^DznZg+ztjJ0g~s;<TYSFS^PSlBW@D(2{mVT+ z{=Ry|V7y?1aKC40^9SLl51iH(TG>=eFWoVN=|g&_fUBN!xAoeqOfl__drIyqE9W+5 z?y_aM@hgF4tpV$X_=Phalm*T#;g);*U##gML#D}`xa<=m>rQX#7hcEtbrBo)qpFCn zD!U?#4y>D4^kvOF1HC&EVIS>;r*Q_~pKS2)fsemo$~>)`cWV{7vg%9NXS@wHN#34d zT6F8VkKzCBUkAD;uJYcXZm)g4Xx6^N7uvaFJ_M-+J_=WqKA~iDM(zjKR~?I`$FJ?1 z`uMW%=H&bW{sYn-TQ)qiImPDqdfKiJ&5gg4zj*hYJ-_FxTEgDH2D{h0Yu`D+dd#f0 zfa$1MNGH#MLxJwoSA6R||NJw%iCpNP&AC55yfm78hegKp;;o5y|8uYTz4V>y1TKI5 z3vbr%uvP7;ows>Mwh?Pd1BXUQ)UUl41@GFuW|N=bnGpI{ICgGDn{IZk#VV$wJonPe zb5_d#zd1#8#Xb-Aea+rwrc3wqxUUqljZoe%Wv}tpF8@H_A&p1f%k13OC2ajA`#4GT zIM2$ff&c#MTuxK5Ty9s|WZs*uA*=ITt>Vx_ZRIm88+K|~XrKDK?LuQqZr06J{l{-_ zXq~g-_ScBRYn*39&X%3y%GPD97Bx#OcCCv8<3py2dE5K>9;WYn;NRdsx%IZl*%O=H zkE*2H*4RJkxX+W~4Q^GZ)fP@VxFq9>tYO{JyNsfjCOY0|nS9tHY2k{Fh;<kHm5jO0 zw05oj{x!Xh!6&jd${<Mk$e!?^+}rabU*u%Yn!qw`nQ@Up<mN{|T^f5i4$eO?wY7QC zjtOTs-4*s>Dysb+weZ&RUkXuO$&5N}Mjoq$O)Or1*r66zdof9KU9Y~NSfbq#Q}3gn z$^$1=z6{*hv02c=YTN%UhZH;X1%j^_bSCUPujdj|t#R+>gp(PqGlb4C-#yu9WScP4 zIp(bL%Vq8D8Z&||dc~M)a-w$l#&pYH&)`gc=9o3NUGU2x_QDmBA`?57FAV&2P%(Di zBayj3IF4<&pUZNLFY9*MGMOD#x^sIgImG3^r5A+R8U9~2At_V7ttPa!a8Ia%!%@cg zCyLLF9OpaAyyy>%IeaF5X+oj@vB%513XXBU+UCxEr_1lMsdd^R2RX-}&9Te=uJmno zWRG~~xvXJXgHfHHCnuYw*;xi7UWFdV1L;Q|B<zw5xg%IH<<~E@9S3@AkNxRTDwE?; zW__^icfH%%Cewy@3?h4!wyViLNS&HIXY(8>lN%vZoHobrZ0w6$SUusD!Icm4Pd4`` zH#huyZR{P-GnL_rt<gWby;>gq5r<!$vw7IwVSRJ!@o1*>LsNdm&)r`gm_PHxRVk-s z-hV<x74JrE4fAOdv7h;QPQh&EME-mJNi)S3JUck~^Mr}>PH)gDnDO^;z+|C=64~K> z)(>Kjutv|_5py`#Mg97;CBk*<+qTX7#p3>l<qL~jk6DH)o0hPdwA`QH9ueQ7TC1+5 z%~C%0Q7|B9#c83I?W=s^*Mwitt&U!E-F@XE##&GLoB;8KtVbg9RE~AX*vY<049~o3 zk@rJ*KX3Zk_+Ov)9)IMKIz#6{#HMAxF3c{M*nLyRZsDuVx9_ZRH{%szUd7e2>_w*F z-VA=8_l$fzDUWX$UJ^K_EAt`!j<Qqw980DhJ&*ftg;hS_c;VErVzsLN$!ayTz5lWg z&V8_YX_5Qy`#)t|gF=F*ecblvapWTRcU2|%{M(m2D}JtXY_E()nkJ9L7Tt>zvm5W6 zNb$?pl-yXer*lG=_@<s#2|jZfebFa@AErn0x}`|{7UlRE$s_dZ(4Dn{CRUGv1XXWJ zoO&=tO!i<#)r~!8RE)dl$1V6Azd~!$X(`3+6L!a^eEpreYQ=-gkN9kj)T}oxSiEq< z>+6XE{0G@Y>hp9K=-o25oBbl@f$R##MK2r!E#<a!Z<y3MrGEBn9rwmQmE_FjIo_vi zGvri)3lo1H)0CFA7ks}bu19dgn&VB4_4*I1FEL4bm2Xrk?TiTxujT#mv~IK1k?tf< zskD`4<^Na|uO3`^YQx&p_1@NT+gs;MDtsh%>gt4kE+*CgJKpH*Eav82`u}mN>`bp` z@xMgMmr94#3hYRYX0*yTTe|iN_r@-liB-SDul0-k?mm+0&2mFlT1{H5^mp+aBas5} zh@NsW)w(q4{rbFu?GvM$`!?%a-Zgmt;ar$aa7W&SCmin%R^&>yg;_ti+jClC^$zo+ z>~CxstG<0O_c9RK`O;uz?5AFp?h|>=)2?5+GUf7u_3PK`Pnqp)nL0=P?E?me1O9!} z`#%=t6z!48m#AiF*!_L)_kY(PnjS2jka=&{_HC1MUi(hs$!5-GPGXi5Z`3)+BJyWr zlZc9<LQ#I+;k%%-Jnq~99j<%}bW84yWtU%;{95aszEY=l>4qy)j|F9(xV2kt{m$$U zQG3kRuWhVZaW-q?t<`F)4`!{Kbt`D5+VZoR`BAfGPn-7bAHT`BtH*9dN$=YI?b^3n zF}ZQEG0{0wS~mrU&1#s^>gOG??YW3l?IzFZ7hYW6dTm{7_S&s=CLpi{d@40~@3S%F z?$b?zM$>iFlxLreGn&!kqmsfSCq4Q2osAVaa?;E{1!f<OGYr=en|w6EaN|;=H@w}4 zpKLHN*U>q1^!Nw%!-wkLz36+l?CzG$W)~8I-@cglP$}}nv{YBw8)u#~MQ5kyu8FUW zx3pb&Ipa%s`@8f>yH?(b-Mzc4yj)Dz%K!whoXn9Qd-|lr%XRu^ju!Ri<eKeljoH4< z#c4~5Zn*Zfrmb7jbaf~2TWbGIQ+&MU>x`*Odp1te7Zc)MeHC)9!2Mm5SVU&;Tw2z{ zsXD>HDVQTHv`c?dS6E<Z)&87SE8}au->y(8byns5emO5`DTB}afNlLRg-<<OclYn& ziy0<T&=X#I=D!oJ+jsuwPo9mF*2b;Aacb+PS!>rg*sWUiKx@0!x~kPrR;^k(>6GuW z50_Tnf*f`;9kfDi(ywc=HEbroGp%LKFUMVS03G$N{r8pT4fWqw0?jf@PJJtRYX!dd znF|cO7GLoHbosZ4{Z9YS!hgPqJOb@|zj9?u$+nl#;fGdN$5>5HyE}hDHuu{lyjv!l zU9!97wmU=k?LyuVCQi+s2mVYv4i1d&BI+x2MZUad`xO}l0^ntGULXKITEc2l{{!Xk z8Fp3uj}|K{D=)smVOG@}qr7<0&J`MZRo!=#cC6@lp*pEENojh9T2p7oBj%VJmg+MC zm^hU?1eiGFGkCqWoiBU$Y`v|Gb@cJA3z)d36)a#>o#COxAX;0qu6&Vd{`uHxKa$U_ zU$=GlHJvEPLWq`@mI%eL4&J2Z2nDeh(egh#>+9<7$^SV1_opD|$C<S$@;8ou?W~QH zmsfu?W$xz7rTfm^c$stR?9Q7lv-6De%=VXx+aD@v<~6;baQK*`QQn-|&ADY~*`(gg z>fM}Qc6M8!&mjjRCE<P}Mm2e7R`IxmMAq|Og-l0TUQ1prdLeopbau?Wo7PrVR?+FN z-^`i2xzOy~cDtft<@*QBKc20AeD6+2%Z(cc%r^CACx5<mqoL-?l`A_;^L>4NdyA&s zm$+v#`&sn=9fGY#vK}vb)ZPAZ_4{LIcdW=L+O*obF#PUK&<XCgo72sDeUne;%$zZ! z^rfMKfq@<m&*wuM4DRnTYD?equ1B(Xf#9R{?N@y7wy9Q$XF07kodjC9dj@hSd;Z_j zLe9$R`=X~vS>D$zoT9kG@xg=j`&i5SWp`BmdF3wYE?jG4x#-77mLo2^%gR9qu!~L0 zk3FsP=h(Zro7zfR>%G=6t=^%v#><0CdbvvQ1n>Krn(wDE>d(Dv6cF%W>EevCy44BH z@AfNq@$J96{c-s9hu6Qqeipp(`|8(=J3%pZV=@?ss)2#^vA%`w3E%G;nDrbxw$!}N zz-W`Fo7>W~^i4CR&7KuJx%=|r%cl?TzSNKo`IXY_w%mW^x1%5cK9k1vC}>5*kt3j! z$iqw*^++fm>d<@C^Fv8VPVQKH`zOVkii#hOwJWd8;0j);H+5Ht)UgfOKcu9jw(YP| zVw1R`uV=kWrquGytGd!%M{V7GrSjG;Nh~USJYkX5TWQa%O9nf4Ea%zY>v}zEciGz5 z?6qIT!QlZ~3o0bU2L@bVuuQ>mVeHSjiQCw3E0;YipLWy1?8DT`4-Xh2XI^Y-(0QOQ zxG`tVl)0NOiWcS<6@(WR7UW;ZoHEyI{)HDJPm+I@{QV9B+mny?$y%4axUkT<olh2g zZc3eUZGQZG4-WY=$G?BtSyQ9G`@8r3+qZ8wr-$`l%{HvxoU{9_RJf78!41<}ObJU> zbwa$C=R|Yvy1OhV`sCa2^0iy9MeV*e%hfwBE-r3fm(bde2{tx+B=wIKfBf+7$?os( z^7b{qf3(}$-0fM!^m&h?+mj12vXf_fUB6QI)kN4Yf}xcmY=y=`F2<FcZe{4Dg6_#k z2N!$bGs?`5pW9fhf96p_;f{hc_Y(3?6u&v7pWVDw@w}nV_f17>V!9_Qi->=}X=ZI) z%+Me>QD8#<(?w-#CqkBqfscAG`1SVf+P3J$$AVT))SaTBt*tCA%*}i|ejTf5z=sBA zMo)>CdUI1}`%OOab;p;LCescDh5zzenq9Q#*}8+-?{CEgXQkdb@|jIKYk_0i`o|}^ zUYGy9R$Y6&_-`2V`p`*DEUmkD@4j{`a!U^A;11KRTR;F*E18&V$*+BK#<u$WxifLb zH_n(EGyl{mo*w7sc1&;Xo9O!m(JegC(x~FY1#yWZAG94=1Z*a>eht4E|99d4KUeR+ zT0QU8_4!WMJZnX+TwcEp6w=n+Vk~?H3mBN#1QZxz7r7t1vvFfZPLAB<<KJd@bllKB z)}?wh!7wtXyS4RbV!njTw!`~M_a4jVG32v*@#M;pElZ|+S#5twuwLd-yF%9wWdWBz z7daIB6l7`=fAO>zf~qeAaQ&qZ0_#ENN`a1vnxXydQPIvF+qP|cu(mw=^xa#RCT&gA zjd*>vXls)0+BIv;v(Ghcexzfr{W`jSXKtegn`F+6gB@R66&A=FF)6kz=9|~S%+p|D zq^0yrkz4D>!FIWdiiP~Q__wU)Ut*u3zVE`ic^4AH-Q`|1uj1b#9GiVT3WVP37s+vh zK=x74o#R(SO{99=7DqWpT6DJP$*!9J{<Eb0-RGBA9o0GhVRy;RQ>RXO`5p5pzZ-gd zX}IRf6(I0rTeGzN<j1VyanF+de#}_@bLRGgpBtLR@0TQg{%rUD&$IP@pN-{<o!TcZ zKKb#;$6LZ8<>hbR-MV`>{)xtN@Cr)MX?shS%mRU^bnr1<N{$N`OJx|IHheR$qiXR3 z4Rb9Ou2-!}jY5@K3y$g>-%$OCv(Cv*_pj!WlbmHvR%`wI<b6+_{o<8hudl1C`*!JG zh>>5`YDXWDH>rwS)}HRJ&i?#a>b+;#^PXLwf0MC2mP__k-kFECyfgbfL3N%Nq|&pp zva$l58)u}YrB&43b#Kv*6&)FBlR7&Rl$4YfEv)pA>uLwpR4pwnNs8ewM1_QYU5n2X zT=4JIVVg7OE1vD&b9%cCH?!572bsasmlc?qY?X<58a~H0yZpL-Q4<&-PE-P~#B-ao zIk@bY-;I}6bNwbxNE6Q6b#^CctB3HD#qRGqtDnhjfByER;>*BOHpb^q3xD2WW20ho zf7hKpl?RdQKWWYXWF+_0-)?Py^%bVd3)lI!I^_m%FS$Mcn)~*1@q2Usy;}Dbyuk#r z2CM(_%Mz=(XVW&{%&B{=Y@6rL&;NRz_jAUdHww$krg_QEy?!z9)yi$lpJtXHxHTs% zeQtK)uc*0ZAo@U`LH4~<(|Zb2-d(s?k$w5vo)e(kRDRAmfAHYJgOziRgD%neIeWjS zW0O<=jT<_5-BgwwV-jCqeaLm4sfwwByvaMx>1{1(mX{s6Bl{jMJ%8rRkq@sAy}SHl z>0}#Ti-1+BmlQH@&79_@efiiHgSR|S1YL6&S%p3re3ufoUQ{x%cIQn&R?fCBSu;-< zflfA(oH=90j2RLV5;NK>XUzX->^8$kZSqT_c(q`aCr@4LI^&;B|NitT>yyK*Wiw+_ z#HZglT3Q%*>cgL1AGg<d#~gS5eQDPgU0v_hmriAb-<ndkG&D0fN>caK`g`-XsO9#= zgU)4}_cSsrEG(?<X*g(MY24FLPz%ZKX>iJk2_L=dB{lk+V*0(^8Gl+>T3QC~J30Hv zCC%AlZrqC}e!T8_^hg#nPsO8;E)9p{SEs6&8Jk*|<Ym|$J6<8dzZi7ZA!sG=#E;SP zktO2d506$9#?^?6yE=)ayG90n3=L@!6?L=|0$oUQ_lUV+VC2-*#apA+?z;Nwjc9v? zhpCE)j?AA!>VFQ3|B-7{oyD#IK27Y=Ll95|t&&^`N~3LU%AobZN{THlCrV$oD9ATA zd&|dYvBsKp#_USy*5vqC_Tt0i%dc<0eti4#>BGOTzAyUn;^JcW{sRXN9B`1cseo30 zpf!Kn56AxEo4_xdSM<{A&!0bkVnk*pgu9!UJM*>k%9!VzsFh)^RptM_`v2|q|4d8b zK|mf1?%xA}d0+slzv4LJF2!2iI}Tbb9yC+gy-%iacJq<lwYN9_Ejb!BE5vu#vTv*F zXEFDeZF6$<<k}qn_jFA5+Su&!w^94Sdyl}kVZ_em0_9YzU6Vk`Zs*Q?S%%)jzIw9l zCwiq{U&_A$y3hWO_VZIGkFNDTdvR&&Qw}q?XNlr(>^9x~o}8E@QgdUyoH8pXm)e=a zHos#P2G7>p9R|55Haj&21nzKw4vw<05CxxSmcRShI!5)oG227Stat6~-LiFUZtkgx z-YZf(Dsp9ur89V33>g+IICAMCgGd9L_N17e1xHLmgj$_nUKVI+wB%VSz{beXz$oA_ z!N|+<)D=EA{g}&N^jFKx+*i4HhSzpY8~y)3>(}JZ`)Xn?{rpXgq6o{h-2DfPO42>A zuXbKItN*$F%_SW^i(VyhUGR>S2|cCMe*dnNOJ2ey@n!1AA8t2(%CLLVleT*dPJ7Ct zcOA5vUOeabDz!)HraSdq3RmQd&2w#4d~l~-VvFn)x%%L3Q+GC>V0^=~C^V_hJkiZ_ zTi4Bp*(bj>#+sJfEmrKxPW-*(*z_g)Oq#r8%^$m~o!?>EROGgM#X}A&oo$h3zt|$r zUXRsTdA#&W%GX7kMf$=#ryX22P0aP7kH*ba%cuBsF4(@{!uIsQL^r)d+@0AUSw!D` zWck?bzjlGGKWBWKTe#)z?+S1KEB#-mBKhzL`;@e65!qg{pXw{8NbD2zi`==!&DrJa z&(rU|nA#a%2(*n?VgKDO7XESipM{UxCdeLUSZ!}>7?^yTuS(9tlcE1%k%XLruJrnZ z`9gCJlx#8R*I1+FYIFYhQxn-4jzKc<${)^5Tdv2b8Impdw0e1e*;gAiMtSXsl69i{ zoBV=W=Sx|BW~%1a_HAVUCnK~zEjIMV{V$PnB_gp+i#C3~|5f(#hlz9T=TsJRE_S>) z@l1f;ZVoU1j9bqoTmyvO7}Q=YQ?hrPx7v~OY21z1Tdp+6@GZLO{UgBQNWNWohwDU7 zrBt8ukAI(tNU}5zTGi=vn7hWKUN>cf%tqFOE04cTS^4PFm*#G^Jx*)G=J9ad{gSwJ zRd_<4FYEFjZ-Sox@AdrSe(*WR)J2Ln%m1xg`{B!qW3mrV99NOF3|HvzvP<Gg<Z7`o zH*9NLH~U>z_EQH&`DJyIdxhhE7}ea`-uYp+`|1;HVwqiylRiF-yDrppPSJ@;f4|bE zonKF!J?O1!WRp>G-KDu<)(ek>^R+6O4l`yte%{+De)}F1e~>_e@ut~AZ<P5oHkPNG z3PtZ#TaYvJsK}*hwW&Lt?QYNh%Xf64!6Wm-7Plt(zZX8b+pNZQ@dW?VFLwxi=gBgd zGgU@X&GE6F?oY|T^DoMOvwc+X)FC=1WFc>I|Mg^r)H(fG`_*cqgio4Za>&+MTmIp9 zq~bKK$u6fYYHP&WF3hpg`R?GchW+yLySFBJUR3MJ$evnq@YRv_Te>VSFYk?O7BqXz z^RaoYdWwwUUY^+zo;8=>@IPyCcrlULEaS=Dvt8FsDz2-yZWLT^9$TZGnz!KZiOQGu zhFh=Cd;W0o-Z=HGVjC|0PG;Jn?du>j-!k)|*>q3G!&CA+BQzKsL>@=^@)c|D>W^cV z+b=G0t8I!(uxq)*#Gs`dMVXD^zS<#dq7!eZRZf|6GCiG(U*%+z`iCROo?n%9vsbGL z-+M7TL-Y}^XZCcL`?b95J6_}(hM&pLb=(!KeEe}p*P98^3qLD8VNi`Uw7#`_b;EP# zr4<h^lxNS|Kdq-jb9d8<Q1%ott+c5N;vB2_!-HpRUTenQ<mk`0<M6co(WNpoKYIJe ztSy?CU07;aC&9XPU6<v{9YT%;dkmiHE^}|vzxvubbSeY)eR*Lf@5wBmew>}S!&=uc z;*t^H`hRyPr|t>U7CkI_p<MgPmBYpvzEU$1SA2T7EU|1s>~42K@!wf>98wD`KJ#4D z@VDb#X0`eA-MO`~=bg*mCDqBd1s>zsw`2lOm8{LJe@<uQ7aQz&B=X{Q@@;`^XN!!t zOC8gsp1-;-GS_yYUQz1_zMBzQ{}-|^ka>8DalxUPhhkJkH+@{c-#Yo!iMvtuQ=RT! z3gE~uKP>B_dvHshPW2JDj(7b5I<?ioJlf0eG5bv0^Uo$VurPg&Mxos2=FFq)p)DfJ zuNON`I%BwKVL<k3bJi>EOP9`FvA$fSW^wZ`!NxlV8?!uG|211qV9|bda`uJVOI!CG z|9ROnIF+k9EW*~-|Ips#Jj*(^s0UXR)-33JQ}lGF<FPeWC;r~-i<!7^c~`D*_Bq#o z-@>9+{y1gvSLY3<L(%u+^Dj&B{q%ZgA^a#^z{@nyfH$+$MQ^iFs?Je6J=TwMN)M*p zn69((i}ZiL>5B1fmk!Ey>^K*`^g;C!#;7f&=Pw@HH!p?db{xma_1t>B_CDsB&)Y;b zH+_~;?#h2soxHY8CQbj6a+ts)!&5sAc|R_e4ZCT+Ylhp}s|O0z)t^1;pKRk1^Uz}R zYlGd>=Kf3ff48;jdDWI9<*!b^Z1PFCxcun8wRiVy(Xx&!l!*V=XM034*TvAMEL!PN z#S_0M#nd&+0ynJOe711UYXkA~8jd1UgEODSPMPXfr~Y|YbFgr3v;4`WiWlcwEWMGp zqj!Z#LFk#NfQu^i`(EWNdv)l{FF}ic8iA9)a38zgr5sT%y!tlp{<s9S?N9QhqjdJH zEq@y*6Zq+X*`)Y+;r{CLSYjr<lIHxI#B+p4?}^2;_~y%u^8Xi|nNjLpduV%b(%a+z z))-qm9}}4T$Ze*0z?u`ke)$<z#mtaZ;5xg~Ez2}v@%KoV`=400pVOA>f6u5Q-{5Ye zmB?wcq{(H*de&_Q7B8OhC%*FbtnCohkcrBYeSh~t?V-)<p9-=%E@4?0^o?mb&+5JJ zD>ww*Rrh2)@%bgPtpAaEH;25Bs}~QiiB_U(47WF%ePoOLdyRv7OZRM<FrAaT|H{;i z=9#BjMZ#R==Oju7{0VQe<-K9z*!EP8@zS#t)zYW-y>i9d?!H$p+Ohb>oePaOH#-Xc zbiVk>@7v1<#~lB19pGLTno)KomBFK!t$kt0t7)^$lX(B#X)Q2#Rmj!6u(141hqd8l z*Nj62-X^j;6i#`{i65?(T%hV4lTw^6+z_*wZ_@Xt&VqN2HMyk6*ReQN-ZAW*vO;#_ z!AZ404u5NPd~>~#$$C2fN8OE=dd*FeEkziUeXYbR?)fL3n!q~YeES*ZIZ5(DpS;`` z&H0}+OHt{f%m*hK{r;etH)olD5S6`DDd^Yk5j(L)k#DXmlhXoYE?K{Kf7H74LvL-h zGOo?uQM+k*PPo_R`QK)(32m6@nBm8_$7|XoUawtu)R?MDa(+J8!?oewgQN@3J}FfP zc8hc@%l^r1m{}nmY+3c3Q*gbf$FbNIqBnmnaa+*Lpm+A2bjU$rtDaq}CBOVO+4D*= z;nK6qQVGm2_i8>&5sJ-E_<Wq<k)QOb(B}<1mK*Sz%kZ7O%+4(vICZWB|FmC0ZWA_i zIh<=|7E}<~TA6&}o?e}(_rIo1dt1797cNfpDR`*jz4pM;&zWAiUBzX=Mkg7i)qj7r zT9&{6^=aA9-iEodAwACoX3F)NCrd;h;9bM~-LUOe|LF;<HlC@u-TB-}^Tpl2vWtow z4{i~9*gU!Bgp!-h<B%I~1cdHSW-Zz5%pK*r`TL)Q)H&<c$fWJRc&@zGMV4vvR3V#9 z2RMY(s#K-67$tv9pLau6+VghxLyhdD&mlggyzld`mQU`RF8)p6BEyqwza^7Pe!teA zXnN6e(igwEOaA}=8b5b^%8yB1J_p_$KcoA-b3skw&-v%gOww%Zm7Cj-tx&kn#PfLO z@lCax8MDNr9_u}IzxBmf*}ul+%;YI*(ox?WtN4xnrA(;b^kerbmyp%6@|)X#8l+w5 z-O9#X%qRH!MA*CAYv$!$E`RpfcdcLd1Sg3P8zS~C&e_ba_dGy+Tlu^lh0X5_jQD@G zzrFR_mTPL=lQ#W2iL=&o=gH1hdsuU7x@T4{cM+@f4cl+Qv%7E3^)OUSm|`%6={Q^J z$~}B-@0NV7+qid9&^6ab$vw7-J5NTj-uU?EqTurdc8c0<F3<CCthKIKx$}~0PfE?h zWwDQzOuT$DV&CGIf1(yH`Nh__#mN5UHV$F8{AlO8)CV;doHxFfv1*B5s-567>lDMP zBlql;))|(V&HDdWf0LKpqW_}%9z9YnnZ&!qJAQ}cMQdr@|2Hc4`(^AuzAb&ST+ICy z^<2_nK0Af?d_PxhsnPxWG|$<J`lOBCPBV;7lrQ^S!)wO2@U!Z(vr5eG{pQ{1;$0JE zyEmfz^uGIB;>`G8ZhmNb<iUf-kFMJrmb`h&v9WQg!RjUh?c@8Rnwc7w7EjBQi~s$b zbH_D<nnyPa#k)n+R4n8kUu-Wqv!`{7DbKyjvCgHU4?c0sp4_}{($b7}C0S$11=nY6 zwOPaS?QAw%M{(}lSm#H-%zj%||8V%XR(7>%Muq+6D{I$In;~=C>Bar1=;VcA=g-{S z9`|I!xfWHERU2K-N;F?G-@*G+_^4lOh+um^v+ACMbF=S%f5|TICbe2*PVwPo&)?@g z@X@-@Xy#lxZFfiMho?`aTNyIUU3|}dKQQO?vV)fu#hdJP-}0U>j9+}@(CpulfoB_L zgp_|eE}i~)o3fAOZlxq9*M*kV6`>Mdc~_*{PYCM#+wDJfPaeas&F;~P?rOCsG8sSE zU#ipNWL__Lqwc^}CB6wC@5))&#f6?&bmZ@%iVQQeZL?o%tNY&BAFyA;cIW!FTbBvl z-<tH)K)_1pY3iFteDe2i*3OtZ?c@KsbHmv;wCeHyocB*@8MpnKyIeZ%E&H}^YU3{H zjrZnKPP+J-A@XkFv)@nh_bz0R4tYA^<6*az>g)S&X*~|hUF%(aSlE*5b13iJ6+IO* z^WT;VoV)(WS9<ZReQXD=F)9l$*dfPU_?6ArQ}X?j=1Hy#ZV9m`wY<C%-*2`eQ(Q_h zIM0k}@vVNox+jfVo+k2-1v{pmNDn@9E>pWjR{vq`;+qW{H!NoOY?2>8XEtk@&jP{g zEi<(P*Un^37d>0M@O#utllM=uErO<cf04TVnx(Pf!}JuUwOyyD_uu@ta_71I`>I2A zF2ycdVmskM$G*u1AKpLt&;ImHN^okF`!kCNS7v2;9eVqsal(EL?q>B}Uvsl7nUhX# z*i?E(ZqLUl)1S`tH4s;Gp7ESHBF0C7d7pF0;Tu+l8#Ox594P9XmcDwbu%hxe&zh#w zCXN+iTp<Cizm9LNnDFJk*lVAuRp*u;Yl{}2=cOo7c4Gd?9j6r^Y+o?9+$urvT6QG& z-mb$uQ_Wo+T0V9>GS4bgY}|F4z1!?}gH>enckyWLJdpzoHM_iTt}t0~DlJ9B+5OhN z4Tm^mU8JK9ScG<bDC1kHaCp)-HVL;A-=^l+CZE=_(ihr%V#4C7N|_q<d7_PLHqBe9 zq?xo}s(#&~%U2TT<yRFYoO@Gw;p43iPnGIdGV6|SW-#AiZ)BT&Jz`6#vU7-!;*M8u zS9LdN?KPXRT|m*pTZKz%HjlgZDo@6F!CC7keLBasd)?ZLhdqnkI4w1gZ*Q7X6_VsI zaoS4HW7`UvS5~~&x^#74g+Rds1GC?MZ**?2+Q@aoZ6?E`*%zDT)-ma>)4ukWc~8R( zKGiF}7Be*B#Mz>MIH^6-a?sd#-L1dbsdn-^ql8AW!diuXStlnW?S6h~pSq0An^vyy zd2(^qi+RZ>Gr}jjpWXe@Jl=nmjoQY0X_+&GmI$Oc%<A<!=WQ61c4$N4O0F}f7w&dC zy!&Cl{)21&)2p7$ZsZr0cQ5as`mytN_k!4&MG}kO9B#d_U2%bgNmr|Wi{^UE6isi< z-@QV{UMus&ubW@pQBhV~amYnbCHGlWZ~d~Xx991*a6T2NTN<^+eL~>#{fFIB_Ps9= z@$g<^BRH*SElZ2)jQ3y544Fi_B}^}Q#FoGH*veK@%em8Hk$X?b!>OOvyX;u6+L5{G z;jeucBWHhdS$mZ8Z<Ub>udD5nyI<nEL|rAnL`YAr_$2*kUFJvCZlz;eCD*jBS~P9b z|E=j~Ja;UdC$D^<^lwE+<}EjVlQYd(#)@9jHJ@seqxklVB&x@Ft4s6x{$W@W8u9V# zy6wwTPyg>O*j#!vq?K=ff1r^~neNd_g?)>)|L$B9y`cD*+1HcjcG}*SySV>(%gH*Q z{pE4<m12|hwrsz=AeMawYxu|ZJK4HiDT}9kynE^sPuRPqt5j8vFYr>m8Q7)hzjyAu zeT64}OctA1+7uM}+eTVp^F^_)2{YDZ&2T*|zqn{a^_TVgtKX?~9gui^g!MhU0jrO= z)<5NkMnC&mroXWfy2KjZbBon>KJ!%9yLtX@iFf{7V9;M^BfK}zc8U-0KK9ML)~A|# zZ*X{VPP*yOblps{@WipM55e9m!c1291a}DA{(d&sVd<wIo7wtat@cfx-6*_Zb^6YT zT@w>NlxeRmFOG52b(^~RP@a>$it1{UUH|j8B{%8JNeH%a3Vz{{Q?1(GR&~Jsl5g2< zLFcnFm%eT~&bIo(s#l?F_kY^CH<M56`*F@4^W+ts4*P33KbVx9vb|u=wU;lvZKr8P zx;1(WhrLR!RIoqQb7Q}!&*4Y45fW!!YdGXwxXYKeK4In*kqbNCB%fKA^1guK?aAo> z+MSD)=4<TB&o=p|-P-raGjqz{RY#}Xe`$8)z@O0Eg<J<NFV`+vUK<(sYi{2U#n2DO za_>h5_g#D$sni^-)a`xMx%}j#vm9|o3H+*WXISmq^J$ClnW-`%-l=n1U!K`2^zY^K z+Kan99Xl!xv3^gz^ds_w)G=<OcQd~~R<?S!wQdS4=RU4_-ns0zS%d>mw}f?gXUpAV z_-S{B?c~Z8@0++E{c8T8YsFX5)EU#MdS9+Fe2bj$Y5u=`vF+Jn$)!hJoEul)U1wJG zf#baXzqNml^1V_psN5M`e}w0>)XaT#Rkvlnh#%(LC0;S-)BaK?R?EE>(<G-ZSaje4 z*P)41Z)i=v`z6|SarVv)`6*YM*Yr3Ampy3XO1@dpEjZWoXnJ(eJ!Orn`VCC&-%Rqe zJ$ro`)CG7VF2ya~<*@RU<j+T|R?p*<i(0X8*-i&D(Lefi_BmUcB|aKYSu$1f<zvpY zNijRQd0udfFA@n(u9VyI=jKVdZu6;6kH0=87tbi#vD{ER>2#l@^4|p?jT~eIOFjrc zlbYOr=ghZU&HL-5R~w~W>M^(OX0W)a`o*Wf@)+ykt2`=BTY_KMs!Vw@UA9^~{LHN` z?i*_Y);9h+AuZzl>fvgMoVf>-{%4o{ZQgCfyXwgALf2|1Bi1dCW+pw~)1@zbp|vhF z@Ay-eje;?A1B7I*^cUIbiScikoM*Xl#S}k{DLtE6diMBq9kw|uYVyb^E%^J?HD9~8 zRzJEqw?%04_M4Tl0YMgd@6O3tJX05*JWo3?W371EEUl>x-O71>e0xq!TXM_&EB7sD z_MZW1JYFv)@4UU-+m$|v@6-OfS-fJ~W>z)a(+SI7xbf_i8?!`3`s=@~l2mBi#%SPw zHk_yB$F0qR@7!jwpOyM4G%xY6mb2Km)m}Zfcs!dH?^NqbRzGoANA`fl1uMyh_T6fK zV||n}wl$c|=Ja5gFuOxVBG2|?+SmUKGU7t3qUzro7OVP2Jnt4ru(Y>pQF|bgdE$tZ z@Uca=xprnKP3UB@Hf6QkvNinG;*}<kgBl`=HgRm38F%OJ-a`Us0xb9ZYX0@3A?WIi zi+S2tr)Dti;V4^v=;RMZuO)18qLqow%n|xcvXU+4vk!fmW~TS){BMm9w-$BXS(>WZ zW@`6jIU^@e=EvU&>v`+8TxQ??>HKC6Uu*WepO|u=Y%aYnc3NQR-z5I|{2uj*SvHcg zE4KEvUN7rxe9C_KpwT*~g$++79y~E{HBK*Bed*(U*7Ju2mattqFS5A1H8bOTn8c<t z`F|yfFCEGaGRTap>FM~P`|#?5ZD(iruq<w=n?ITV?z7kX?!Di%vNT-UZu%ulwRf@q z>*^P8Ebsq%cK@Q+hiYDwWzV(oV|lg8_qCI_wjpb(<i@xIS{gQqdR(XWojZDqq4oH7 z1*YXLb*G{(>&*El%$^&3gQa$^xUc>k-I<#oTK-tQ_la)irD-X~iT*FSUZ1@od);jR znTr#C98G+auEhMgF|#SrTO?UezB937$7`8ya~~|(nebyxIp1#&lWWpR`3CxH-<;Lz zSf_GpMdud@(S&Pn70YxclzDh;nfghCPnaQWPw+L4H74@&9v=6RytCTd^_L>U<<`~z zJ$Cs0OMbX+qOy07a)g!i!liHhQ{_Vzs`=gLe-rw~ef6yki`Q7)*SY(BS435I(N)`c z#pIN#tW{T(#2!m++_nDOEmu?P!v@)h${z?y7cH$?;<<OxXNIfJE$J6BvTpg8&f+qQ zFSFN_yqA~b9VXMYPISHbv0k-PYZ5ZlBN@)#kyqF#$jCC){-VF=F;PAv>AY1QWygh_ zCZBdnRQkigxFvZ@*7LbJSsu4<)x4g6cD5P&wp};nAKVuBc&5F(Adc-uQ?+A^<)nX7 zD{hJ}H(vB8=;-H~W0yZJ%3G+f!DDf{jVof|f4_)(a@$MX82=aUTKJ3m>V8$@#XUjp z=M}~7a?8HbnatB_{F!yyTQx^bCEJxs?-=K@8(s}u75XObUX6VDb(u%~Ml~^O`I4G~ z_@-?ScwNQ%&YUIx65rMD--;$JdarBc@^9{Yy&JC@)_<;?6(*APtx?-2J^n_1NGP*U z-=fH}2g|lhdAA~Hjr5y7Ge?nAtIDMn`(GZf*O?T(K=r0$<eSS)1y{<#HLZo`wTJ2E zi|9p}aYe25)n}gS{Qu>~y*jUF&fIrxYvzOBx;tyt(k9M%G~Z3BGwRI28IeAoYiqrg z+!q_BDg2qRO?7Qoq3GQAieV4f4>We0{BZ95Id4V(lKRbu;{3nXvn_dczsJ}#ZGWTk z+2vQAKkf~8R9<1Z!Q!&!*=OHQNm_<2|EH#_u5tOj$zo|kIVCZvnlu^FC)4>;udF*U zGvn(ef$ZrD<{w=`_N`rMkQwo@;=z}BZ|;lD_SJ0eW?anJaNk#9$+kJtEA358*Z)n; z)tM)%xrRe<e~gFy`h0U4hfB9Ex(7&2vv!r;;4AKXN2B=pvMKwNl8ttpwCzpz)`&EI zoWJDa-|In%ukZ2*3C-OffBt}l-K<R6%)JsZR~vUOopkqkfjXxh<C0)TExw=Dp#c*@ zyx9*M^fYqLkm5a5D0As6^9;G3MnQLv2`ZjKd{bu_E?ar=fZFyz1_q_cUUwar*~#f# ztzWQa!*<1@xo`J=59482VfXvGi2Aa1Uiv$NGdXV-sY|Y9p3J<HSzqnq$uqKlgiJc^ zrWFdu>QwCBonI~ozHDl<f*J@++iQL3(4iX!COW*_w;7xdhHMb!>0xD_ykMC`Y&=8! z2GAr~e0<!>@Yk(XE8|v+zn=OKJPChw>fX=4nhftb=-Ki(OWfdQ_z|9I(!6wL{nw|D zKwwvN^s8&v+NO!EUfP<qHEPwguy5zY|8p?kS^Y&%(4eF+^$vqZ>%OH^Q~6}IBf0jz zy7#WSFbo8y9e;bkYh%C~QSYNk8>4h|`g*m$X6?;dzwVOuw^v%#|Bt@qsbO6kZFzNS zsOZ!UCbK6Q#d|&WZsjZUnZ8dFWI$LL_?(?kInab?NXX6I$y#$~1ueg^QnQaY%rv}b zp6=3xOxNXS?K+?rGe`ca+seSe3=!$AZmy{|JZVx4OychX**O|m`?+KT9vtAAr4k@{ zKO1_rjx7jOhU}2lF!;Mtd-hzTO~JvpTFg>SQs4VKa(NguecSZF@7$IK_2p`6e;#?S z3z=2P?XT6VW0W`f#6z#N3zPHeCorXeR}XC309qijWy;=YhI-woId>n0i|IsoTnLDo z8n85^Gc+h`>Xb<rmY8+=Mope{X-UtLH@sgOX7T^zvM*Zl+D&Rg-<=c8;-3`syH4!b zd!l*H37v;dX&m}h6ZmJU$DG*HDE|B2?eF()e~)|6C8`~^CZe$g1WLeQ%HH0dh{abp zOoMvElo$6d+O|SN*Qxu;qOB`5s)d)jXast15nAb@BX}|Xfx82PDo3NFFtc%5ixP|4 z11E_%0VWQ;lw%cwhbse)<z71@(!g*?u;(P7fsxzeS!R{b%D%tdclWI`7>Lz@fepy& zmX@xgNgE^1+;d#9@{y^=3T6+}@SbH34mYcv{+(R^aPzMXb}Rf}UH-Mg&hGx<Z)<F` z_-|dl{OwDM90<&N^LGEW1v-`mXBRTt9$?JvJCV?L;)Zd%14lrE<(nnG^HyeVKDM~& z!WO|asmss4y}iAC_h-L*A6FDu+eMXyUJhfwdNHyzNH(;+iI4r`A@|ekw5%FE?kGHa zA`>%jt}^3uh!7(?J9|2_`(w4gE1y+OKEH40hl`t5@A?;F!v8s-wd>;M&=vAEe}8=W z@Z!xAf%1|LOAB+!?*Xmg8@GJH0CKpNZ<h$@v?oJj<H_geIW{h`k#1$_6?XXYM)>*c zfCH>NiVYxhXDt%;VW@w0Q|-!oh9c?pg;M?#ng6vdmg$WBA!z?l?fV0{l$4a1W2t}G z>X_vZx}UfwYiMYg;o~E<`Ph+yoRSRdk{1ig9IThfT6tV(@Jx)DA<EX8nHVyIXGVQx zWn}!V>0exHPgWc(NZT`E=Z(`DKc-CXzxjKL@XWm|M|S?<ty9wb)>zPT=}a$wu+(>p zA2Z$uNX=a%^2zqh+v?x<ZodO%;76dd2_Na+Qxf57)hkhC=aaE0cyQp*p+ikp-J9q1 zuG@Fee9Z#wm#20eTDN9}wzk$9uho-Qt<YM{wQA8P&77`Tla_7K%;uUkY0}>Ho6A%G zPx`92K4{;E=y|D3_dgwa{y``Hl4o%Qm;DoSn@&re)`<0!=l+@caCMEBX3Z3P!}ffp zKVSFOzJFW&{%v)>n<EHVT3T9u6t)Llp;#zvt_cPw@2O;VPnxj8?~#hKvhte_E2Bxj zI{I0{O?fxTeR;5W&8&56yLWheJD0^%py6L7$X#(>#L)MbQo~aRlPL;LdRI$!y!W2B z<mHEX-hWSY-&tntYop=#Aucma%6>-S>Id;po|fHz_wL=kyYfOpLXQ;X4uMWFJPy7A zYWZ^ozE5*~mYq!5^!_>sOxIr^Tvzk6I^X~9?c28}FIZS!7dMOj%<0p~pEaJO=7Vp6 z+5@^paQE&vf1~+-2%N8;uy2Xnd^Z28`L8YIxqTGx3rji#>GQ7_nRVE@_{J5_-B#;) z9;|=AxAy(syWj8eScAZB(5;HQtoR`d3%Ir?uS>lyGI#dl8xx|hiljzcT5?Ji>IlT% zHqm?c5R~|XcZjZQ^?n#(A=<|jGhI^k)T4Jg$vH>TcJ!Qk_&{yJLdL>(ZO3c$&b@r_ z?1@pH&9g$u?}DrM@*H?*<M8X_TIPO1rGs}rMEz{Kyg|xs_ubmvzrXFR-EG}`rqe}f zqQ{cf#~&+f<ZMBUC+^)V>XA{d-n}qy<?L6_o;|yE@tgByGp^hmaga0W)j)L)k1!jL zMD}Z`UCY)j&Cbqxqi-7CGc7$hVE6sZOwi)q%CcLXb^Kf%w~X`>*XSxRvu*2<J{HB` zz%tX=NjBhvg7~LJvtBkH^Lgl`?IWqrc6Z<RZ+jgeYwWne3kK8OKntDI-t%p~a;C>L z_-xqbYiD|r7qc$fe>LUwDV2-~D^qkMz1+4<+L)4Z^EXqVP=rK*<E@*_G6vHao4Jc_ zCTC_yZlAxiaLpQ%T{CwEw=qXXg4WnXM1WT!f-4tL-I5tPH7e9~Y0$<H%~0>HK?_4d zg5O@TTI#nkIQZq2DO09g(Na~N>gBm~Ij_J69Tx#6ffxrS(G3i&E*%UkUOU^Xican5 z%+!4RPi(2orY~BD|3vgn)en1c;*<B8{uEV-O*+L(LM++CkJ)wyy}Jj>kF~$=RU4n! zQSk6kE4O$c2!O7a4FI2-d-L|m&eEv4bLIq<7cE!Yva&Nb%WL+Yle0k!k7mpWOHYdW zm2orFclxcRC7!WP)32#=dEbn-O*LRr;a2PJR63&P<*AS${#aWz^^TT{FzfCq$1a@- zIi$b;P)g+z`(3s9_p9^2pUt?q$hBKcH)2D=!6sI2RS;M@d&-n4Eo!Q38J1~>DQxu6 znAEjJX{(2(P|&x3eu4I<J}iDyYkW=Q#(_7#l-dke{^MYgzTm)SaNy8%6X$|E>t|>w zCCm`47h&Rv|DtWt`S{+8CPuCgu9DkV9-3sc+eSG5``g>KzjuKbAWfVA0s$K%bi}$v zyTD+RxuBqz%MvTW3!QBZ;L1kY$^3A)q`ZiTh)79U02>nsoci$-1Ww*NSC+ssM}3#0 zq=C)F*)j*V*S#=Tno?N*F*&Ab&T5fahpXT3{eJIV_OBlxaHp>%H*)Lp_T*;Q%8)th zY;2@J8PEE~IlpgaH-lM(_nc$?d;WdG57`Ck|EAoz^rrHj`r~(o#<5<?{H%O+%SHB` z6SikxendtMWaw=N@CB%{N)tU)g!0|}W^aDBz%t8k*5PMQ3UVH7vC!f3>stJ1jfKU{ z-_Mrq^~}$^F#GGXv<|+;!=)XU6D`lA^Eb*r=W2Er44?PVN>*5Kr=0+A>C>4jAD2d) z+xTG~XVN$0!j+dQCKvX9c+jqPv4=b8K|<4so7;DTR(t#U_OeQW0l%nL$cli4i<-dI z6)%HTR$klXl#B(LT_Vrdzc_Q|3=fxxM!*7xiT-me3LhQmd^7jvWd>c1Vw;*D2kI+C z6;w7fZ09`qF7(0Pv;Ip=>dsE`JN8#@-m$~e?T&J@d)t5Jwz^VZA@b~;_x*S8_=9|A z7$hF@-O(we>NVwqP#owKLX|l!lNTH~_|_pj?5m(%Q?j#*wvy<<E`g0*0j?br76>}= zGV(wUEp%9;5F()9(2yb9(){DY!^7?T@|(&z(pjYwmf0&FnqMIt|H$)ChxkXuQ}gDq zf0Vy>_+vA}6zd~F$NS|LzbRBn`=s1<$d6I7+0EUZqvqTM<E0gg5+;ea6fB#gp=05E zMxpNI1C4Gq!Rw{>-|aJR=Gm|m1Y9*lxLOb0KA6b5YX6rUJ{jrkRd%O0XUusoZI*N6 zgLK_t@YSte-`MI@4Cg33=k&Am_nW-=iHfEBxd)m}!Cv!)R{YUy?n>t{JE(F(S?{1m z1WW&e$%~Z>PjNOigZ4zM|D>g<sX70XX8$LR`cLX=TG>gP_MMzPWrNnNx|7o<Y|x&y z%Kwwf%T@nQ^w%g@H!Pq2XSbcQwe_3Ee1HGLk83~uzW46^y!ZR--hW+TcV6Yu-PAkz z-<5YRYyWIs)Oj$$K;q8>c2^e{m$)acpi_^3**$T#3VG1AjQ^8@XTl~QwcqpfpE571 zvA46cv)i{91VAlWTid-od%)n$-(=$oi=FGWr%#Z-(ZFWZ(72d&-n-{=KZ`62lxkv+ z{XJo?^s?w#+_{KZwR0r@Ogv!wsb6^Cj?ek_ljrWcyM6cXd;CFi{2*}t5NL_o-MPo_ zfIti@IPdI!b2o7MwX0V*mX?-Emy{fkmyPvWe(7TBkC=b^R(73QTeN1*oa3PN_D548 zOWIU~I$e}De-D1R^yrT6hu4m=CHubRt3RMnz+s;xSg~3ru*oHJ(GqQc3!&_v8~13x z_Of<gCfk4f@y8$EzJ2>920D?`5M=+IP36(ix3_KEn42;C*0p2THZ9E2mX1EPY}3Yr z35{?4O~ZQ*HEcY#Zfo}Is9np}EzHigj4fo^qHFZ{=8T&&3(vd}H_`5mcT;*?S7>5X z{3H3WzgF)(@N(c~)4%|-f^phj>vNYjf90{wK7Ymd+nb)`!#kvU-L9>W3S1o6bj<zq zx~x@;@}8xoX5NfCHf<&7`dn?p9~$q!#y)M|D{;NKv$e2s?~l$IiQ>kmWa_3K`u=K3 z^VCCrWhZUIHSYu+GOGQ3@0`M9M>h}vT@Gnx2I{z}sZHB^_|gUg(;i;#?8Ao+1R03= zutpyY*dWI9ruEmOqupOwe?L0ft^c+A@2{x+7uJ7!t^HW$;m0VcnwJ|l+|AzjK)gm| z{!{Ozh8?ecl<E#LX}0EHu6_UZ9gmScXwCQcqV-(c7q5OBwfoXKy)CO(cQ?NVHIrYx ze>0^!JDK}#)b=ZDOS%tDYrD1z)W3^bDYkm))V=TfTiF7`)9y_T6}>uT3b*n3Cx+E$ z6h0qUPZs9jeEi70_}m`jr)R7#pIc)5dC{L4Q>Thfid>PRQTCVTvUCK4vmuLx#>&v$ zj3N!}>Rkmprd+U4;cQy8#FOXn0ugr?1_lO(1_lWS4kI7SH)|OG-@kO>qRJcP&n-pW zdMh6lzii>KP^jQr8KHLVg~gA*R{S3yZmL@8;w)HgQ{DQ$oRcxKO`rMpO`Cn|XVonI z-B9EaX#d^%Kxo15gCAD^TcaP6BgVGjuGe}Ii|Bn3D;W4DuV;_9nKWaaM_c{o-D$sE z<pW$Law1=-E}HX4vb%*XPvX?E@I^c<c|FaVI;`yfoWDGtT>80g!QsxpwB*R|dnL*{ zj?X_S6T74F0mGwDGZS{_F$r{-$@JZqG?%|xbnZuwzDV>Nr=1}eKlA=s%V*EIkYB(| z<I(de?49w=nsckKne%gp-`-u%zn71F`O+w!EhanO@;^DI?=tV?>88jv+3c?!FEh>m zB|2a3`i05Uyx0%PN4M44e(vPm^!3$!t{nwlHx+uGe+l$0m-x`LD`*S<t&@e119moO zdMx00HLvtaKo^I0OA_1uuy}_@RU0;Bsiqr033Ga1wc()7w#&a8O)p-!Q&GJy?Y{ng zIWrDpW$9n1)bE?G+4Lsx+PnMt=l`8ZwpD5nRl2e%qbEAj)j_1h`w^RS!J4G0$0h~$ z@8>fTv6-vIyzamW1x9|ia9*?SlGn}45}Sj+I89`jyQ_Hf{J7&k{)Y0o^!Pj|*7|<t zl7-r_5VMB{+EwSu<oT|Ny=T&WB4Me`@bL6u)0@vP{Em_3xGr0g$Pp63x8`jA!A_=6 z%HI#M&79i%h_zQaX4;BJS9cxTe0rPp<<B<du3VKNEu!@oq$ic%ReCRA>$cl@Y2%t7 zaV$pFf=Zd)ufO|;I4DfAWIW|s`{Pif^WTyh?NjRNYm-m@O#S&}rILDs#_5Gj6FOc@ zTw1){dD)cU?;PoYe80N|eqCO%=g@U_=eS)w0$#VfUp)G|coO3r=3fzwKawso+XU=C zW-r0OZEbV4Q2rTLeI`eUfx~xh?bao)E-+q{a%i1U(emBrmXZ4T)RVg5A+tK!8iYz7 zzFK<f`{fD#56&$<5S7_;!NuxDCR<&T^1I;5OAT++G}NDLxo7bFiow0UhZQY>vMn9n zE}o9Lj<F}?({3}(6!I%)k=#?Zvh^sV<PTPr(-KC96Fxg%{@HcLYmUvq4B4NGOZD5< zFxu`};gre$a#342)4!Gn-A~Hd_ZMGP&8~Q`y&`){fx?Dws#<$WAKzQ6m-10x;P>r7 z?G=W_HU&4-pBy*2ruCySjbTBzQ2RWq-#0D(SPOVf44!A=^?{+Ix!-2O44=mx0=HLI za2zvwk|?^d>yq3T8D@=-29A&CZQ^(Ac-qS2XY;14v$@4?!@<Us?+YHxInK63a?>n- zF)<lq>(!zcrb#etjPyB_7LfOKUEZqA*IcdjD|Vf4eR}2B_nVrp=eU2pDD~j8)asuJ z{h#9Zc|39|e_qY3ZSwBHwL3x&Z)vLJ=B+#ZLUdBy#~aKmOs-G2PQEI-$+oaS^bGgH z18xh>Ypjcja<Y8&@4EfoT^-CC3D@K}RvKj=Xr93(@;;I$FJ<e3X&O7(tTOFmxi`)J zEUW*DbM_~7&kx^r-<{yd8FZDm|DdM#v~SlXjw;vM$N&6m`>m6ywTzFAX=B<&S-;cj zHaz_wCUA;q2G}k;cGvdJzRxN8w%hjn*{8W`tJMt#(WQ$w9Jpb5X~mu?D>m2t-FNo# z8I}W!68++NABC^mWAUQ7CPjp2A(ONC8qO=%GcFWavFQfL%&>_I)AU<t<PstF=*hj= zRyzA!!uQ1AKl-I$<JS`!OY1m<S*M=au3{T?uTs|c=u@e?leS#&wEPz>q`}=$HTP!G zUZ!hyf268C#5O279d6T&*M2G%lTzKMy=u8D<Ms=;r0;wx)D_L$7~NP=xvckKsPYay zt-VXQij_aAJnq+OF<WW7sA+Cg-NIF~(@T2xytyJTtDW8Gdw0|2ZwsS;>4h(OtR!(( zWJbj?mabx}h>A#!h>DJBp$GEr{P&kS<`i$csA`$%rFV-MCMat(I+h=hZ*2Lb?^63n zeKoU9{ceHpt$TQLwsTwZb^AAbKF>C3<-Q!d8UK!z`i7j6b8Wd7ZM{{+qS|Dx@r~)$ zAw0E}JSs}OB02SQPFTw8uo)jsv}MXQ5WM5LOO@rtCdt@@YRz2z`jw||{m*jVSDhCp z`M@b$=%7`6)h#(A!PDACeuW*cQ~4O>jyaY28D*=st+~CkW6!M5X2wg;opb$i^^VmK z*FWjUZtPyLI3uOgQ(Esx^g-?L9lae_B<CG1bvd09KBaJmJ@3MsOj+?4wpB`+Xmm+S zrV5*WSGAWtv+=d~@|R2FR3;w2?sR2M$DQR}2@6*l-~9XH0Mj(_ra77EM*~zYNNk>| zb2v#~CR60;@-t`leR*MVCiUQ%s|@>9ntw*eItXXzDPCZkJkR*U>8tr%tWvuRl4YbF z|MOWtUi98WhN<FAlIoFy8E=eOT-ZGW%+|!;=H}+?+nsReN6cc&*!wfpy*qYoI2bwM zZrt6f&JDA!WvqR2W5c0|WkpNZ>|K9-ySDJ6PA>mr9~|a5S5~f8esE)X>5+q)#=_!9 zrDe9)@Cu*belkI^^1*RV)h7(b5eITrY^7J&9y)kQ)nmbXMfdZ|)a<oXo-R$wT$WH` z<oC3lW5%EQj&Jv>R2MH5EbPw-XXcu|)5W-3s-*wj#Mx?HAxV<EZwi$xnxYk?yFo=R zFlmzV@)>z6fAmfe+oAu*rR307g<Nrsy2_XTN?2uFt}mK$uEUaHYFO#3YU_~mHx<9s ze0kls_0*62ewCzqQkw+-eSWe%%ZLBlo7sxHTK5WP>8$S3)Y;I!SKI5~mYDFgs_dK2 zPyLQ2oMT$;sw!1^boZ_z-olq>=d5sBJ8{NN3yEpgb6dGfUcPD<SrDBi&A!xG=1$d_ ze4WW!_U;$eZvHy-ZN(>jajmt1OiX<af2y6QM=if}l<&&+or@0aushi&7Frl~B<9kh z9{CITb|GuTO2sp;R@H9XndIDb<<uLM_K(h2S<C;$Z0(a5SLe5K^yoczrG;PPkZ`Q! z70CtD7xK@w5&OiZ5y0|^;pn0n-Ad82CC46bYOdv*ZyR(#=6(bF`==Wo&yES#Uis?! zQG<gX>ZO}Kt{)b-o%nc<-JXV6tE&g~)Fkgsbz8>z=u~W)R7T^jj-@^u{-`f__$+nR zp1&WqKbZLQ*zs!zCuOYK`ow$o<nDJ%oR43Be)yP1IY)AXY|CFR<0C&GNxm{U)V*WJ zlb@%SH2-a4OTM(sF7pX@w`2St4PTDhZl={u-oC%y9xZaR`DX6VvEWZ@W;@%T#KRq& zyz<%4j6A2z7PL_Rbi2gm>ooJ=RVTJ**d-tN!sfbAdBHTx7cUyB56#bTmI$A4R`U{9 z$+8WrIaL&n?iE-2pnCgVF~i?J?_#Mn%I1Ym1=_YxUq7v@+catEPOk_vqhi6y$74HR z9<ht$eWJI|kKJ4^ginuUoxrOuktesNzE#UJU2oR1Qrx+CQb?Sb)#Fd!b$t4NXdG;O zb^82G=89iZ;>pslWnOq%#n?a0FqXAc^SbbD+WqBAB6OT?KX`j{;WLF_tqb=b6XQ`y zJHi*}(>7(x+wv(n)7~s;ocipfO7yen3`VahXZklf&FPSMFz;y7A1=>h4-NSW8Kl=7 zbPuUposz!C!TC;(n)-rw_s)6klrehBZruI#kzroL#kyS4E%SEo$*#1{d0ufu#9umi z#YsEUO>2)XbkcC>xp6sY&Z0{0psHQ&iEV+Z3qSStESfZ9^@g>%lNGNdd=o1^<2|=R zrz*BC^j1`DWr4)RYj@f@QWm^=c0PZ?`s=5&GxwCNUHV1$%Tzs?q_bxGw_j?@dHbAE z;@#GoN;yB}$(R05cKI+Z!}Rd<7gpz`B<(Un4qe{a_BCH=w@5+E?s8Y-&O=^md0x)X zG9IWqX&mzIWPc#o-Tmc9w>_hM{o)RJ@$MxRPVc*Pn&W*o#Oo|le4loD&)*--7Zq1d zFv|K-Fi);jblGyRl&LK%KMG&g(DqjkYfd!zxiqtVrIYAk+szl2%2i)>oY~V6FquzC z?@05dU6C$2rOz!JdRD6Lv^4VyICM6=s%Mkyfk)~20ng_eo5bd=Zr0bIt2OB^?+5Y3 zPx5P3l^LRc{y&x;d(Uop<qheOdp}QYxOq5fwI7SL=H}Gws#2e(qh^(<LOs<o%P;E8 zH0X<$)_5vtJdsV`lx5}JZEjO#6|a1n@Q)`ZcYCYS3eov~$GQWQN+o_2&#Qgxb$R0a z=dXpY|8kY=|IzjD_Dlo522Q&R*}Fe9d}jZ8Il>`KR^*y`aJBVc0qOr*2Nf4p1g&4c zC@Aiw&(SBp=NB;>3LfU&r`3L2x%PWdi%DmNW@GBn)3qN%?0w}n=;<%mVEiTc&z2ds zELprdi2}E{C(rK^y?!Z5f6v+fdChmPT2EQ66?>ua2>apV=Qy(;P03xiK(<7>uElcW z|MJK(@4a)3c^}u#ay@UDxbsA#*q3?Uf(v}yEu5!KFn-2%+tK_S=e?G7sVnQ!o0pwx z5ovzUUTC(Ft1r5fK}AzrWSiJqmWBNqKLt`Xo`zf~YyWlZz;DLoZMLd=iq0=*NPqG) z#nn&dyGoCR=;n}Hh7xHNk}E{p_W0S~oIhuYh|>NAUq3B=wC1++p5#6AUfX)HE?V(r zrDQ?wESG5h{bw8OzlXTkI8;h1ZZoNintS?mN6WE0hx9bJe$JfzT|JNU!q$^#FNB}( z3NjbF&wKS@ZZDgb-@E@Ai_Qe}Tz#1uv53*7N-;{pkL`N&F4?56?;i8ia?gjeZ7|z; zDyd5^<<gN;OZkj!&n(IR-lD(Ut-zau)5-taUkyKxV8suzvVUJakG`Ig*jCP7q4cV1 zVu$Iv7kkgX-_K?9|Fg)&T}n|-YzvZw%w3Nve0?Tw{-C_ED8PDCz^56F4l5n1S5!@z zQ}N%7+mKUWZ|5tCw-YM98B3h0-Pps&*`0g&ndIAW9`}iMQUbAM8>OcziR`@iXY(G# zD7#0cXB)P^p2Yn!;L4Y6=Nx*E?Xq&<&v@OK{%K~C&ZoFSi*N41(^P!4uBvtEc{1y) zX1SNf&T^}2&CFwp@oN%9^qS4{Hf%l7!jQQ=U_+nm#<pwQU-K@|Dp-B;Bm+y_c4i@7 zsWog8@Aiclo}8B;_~C$;*_2lupO(kTP2RPwG2jRL?c!q}H#hb;cBOItyTP`(k^Od1 zj>lB#FXF!HJ)WLZl?=p>oqTVY9TNL-`9JZfncrjLw>_A`@qSmm=bM~~GMv{|$8VMD zPKXVi!F2D!BqL8FcJswCa#sZ`oRcrEx&N`%-BCbXZ_fL;iAz@*R?an6_^4XaI5WxE zX39r}*d@si-b#z*rtaN+)ze`5`kPIDmU}GLU(4BEAj2qoJ8x@1q1_Hcm3hV9T;he7 zzsr=(a5-7HQ=N5sq)(sV<+8-cQk@U)MKTYy`s|v@ko{Rq^y2)0uPi<d3HsuJb*h)z z#Pd0Ro$e{zVXr0OJ@?L%V9}bNesbN1eILrbl}%**CV0ptx!u65V;KjdNE`23mRUcT z{FfR|+kcp2ebEuqYYh9O!~-TTm>s~k(B=6~wMpyJ9>t&FIA_|KHT~uSTT^EH{ie-T zOO-xNnaH@LL1e>*z$t0McAoNqr<~ho9TH}Iw)<AW@gE1uw!AE<S99OPo%_^Dm(w`> zuBuo|nA+cH86E8(FRSc?yy^~4bGyqQT`$pN<RyQ0p5(q$pU(cgY^Q$uO}Tnfooq*3 zNz0l?8Me2|SR3@EmKMJAYre<EvN==k@)POpJ}+H3xUR3_tGKzFBmK&^();Ndy~&NA zGaFf^RbE}Z?1IPppcvCmrQ~|!@I5?j+%No>Wpaim=oT^_v5o4u+MqsX%VdWW_uJfG zeQ@5P`Om>E^YkfpgRi|3Y-(S=<n4}&F|=TvaIE#?mNK5!zs>fId&@8UThiEZf+K9T zZyx95mrN{qVgky6Z}n$hZ*+ThnQdZ=`LT^UV&5*mdiT&aV70)!!wFCIZGK*SXMEx2 z_bIk2{~!B^xLs_mn)g)GQC4>AkG=LiAJ_M;I5S~s5&N+P&L?H;KRh&;vV8V+_C8j% zZU0aH;$dE7lzw0>-{HX3)p0IMO4)nQhui)&Phb&Ily|U9+wx%5o}E*5Hyo~i%jp_! z|KLSYX8janmTA6>R|=;2o<7xm_r5`<WzkDV&CtUgtIjKR{Vn2`@Z!)i*nN)EHcH;% z<mxrWM;RhoKHT0f?Q+mGp(gA?eDgug>91EiuAaAco!7Jg7elSE&eL6GTbAi|=%(%x z_M3GfLn27d$MS!ynA`5^u*_Bd9vju~TckeQAl%p98Qb%9!`_(t+n)X|x0q$wpioqP z?@WQuqu=v(&gCng$mt>BxAGe6t!p~%6D!RvCdmI?q~rX#DfK1$qt{cGeJe8O_Fk%F z<@t^C%=HF?wUu`E4!@W3pSbhr*V5_!hqk+0J#f6jv$Xs-1GD=VS9`;2e}6QDZhd%S z@p0zv=-E#KIgb=Ru6S~{W_s;|t)+sWmh8H}{UGaX|Frg4^(|tJg=MxYJk(x1bFZ{E z^N;mku%Q3XYzLpcZ@-*~zA<lS*bkSo+nNC%%KUF%6yZOf>%n#E_ss`)x6G+}Z1tk& z(3ys#?$1h|{C^bc;Ck}RtA|;<nzpB|?PB0Jm#Jf2xqGtTrlxI%3z@?g&ElM9;u89O zO7YPrYaYFdjb}a2QzxkYSnT)frS6U*H4gDBb|k#?-&8p5nWukH@Sp!xOY5&4`<Ao+ z)-=6MCETxkQx5z;W#?pU7W4nX#DY1Z@`ta=CagLq!*u)bxmyyGzOQq&pYiCd0n7UQ z6&?0+A?krY&um(<rp|Ol*BsmWPMLdEUHL1Q^s!8|IwJgdSAlbir_+k$FHSE^(*oa@ zn=35)t~WROtG`5lSkIlas-?3R^&ilY6Mvp#wB<9${j=&)?OT@AC0t89I(b{)g5(ZY zt*iEnqQaQ=u4nu-SyDiziFxJRvehT<In9pH@mh5I|LtBzxvY>~O~sPMl}jGHmC<U- zW_Wdhn|1D9m!o%0^xhp{i21px$6iZtpM9*<xAaAawxw-ndd63@JwtiU<DYVuj%eNe zCbYK3_*}0;R>y>I`*?3ZE$)?`e!cSCf~3?O#Y5-nlE1%*XsW#J;%lkA=0w&K(-Vmo zWY4mMx~OyT-P`wk&LU@<CFP2%;&1vNXIXOQl-Ez5#%WboGbe^0-jHJd^10;kxP!{O zL-<&>Pm66}Opf&n4-X8>UdE{L=AoiX@uY+90h>23-_qi*@lG<X%tGUI$n_N;&3f6A zqwR7^yL+ylk8j%WI!$g(+KyRmpO-6l`7_`Dx#%$8qYcZ70%~8%vp8P*`cZ95=~1=d z__Z|^PtDG-HQ4g{y^^TnSX8kqHfgR)`ilySuEI9c0~IR!Ds!wK_V18!59-Yf>O19S zXl**l^Wxc_cka5JjjG!wzUb_!n<&xu(EFNq?%|!+(=)zof63OczV1ftobZpGzr2*# z-(0aj7k)q>>~ENb6H}H{NTgsv`to}T58koqubKI8iRN6j16oNxylr1L^FHXRyQ>?i zuX9-VZ{(WQH+Ox!r?~CR$*_)w8}ByGp6`2xxto1;(WP6fb$2f~@|Eq@oCE2CW?$<! zTbidjGPM@m{hC^Lb6LF3V$a3D3k&ZZ=@an?kkgC0E0`JcH28}~rq<q0jVVthO58j- zcBC6RO1Uo)a9$pD>+j2=DJPtNo$v|j{PS++Bv%pp{w^M^HHP=L3GDwHEMIAP+U(-& zGtZ_<W;V7&Ds8FUDmnGcFRib=F0x)lFXz4rD}D8>+&Q8p?#wkw<%!?KCUU=iI<2*L zo?628fGw5x7w+@Tjy&o8WY^La^H%Zw*H=p{oA*_DnpFtv?eBj3+NU;NI=<jh=)uIp zyw6IWrp{T=vN2cLHCE>?&+Prt^UrWwdQJ#h`{sJp*{7GyCQhHW<Ev0}L4J}Z%bPxz zPtzkDf3#os5f#lezb!2{+q35LDsI(2?dSeE{-NEcWr}|<V2KPq&?9u*aRW<D{i-{U zU#KyM$lNcx5uNMz&FqqS;I#iY1aFr8KA2asqgT%{SorVP8>`Kd)=&FbCbIm+@+=O` zDFKGfpBQG!O^i}i`F-MY^8XqA3pBqgFJ019b8C}ddTw!&l~zRZ;@;}y1jfaoerDdT zCqMU0R;znjq&fMsdD53);|DipKlHMQO`ad^8F1)?Yt6y$4^J~4JEz+1;ZmjO|M03G z*XgPMn36@WRSPK;tq_-IWiQ?PpmDFW!ix7N{{5@C?XP~6(edr&B<qKf2X3!qv#@`$ zXvvPG+=Tc$OZ#+gD~KFx|Fb@{m$fr#|6jkb9rIu4={Wwo$ldnn_A!H-Vb_=5nxQu@ zwavTa*VkOf7k&l&JKwwQiP(8n+p3n)tDp0xi>XGFOoN5s6~-n*kvd1;LW_Xjmh}GP z=WlZHm|ZhH*ebb6F)T&z*oIYYfBKaK1@AXBG+S|Bd7-Lix4o2YPlcD&uQtEMzs{(Z zKE7an&tcszkK;!*&K}W<wc6HdT6r=3zk#rI&eP+Q^cR$Wk#%CZwQF9)k`;YzhfW>4 zp}Jz>?Duz_`e*){ak7CqXU1-c<9rtl%*A)F*{riKwDRQhP6OpN+v8rZSoAjJ*sR3k zNk5(meP~*D#BNgrtE+@%+VpKImWB?WmTtNCSwA64;)jv`%5=s5s=gfSo5QrVS`1$; zkn;N(5<FvFXws3;*qX+jr`AUDT!`_Uf9&Jih272~T&7|bm1W16^3R>y^q}g?#6)%e zjdNQyxV#GXJ~#hSkybJ5_S+WUn_3sbtc!Q4C!dU8;&T5Ohv>f}^88(s*n*QKbss$I zkN*2HPeWza?kyVga~8R#J{1aM%lZ4vOwUME!!q4)tzxqD6}LMA7kate*JeGL7j|z- zj)xKd3uX3gDVq~4w*FWl#l6Do{Pp>|T){70+qM>+E_;4bfywi*p6ZW#$8(yTo98!Q z=gU~Ig7w?Zjk{Mp_&$Su50};ZRXW>~(p40;GYg6PdZ+6t-0i<@FzfsGySKK)T)MMh zC;w5Iu+7uouC%}7DwcMz_<eJYv})bmTd_h1L<KiDE>5{AF0h%uMYX&&NA%r2rIgt- z5`OhLsm1eusXQgN#jA;b$)u|$Y8Pa0{yG}#@aW0baFO;vqi0z*=~eIjnCdfZ<X$@{ zT|CFLh{y6tp^Jyd`@ar(e_Tx678b-^oX%*+I%%5O%Dq|l4n5SFb4_gR{~P^0nYUbz zIQCT<7zbN5yO)^tGBh)ts*R{*jabV(-)7kf-Fw+aI}#E$Y*sjJ)O%a-^=j$b%PO-b z{tbvbaA3hKrLTWdxEt8`I9KP~J^S|5?(T*bK|u@Io);;qF06ta?02?tZGY{z$7j=Q z-*Z2v-CWvthGG8n>uXe`eJ*_eJ4c1V<HY97`e!U3UO#2fa0%*{;A<$VuIi7>ly4O{ zeSJnwO1Q52+>+SjB@<)5Hf?_6+<o=(La7-~Lz9z)4ur>?oDzM=CDO^`o5Q!F==%(r zT{^b}ydEBt&{^@n@z3<mus8K7-=-|Tuf*uH?({7anfs|3Z&ddszf3*C#<=TNfKf<5 zz{=e1Q%)o<`?!i}#!Q9-J?n4G$oFHst(y~mXtM|d14n)6)J3bjRvK}c889$3d+|mp zru>SwxiRgVna|a^9;Tf+t{pnNe_Xt8f5-jbT+KeVo3qPUnfaI*nRf>Hd1{&qG3I`? zk-u-u=(PFx=><$e${HVh&wp_-x+D3)MsS~b^y}#_4=RZre3|z?ue|zyfz@2U<;I#I z0J$La<W}2H7VP(bToim)czoykZPIRL%KZ0x_bq?E2n3WW-^mNT*>Gq=zy$UvwfZUc z-l;+_Yg2Z7ub2lqImm3LkJ{vuDoP*#UI8H`v?*6^A2;a2(W~+tC(0H4|Nr^(=S1=J z|GbLUMsND>S#(z}I{(p1^2Z|eDp|>QcFP(2l@I^Ddp6~tTib7T`#Ecq*1ayfUK$%7 zr#IcZ%2R);*V0L0mrkV^&Gb=oRsb#U&^#~Oemjp}-unAT#+^4y{Qdt{R#a4ERJGr0 zJor4lrJ&=28pofj7Y-<X42==>e!Oyqmvr>oitBME5=U!lFUOhMf6jC6JO21%1?YUM z<c$$JVxU!4D;8hAc(62QZp`y*7f+r%xwH6_jLq?LCl6kH_~3?xB_AK(Io`VB<okEb zfA+q6#-@Mpn6!#>eri%ejlQ1lmuYs3FTc?3O*_Q>YOlI}muLRIOKBfC_f9jC;cGv< zFhE0uD>o-6C#UIPf&s^oMu!D_94t)r`|s%g|NG|t-`{_wb}v&~fAQ)a(+c0-<(U~p zCYHUv#g`wLn0&gEdiOZPOK%(QxnFhaj;{V8(*MYR59i$4=}rzVpTEjh)z|cUMz5de z-hVM%$~yk#8vTXEXTabD2!PMi10CRV;75(!o$}u`cK5yiO{zW^U!wo*^xsd9ns)Da zqGu&O{r1tNs@E4~?~ML5ZQ3+3PK67z)_yK!*snbEWX6q&XHwqhZR^`>eZBPV4A2om zt*Kz(I<J~R!ET3zbi?ehg`s(x6>|6YEx(ZWhx^Be%g3y@1U4Vzku-F>_we@9udHk} zx%VDu%N)9(euu^SpwEZPLf~`uPJs@M>I4DL_19m2eX{d$#U7dW&pzhFoqODP$II*8 zq!`Y)Nz-qrs@88m{q|k#zS|eA1-|sDDW078L`6l#g0s`+d&2zf4NRP8k`$RL%J-+J zACCRE;p&RO#csV)CK(qNJj|?+(#t!>^W$qR+X>$`p4sn>UP)BUIb7ajZ)9Zj=yykZ z;o$>`Hw+RER3siZ@7e#a^-cVhb-n(da{oxpKQ{fN`@bIf$LD_-)%DnaO5CCJk6ZHH z`**uPG%)Y{E2bB-qu^m|g}83imW+qdKg4t*HY7ZZtPs-<TNAM{snw=@4wtA_NW&e_ z6_2mxA4>cEF1X@W`+<GG1vpFQ{LuN=rT@vfu8Uhs!SK=Q2@n6d)^SO`vwyO9!ozpl zjqapxylK^a_F83*Z`<$PpR@LU*Q*whJ6g8;Zr*miyxiPe-Y1~t5n<U|qt=G~zWl+& z`mLY0_vbHsHv4L~zg=+g!i5Vp?z0uXZ!h=|HUC+8g-QOi(|=~3|7D#2Z1cV|llNM$ z;VGB3m=OK9mQV8C-qVmpeQ98DGskT9*)|Pr5SaYDPg(i%T%IRwN0aO~e7#;+{bQZ; zyxq0W7Zf}6N=WZ6D%jffHpU#X(0zB@`s=Sl8wB*uWks(|N=lMXx?lRZzL|AhmQD!o z;-=cl(xXlvjo7-T7JicYzeg+o)b3B4_UI<t*`A0vQLMW9YSz{$@V(-TgI0!UNr0}Y zoc`|hYwrKQe*OCN>CoOiMW7R-Vt4B8@xESK{xr5MTs*u_`}wY&1$wi+j~{K-E{u-4 ztRoW<79L@-Zq2F{d#?DOEVvIoDi^fE_R^(Ue#<YvGy!=_oBMQHa^k}Sjm*cdUcEYX z>eQ*B?{4=@n3O2bs(RSYiXoW&-%0DAYUiIUtn&l~|M|J$Rpsk$?|wbWVdW|i02Pdj z7ESV68nm(`3k0~-RaI44i@Li$b=3B+vKJ8%;n1*>s$G0>{iNoZ_kMa+F8BZB@$ba_ z4{rNjOs!h@{xbJhv$M(im!)eLKYRUJMU>5aW`5}#(dxCIzwWgIFBt-@!nFh+aR^$7 zYv{PpGJ~)6QGhg8Y}6%P-Mil2KQ3J|{(i;w{d3{^XXlqs@_QM!X==ezPq}^dDo5o` z{#}=S`KtB=`(LXQX2pM9x$ldA?MnHt3lnB-|0%cEV}0Po*(G}!j~_7j(9`Yz%sunL z^vW}()#{B!;FaqokB{|AoA-bKcokrQZ|vo~duR8|%zkuM?L=><@l3Pe<0<!i(*Aaq zxVV@L`njYCH!3VDb$0$PV3)%G)LEe|ZTq={Kc@Z@KJ$70zRUT)eB^&k|0n#c@Z9zA zm$6mzHyVdE^%@A?(|q(oCUM?+mggLtAONZ~SQ$a!@1H+^{^(5Ksk(2^^lwJ-dQ+`Z z{W7%+Q`ha8dTNQ@%rleAKKVU5sUp;QWTNFIH>HU`dBS|3_ZoA)e9;zo(U+%pX3}yC zDf6QN2Y+~ST)%PPfMU((*&og$Ru#8{065h@uQ((7@p0{z{+}N+u1FTU-I*cXyZvIs z8PU?CyF0|+CdKIpb-F0|?ddd|I3xHVV@1o)e=}U1og5wP-@pG_IdT0b-+iX*&scxH zbinAr#P9Bl*>afs7|&n02m+wu?c_la03S>?@p+&0tF~_iGo<ewekkxn?F?srqMpbt zrx=Yj`+IYfn{U6!DUz0!wvsr*`gwuuk>-O7Y`#me$o@MQe<-Z}=T!Tj6YoF&UL$vd ztFLKkf@X{=lU!5dgQq;)2T#e*$awYsK*$DB0S=a`1?>Oo?EinZkAIwP)4TlQ!<~1| z|Cl*P?|8oc<J6)%`)YgoPv%R1H;_wfxp*-`rEkGQar=wCj_z&MeXl1ubk^F<KmWYC z5(EkhL1$4aY!{Pm5WBs7%ZcLkvK$W{|Cw$7<pFoI{Ema-b+hIF9QZG+aAf^YlRWjt zPX`Zyz$P$&WRWIsPft(AoeOW|h)nr4f6kl+3q!+?9lj~|eeFKXVi2x>cKXkZ_rLY! zpB=8bUoZGEwvM}-=li=|>#|>et=bz0T4+{b!w5c+(d)_1$7SE%mRk$Wexj!M(=6vl z-r>vZbi=QkYHQhwOrCt++tbm~@Fi>flkO!yH0!$LKRFj|m*z-R?nrRvFukJpO1!jN zsx8WP@wC9>E8MdrzINYoy?ba`>jB0r)9wu${s-2G+<sfO`|i56AOJazITKX6f(xd# zVIV3qGt;{4jf1nZwYBx-%*|ihUv2ppFgGt-B)gO&a_^$B!0s!WuO>(xEnB<Je!|My z6=BPRjX_{Ds7Osa4PM&_s+Lcj*Z^8%3)-lmBi0R~WUWe`oS2xLlk=u(ua|(@<K=Dw z2ipX)PRyD*xAv|7Z)>|-Gb6Y4FiPg77~NT(6MX+|UU@pmMNyC=b63F&PwvUj{g#3X zQ1HQjA)s55n;IKyYb$@gd-`(Sx^**~qGmC1>mGQ(#J5dj_SJ1E&F!zZA4`z`UHV5R zIeaxwy`kj0Z7cZY?!4P|w{-XKgW$!2TfqRdesk&4r=U`_1k{KGA0PS4?rX#8Q>U1A zCMG6cl>KIra{n(otGm&8|3FV(=GDg{B>sq0P7w$>yduFS`klYwu~&KT!E3z3!@z(k zBoqW%7l4z?r004FXM;U)%O)vWYw9ywS6i3Ie$&OpFW%Vv`RUc8SCbEjUEFaQ`NUAL z0gD!Z!6MKp+`Zs6>Yx)$LA<ruHCw_aO#T$aBE4zDmQ7EV)J&QFgIUnw!`74^{;5CG zPZs=-F#1z?qTu|b$)5t_zP<bQ?%O?UPLY1dCIrSgV8D_AD(tg9`ONd2XD!0j>I81u zfv6RXYF>MkYT6&=Ot>9ef2UTl{9#MP5#Hwui{4JWuk)a^U!7Iht-|@mk^UL{_pfg6 z`e@s5fN|SafeG6$XWjNI*?oKW?YsA?-){ro+&mW)#xCG9NQ?X%3LZ5J%guT5z=e~~ zZ;D3Yq9bhTUKtb88<Gp+3!Gxgf2vN5Zn(dlQU8YCj0XoEU*mN+$ik@>p^+%ec<JeO zCWbtV{ZAO{PL&+LxjnqA_PdvY-!Asv|0_g}Z@qI&K_gj4=a>RB%Q?#zXKX|oSY!)a zdRjJU_$Y{|ESRFy$(nf3K<|hF!yyBK0|q?IMqZW%8}r^gNK2o3>GB=*v;SjD=Y5ks zeRI-wcGEh(duP33-?we)>iVaduqtoip))H~K6P=uwVGX$`ucc^>mso)k?b>6I(>bs zVrDta?3B@JoAt<Zn_G0(-pvdCv)S$Nj^*t)P`wkZ;&(`kJt<&Ka6^K3nU-y<U0dqI z!%JlDY(3}k`hS4K=JQooHeKIQaMN$bg4n|zMLX|I66XK6@4UT0U$bV#qm&0m&adap zTVk|O`fmBUoX4)87ag{E6{Tb~d9KeB&F(3$4wkIi!xWkGt=*vgf>GC^o0qQl{<`UY z=-(0LlXb5QQ#T5?AGSPhuyOnS^8UsT3YVE<7U&sg-aYB5CA{lm<?~Z!7Tt|2exx!^ zJ~a3Ao-P-yJRQ}mDq7dN6t~uH_MDw_WA+|_KmkiRy?~qPa~<L*9I9NntLyoV=qi`< z2iJS_&A9#f?vnG|HjA95@J&s7E#_VFQhkD;<DFKa%o^EPdnJ~T1raYVEmXRo-W1KC zB`0U^$jPU$vc9${Y1Qp#1}`V5TQ6J?zCu!X@xmEdTO5}7?Y(nn)<a&GlKr=3oeoWW z|M|P~BjpSZznGaZX<e`9`)xmYQ9A6<!@#9BaSIBhr)3Dryy92Sn<}?`-a*!QF2^nQ ze%#MAWqw_1S{%OXTlA_BsTl!2TNP`LdL4Vf`Y<Z{Uiis{sdpY;IC(PIDz9YgBO%M1 zhTd76hg#A+GCVhEI;ri6tn^m<?(e>F?{t&X=M6%>dnqV;K30Eud3t7%BUjkeDKGbb zyDhbG{TZFri*)RdbniVmwRPSi4S&%^vkew>pWSeDR_%<w_2M&cPwfePDI2#bMP28s zmg@OOIwopU#d0R<N0_WkedF}?=U=9Wh6fHlmUw5#apaJZ<u~J0t_e@>O}y+WEUv#) zXu5Dj!pgNFhZj7mOEtbapDpmNGjDk1zQq;!6|-!D0#9B(VK-|gr+L+*2eTXkcI>d2 zFQl|F|ER&<LtZb}?G`;UUyjq|bg<nORV~#c24y9I0txLG@>_SbGib~IX8XH4>Z6*3 zq?D%0w~ijy6r(JKFDG_R@Mwu!`6MW;ZlPoULOIr>&p)>xaTe%1eDuy?hb2EZCx_>J zy)Rh1WXtA_2C8emHddcj4&3?9gDWXE-iY<#{^s+XzJi}NhpdZ{u<f4Ia;Hr3|1*nF zZ>A=W>#7NI6S;X;AOEs?-|5Z!A1}NubJ^kTv&4o^Y|2klE3?&Xe(kff_pxAECJ=HU zX9iRD<?xJ}h8e4$X7BA!)!%R+(a@rWfAv#VUQI#v#?xon9pl%_+{;LB;tkh`u~$E7 zt{omTd*!YZrP2mWLUMV}zZw?C6!*S9)}8f6=GV*bh21?fG|Qf3T5bLqWg62t^;h4# zh&56rg@<h~?tP-NLF1~mMxK93TFwR2`&=clN7asRoBDayJ7=XS_VrgfOk4dcU2=VW z(pOK}$#rUh_Kw;aAub~BhUcyyIib-iWOpL0L-8qNn&#riY<;;Qi(Ctz-n(kDxA1dj zR`lGG4UBabnrEDup7wc4*4<0rx92B|ruylq;zI}DfB(sTXd~}h{g?|!l3H&W25jMP z<$3CP*J4lPnp#_P)yw>cFQ3d({&oC>ivIP(Rl<BLQl8(LVtMiJhFf9Y_J+NtzTvZ$ zyga+8T9{YY<{yvTF7cy^ey7AvZ2f+w)pg2jV}Zn18;<?TXRUGnzIVYj{xu6WH@us> zI`?Vu<i5oMj%n<FyIV!F9M9WKFIk|?Xt9%db4J!dCr5t|mM>lpUtDtJ_;o(J-0sva z_L`>)b$lA{#DwhQx9~RqSRcwWb<fKAJMRTfP-*$5lXO<-L)Maget+urn28*j-Ta7k z@A4Bilm74uZP2}OaGme+5FVK=>vgzlcUd_lzPPG)>HjXx`-|+7jq22NInHlhv~t~- z`u+c2HLTO<h<W4D9yO~}UCS%SepAJysjiPR#me&5c17H`x|H`<Q}CTb>dV*me_rmg zbTc{qv&T&DNZh5n$2Yefy7Hmf^xm2)IsfLmh&Ig@$lD@Qm~A&NL@WQPMeKg=x$WE? z*Vsd^&NO^^J=ZBNq2=JBDc!tlwkDg1dq1pnpOh5HASlmYr2DhBA|{2|ZQ91B&*J-= zZ#*$^bThj%C4VBf@jq67vEbbK--Gs?zgQ=o^P5F<?ySV~e$O<H=OqP8?vX9O@Az~2 z(Q1jE?iTL~lJ-8iutmje*Nh2SpDk7`ELbP)efBT&#^0^yH%&@)7wny_Z#?Uefrt6| z&xR8ETTISHd=Ix&w&XbTc+!h&O?;kb^)`w69A{-#F6TXv+$HT+@XOEo_-XTKcYd`9 z(`3W^N#awsNaUGq&s|zNYmdnHvq@QJwPHQvS8RT@Y!}zF`$f-8OS1pPpGnbJq&GG0 z*>cHJjWWjem1$m<lIK@=Yrasg=(?0;J~8x{zQP^3^V4+p&X--@a%BH%r_-_vLo4=w zF!Qv3@z4F7Ro&^D>?qfTOo{SA*@@*39>m2-?AVZh%Rg%BvAs{1WPi9`vwz0Pg0?ud z&~yHVuY%S{DF>W)+0}M9aJkPDeWUfxKh`R(-qL^moBaDVDJQC*S@IlsvAAxItkUU% zZGXJ7ik9qMoXLDz_0~1HkQHD30;Jyy3M%Tox%F#v+>)p73r<?y$$c%l_1p3<!FS6q zovrpV)SPzmuCv~CBc-oJ_ZCQfTW~h|ma-owb9%-KA%|6$vMS4UBYO;Y|9Dnd66E^F zRr=q<*}BR;ZV{@B4OiY(ckhyx)OgHk!1;{PM*XhfmJb?yHn%G+w=cavW!{@78eff; z-_zJ(VY70j{%f00$&+|5o$sAHW#hxu*H=!~Qm-^N_1tx0XXfcO3}13j%DvswlWTvz zUE-gq%q!)FO|u!drCj4WFzsI9Q!zsh>HTNjQ*X$~a;+_@dS0tC;YAv&ZlyEJnL|wH zgD)2L@=dwJlc1d_qWmD~s?xKS;q$J@x4bGgUg6F=`MYc{_pU{MMJ6uiF8h2z#7*`^ zNZ0d|-}*a#i0;1ff98Cl=Erq4tZ|QQtz#NA>}SoGcw*sPDS@c7F={`zN~`ux**d9h zq5MzYM?KM^{{&VnJACI*wZMlb9Zi*%mu5w~Ii#{!`{|s{t$J0=#2~mzsVzou#>;72 zCw^|Ra7bYIvFTUB4W|xc#!w!{&TFwx*sk>2nm9Xri8&jw=k=d=BJQdAQzItLst8`% z6uw|vQ%$IL)~prZZdR?<w7eO5^~1@Y1!o;soeF7l;Nw~o@s&BRdfCKXZVE3asy#59 zUEIub@R?EB+6fZBHl6-5FK^274YQly^t}q5envQ0JYda}q9eY3GtU+m{xOu+clx)` z<<_bknI7Jp+9V_P>;E?~f4|lG_GZI}qk?OTp9{~Nnl@jGb<umF$=VwheOSIpDPsN- z9>b6&;limV7JH=TuiUvNJ6e}bJW}6YH|}lDjcHrV7d)N(DXHZ&YgNgPC8yafwk|oT zxr$?YP_TdCwI^9W8cGknW-N0$c;)B`?d7Y^<(R(={v0W=X_e+PsmXIWS9rx}7w$1@ z7s~EBp~$=XF8>GRzExdwSwa(1kABbnee;~go2>Gv3mhNcy0|^)c4u7obz;1`gKws8 ze!z0AYcCFO)qW^_ymXd!wY}kiq9+~p%j`OX4|y}R+~7N)%zU6;JjlI%UHYqYvosDo z3zR-H>ufNmckGnYwoP5FFBo*~m(Jh*?&K#%%awQM$=JI+aJ#xj?odC^|G;Y2<(!lE zTyhsX9x^9*#uxYUv_orOJY9C8<>j4Szn;m;yi5+3JpDF1>A-rH!Uwy%)7y=Hlzn*d z$Hzf<%2dOI56jNU?5tR}RpjQ!;@@Q{7BNdo8qzk1$O=VXv}N~u`SRah`4=tOel;2Y z#pKG*%8Kf4)M2@i6?|?YdznP*p&eE3LQH?tcIcn|lfC3ron>uReB~d5D_;~!51tpx zdOCYmOrRZ4=nbBK2Wo3)pZ1h`@nE6VlgPOreT6HfHXoGyZz+8JE?@A61BxL%&MjLG z*2b{1{n&8sUS;lL;Z?>aZOSuhA80R?)KQ+Wt^2xba-GQMj=LrQcLwOmc9rLJKjLz0 z^SW;S{1V5W__eogmOtH_H<f2<_L_(L&#hXXY`!?}=pQSIHboD~!)uztm$dW=K8;pu zh^UZCPRRM)Jp1l1^Iu!Uth{+RSz}HXJbJO;E!2JSPqXk?{yM)3euMV)BDy(NJ1j31 z%@NP|7s(g#^>xUF=uaH!za>O=ICAw*jWhhWsd#;`_7?Wi=y`sJ@5wLUT711QWSwT& zBb$Q(8%q;K%x?E{-89VjCem=Vr|IbRCraEeKi$bQTPrI1FhS|xi3=9f9GOxl3on|t z==>>1g$b9lc7(|GSGY`#^mFz4b<pdxpu}5cBbn~h<^>)fpHBW2lIaqVVskWn8n1eP z&DUi{d3MwD6Zq@4pLud*yZrju+n>1J*GbN<Ra>$)@$t6KLd%{cjhBa%8!p}5QNE>> z;r=0kx`3U(?*?y@JmXVneofu|cK-{$>8|XoK`MW4v950836#7!f5EY9fo5T!rmx{= zUo!2}yj7<&KHuJ7%ca`Hwx(C;edM)`o^8QT{PzjB%WGe&KJ$5Mg3E)P3u{Ai*kZpd zJ71O^m1~j|Jn6~iM^onS;Nnepe$BP>Yo$eW@y6hlcAY1ud}o}Ow@$}p%Z&>?2Ur>A ztm!-~&~2n^W4I!&G|9hx(Qfk@k_#J6YIg42_2zwyP~7vp@Cz{)i%Zik*B=OzfAFBt zPR4KTrbovz_r(h-1ZAD!_lbCTW{vQFAKm$F6Qr$g{AW6NXrApo&p`3x9h;{r7e%nM zNDC#UD#WdOd&;s~f^UP=^2y6%qsy;#8~mHA9^1VC+25mKVX}JO+V6SF<vz5nYnmCQ z;FA6I`^2pCs}6LmyY#NfFS2HC?wlJoh3m=#^y*ok?{Z#s*XsGS*b5bl=P+>Uh8Df@ z`RTcO`%>$Oqy^F9<-O(~7xY;kxnz1S;jG}ZYwIf)%UqWX3=gqRlsekY;PEWhQM>Hy z@<+m-OIn`rr3WN1+f3RVkSqD->wTR??$eEYrzwgHtcYkZ7CTns5$^H+dd%UU8*g%C zb(OhJ<>GR(S<B<}|G@VH*+t4PIPY;argECNPIkUir2bUn%ExOG3SS(udJaD|oE<o^ z$9cEie5SwB$)|Uu{bE@4?pW;N*frl4iL1C@sD5d%Rr($C#vi4<{~nzBs%T;Rx|>U1 z;nbG5PyPnZ%=x*{g7dW`htt$obAER%Uj8R7LulbNC65bDm(M+)^Y_M#B+m1`rI87l zUiUs8RezxEB=;enGk+H2BU7fii}XY@%UF5dSR3i`%sl<<+PA9T6&t5!GWhweJl3#$ zucmpn>#cvi=F=Ci|MvIDxqEMA&)!h^aeAS@+^boByjdS~Z&;oeaNN(d^yT$t<yFVx z%VQq17F@c^A(ZOA{;?Muo7Dr)JEuZSGd6sC>FTxkw%#TGyBd4n<=rV;Tx+qn_=)BO zPH_W|I@XSgpgGM=y|K?1?GfEDJMqf9Q@3Yqs9zcubNZ)Z?=3wwwuDvZwmk1JHz`;% zJ=N)*l+qz@&4a%#o9@x&nE8KK;IdOMnM{vvnl1MBm{p=_>C3Gb*aK$sedEqe+G}>7 z^-SqRYpd@H6FifI(uG+ka!t!gDr{4-cK!22QKF<!&3K#X)?<tJZO>AjvpujzKJ!h6 z{OY+Ae{Fm{OEpTgX~|Zmqn2UMe+FNS-L~R8L-38+C!Utd&&ZRtcKOgJY^0|7S-p>? zdwJZntKsgf2km>!Cr|xh@vSoV)U98^%Oz%>fBWXw%5~pV#Wh$LRI`8QHD74=nr+9v z>t>C)bsw4YzT0TFa7~GsyPvc65L3q)vt`ENX1A|x<a=y<tM8D+*@_>n+wHQyuAXow zyDX<p-DqRSssEnRS3XS8w>{j*Wp&+oBFhtd-!ISB-zX?Bm)h~Ec~xqo)YW|J+8OqB z)v4@zuB7d&%R49e?ek?zxzEM=YMl2~%sZZ~+1~6j?NxrEJCn$kkNc;4oc?zBFtf*< z9nVGc64F~9xvl5O{J%;@?+x4O=DUY?EbEznu;lvOgkFE%A5!l={E?{<w%j2fQ`?}d znz;Pd-9Tr>&D8=e4jbz(Hk>owq*}1@RQ@q939m0#XaBF;bBC>jE9UyI)933F%ox*` zHv0Op{&%0r^5CVofySHP+do|5IGUywQRc1j=hC<K=@-gOj-Qle=Ga~1&~TV_hswe~ zD*9y!-?`bkE6XaD3tXSvQW~^HK=AL8o*e(91vw^K?{8R{?YOek*Wdc`yDQp;_oV&x z+~d#wU$VBRZs~gdWnyz4Zi~Inz;ba<d|3VEwU^7zta6Gz{Kn^j$oa7I>suLa$16nq z*nG}f^Y!I@dS~+vU7nz>kgIU7<$?d!l0)m4-_0`5c8&PXYkqz4`fv4@;+FAkUpV!< z&h*&X(gs{<tG*WA3g^wye{SBe@|xxb?Z3YaT$82dZS$X1ar@}~uq?Z$n>!Run2%&T zSuMRYUqW!xeVMaHn{Ukcz3SvomgPHdMC%>6d~3<=U5~t8KiK}W-g0wr=gHIW3+6q4 zy6}>t#H!HS-xs%^n(VICT9)DO{o!^)gwCW*7qa#<WG{CyyIQm7q$6vfZ<w#qn#cnF zecbAEPBBbPSo1qr`efuAzmoks8yII>T;hxBe!5l0cE>`QGWH$E|D`#meN#)AI6Jd2 zO=E_IX4<Dtv(!Hg4<Ga|;N)l7Ri1dg@DA%<?z=xWwsn80uvJJDoqMl#{-d5JCz!g@ zH&>iI=zaF`KE7kCPMXL_y6b$`m|$$WU`O4tAN;RuwEj$;Y{vgvH(A#r_hhB0Tt4ru zR}u_ycNL#Rq;-C_`4|7^(QbhQ*SOid|2?zx6TW)q8S|Xi+wYo4-7m@(QMIWryY^+T z;sKWLA;zWV;dYs_cZ}XIf4^a$VEwFeV}{>FH<n%D`pd9kw%rG*tb6ijqV$_94gaR{ zNAwqbfAX^|Ki`Qhe&O|ZpS-RLeT}?u_vuunSa%ubR1?N7Gefrs11?J+*-X#cCa0#A z8VS2y{<oa>5&7__OGbOmhD8Cat?T|3uZWDjzE#IeM0x$X!$<FQK3FXO=Hdn3`BLdI zvNvW4=DloXa(F2=JIR7$@``7rn^Px0&7EBRzK<oBWe&4s%)hn<Hpah<x0p-VGx#n@ zFEDkO?vT#F>?1TyZHlsreuK!v48~**6ON-of0|Cm3!UN8(OfXcA&BV&hm5^J-vU<= z+XT<Tc~j2l)jJy~ZeUsXM&Tr5QS)LpH!J2@ZJL)P1YYu=;hrWpMc-3A<@85J(<w_= z9PHe{T%5Xc(G~^ICK0*jW4`-czpGd@w(eXWF{7HNyjVdgahgO!gk1LY^qj=*nFosO z59|NvyT12gL31*L&5@0Jbou&@&6duuuINavlwJSW_!<~o0RzZH<OML;1fFOGuc4TI zHf^(Qb(oFy;|;UVrg=`t;N>{A!?pHC+KG(j&;#ZlxNa=VWt+`Y!Fubk)&uDq$G{W( zYrp_BN7vH>T3e8l!vmg5fX*mDs2fW{+m2pqGYZYh&NTex)xn}EDU|H1tJ}dT^<G;s z$cW{c>Tc04&<uQMD;P9_K`j^*-uT)TyME@2t5ubioD+QyN!;M*nK~==^toHxS-(Fn z`_q5l;`FSkAMJ%VLHKUB!6%lL?u}c2U0WOku7mwP?JD?2Kk$47WFjSM?dca2m5S`j zK8DVakeJqeOnQ!uT5_tPr4L*8!<2P1-2RA_#0u$xz*?|3bvQSG0YgD42!z6n34<6K zH)HqJph%S@4zcgjyQOxCw%^U#>eaMF^U`6fJz1rdvHLe9$&?$$<$Qm7wY2x+h8;;V z<r`x`6Az)HU~m;2J5#_w1Z@feG|B79Glh5Vyl)d$tx=7Bs#Cc-Jb8WI)z&AvH?^`) zM&3QevO0Y4t_jj$qrh{RtY5!?0O%slCr?bk!}*9Q6Tjt`Gqpp)Bp!Z!#yhjk`>@$g zt>}}XpRQi(KAg0{PPqPL_@=2bQ&<1$@!9(I(FTb(-7YtFt-ZbN5NN`G*)m=qFc8uK z1&(g%(se4R?tObnFSpfQe2u*AtGX2vHY{M;H2IH;f2zh!k9m`prmT`#+Q8yqYw276 z=SAX`ZzmiUg<s!Q5EK*?<p0Xk!^6WvcBL}tAeFC+>rzruQm*vw3T!{fJHbV+*F=z+ zC+^|VZgE#v&;c0E&dx{Ert*L2_isHSA9QKrm*t(Ao}RN>N{$?7|B=ix<KcUMkZ~Rw zV88(eFP?*dI&4Y?IzdzV@K9@izrVjfKR-XeFH6f|?v`LhK?xNWlNRR3u7VdQ1PBPo z$jF`Jk83+{0CefozRTs1S?VG%fLuBl^+jwiYxlWDn<FlUe-YK%)wS-?vio18lUW%> zCVo(u`FNp|Q@VP)`?AhmA6`DZdGX}KgURPyj?FxskbZsF+S`Xf$35I#cKeHnt*fuE zukZSorl8}F<}SPZWu~3-<<wn1XQZ8)7=Aun?5?2DFI!(%TT@+Sb!*$xgqrCe9?Ta1 zcs8Cv>f_sKGCw7<v-In|nSMS?RsUh8tz5k^DKQ~FE;f9f?&>hHYg0qFc4c{WNwI!? zVv?J5>(5j*#gBKl<=%!O-I}KS@EOhd(^J~>ryt?Cui~hhYh<X=Qc}~-z#{*FVZGwR z18gP%Ovev0s2dqF-8sC}<%6O9fwVe@{+-KazCIw?mDE;rgLO`1UYZ!+=NZ;53GWX4 z?5k8wi~Bh{G;U_((fn-(T4(FNm>nE)Mzdx!bDY>_=5Noq?g$sNRvEKurg4>=(J(gl z>ihX~(Tw?(n=hLtmOVOqR;+mP;k73V4j(g|UvR@zGOr^1jJk{-L;ZXmxpz~_PD-EH zr9Af{n{D`%)dzc`4{2tq+@Fwl-0U&WthE}CU&}7Om;E(tb$Qg@i?3?K-skK+`zkgp ze^XWeRq5#bjaBVerPthx|N3oJdHCM-U!Se|9=3Pw*K4cF!}e}`RU4YWx+?s2Z0Kk1 zkm^&NpPD}9Y}&G5&z?C~L{%mP1x*h0Sn+uB#m;$VhKAFpd3j|Tadwv27)f0;h!ZjF zoaXGcFhxLU#XbA4eb;Wg?yY@wH#mP@)%z>a%kNo!J+`vEyK3`_?_E`^SA6%~oBL|+ zqI;%am#zHnvp4mXY;eBFSF?qAzIG1b8X1l|8BXahX=wV$vG!m`n{d=*asCT(j1!+t zST-STg4%><{mc5(_$8bbgbPkO?^OQT{>A#6<j)s-AF=LL+rOZBX7bGCN4y_7+>?FV zWB$U*O8U&srE@m98S!zJFG;LvHr%oGphTL%&1ptc=JzzlSg(@2oU!H6&2IJ;pO@4X zW^$fNlRXs|6P3V|a(<)ubg?y`k1l;Gn!RtwmOY6H+S=;+db;mVc6)mVd<!a5UGK5( z@wAIx*{O+!>!*dC%G$)`Svtqa>*5s+jc1oHd0P6KDbDQkc2kX-)pEopO6sb9&#&6R z{jOiVL-sp=)ehP3_?0_kzx`L~wejL#UkC5E{dzihzxCJE!TT*sPxegCX-p}MNepqk zFOu6~YQpNxs;Q^1Eoa4Jd_^X+$9Rj6;WO8dHNF-5)aPm11XjpZN>y@c&-tM9N#&Ew zCy`G)pIAQq`6v?h;Umu}+j)+bA0($R&Uc)7y!iO%_b<QmoT~NTm!7?7Q&P}&HQ9hU zyI&`GIu?H7ww$;2q}S<g;iH~OjO$Gc7g?0=Up%|)Xj*pDqZY#}wl9C=`MwYqNzV?l zaV%teY8kbTqd`hrL(hoeQIzmBk>#g5g_kXTdPFjN!NMBvU5dB+jC*pn^gI&oH=L2} zZJHV-#9LW*M(XT~O-hm%Esh=0J31xN)8NVVi>Gh6#&`QI-_SJ8_;lyfJw;KLtIuhv zPc1&B`E-fZ;yD55)R#{#ew9;XWZC>_Qjn&mtD5Y@9`}-sC-wIvi0O7tarRV9xgdDr zhqL)?)y@5z)K50wv3w;_{30zlqLH=uXi)1XwajLp!Zn#}sk71o=4G;_&P)sFGhDR5 zS7VXQLRp(Nb9k8jg}6<9W<Bi*Qj=^>ajbmzkLQ$zjA4<Jppx$S=O=}aw#ExMu_t;4 zMix$r$Ou}n>5<ONgtDp6cAn1QELxD*CDE|sK_yd@+sg-UE*xw+6~Jf}+~c(WrJ1ek z`p*B4<Tv*3aIaC>_HAB-_PJNVv0BGo*?f%A5x?H?_*eIj#}Xf-)`$f^ULm2y_r^PR zrFiKgzqcl}%U^$)BVoYS!<c*kO7#gdA8Rm7;E`ZH=JrVVky5Q1-)m>Xh(A}?R+)Z# z<zyJwqr9*C?JkqH?#v_k8>4GPqmN#l;nDvpz;>nb*GzZD-!IgYHd?g4yBvGuhTi4+ zs(?9*k{>Ubp;7m$*miCF@2$va``7IK-?I0Adp$wdPg;rheA3(-`9Hq@A7)_vmJJMC zK0#M5oH=o1K}EBAoJgO9<wA~$ikNjz(UXS{cWmAr=$@YJx6VyQrq_J?Hpv_#yJNGW zq;5rCTho)nqb<hv?djFhr?)m`r6$HkhOS<*Y{`ni0Dr&BYRgnrGlecq6zmb--Lb-@ z|GkiG&1Z*wv3w`YpC2s0((2EDzR!;@=SVrXn0Cm4z<oQV?i=vzdnjJ>*=ApC-*I!E zqvrjOXTN_i`|*R_EFAaqPV(=IjcCiaXv>#iz5hY@zD;lb4c7a5-yh9h{PAq&2j1Tw zc*Q@Sz5nA`dCh0zeTnM(9%|m-o$v4O-e<Ef)<&k>JZ@L{yS0MPpPfsX{L%2*l}i`S z#qq6=l)QNZ;fH;Z5#eE1wN`0rW?lLH#N@7zx7Vfb9q%W})=dBN@oeXZv+V_nt}f1w z4)z6;x#!Ex=*XYG`{Ua~J~g{P2;JBF|M>RPx|-b^LRtQ>-M8Vmzo-BGB*mKDAGr3# zMzG%3t2u0*bJ#rQDg*z=vm0x6*Rb8!TmSfWYwM4)MAdz<e#gz9Kc2n$<J&`_AvOG* zZ$ISbzImYX_Cu>tx2EfhiGGn{-3G22zDp82G+m^!mUJ7qTJ4Mx>voZ9)8JRu(3*TQ zbyA0l(abYSJb^P?zAa=^o7kqPG*$PaZA11WpOp-|CMoeN-#8F2$#HEOuivCbW-EbC z*QuiFHhUD#b54Bz@83U<Hgk8z3kO#!9MrV8-=ESuukbU&O`F=<+7nNFZchBweCqh| z@Q`B~ip_?0O@`Ig)n3ABlRm}qSNi+=ulGHqC?VJ&C_jaR?{~HMk9#jKENniUce=&? z0Z)VR40ee#rza}2x5rMu!N_D2y=Df_2L1K@8?TjaeROM6)=FOiCJu!u8jek$r@2Ho z?$_<$v$(N<X-=_&kg39UC!Mqh%ThB{99j5v^v^!nFnj96-o&Ga4(u~IkbBzZlED!{ zJ&r~eVP%%~yS|O>vz_AN<bonwG`kWOu?W8}n9*j|W}Ce^clW|vO#?>Gf_vL?^Q|8w zF!LH^+!o?HDInm$zPyZO`G*f5UI<C`%zmE#`N4t4#U4vEH<T^;75M($yJ_B>OB~pe ze>EAFm6iECV?VoO&vEtlcXt#vAI{sv;r!)5$0ioxYKi^jRqtbCV`bZ8l{RrWw;Z_I zA&_g(+LzF^E%)xUzP`T0>ozhA+HjaX<uJRsA#rhOW>que1c9U$P5~vS9tK4v7x^rS zXHR)QKYRA9!@$i=gVD)_!*0%fnLXz2etzppyT7oT38}g?FgUq%Oj^jgT{JsdW^GOL zdr*t6)bz~Wwzi$uGwv>JUoNE7)m8JZ=Fy`^j~+aDFhkE&?Ohx1GXZ6vH;He!HvTBP z9oAvmCd!?iYP~Nu@y6Az?9;2Jsvfx{z<AF7N5f%{&m0dg+B6+r$HDL9&`>;Mzk<~R zhvw;tZ96ZYU^sl|{ttm;Ec*ProXVNocJ7~85CBS%JPsxcH4jU5Fl3$BxpSvvq>IOa zc<J2S+@qR5+3xm88h6OvdNA)Qw{M~P4uf*Dh1|vIhaFBdwkaktBrSb<G?I~3Zq_F* zZpKxxVk3ATtepyOu+G=GuRFKba;H>bfyuo)x6(G8{IPf0w6?Nmv-YMWM{G3Mpuc{d z_VsC@*~z)9vqY~>5i#X@bu#nTku6J9e4VU}nm&r{1udg2lD!`|voC+XoZQVezCN4d z+jWj_*S`MXcBv5CS@|aG&+Lj9Y8(U7_c{D9uI6}lMy}=JPt^|N{T#7p^rBC^IrMO0 z{|5IH%69}`aTK>$91?R9J0$8PdPsU<uR-euHxK1Gf~Po=TQpkKIQ*A191>Jk7g02F z@eok3uld5k@?_)V^XvN|1(A(Rd71gE7ddB6UhQaJ{P9`aA*R}0S8}!dUU+3Qea@+5 zOEp`;^TIjV;rfEC3+kP%CTh1n`ONg4rFgn8<(Q#VbPbnwi|Rzx&!F~0>Yht6U&1a% z&DlEZh&cZRoqf^5kGk1coa)+~axBSn=c?=%-QN6B2M=NmmPH*I9vU1k)L$K1u72Y2 zrA@#2PPeC@ZjXBYGR3oSWwWJ*UTMIw!j;iA74Ob5?R>Q2P=)htkzl3?94nrvd=}d% z&A`~u!17pzDZNM0L$M=+rBtMW&3sNv#uF6>AE7q3WsH?R^O7=`C^9fG3pg+^xQIw; zy=IVddlSjOti=4dk4)XiJHKxR*6V#+nY!slzSPd8;<vW+CN8-2)8U!Vox-%qdmgA* z{0yEK-spL2(e;<UCH8-&g!@Y`;Beg%@?79~!MD1Og8K_>-f3B-%sHPh<L;5GU(22E z9Myl&J=1A{tD4HZvuz2#p2c0?EB#2M?%`sAr#o(6RNVMxrozTmOBs)-O8w$GS=n_a z*2Lw^xt!;cZ+1mIozgvRp@Zi|uU+9BE0>+<6*-~NkrWzvI6uzY{MRni<`-u^U$}Jd z%ff{Yb8f2&sK)<Ta(IPr@W$YW)tV`~A!f`gH+m-DdDtyfT<vFA@@}I2r}?WLW;i%F z^z+Q^4)E`Paf5G)?0eUs-AbZork6&jO_QG-?)H!Wzu+r=DaRy{xmS73gUwVguiwlY z;3BxT?n;n=e5I_*oEq);m34f~ldWqWS;xjYzPX&(u%=>0)t}m+EB@E6?M%D1SD|i) zLr9ciqd?<@1-|#5uJ-#}93{`ZLBqA+?kr~R7bjUo0tI5XXMK&hvsp9n$+X_?wUHAw z1TX4Xc^&?HE#`<<M~2v4f6X~8oi&R60hQ|&LYMdiKe-`tEoj1t$Qca$cM4ZCCGyn7 zJIa-PYcO3A`5^kS<&7g>7Oj2ie7LB<zG&gbtrc?Cr7^n{{TgeR|9Cp-RrdTa4y~Vc zvZ6-k(gj=&-_q3JyYqU5K%w5*#T%x%hIh?)vB>_^*_iN!3u0<^ddF10b3BsTQ~We5 zKyTW`wotYf^L~emiQ81n*bW|&l**IKDU)8SZ_u)L@2#7?TX(oGvpu->OWus%!91-q zqW!k@RCh~-Yfh_GIzG45VtX+A(L>(^drw~fcdj!l(lK1kr9MHtsI`B>KgnXJFLUzh z17-5qKTTWzc=3y6+uo=6J?c_xFiTwjc9L>a(6bf?j?=*pB^H&mo6600J-9%4(c3R8 zrf2WW_F43CUFs%%pPE#2{=AtVd*^w)Jp3#EQqKPSFAl6%ImW6|ynfS{`WgDm`5x<@ zx$^Mn%w?;N#R&&y%Q1gUov6aQWXCg$lrv@`$4VN@PwrNii0^*=D<QjMX42XR#=g5J zT(G>*R+r0lYt8CsW+lyQr`G!AtBE#G`!s#E@f*7tzXgQW>A!ip`r+n8;qVV83!g3b zOj$GALRX#r?PR&Y^OuhteHftOcv`TwK;vAf>%t7fDH;>sJ`6GEw0*zArBFlkdc)!* zufDLaDmq&4j@LN0PO^V`t?X*jwFyVh|4zwdh`aNDL0sm>^3|HR?6!vPxXAQnB9qls zZtv?#Nwtr|<bSC&T@L*t)s+6}uI!R+r;}az4sAK_>R!VqR3dcnW&e$XQ#t;n+XmcP zXTJ9HD~F6~)8@IUrY6@W>o07rv^cj?<KXta=3LiG4mF%BGu}IYw!Yx0;GOw*JQ=)I ztXWT=dgFClJNMdS-+)sNDGP*jd2_EeetO&ZKtYQs$NlufXW`+uPS$E}^D}-wao>s^ zs~pWe{(hf-faUJvW%|q2w8|Hme1Br?EEXl6p7QYWG^LpJY4(z;-{nPf9?Fy++A=Y1 zlgs6W$rirb_HN^h&P_P6A???{I3|XSEP+$v)27PVI`MG+W=+|`J%?kS-G$>3t51JO zE85Jz@zSAlI?4wYysYmk`Ly896~|qAD<??1+UH)A^ZqYzTG;x#SBBj_`Nul`Q}^aX zn#NDx;k&t-$36VpVJ**<D#@>&%x&Bp;~#q9XxJGxt9y^HSu5Q*RK9#pO8VLd6H|G= zP2|Y-v;23AL;uN@Xk8`IZ|y%9>MCA#*;~+}7caJXi??B!#_@f(B~-+wa}~VgZ?ojz zrob5H{9}zp&@nX~&t+!m<ykrR58IwQ@{Fzi!hf$IDXr3j28mY$t}p#pTy8(v!!lUw z<a+C#g$G>cw;l+z;J9&It<&IyiTA+`-aMzZYW)&87#tlBr-mo^N!8_FT*I{9QpcdH z+p(i|tKjF&JjNm!!Th?<EnX&VOt`_I5nm&9K`JuCGg&YD!XDR4@^2avZZPP)c=&C} zJlml2ayQfWPjc>1Q50@b@n}-uXjDmYn!xEPq@pO$;=*C1*vaCX+{So7XiLSv@8*&w zH>25jrs*=XRZnM}yf?KWF;^&K!*&sqH@jJs6GiQKGV=VHla466$!vUIEnw5`)FIcK z+~BJ*(bAS<`r!nQ!v-9U0xeDwi;^C5q)aw3+7W!})U$fQy4kA17Y!J0u*mYdHOyU7 zn9N|{DP_o~ptjKcu|R@Qm+5R%0o8w^>A&k0=5Py!6x}Phkdkkd5Mj+JwI=q`p5C;n zn={IGii#=E;I&cE+J3VB{~rNCH%_CQds%wk=rOA8p4`xRcj<wId?SO6vw3FZM6)R; zPM71-%y&*c%#k2`#mIWL0PBCj&rwy@?3`xtI&Tg&Hy`tMaA0gS`rkEY{fXaQd;E|5 zJ@Uu>jG~K!f{TKRgTjJV{`ONh1J)HvpA^YoyoT!@pR)Ro%Z5T8XH1UVFIDE>vbgo- zl9~S&BwgRa@^Ooaq1gj36+T<$11GrRbsrjsvPg607_o%AKWyWYRXaTM;=k*`pHj~X z@$O2j*t9K6_58%I7k(}N67G0(t}d7FBbCyu<qGn4wu_E#e)h#eB-~<l^-HIR+&6t< z;!Y|ayZ66g;r#w>tK{w{9a6l0O*uBm;vJW)75jzzOz~S4|0abo-JbI;(tGK&gRz+s z$F!1@cISP5@a6iNL$N<1kF^AEUi*HgPTamNJTG+aTs@qbvorLzv!mwnl`6L%3v3J3 ze|}76)AqMZA1rYe7T?oy=kbNpq58JR?_B!Hz3cppiHxC_&upyvWZnMvAwS=fZ4Q;i zrG5hUICW2G_V~U~4c)h=^Os$UuEdiUUI&-Uy}kB5Dg3Lb+WRa|_Ulncb+)s8``zqX zU9TT?ms{Up$FJl5e|NWiU0JFBsPc_q9xsc?imV@w=KSIdf1K91<MnXKYpqkZsW-Dt zx(oilD*wfvyHjKZuk)l;(sp<3;{I&2lDJ-boMUP4UFm1-tem?~yf?e|WX;DTD}J}6 z{rIIAeBu9=HJTrGZomJ+&-u+nwkV^SsqZevCuw^-$L&89zsi8;xS3h+dZh`n-de3P zQd9nXSTD9{Z<y9giQPX{_WnM9{gLScmqz(H^B-DoK3cZF*!F7Di-`X*g<H*?KIX1G z>$J<L_G8BRFRnY6Ma79V&)R$R%5Cl)nI9Lb1x?%eR;z~hsPUzY5AG9Xjx#SgF@N`| z*P>@{?mYM1^2H~Smk&K&osYcsn)S__sXvyq7V#HPzwmozg_-)#vrqQ;?3}1~?`rbI zoA&FE)cT(O?o;|>_6$Rpm+2mhw%wg77-w&EfN8b;wg#gena}g%w(dCE%k8_!=68QL z+dhUvQJDv4sT@pWkoG;eTGU3E>&e7Mzr6nkk2@~Dx`^Rs_3QOnJV$;R&pCd>VME*D znI5tp@qDYFZ>`&?&t0voee+!Gl&k(PZk~=3;!>Ti;KUhKn>By$ch=nJ7e&+$r8~(N zvTn2xdww%Y;8?@jdzVk`;d`@fw?Tes)o}%nedhT$x7xou8v3pB==%+oQPvIiZ|%>m zo_b8<=Z*@KYqF2$FR0wLZbgpB-{RZnk4L*peRllHKkLAQd0!SD@J;;edU|Dl{M|S2 zZx>$t#mTVlmy?LlcjiTpc0a#+$~tAuyL)Q45B!MEZaXk}14~Bvha1B4F7Dnp^<NSD zi?<~Ky>~*x5@x?E{qU<}vVK8)Fw^}xH;>D%sk~ErHbErO@8`ZulY;80*Dp0+e$JcF zTDae`G$!lp_Z~wgcPZPgi-hl<IDB(qO^MuDo~H|MdYH}PPjmk?p~gV^+_C44cLMCD z@uz+0OZC>Azdpr@FYLF`_mB_ICbN6QRz3L5YVRK0Jh7AM?DnM|cdb2d?3!^oK7>;v zvTusl>ev+<AI|T&{I{>hQBEs?SC^mT{DylG6HoJthG~4NpIUxyzHhyNUz|!ok>f&R zb)lji7aR`va>(=V@_y!hEa8uu?cL@7O>F&atZs%)-8T2wExA<1d#$TG6Mn5+(ERC+ z-J|2H(r@icYWStH<g9*p?4R2F`lg(eshy#-HTf4S)a)%fl>gl1!RF#wHzb=U{y4KF zAa<Hk+}3lydoMnCW%_legYOQfne(@8w0S>!aog@|n)jyvU%@$l=g#^g=i=km{q{c~ zx^kw<H!*c#`O}w=$QPVhvhaayCAUb7{k-^O|M{Am-91@WtDkQ9^IpMdk4nIN(T5R5 z`_!FnQudi%*bsDV!rP-fTWe<XKFFD;_Rs8YORnZ49(~C~Za;hWhOC!X|6)aY|9@VX zI_sg2TZ&urpZnTZKdukW{_<VFCg9StC4CJ&XNy{c&PBdkY0z$~d)xKSnpvDy8!Iif z^*YkOi*qhiu#kK5@%h}}FV~-U>Da!uXt$-!+O@5G+ZYucly5cPu(V4{_?j}Q<GO`k zRZP|1qc^4q9jd5XQW*PsD#zl_-}|m-djuDV3;ufQekWMNZP)2AoATK~E5GJVJyd(% zLxg!x$Fc(tE_~Hg@34Ba&@Rhwhg8%O7N*UMf@U-7Z=TYku>Nc6XS3hWg0F1o@8nq0 z5dY0P&4EvQo$n0pw|Sk1DvJz4WtIAGEbCKuzw+*WF27vN0Y1Z3>!s`Soz-eilznOv zO+T7?U9P^V`f`JNxS!qcwnHkbS|1ARe#ma<+E!`ocimd+%OU<%1sndHnYgoj#RAvz zBLcpy&Q4zGE=|0awrAdOnF=k5TP}C&O_#gS+=q_8_LQ(K`23!4{qA#ViDHeLQ<l5O z?EbiZ`61@NtEY7Q3tBht;ikFCx8|F_EIcopUDCYru+{FB@Aw=tU8ZL(-#j;T_j=QP z1v@XVY(Mf#clX<sUG*M2j+&oaxvly@*P|DAw*3;CyYbzt!kGQZ9L*&i?G{UJRR8^4 zT`K-^S>gNn=3f`h)_(V^g86yEYb&j^pR$X;th2846)DkhKO<-y{&>;S2=#<TjGMB` z7qeJzROmG{_{mwg_-jF!{wJnvW2T~#!<E*OOBAJ#IM`&IS{2%;)crUlfGJgj&83oc zcIJ-0cLyF9Zgxy7``#B-ar1<dsle<VIT@jA_i@&^&rkAlEv(#SkkuBle~yRJX>F$b zx@{-_OntSAbH+Z~3B5O@)dkzyoj5b!8u#0&&-dY*Rh1O46Yc#{*~n?K)vC=>dfV!y ztY)9KR-CZ&;lVGJ(sIw{-+z$s?=P?Okq1+??;ELbif6xM+^f<Q+8wp=yRr2q-U*3+ zdonVa%Af6sh!$#W`KYpn<@eL>_bW7JPHOeK#&JF{*~0YYGP&hXtXSlxWzLvp74m$p zx#xms)7U?M&$XFhpMUu9%W8AUt_JhqIY%c5$K6=8`~z#ojL-<qZ-o!S_9a=%uFh0j z+PX*Jyuy@~myS8DQS_GImd~ejcR}Y93A5%09xGo}8;AZV*xBzq{fDfFQBd7=x!9SP zcVFVRx2QjVjQR6tGn+Z~`KKTLxn8$Xp!@0f8t3=vpJUVa813(wzwm=S^Xz?kd%YfA ztn+(q@G{`@5C3A7&7tK#wtDQI#BSnpZQ{#{h1$kD{LZx2CLY!8)7W|{sOGg4-%o=t zDZNE=Co0dWFrPkI!fet@{#*MrW_7m76u4BGigLQ|t-caGb)O95`ad^U9sD)hhxf|- z%#Z}t)rUF6wtu;h%hI*_%M}|&sclTrT)$s+uQ4v*lF~iAwZ(R3ZHv=?#e>G5`d9ZJ z?rbpQTW3>p#4^3{LEsV(M|+2x4V=H$Eb6FL);_z%(KudXmZ^<jcT1b%_Q-&UDKk|6 z++Mff#9!A-mJ%0i{AW!4VEyi1P5#%*YhS<pSF*SD(bHCgV8iq%fju!>eWvilr1*DD zEa&4$csY0W_Vikfkb}F^?Ig7L*Sc)+G&OeMs4+Gyzi^(F-*Jo0r0d;UsgM87+Y)rT z>THUm4Xehq8Qi}Qb$s@Yv}rcya#YQpQmlF5Q05^vU)zoOI!lwHVuPNai}nggK7IJl zZ)uq``}RLQ@a3m(ThD>Xndj0@Xo|gk6#A3N<ObI=mT#2>%K01p`*XL7H!Y3V`|{`Q zlj7-Dih?6pG_tohTO6vIleDqp^D$Zf3pF_lr{^u@ERs6zD|YbfitVe?EE(he&kSVz zI)Ap*n*W(A9aMu4O9+&|yf|B;W7~%S8%C)#(J&@q`7;Wi_#U~l$a$sp$f;Lf6bS#{ zbmK?VrMY?Ar+j|vzRucO?m@9ud_<$vj*Sb_8=n^JEb#Ld)Hl=6T)rxB`})l*eopG# zZP6!SyE(M+>$g>6hhE(duiDX28F*FcNs`&pjHd@mX11Be=Ud0!EByR&4L`fqhq9jb zwh2-T#nx^-TN^x2Z~2Y$XSYuO_3ru8WnPwr_C>;CeNj8+rfN7zMr)R>K7WKmwmm0j z%37_17EXc(R{1L03+&mc`~UUY3-eB#P5W&2Fm<6o=k4g2Cg=TGYs?OHTq@0xS~=yW z^zok;9~`KS{+ZtB92c;dYh7%Lf6F{)<;8#VUutkv9r!5}?($3R4x`^ihOqT7W@_}w zH(XAxj#a2-)>wPckh^zjkL~{*=St5UuWvqoq^59^=*#B~8=roxRc|rWbzN&LbotEb z*ZMLJ2`@X@{ijcnd0{GTAisQ)#+sVTEr-(AZ7cb3%4zBORJZ$TS5_TPKDGC7@^Rj( zo2h3faz9;_P?}pPv^VOg!`27aZDyWG3%YvX$fr3GY7-~b=A2%y#Mg1^b+>5I@AI=3 zyIo#exI5YPLCcPBcfb6Oc1!ZFd-Cmaq#R%9hp!U+oKt1<gwt7n%?{8$-XECP-YaYV zWph}z`s%Jtk0S53#@i>kZaZ}2|MX*9gtS>R*U3K(&CFETeR5lztE`Q7|3R%MqMHLw z=y+Y&v#N8|BHJffkI&7oV&D6G{i}t{5>-4m%g@PIq$eaFta-JY_kBo(`fY(Slg~d+ zI`^*knm4cJ#l6S26Kv*N{tIOj(Y8Nf;<C6Wa`ugNNv5ei&s@IfZwtOx7gcGWw434n zy2#yX(Hf2xarRRWzp0y2uAS?uvU-B|RlcB*R%MMD^;@@h>AGzUp6#kueErfg6Hn*& zKlX<mmGhIRPCa9^QAFU#%A8tV){>_UvvQpR1?|84FAdzuR9by9w(v!k@u3~F4Jt*G zuI@atIlQH0?vhz+Zy(RvYxr5O{8jvw%m`t2hacY`$nSViZ?&$kc=fu^zB5X5H1??- zT(&*6-qU8*{$lY1b27y2Gq)KCUP<Iw^JUG+H+sLNRG+P|`VrvG%pwB1wSr-3%GM}H zE#c-hDU1vt%*(*ZkXV|MnIBM;pR8A0k{BAo%D{Ymjc$g<R{g&V>>jW%tU1Qox9ijq zWj}>e0lK}6;(OvgR2_WHx_<hmZMn0ST?~wUKl5VGo29YazcVe!T^+V+m)_~TovXOG zWG-wAo%FWkN@A(p&k!e%r7EtEy!`Civ)PlD*>vt^S*XIGB%!GOZuzahKD+0uy-V-> z-C1UT{@wDMe|2`xS9zy?^RG;y{qc9pZ~W!iJwM_5=ht;rch9f;eX`Vk`@7}W{+`)2 zKjQo6SJPe=oi5m!y6uipRNhRT?L5<>pPkZ8emZSs(dmGlsmtyd1?A1u*v>O0`q@d{ z$4{nZ7M(WOnL6!`kyqYKmF+x}qMx0pO`K|26fIIF-gwtFzI@{K*ej*tg?C+}%O_ro zol+{Ec-J-DJaGE#j?=zdo~m6c5>DOOx#5mWc%G8BdEnI99jAOvo~lhM5_a9$x!{hA zf1Z-MdEn&P9VdOaJW&fO5?0;WIpL0rd!CZAdEms^9VdKEo~Q{G3A66(Y`EiMpQj{m z9@sy-<GAmZ$7+`fg`e)|EV$!ho~I;j9@sm(<Cw3>W3@?z!nyZcW|;>*^KGdVKDwh* zFJI~OY>s2TA(g^`_gp5MU+kWJ;;64mrLgNgmkH(<ANxM35H`K%(qn${k?)cUVbyys z9p)Dw`leI}i{5i-F~9hrHc?f`<zrcOW3=?`Tb;MLuUzt(;;?(~gEMP-SZ=;$RepD$ z`<Ncb?$UeQ2lDiP?9%_ROaH|#{Rg}BZ|u^)uuK2MF8u?$^mpvCFWG5du+u(gr+vmw z`;?vb2|JUPa!tL>AH2k+@zaxU&6Cx9rfKZ_JAvh-pt5V8y?~^W3de-o{Ps0p9&CL4 zt+`LeBJakIzX70gaAt3pH?dEtJMc%~@!_X$*-!Hu*oCZ<*QlSVw4G;SblM4B<tNiP zi%v7_Os%_PWS2KnZaYtZblP#<#gC_*EIj>SM{3?3BeT4jQrmfYqtlM*DnFifb5E*C z{>(GcY@erf7M_l|XEbd)Pfzr+Ptz9eNma|ADZag@JKF8jw9Y-L3i&gSM<4q*Eptz* zME=a9(QY57dG1LS$e(#Q`q+nQntM_?@@F25cKa}mb5Cm29V4AQ$pg{P-cS3uJM~xI zOwsK;_jNaypMG)IsB$~cJ>BB+(>LxKm2T&`tGoEow3)k8pXANl5zY2)nrGqZ1v^sj z<w<UjUiNlc;_lRIc{7E#_uSH*Ty}cKj#RHZ;$f0oq8A;Qz2d0vkw<DwdpiH+Dea%V z;=Ni_q43AMF4g7}@A*zC7k+rxrQCetUEh#$;fr@&wDK11obB;Wt!sDZgS<r|=7L?b zU%XW-+TD38PifoijJIl5g~BWEx@4M9?3}&gh;K`o@Z7sDY36}9eO(@@DedlbyW^5< zKJkXHNSScsU6*+CiPwFvlnNK#b%{2gc+Gc8sc_<5m+<ca)2lm9+irO(cd3X!b!YpA zJI>+n6t%wxOs(!XWoz<OZc-7y>(2HCcbxs-DXM=Dm|Wd)(ss)exu7C`)t&7V?l`-@ zQ&j#QFtNJhgssUFIiVtc)}8GQe`f6Y`{7V)iprC>?e53jjvc+t-__aC-nLzyi<6y| zIp2Ou0^^V8<-Zr^v)-R~?`^xy9_!t^Zu6IDJ$v#f&;GzthmX(7elP6JecN*DZM%uE z>V<Q8_A{nVRFE$Go#>;(^XB!p=IeidFYJE(?fc<tYd_ar*!6cpY5jp+_Az(GN_Of# zD2m?T>Mf=do~OGZsP)v8_-AjX8SYL!l_x2*o#&>m@uO*yyHk(k&D;>p_Ga48U8#HW zX0D5Fdp+&uuGB4gGuK43y`Hvn*Zrq<(|<?5%icNvsok{S;qRh%#y_>2`aAfY_fGkz zc2j=)zq8(X|B2n?-`?-Ecg}xeH|e+gJL#SAPwXcCc7DgbQ~rtFgx~h>e($*d*slM# z^}E+Q=0CRU`)&U2_Kx_+cD=ui-<{sEzcT)F-Lu*=zYX8T-`l>2|8d>Z+Ec$Le~-UY zUKszW?n&*5-~HcZ-<#h{-?P6WK4N`^^^?bPuL}7;?r1N&<81YAq0D!IzUmjpY*QY~ ztt#ZdxT8Joj<eCbg=echKFgij({Av7p~UwKPi<2w`48@B*LtsbsygG7TvZ|e&K>P4 z?-!n^=J+I6wWnR?{la6_9UtYQ_Oy$<UwEXN<D;C_o_3!13lCLye2|mc)6VjK;eqNE zM{SQhl4IJ_{_maQ{^}L)<)RAtKi+k&{yyQJ?UZu<hj*RJzfZVp8&b}H@vgJhyM;Te zJ>JQ6?QVbYZlTC`fv)NoZ{>=1x8HiFxUD+lt(;XM|H`}0ncpXLR<Af>+fv3q_pWo= z_kf$WE|27tcDK9T5x=(3P;<MOOXH^_o|B`wXXpe@VmY~%)xAeV(dfxFCPl}FKOYLS z9r@*~OP=i5%5a=l+BD-%l!5cHWoggWvd%En(@ne+72s+4^U%??tdk~A=<nMuW@4nL zrFJi>p&}!~qayp@f`kNavF&0E|9*a5yJKrZzP~>I`)f_syREE5w~L**yI<_Y-G5O6 z<-emBZ;xX5ZvWBl(ci<r+rD$Z7rrOIN58`ULtXc8op;Rl{_lQYzW@EduHQA^zrTBZ z_x$eu^8fGl-Tk}kcggqAJM(wzm)pOyyYpA%d*Ge=-S5lxzqPylck6HUcdPIE@6LZz z*ZDi+`}udX@9OXNe`I&_ui*FN@4D~u@8&Q2|9ao`zw3U-eBb^q`|kN&{iXk3^}Q@Q zUa&KH+a1HGcQbU#d8S!EJ0+X^v~Ok6@qnGl%kCHky_=y?&NIdO*-6>QPx>;8jvMSu zo_5F3>)i~Ma-K=Q4@nh2Df4(E$F;ltz`KR(t53X^d$p^5$Ge4Vt36)Jo!ZsD;oZX3 z(JM~t9(gKutB5;uXX~arP7!$vwYN{08olC_uFF%gSw-BQJ6jjsaSF&=sJ?x|<meSA zb&os|iz?#Q+}S$mj*~~;LgnofCPuF~q3iNQOsa^Rb7yPQ9Vdsph4R}c^hd8au6yLM z*sVhDmpfXE?l@WGEtK9qp*MQPF<qC(VzUalPwr?n%U3)bt?^mx(4N+$J5JNLPk5@! z@>p!uo>q<gg(st59MygDNNm!cR;7H!6VWd|iWTi?mC9E<7M<}?END-wP`=`k=ocTv zjP|s0<trZgeMrk>#-nRYBKdi_Iork7%njK6cdNqNYn8eNh1rgszppcGxgF1aF0WqK zV0UR8_o+Nxg~I<)4rRZy4|d#+=RTG<_d3(YXzoMr;<*px)$9J)^>^!m*Vig_U+mi2 z@OoRq>unCNw<)~d#_)REgIE80Ulsk9KKk^VdDiK*pWQNd_MR&G@0PgpZ||<6-_og1 zuT?Hyb;m7mXZ_-3cmBC~?)=-^RrGpKU_jpDd3W{)`sLLxo^|J+o8ivVdn;782YS7` zzd~jE{y>ks`o(>Btn#N!yuCuHTx6nk)(KhFCw*K+?u<JZ*WC%Ud$&TaT%_MR>$vRF z$9<;?-5>5)oOdVC?A;2fa*<x^tYfmOkNa-zS#0ut#Tjd^&;NS63V%zNR{oYwt$e*F z&>&BGgInl5uN{}4pPOg>ey&aVyE~E({pMIFziZif`QFc><?l=Gt-M!R{Jd)U*|~yu zB8%0}&NVK#dp~98=kitI&-Ja!SD8Q8H>t`#m)kSZdf5qC=O=xVMaMaICO6$Nba*#I zzPzX3df9Q=!;kxJ79M}GBf02~p~bry(&at9*2|8`IzR54S$O=!j%2g<5@)T|KKC8m zlbm$NaC&*qQ(5N6eJl4QYrLOv()!s^*~gFiChkdAdM|Op`q{_6!ad1S?<I~|r+w@T z+><QyUgC)Lvk!fSdy=`{OB}LJ`_L!2Cz<K}46$;aZfmv=eLr_6|9LlKpLN<%*~8_> z-`q8<D)+f3+gyJ9&RxT@a-X}h&gI8f>_~q0PGYCE+Pl8S-N`|B3^m@(*lvC7ZC~c@ z<Qwm1Y_)!NM0Ro6@g;W+)!uPu`*cPt9*oxbAeL3gy>Uk?L;k}3(I?)Ez1rOxcE_n^ z`-OYDOUk*Q-gT<je&MceN;&t{yG|wBCv-(;yc3(WyY*3?;*MyIqq;4R#Hx0;-pO0I zExO~aSk&%TzdKG@+XHUtraThUD&$^x*C~Dbgqyk{W!w{Yw4TUYD7gK?4PBKo?$*0b z3EMAR*L_mTU3%9kX8VO}x=TvAQ|~%OY`<{TcHwE;#?!Wmr)?ci+bW*6Wjt;B@RaSr zQ??6F**2cCO+01mc*<7slr7^a+lMD@51zDLc+$4<q;29!TgQ{OiYILuPue~_VSDg| z?ZOkbjVEjqPuM!1uvI)!n>d%DrRY;@_ic@n9w*$7-(KN%%=PGz+Zsol4?7*Yz2cy? z*M~l?J&U961nRugIAHziecz|ui+{aaAzChSUv_J``^&q5mE|J$WJ}B4Z{7_oEf={f zyYx}ttlf*Byj!uun(JMkSE2jD9gFY1)7Wmk>TO@r?#0*Mtq?Asa!Yn<nfuHgi@ojy zW|UvKDVtj6KJ{*($~%oszs<9b+<2Qm<;L32ZmoCS8t(?imruDad$rWP@UHNk%97<Q z<zYJ}ADZh{E_T<m<oSiUPUU_(j9>UIu)g~BxxTE%<xet`ohGYt8V7&g^s6%EDTAk# z1<U62g7arwrS_Y1a~3pQc(sRJj^O~K07KEAiVTL8PjeP9IfPynWtKK)h?xB5ssDvv zM<0A#z@%`3<M6D9FJEzKm6UGb+R6RdDg3KkMa0YyI|-*tGT~nnxBH3SlazE~bBa-D zNo3-#31C#@k^FFg*-56wNT5-`sX^gNqkyP|(4zya8VL-FJYqW<1lSb?<sux8uu6S! z<Pf>wz-o2FA#`?CB@=@%!vY2irUn6qb-PwQZ}6S27rRTwZI}Dw<ixWK@86wYwo^^S zM}5Y*X&ySgnyD9-PB|DFG)+az!*9X_pUyic*iW=CvC4bm{Mh;88I2x(N5_T<E=e|X zxPEzlezmB`(N64|*7o3zrXLI}%sOjAGu4}#7!niLYV8gbIl`_WA@EI+qe7wS_3ACJ zUS*3MVUs-ZBO=0Z$ET}Jj2<^n%@6Ype3yLm$P>FTZ%g-g$)ch~oM9I-`@J`09V+Au z^D)*tn8o>7Xw4KY{exPbpM=&-kkUVx)m6b6cIlw^hAyVKCee^n<(y%@<<k?6nv`>f zd28q$^vZlIv}Tf5Op~Zkr}u_gZLv*Lr@R(gvq&N))@hoI=J%74Me}8pzRzUZ$)efs z-QkuWb7+d!u`8d1CTZw1o^%y{tm-n8OP|rRVC8$EMPB988%)YMgSUH6SQhJK(s@Kx zp*8l<l&sf6OJejCyF#7{O;`|f$mFD|N4rmDj8jO|W1$Hq`iW7MoR>~`cesh_E57_7 zG=W7w@m4wKB~6=^cT^Sj#vU?xE3_olH(|O!>a0_{SeEE{hv_M<`tn3*nTMX@ERiQd zOKd=9!;K0$k+~?u;8r<j;EHp1RU=H#?mgbcd9-@Xv}^a0mxesD4f9mFm)t7yO>Xr{ zk?YlK76q?dojL7y#KVBf{?N;le@7U4PQ7zH%QNbUU6@yp!uO3{liuy<7oBwFSnZl+ zm(Ko<@LW3m`^GLW_4moFQ=RS|=Su%*8|K^gePa^Wp8l(o?$@rF^5tFf)R1y{?Ik;Y zNBG`-m#iAPyFc{H8$0E9$*f0zR-OOSwC1<R?mNesLM$WRC13rLc#2(Jeo~FXr-#Sc zWu<FYY&^(*me(#K@595RZ1QsEKNjpb*nWuDZp)1a&iC2mKbie-*nW_G2d~|h+z*Pm z&HQQ7H4?WUG+$?v-(<#+c<}7B_|<CK&o?G@70InuReQcM$f`(gwTj~NjZUu$<yOD! zdTbMRNpi;2Nxl2Sv>#QjnZ?HV^WC$6HB%J?xBZHU(0yR#U!tYT(81#nQSdl9aqELu z*SR!LSFTwWaxPi5wn%REib+b(C;oGIWVlyxu3)U`d1a+$(a$zv9#ijcoaJSv#8>D3 zt9=Vozu&oI#}7%dvOj8OaByrWXkb@zsrbOaUBkd+#mHrHfVo3JM?lo2j7#8y1Ir9n zJBGlij#JpB<hip&t{0m<Xb@1)y3oKCvY_pw-~^H79p@_>*sK@?c^#R>XE3lz86^LZ z;jnRFmaSn>%4+0Sb7*9{AQ1PQQR)T55oQoC=0kQ!2$Rf@nPTfdZrEOx@NuSqQ9>hs zT+Nk@R}bIIeABnMk=y2RU-H!_-(<Aawmy&0oojpCEAvS4no0ZSCAU6td~F#PtapCn zq`1nyt36YmM|jSTIj+_9#L79X#)e(<c<C~ub;^79@bNx9)BW6qbw4vJqY{(o{fTV} zjcjM;*s5!3F`E7EnOgG3oO8jXpTBxpnI~+zp1xqytW6$EJ*Inf{&9cR{PNhOWs|zL z*@gZ)uJudhW`*2K;YXJf*riT1^xm&rQW0$V@^<MW|IF(PHFx(-ofL6hc-l0rfN3^P zLKEE`xIS?N%l9kXT^ORwDco5krJ37frD?~+Dm9@|>WF(o1G^I2ekI1bxvy%cuHtye zuw&c$pyfjGixun`92?mr99o1sH#|>CU=~n}c+f1VzV^AtP8s!IYl>u4)vr%1b10H! zKf&{}_v)nn&k>qWE&4-+dOt@frp`N_#r4=GEJ*zM#;&pgADjM34=X1zop0Xq$R>FA zXOE}Tf;2X&&UDb4-Q~G^k?e+Zj~V$Doj4vc@I}caFtG1A70ywiz{J8TmB7F*b1Hnb zM!TxpIpx2CPa7WFu$^dNv)XXerhn?Cr`2mFsohU*W%(($`sJhQHA~vwZ|o}KsOi67 zy{zK>#A})-Rkte6oH5Pw_#&AD_k^D|vMcF<bnp0B)jxHL^R>z~i=xjZvu-Vtdnueb zNn_&Yj0a4CiZvG+c_+yBD{M7n5acUx=$PemMq>WPSwU__a&jv&KREc*u}9sqo)C1m zaZ->B$IBy)%hK5=xd~VYT)N&o!7Rfz<JMEhAYJ~huu6dv_EXJ^E~~K5lCqre<#^+w zAQ|>arz`?YvMLo;s&MeP2rx1VFc}<R6%^xVVdQs__~&-(k-2ov2gkPe<^mraj@&I= zR(O2kK3R3HN6Z2&3?CC2ST;O(Ia%@4D#f=JN;V4^I`}OFoLB<)U3TP<Ot|F8A=zPB zB)vM5O;NHc<$&R1D~}n$r(XLguUDR`7015vgVkl8hU*in1e`hqj2teP%W_mGD7`le z6p(LdaA4CCa4wf#t+wNHgyGh?$GtS)+Jt$gJ>TdgW!-<(r1^T~ngy46BKL=xMW0JH z&E0fKWND}8<%##z6n$-H6_}jOIFz$0XHrg9j#G}*7Dk(X-^uqXb7qCiInMUZ=F;}e z;8|NbTeiIPzSVNIrB(CPB%!5>o;DM*d<>UPzIa?icoDNpj02-ji;qs9aqTbJ<6fTc zt-?G@uWxkf+TC~c%3G_jpslYXT+gpdW?h;nRXA5oRc-xi15Lxk*mTd%)1{N9Y=~j^ z%sf@P%*1Ei<dP?X6IyHzZ8@&&QE1~7a!lD_YL=e7;z`z^$0C7V61;o(rW`F@Gb?P) z@h;ByHeudc=aQFBd1MpjX_oVRqmxmgoc8QZpCeq4)~#J|dFi@%?b)hpK1Y~l{@j{0 zDeULgs7X#gw+2np`?=L?lGM+wPLtSvZk^>-`6tSAsd?SnMM0AL!vZpU;<cqueU317 z{TF3u_%BLV_Ft4H=f5b`zkj0?-~NsAeOtG7NzwkWi`(Ou3hxgK*z$ReSK59vm2=G| zkA#*bO;=#u-J;RKUo>Tpo}*`{x2LDKC+BNNFW*ViR8&2!CWSn4^faDeHqmHel0u{% z$IF5!3)wkrevvjAQtG~nwUf7bPQE6m;`^yft<ZJymPMWs7xnnN9_j4l51Pd8+i&9$ z^~4br=QkujDQIvASj_(Zv4KNSQHp^{s)<!9;c|r>DEED|ymaWfi(?JLAtrenfhHz7 ziv+e0mSNsC{RvA;zH@|m7WXH#wmcGCvn;zmK~?u7M_8axe*!CSb;}E(N!OG;w%a&` zbe>Z7n5`n~$YgX@ajM|fnil>n6?sK1EyjuS19`7&K1r98wKtA!QIb2+#OU$!gK^NJ z1JMq@cC%_P*&DuL7Te9eO;bbkW<3&HvnXf1!;~`awB)$UYjlNvgsq(FAHaG_NZGOC z&IG|`qe&kF0)A~zSN~qd)XU?u>niJM5p~awKNkX>FMo3VvQh2S4-V6dN8DF*O4&L2 zmV6M*TBIwtQ0>=u4pVKp#8u{dXJ7Jty6mFJ<rT9{cB%C)^IV*9DPyLIm6H_jWVgLb zF6w5Ac%O3RI{Rs^ly?6GlUB}CLBgu4p6(Mme40IKUCL|JnPl#-T=|hB%y-r_eK}F? z=r2z%9^ATgrsMHF3nHV}xFi<2c<{>@8)&Oa3$nv2(FTKc3^Az-Ke>1cDp=>_#Q8bt zNwNKUdG%=MgJorAk5)73>V+>caWp#O&L=g~AjU#XXj4SvawS&Q9JNzNc4-{A6oeWC zANUW?n|tRrr-_jPPju`CpTbEkC-`MeO?2aJw8XZ(IK8+z+uK-r_k&W!?Cz-=K7AZ7 z8<W}6mZm5_Qc$>mSEg&Lkcv)AVdRcePER{0DLItgxUpwWON<H6m&>cWXCLr=n{(tk zOH|A{kIbf&j(!;vqr@ErJ}N02nfzV5l+A=wlVaT?qE<OXCaG}rOB*ExxEaZD{qx+Q z-UxLQ=eAu5GaspNPL#LG%81%mVKbp*mWHZLKsR%w>DQ;B7L9IfVTs(JNClgGWp#J) z;tgdBm#J~3zfa6sr0{LtQZ=sV_kmfrKFwRAruE)2E9=v|%*hkKd(5ueqkgO9vF(Lr zXTO`o{xdU_+*!ddJX!E@^|Tp1T=y3rNn!ahPeo06?{@`5$(0r7r<{E8);36O@s{r{ zGkZMtu%Al#@>NbvdF}mWs=DtJqh@`Yw^UW_ePEQ<r+G_M<=;C-?fN+H<)mlTOT4GP z@6;1@+{eBvWXg|uOO+1YS6jMh|91mT(WiUUJy&MDv-R?F&3o?|q-FF`PGzamrtdye zyY}2u4%OOK<sb6$RP_R{;CqX|JlU?9d9r%Oq`p1rnwcl6r%bBbqpq2Gyn4c<ygli& zOdi`_T5{&Q%VeGV%)YZ~{Dq$63kyB2o-sk-{^k~!A9AWo#NK;4eX8ac61sS=dYOky z`TL1Vf-5WhMLId&*#@X6ZU3$yxbm-=Z|8-3hfP$KKF(XJIq^pIlw~e)?>%P;W$tCq zy!F)fa^|$}9?L`T3D0$_<S)7P#P)LN$^6L^zZ-B?o}bkJUE^hi|D-F&swXTlx@SB! zX`j1R>&JO3z8tMy5Om_Z$MvK4mmU6Yz`HNK^Jw*yU-u?2`7Woj`@2v6vwO`?%I2@^ z{Oxh_ov`euUHl<dC8hE&eW!gl@!ZLOdD3qk!JYj<{l8UQbMLTg&Z?ZBIpvexr5BHD z7X=>q?XbA<d*{6$dzW**xAQ6b9+|bfKdAG!O79N-Ndebum&LwQ-dZ&O#pK@(g%j?u zR~bLCUER-esFZ2KeYayr&--xaJ9@EHaQjvTiC!|#yj8y})hEH*KJx!et<<0WCM?`F z3`#7#0t_x40!|SN9xFE%OjUSl-(nXQ##yuK>8s?Y=79o%ft(vc<Ag=0G6erTV{)o> z-DK`a0|rg=pFAQg9Gb1l%Na6HXl`)&^pPv<@&cLl393s4@7l12T|6DWz@d_B%>u`X z>l0d!{NP-(tbP6gFRdS(YZledKfsm6$nVl|!GXE0W>3=Bo4;8C6l)S1`7=JP1KISr z_Sh=VqskG6ODkAbt8R1O5M=a`Bg`|kKcQ9S8%Nk>srl>NH+aqZmEgP8XSL5lpXolm zHOE(}oluT2{rIC#^m6a}2wl(An&V!cQje{}JcCZXk5Kef-k)qb)u`^cmzM3HzR(b@ zAF|pjzPz^%Gp+7VxSH}^R$Fc7`v~2=wa2|)9w}cl>B7F`R+n$Gt2J+wuUTRneSc$D z+0Q;v6Dh`Nvo!lRIQ@*B{b!w5-F4;t+7s`ao_PQ2arv&~uH>j>t>jsjd#8kX#d|0( z?YrcvxoAs(mPV@L*U9%j>`g!WJ9krZ&_<EvElMkSUmm&A@<qo>sml19oCb%0=!FAS z2kU0P3lsLAt|q7BYZE-HVvfm2mCQ$qmyUd1-0AKv?BA;<cUDdAwAwszUz>hkn=W6Q zRv#PP85O@~RD7CI@nS~BgBcaKW>j37QE_HQ#jzO`duCK@no+jR;B7?Wt<FPR4!2}J zKXIvV!qT~N-qse=%F=Y+Cg{Bl(R=Hm_tr%3t%BZL9=*2=dT&4IzP+RS_Kfb^1rfI* zgKudr+~Vw%sqT~6?w$GEOVdegDM!c@C7Zym1umLSf=f9ZrYOA$=t^+bJhUvR#d|5o zWII6x|0lwUA6@JOdHkOUD}HdX6a3@%MA-4Yi=E&rzbC?q?_BHz@A#=GHr?u0aj~eL z*utS4r=oc1dbf(pg{p}xvU>WTF77N+l9$y~|8y}E#86THbWsw-kXQe7@noTrysQ+6 zVKcFxuSeMPLg7axPOCosNed?bP~x;|(Vw*7@FQ2DlCV7|+}Yo|*jZ`$Jy|^Yk&B&G zj{2vIhJ{M<b2kb9e9<xYg!}T>E_PNC>Yq+tEK=gM42@G!WIxrd@<gX{VvCHj{-g=Q zPh5qHD)yXEe}1fUiRC4k%svzMS;}W8KJzF>=6an_te)u4ccXKWWpv;iy+9eAz&V<M zGU|bIR03s`0_VsF%E$)JkqVSKtI?M#^4wMAxnbAh7tO&Hi&W-_d0NzZT2y*klz3Vc zcv|FoT4Z`!q<LB-ds@VKT10wAPg<km>X|gDgvFC1h+jp<!+3(h#5}Euc`6h0q$cJG zOw41On8z?N@5h9^cN6lSO~?ySxVy$T-^(Z8!zcgHGV_?rvsY(M)13Np^2$k>6E!Ei z>|5FU@>$8=IqN205)Im==N0b!Qc5HC?~>K?O4d$ZS9s~F@2*vz*NtCVIqj<R4A<2Z ze>ru<l#Iz5lU__%(Vx+$(VLNWh0X2CG1n`{46`OnY*p;JwV~tInvO?%PptAh+P!8* z(4G@noo`*kywu`SriOe|(pK3h9_hO~E=6_g!K#T<S#<R`d95l^)>hFl-!w~a=ftU3 zPV}x><d~Pj+G})xORBfwc<-78JR6$aRPR(w44vE~9BFveV&YYyr=4r2DEX&MJyM~h zz2vZPq%W&~it1nMiBr$t>s&MGO<YQ=%6FyJFK>6RS)v}7BI;T_QPf1M@r`R(09(fg zg%+L~21b4}j)#o$E(**FW(E!XH#*lW6U>o4;dSe&L)b+r9rjf-jdGRNI!<cjsZcn? z$k$Nhz`^e#uyaD_<$mr+!<Ro6?wNQs=t=9EDH8fAQ%foowN(zvi<)nmwQR?Psb8*b zh&`bdmHfyF)Rbgcb<v7-wThnnCZ}DW6j!gD!XN25yG8@lZE>*nJE)cQ*g5P%#`$OM zYZgowpH$_*D4->9h=K2eLkqiNz=LM?h8qVMwtsR85Kx$vp)xx|Y<336?2Lc2GCs}9 zcs48J&a4a{lZz8fFIJddj4@12oOEbQOD9J#znZkqOdsPZKF0k%#vMM!jo!v}-o_Q) z#zo%7x!%UA)6(2@&X(wwMsC{lC55w7NN}l~m)R7fsTxz|rV31DnmSK=%DghK^E;QQ zcP&wmzGSTXvU1uN74NDxRomT@=0$qOOxmCl=vdXXh2<s3C4nXK9@Z1`6wW%Fa@piE zYmS$n?DDSQ!z&+%6zodUnruFG<?K@@d{YBFQm-vBQk${FD9t-)rl41l<OY?MJkxou z)o=Ur{8at2KhHh+CVMwDF<fkE={zAMpepBSF(Jdpbg9*}{}bbae!0HnT>5{qoqm;- zh)<Sxs#k*Nd8boGQ<Y+cR4+NRAFp4a;9!z#bTFbTB*;g~<<sm(o=+F$vNts`Ft)lm z)-WhF^>bgJA;iwr$Ru^5fnD0-0HdOVi)4e^snSIXIuZ?2T0co~vnf1PpYK`3wLrn4 z0@M}c5pZI+*}%}j%fq15!tHW^nLCD|g@LDlkyT!Nomdf<&5Z->iY)>U89Br^Xxi|z z>M1C(aK|iQG_~W4-up;!k%H5Y156wO9}hC8)XbT*`f%Ojr75P@Gx-V_nQR0Or0spi z#8R=K>SLi*WNqmzRXwk$NgEU*XBH_in})1Ye(J!MwIoKpg?WxZ0~ZUc)C5M={)9#W zBL$|D${jx*Gzlm%H1fN|d@>XG;NbFD8Pp+p#2hS~;Pgp>S>yr(hoFL8)76p>)?q;h z-bc9p+?ULn%D`eH!1(B$_2r%KJ?<`3Tap&I={-o_A+A#m0y-BMW^a7&vA2(zk>91E zBg)`0bHmk{r80u@F#?B}Z5BB6JXMZZ6*9}Gs8PhSwuWUTNQ}jfA>!&WcZP=t+3glM zbnt^bEWgH%AtLnf=ad6X;v9-Byd@7BelsM5Ud$6_H3SV?{bEQ6J?<ym#HN?f$nOyI zfSEl*;YbfZLm2n|l?N_Xx&$z|Fgrc?!H}@_gLi`fpTRGFMhBk;Cf*ky@jE{l5>&1H zI|Q686qpsHK!aRT0!hc&8CGAYU$jUlL7riauwL>(h9z&98%$pqDW)7?l5g0-z$mxE z!G-q$gPhU_#sj~K=Vne}Qq^Gf30x79p|w^)%Y=a`Kvsc^QA{AAndwK#gMC_MVPXP| z-AA;KGTmtTdy{u26Jrav4}+&xqXa+Sf&=VQFBUKxNKRN1u<`<<jzB|zuu1bJ6XxI$ z4=vG84jhaemIs(w|9H%8^xM|ANL0adVUWZkZVQDYY<3<DJ^m)M5;JExN{M!PX?ipT zO;Bm|DlPymk-BkZMREYUfkPWBk3usmOLzICM{}oCs4L#{Y%ibmaBfJ2y5K#}=JH7o z=89CPZ{0CD;*MuS`K9}FpOmYAz3Zv{PGz5U=6k<OyC;8or?SWT<vYJkyC=VTr?Si1 z^XOcYa`lsUJqyc)?#!L?$j_-zeeYe*yz-#ibB~m%uf6N3{!V49wdPwtqeAtmJ0@Rv zw`8;R$v1vlyC-|x@k}Yd)M1_Z#!qPX<U{XNHdw!W?e}Td<X!Jn)>&u1_Pexe@}_qx zYph?sa(h*zT)1=MwmTkC?{wOKUX{Eec!l#yX5s3?PV52C{g3-Ee;=0K$~XVqyuNvU z_tx^wJ2$s)uHRg~yKDQn=32^H&b5%;5j|JLN~7dn$(@p0d8Ic>u9f(`Sn+bn-B&X% zXfAACR=g~^Y<2K5XQy_b^p<ls*7nWto545Z-1NTdYyGD4O+PoSZ<^n=wS3dgg^KG% z=M`^BIaqY`RIZ<>R`3#+oo7LF^}=e~Exo6xI2JuIJMJN<<lMsYa8W`xlP=e$Jk0}( z9<1V?uDe|VqEEL?D`c5RXHhrfDPeWr8F!K*7O8MfQr<2Bn&Wplq1&e5Q1|0SVRz$k zK3U6xJ4rhd7qj(BZkI^O$x2JQm()?1$+`HG81vI7+~SkAODHMI%Sz`P8t7@MDcwu5 zFws$w*>hAu;zkqegSV}shwtkOO_P3ksyF4S+o~evz?~D9-SG&@TcTkuG)4O5$=)YV z+_H+44R=nQcE`gjZ;6Vz&?M=XCwfz!xM>wB3+|lQcE`ghZ;67r&;;q1$9tbVc6(K* z{Bg&`vO6ADc}rx>h5Dpl9_vkc?6#^<`Qna=X?Hw~@|K*H_WbO2YR^Q2{3R0Rm!9^f zR4O0bF;OdD<&<>hC%39X<()ews^l*@A<g;8t!mFinfxW-3AJdIBhoKFxEbx4$d#{h zNILU_o6w$#O!-U1%!Rt8IX|3VpMO+W=>EJoxqG^o=HAr}y8C}N^ON>|x$TxVWiL)N zJ~n&a)+=R_cIVlN6F1LXJah8Q!98a?Cl=34p2@7d{F7O`QM%D{*S>C<leg!bkU25u zxXkf6$8O6Un{!m==$s=mM{dtKEOU6yA(=yS4&IhIIOo6|F-x5yhWz4xMSqHZ6@@+d zaQE4pr!Ss9dHUe#ox9I&JiYSt!qXKeX6`;a@pR|u#?zh?n;)4y*FCPgT(?~}{jJ$^ zm%b>!sJ_lQLfb7fEYmI1EK@C2icT2(NPKa40~7Oo-8NgEDv3`94-$9mKAO<JkdIlG zO;qApp5ckaj>8P?imYrR+a>N9>`2Twe4s7yt=M5so-Bz=1~U!>JQ8D;YBT0ZlQ?BC zVaHL2wnUaTL!KmwLwSY`i9ZfKXkEz7d|kIqmnTYMlR-k_j$L~%w4N5zjm*=Bu4Utf zu4QY2tYzB^UCX8qUCYJ|Ud!gpKDR4+iRDg<9U|L3H16HGbt7-$HNO>0XYO#-YAj0& zE9-W7^v_kvS!#D_oXW=NB^#tU-?;tSHE~bgl6BIZuib9#nz$uz$r@?S*KWIZEsuSt zIlX$<(|K7tmq)(SoK{`+bY9fX<)QC1r&e1%o#(Z4dEh(EDb>H8%(L3L-1nX4<mz2d z=4tI*?)gr0Qgzjnc~U!<yS~$$SZ(!W9@oz0j_))lRR4NB@7Ipyw(m6it9Lz~_iD#- z%XgZ6)m4w@-P*C-^qppJwbkQ!r*<r#{XOLKx{J}vXSV-5D>>14n({Q^vf0ejK2Lo- z_43rirL#9rT|9O2)aI$hrQMeyp;WjbW@Aw6Dcy4-nt=;!b{;Lf)N)ctb-RV<1R2Ai zCt~d<1QZ<`?mYVQ;bQagzGxXx2HjBD9o&43M@G_O_I8U|7BekoSQr-Q<rnG{COimv z6!6IB-lIJS3LBL>g*%xmyFYh4?zr4>xMOog_u`Jp9nBrZ9myTRGnkL_N^cj>x-dgA z`SZ7?G_|yz)3>>&8E9#$=j-n&P?Y4XtbTZL<HW*`-<pz?9xZ(Mw$<s7<G};BxpgBL z{{6fg_age>_T}Z(iu~`-t$X*4X>OP0&K<Y83p4}v?7SP7ksNKku&i3~tPAIs&9}MJ zQdLg4-HDSB=4SrAYww1*54+|j-McLD{mZ9$QTKu+zHj+7FX-OolhwZ-&D*tO`K0eA zpXNEediwD0-Kq_{ZLi#wdy&VlmB(MaoqgwRXPFo-k^Jp)cW&Ld=69zmz}q;G>Fu?{ zGb1HAH%0R+A3JjBK%Q+)WocpMja^?CJXJi+Jgt4T-u8f)Z5bz<o{GKdnyMAD?2eOX zC)3l;$<Zs+e5Pr57IC*e>6obGlDDw!j#B|gAzL9!Aya-~)PoHN7?1os{Bifumxm)8 z54Ue_UtHcjxxKl)xIMW&xV+oBeKAuypF5xWJ27@XbyhyEIp#9kqvx2(n9VVrBW#hi z-6GRMt-$NSf`@bNKAQ1x%EJi<6c0-lb{n@Tw+Xi~w|y?{e%yMw^>FLv*2SgWlUtix zi?6nxetT9-H*!PF&b^>yA)>k6JaB<c;iV_v+D;0odQP}=PsT8)<%ED@Y>fCey^OnY zCw4WIK3v5;J(@cjRN6ni)&%mQ$Ih(+tf109PuCz4RN6;#LsxV)O#qelJGNeE29@@C zx(~pmeKfZ*sI<Qqb)cs(lk@R4Csj~szg<j4Sy5g#Ul+Qd%fZG(M`h1e0}Y8AO-J9h zUS&!UP}qKs`|D$|Q^$F)K5lzd==^ra!jd}ymU$X7=2v>9PaWe8ecZOF(E03+g(-Ie zW}8oW#yhprIq_bAVcv?<(x*PPH5EFC-V2ywe&q@8*GFwdh0dP$0w$V^JmLLX;cR&? zpx0dFG4Iw2XU%&7o#rBscuOmsCGQ2anu|Q-HLY;wycf`D9?~t{b(EL2!ujvrfI9P# z`@CBpwLRLs@LQh7UTLlOZHIO*e3z%OTYA;Iwne)ahTI7#HlK2bSG3&u;N1YtJPi@^ zE4O){mN{>|8<1mu<rZ)0qqd;k3oqqq2%Cp=N^`w!v)a9I!KnovER3HHAAjo$Sq8WF zVBujmUa9To#(61sa_(i^OSyM1;ogxQJNInd(^yy?T<KhCT>1IYv7>K$pZGrEeRB43 z@8h?=k9i-TeboEN_t9J4M`s`QKJ<Oa`|$1A2fYt`ANY1li)}Y=Ft0bSGp{w*&ddLi z_bu;B-lx0|dHL`1-sHW?dyyA%=iZsSC+;4(d*JSp9eW)MKbJi&yIgj-ta@`<@gujl zYHyDndF$ILy=C@h>CLk@NehYn)A<qkV)KSa*O=zF+HzNkebRYwH)_Y`g!F~ynC7yI zZWnu|b0V^1Gef%K(Y351V)t}*L}qM0P?nu|_7Ep`me?ho89TNH9AcW)YRsJ`b}CPI z!Ul)5#Fkb=?xgKvhjbbue{6b?y72Wirs=J^+)-kibQ11H?Ra%4wR_ra&P|}A|I}L+ zP_u*SX<3uH-z<a3BJ0LUDjt0jJLfLo0G&2<$B*Gjd%v7bnS*2Rj#jDN3y<Wj*dWdI zrtR0Rg?sW=tds6~-F9o&!Yz3#)<|=`ZrinM{;oUzG4Gb^exE$;x8~`;El=xq71>Ab zoWJUhf5^M#n%^f+`K@{CFU!-qsv>*Ko%3hi@%MSRT=o0pNxwBu{%v_uXH{e`xpRKk z9e<a1%N4&*p72}q#9x*tb-xPjf9{xHb;sZ4-E!IQlly*a9{=0&xNcXW{mmWov+nqt zyjw2$eR9w5%+Ga~_RKeWuYTsY=CQvemG+VM{0-hMKV_Zuy6@7i`o)_ztlL#^bCD|d zH0`ie0Us5f{@<&(Te8r&Ou4LDxQw~%bLr#K%ca$aOE;G;E}dN3Tv`pv?qa&zv&D46 z*M#hhUFkDXi1pOlUq?KJ)x7h<RY6ri(QYx&SuzuDi=N<@x2?Fd&bs8ufx^dSTLYVq z^@JXKyK86Gx|!O~q#l>;jnNI4$bRZox!Z4@ewg^`CsLKWo!0fQetc_((Y<xus~^qU zp|q}j^+M2+@jHGApeCMCp8Aa|XO8p~S|41h+T(TVHm6$rjtM<`<}!S`xN+jgvL@G~ zE=LaE=H%h#WM|D+=g2w8^x=IO)Bb&;HPzdNUp#$y_vT%{0Qcode(%bfEOv-!+{;rh z$WD&7F0^ipn$;<kv0Zr1%&8L<cF#>XG9yCb#@p7?ru7;D^DI6-NbH=X;$c(q!QsiZ z!xL^dPq^(o;WqPx+lTvaH}~Im?!V34fBSIX?dHDQ&V9F;`)(iZz1`e<+qw5PbMNiL zJ-3^CZXbU3*75VV36<3c_ShcTVH<N#PA8w=Adi3QcJ@=Z4?lVP@aWrvN8dVr`X*3W z-LS{j=AN8PK7a3a_G7mXKYHu<@!N!oYKJ|xGWX<o^7&i0vmd&B_`zGp58nhTsxu0! z6AIHWl&5cabgtq3xrF!U9NwQ(cz=%J{kaG4&K-DnZo#{A4e!o59G!dc&fLa3a~<!@ zWxO-jvCEoSq?|2Za>v?M?T1!7xbCfM%<i6glxxSoyX)$*@9#=_8vQ*le6MN#(dhSK zyKisJTN)j@rg-(8w^G{=t<?+@d42ost8LrX=DfaD^{6Z~`f`|XcBkmuGTZ30*EUT( z;#Ic0FXDLEM$;VD-Ld<_)^E*z8nrzye64Br(Wv#i?%aYTyy)4Wq&KrDTUnKBin?E3 z?krI0e{wDFBxScQ0m(;KkD4C5_Le0|IK{WY^kC@p+sb<2TBEw;sF$c#a9;ls&^q9g zZv%zZyr-z_v~?;v;vuNC-QBr`h4ItlZztSA<=-8-rw0lTyY=2ymI758_vBKOj_ug8 z$F?cZ)AHw|Zzn*@(I3B^n5_JG(WAG4N{<#MK71?q@Il~%wV&m-?%2CQZsU%#3*Me< zczZ74?YRd><~H7%>v(H!W2beqP&r#hIa_);TUt3=YB`(AJDwBoc--zt_S}{1xGULm zSF+)*WX)a4io22}cO?t%O6J^^%(yF=a#u3pu4K&J(AalcdgWKA?e;nyJN4<hLq*}S zJ6Er{6B_zXORN0ql-*vZVnv^>Ybpx2-MM<solxI*T59E2C++q+89VjKI;Wy=*`2F< z?u5F&(^4wGI$^ihiCEDm>zInd|L#~_b0^gHot9kr)xO<c$782HUU#T4{O*p`Id?)$ z-)TveU+vlLbu4ykWq9Vj(3$0;&tgR%uiLd}wa$AjiSp2=v96WjzV|})SH;LYyY2=$ z6)G<mw0pz(_*!2+8S|VgJGL$~JnYtXc3JPUwZ6-Em-+I{_Le>!EiGX-)ohB{WHbG| z+?X34w_I*H+_JfM%i@;FErVM+w>0kEQn{sYOXiluEs=Y-1a9%%;<&|fYs-$UiHXb^ zpVJ?wUoOwye5mbw+IhG0YVWVHoqu-j*tuor+TLArYdt%scaHBI-aBi3xuiwR^3C$h za<`l1m}Q%(=UmCTl$YysW6B1{&6b-DH)~om+5EEpWc_NlZB*fr@-5{{%BPf9x0IKZ zr<8}3yOdWid6e<a<DJGkj(6WQjtX`y-mbiT@wVH_+ZJzKEbN};p1Iv!t<Otlq3m4Q znRn%;%1*RUvX&||DXUf~6DeaU`%?O(wE9Zvk<u-tOG>AdR=1RvTx~r)Et(V5lsS58 zElcPMpNT?G!<aPu=a?iGX&(eNfn;`0Jpk_U-tiJ(fs~42p7%m0mWw`#{aO)jc`vlL zT=a45){1b=d!e1>qK{%rE5ar3g|?Q9K8!W32<N;P+E^aiy}RpZENeyh-@Bo8<)Qau zw?10;X!q)G@3i*r)_TA0(C*dm-f8XLz3Sb%MY~sr+zBl%pL!=&v^@Oa-B8VUS|a6F zZ^u3@3*UM-G^hOPt=Q5>>w<Q#zVuE@xIDCTH`m*BR=Zc9dADlQ?ye)Tu4Uo9J60ci zr?qjn)|-E8nSSs3yY|zr(tE4c-PT&SJL~njOS@KYdZ)E!_p4XO7M$*7oG#t0Yt9yx z$Fu2<M8ZzP9Yx6rOSz_M2IU!cFg^XhRWZ>y&G=B-n`>@o)XuP-d3O5P>ubwSx1CNq z?RHx2^)<HB&%j-OK~QtIYwB%|RRJ@VR8Qry1kAI@JoLn@N!4qTf@P6(<3uHwHjbUW z3LF#U?W*p$9Y}5mRSe4D)}Z8e!4sg0VNb8Zv8Ad#r*3m-sK@V^u%}l+=+niGAI+GK zfhvaE+}SMc;Oa>GAZS;>nH^Idjx9@5d$yJZv@0Owo|l8=&qJ+G*0O+h1@vwgf(#jW z6=XzsSX5{?f_4QoY!~|T^Xu9NyQe0Ab_Ki(V*>38DBdn~;^@Jp4u#qWXM?s^tz}UM zZLiufmErA~H9bexvZR0-`g!_mgc@uMFFg@s29;KhMcoGlm0de{b{=&A<+7SPNzgW~ zA*i_GmD(<GX2QmmGb_6p(|WwUCALe11o`bNDC}-bQF*fXu`XM0Pj^@6b_oSJDKVjY zNsvaaE?Yx=U9EPW;e*?kPaocW^uf7xeSSxE*@}w_3pDc#9SV~_eEaW~0P>JD)I)+0 z5A{MkWCrz+AjCt`5D(=-J=Dtp_E0X=L&^-@544%qbL>)ju&Xygn`te_CZz*i4_<9s z#ywp(YSW!-pk@`<)Z4Q(%X_EnRy!5T{B&Jq(RGWR*|YAL`n;Q^THZTpx7x|r<|pec zi>^!T%<dBSIq86RlOE@eJk=YM9K4%!I5(*5*tHhgL)V6y#|7!3Z-e&G*Ft;f+Rz?4 z7r2N1cv}-Fh1}S&HUP5QOw`2KFgfO4*oKxsPs_^ahppTvJx<)_>Mv(KzT4?>Y~#mu z8!EyB_Gqiz6BT&R)n3kec(>ET*v1d*3@X9}_Gq)*6Til#YjA*pd0R9Oh~n|NlhnY- zCXo<O)(xT>8gJ;bNhCBdvc+x(QxXYxlN?-KU6($crghr4_35%*MZu9fSFXAf5|Xz{ z(|qcb*;=Q3S)VSeDhjsTxpLN>5TCqNs^(KC&DJ{U+xldgRZ+0y&XrwvLR|7zDVk56 zFk9<{FYA+KzY2qY?pRrMC&VUim8|*HzS&yGeOn(d+f^8RbH~c8J0T`{t0c{*_RP-u zyzJ7Rl}7nmXJ%_1^DV6mj=UFQkhkj8?5<DCW-X~IoN<2^=m^!FYkxjm%-%1%-PG#c zESYlNzTMA`#U?*qx3cj1g&o;xcTA1m%{sf=?en^md$JAQ&ypxV`!qJW^7?@t*;?<V zPMs9jQ_0VU46$WPh>Hpf=4bQqa&xlhXS2MYbzt|hqp^n{tz+Di{qLRB{@u&ouZt|a z{^71^b$Rc-*vaMBAKW!9FYmn@8(e<<!d+9)F0Ti9*+B~`inDjGO$2qxU+*!^+-|D& zZkA9v@2%M4viOMXGvNMw7^FWRt@wUjLwWd)-P$+qioSZsRZ`9>THd;Ichj9%#dqr% z%ELVh!yO94D|Tz|xGQ?=9am0y>(<?eIJcSztLAAhm^*Xo#2srL%#wU`-fUyK@i+S6 z>DS-3J6&J<Iqbo%wHr#qFYMAzxhuNi9ap${tDZTlo_VXTIjgRDtByIVj(MxLIjgpL ztCl&dmU*kDIjg35tA;r%q~!Mow-@{F1Q~!kzuQHc?uazp5vjW)QuAfU(xSp(&wC*g z%|)O1eys?$ycg1IF8bJaYelf;y^v0G(MP_e6~U7CLR!s5ANrbB1asaCX*3V*p51lS zm$f4J@7<6(^U(XgTOTcZw0q^ZJgvR6wcal~w0q^dJgwccSG`-dX!pvHJ0Zp9Q}6hS zmIoia8={$~C1QT{w(rxj;H`H<a?G#Z@-2O|ENJ)2OL<zt=AoUlx!x|b+P(5j-l|Qr zyN>v}mIe3jSa~c@YvXLKH_MoIuiTfXwSM-h*UKL5TDdJxYwc{U*UJv=TDk7sO1<wQ z)2p*i+b(@N?^Kb0;?Cvk?gWRuTdDP3WNLNRDO*+5Q;Aup-bo3S^WKa#ezZ<<clMEY zvo`Ezd$aE6uIxSUX06-Z_IlmTUD;c*Hf3$dTDR-YC1|s77Nl9|4Q&=?&bnjblQ&D% zym!)UwUfThPnKC0U6$CH*>%UnC2y9ZdGCbTYA1Y|pDg=Xc=^YU%&I#kHhHsTt%@$( z*|But!i5Vb7J557J39+Mp7!k1vW<H(6YiKyHRpZmYg~DG&yLKPJ0_FNeV_O;S6;Tc zXVPcx``Gtz#bup)CSB&fk7^T_I__C|;PJ8zkC!cYyllebWety)6+B*+@OW9k<7Ezy zml-@>rto;#hRWazdo)v$Kn=^K42vffeLAYkDh}FSwp~O<T2fpzUsL6th(JD9yE*IO z*-j6A8$T>Fs0bF=qseklB<hYx#2pcae6D(P*8Q`c?)xSl^=*8=Y(shQh0}+3Z{EE$ zz}>yE>5gvej^ERnVz{_O?&WFjxGQoik1NN#b?fXyowJ*6`6|9$mhfm<z@udiWx*D^ zHD}xvIhDtylE;-|-YRI`x^Z^XjoQSe0%g{$Pv=z?`CIN>KI=}f&%2eX-=|Ef);ek1 z`edF}k-y~5<z07zUEZx!{61wuwblt+)+h6R75e|&vApU|u+6)bvfrolRcjr$ZGAj% zSE2vS9m})s1e?5DDfxX$Pj%Mkd6)JqH+rvmrdsQmZE2-{<h@{ncPmd-cYT^SYtQny zJHdMIG*4D*eVW&_XSv*a&EwUpKF&+pvs~=G=Fw`ckMo@NEa!W#dANGjhj~hSmb1Or zJXo#uVP00D|Hd858Q!nlUw!KRyjQ!IhusOT`F`b|?b34pr+0%ZzF)a(n_BLF^=@#< z_bFY~S?}ge+P(bIJIx){T1Q2?4quSDXVPZw`_R|9;xf-YlO}WD2fmjdElVuC%y7@7 z-n{p|@8$B#Yj$M*$eXoyw%hw<CwFIl$eXo$_OW-%R_@MxktZc;e)f*9a{1*WcTEb+ zeY<9}9rgWOc6rBLlU#G&+rFF2F3;JKc_nYwmf6RS_y#{(=D0iaT%OeC*~{K6Q!KnZ z;jW2t-mHzY-QFzY+?{zKZ`S(R$6hacxhr!=-mJB=-Ci#{xhr!+-mKNt%TC)Kemd`F z(fN#>>6`8tN4%S<{k>;u^|Dj8&QIsfEIRM8Gkwt=<A8TF)xY;lu3mQ1_VAN=kwxb< zcBW6dW9;#6rt<fmiN6n71wWa_bi?=I>tzp0gJ0~@EVwJOEsrb8ymifNrfa?rUzxos zk}llYyX}r!RNi8p?Sj*8zc`hf^3-fqk#ykB-eq^(g7Ow?Y!{qz`^CxJCr`|>ilhy9 z_D;Lw=9RZtWxL>{+b>S!raUpzDv}o5+1qx<%_(oO!gj$4w_hC3ee&4sRiX699ld3D z+^q5z%WN0yyZz!=Zpve`RfW<Qcl4&+aWl$WeD=1-XR}j#dJXayOKiXRG&iME`rwXU zt$gKEw=+JORTWC_+|jF&zxc#$j!$M)dwON^7azOb@zE@5Pp?S+;v=^?KAKtW>E+2^ zeCT$^2Q#TXy)5s!R|h_Ld*!z6(=z|9cY|}jU%6#l`e<Iz?&X)>X$pT2>8$2@JI`wO z@-y#NZmRA&V(VJw-@9Y^v3HsqtF_+DW7@rZ-#g9q)vI35d$eo$ws)FqtF>OwJG5*0 zy1bQo+eM~FXPwqv`gGc<BJaeVOV`~A3d>umwOwRtbk-?d)u+?Cio6|nE}eHL$S-fD z+IEr2(OD;Tmp+;1RphO>b7|k5Ah*1gO4~&yMrWPSRedsztH_&i=hC`6L3Vj7<+h9T zM`s<^UHW+1sY36EJC^3%2{OxDDYadsH#+N>uIl4yxArVG$zORUn(Onlu0rqFdqLB- zi}XaV`ZR6Pp6oASD^DIiBd{~Q?T)e2yO|2#c_vgpJ8t{<@w}IX=RfR7FS}!G^=_uj zcb>lLXUA-lAJ1D^c>cnU^t3z1M(<{xt#<o7@8q6zgZDEfzMpw&n_PMRz>ajS_mZco z(>~3sEIhwsN4m=UnJ22*KFzD#lP>dq=CSIwkMkn;q>H?td8C@{<2=hf={)ae9;$Bp zFi&z%I?MZ+2dbAHwLSc39^;<$fA1vsS1)@%FS79bhr7nr-+S)aPA)(H;I47`_ny19 z!R6;K+%?vEH*;sT+q-$4yVD=Mn<?_0r>pwe+j)h%({H_#+*Y0TcAjP7`4xAKGr#wA zRxdkZ+guhOk$x_(H|ma?PM-3C+b`amecIjoD{ryrcES6(Tgs(h-gT?oE_g4uq+I&u zUANNhf_HP5JTjZLyZ1@n;vKg+-kEt7N-x~edoNFU`|TBP&60NaUdvl7ynW)W+$m+! zGk5fQ-Eqs<e(`2*N}2T3yKX9Z%A0Oy9LY5)lkU9hmbhK;M(&qV>B_rqvD*c&=WZ#L z&b;duxn1yDZb_+l>CWyQcU+_2De0C6PTS3KI=1Ag-lih)(4E~Y?zjfOQ_?ICoU)ta zRP2{0dPPOzraQZ5+;R1Or=(gQIB7S>$=H%7dPYU!qC2}g?zlR?Q&KDsoUohYMC_Nt z$Jbuzjy`o%SGCgH^<L0~?N=V_KCSRJy%*H8{mLWVr4`<)_kudMUwNpTTH!5vFQ{ev zl?S@272d4(f^_pV#kPw)(EVEO{qt^6?e;5O(OvJS-P*nMOWw*o(OmDR?V7x4@~X*; zCI=O2o2sj-i>kA#Pkj`|)p}+2l-VJ(MQ*RXGV2Pbrs+x(P2uf9s!J{`Ik)7@l2dt0 zJv=6Q_D+zREVO$n*JP&2zb1W}^lI1CN0V+%x-{w3q(i%=?t+Y?azn>aSwoh2{7gUd zZsw-ywj;LAW#@Z#q#t`Hxv^U9%{<24>HFSEuCHG9dfvlb>D%5(uB}#kJ@4SI^mXsj zr~f|rv@UPw{HS;7(|&h8t&7_^KkQxl)Zd;@>-=`k4|<nA<u~WkI=h|o{obWd{(bUE zo!-v*UhmQ;{qB5HC%1FH+q?9MzdfJS@$H=N^e%nEZ_X!m|8~r`dzaq-`{d)gcRS`= zy-V-=-TAog-j4ZZ@6vmJdp@o^w`0E1yXVjTUfDB$=6B)Gb;owhpZ@*wr@BKs=1=`T z`BR<Wz4PMVFCYCoWzYPH--SQb+1>L${`+Qyz2y7nkN$@2(O+}^$n8aP<%0dYGmghD zd8~J;Q2gPJ?z}s$X73hBl?(Rn&NvpU@>uWIo^F%(i_Yxk_^j7eC?0#yby~S#&+ZkU z^cL;uR(rolynJH!ZjVoTU3<C}-Y+`7`@~1RtUcWl?-w22?eS61Yfrbp`$dO$pZK7s zwWpio{i1`rJwE7h?dguX<Eryc>A>z6@AW?I?*8>|k!ZQ#{n#z#;xF&IR+bCii!CV^ zzj@cSv|R9R?2<=%vvzksdADfCZjN_)UWMWdcXZ!-r?h?dinn@6ySuNwTO?dQ@mB1V zGVz%^y1nkWW|UvN8JkijKJ~7v$~&b^yEBf&nv}&ybRSvduygN&PSD)wowx;{d3m=y zeGR9SjtL6e#X*+=vG?ER2G2Jid&_DDny-8Ot;zSS&l!pB;xSQ&RxH`GH{nw&_sJ8t zxfSGPr6u$ABSL&!Z1(Iuuwg<$z=v;52gGz3{^#j`cpZIkdwF$ZoZP*+ci*y>g3f@* z)9+~Bt#I_e%bxk|-!Fft6T9bs=(lBsJ?s1Q2Y+)4?IZ8_ANc*V-2U&o^!tBf3hjTs zdw%clmEH3zzYD*wyLZ=r_wUGZ`<L&Qcm1|3w}1LB{m$Q(-SaiysdxSUS!RFtUHa|6 zDZA%qe>XN4c)<ImocZTnhg$OsUD6%z*>3G_{F1j|k2J@7wq3g$-{dXWCEf9kEvS(B z>|KW<^ME_NEal8gcQgjvamY8HaGUo^8S}=w4%y}xI;B0{vU%-p^tt1pnkT(il;f>| zL%R8do4g@q%oBGsp2%AuXnx@auSywn>s^Ng^9$E`pOi9}-gSsEzi^FrNhx#cU55zs z3s?VMe){iW(f^#C^;_=PN4}HS`F?)t@8hTb20#6`u;{<f&iW;H>;vD)YkWUH`S<aY ze=k4zmss>)XJ`GCJNBOM<W;_(pZNRuiNC>5{wWsy=h<1`a>w5BoxH;L^Zmb%AOCy# z@xO<K|KIGWFS%oH`A%Nu`}yAA$B+FDe*AA?;r}x`>QnC6&;H*3?C<2t{|Wc(4d2Z_ z{rmW*e~pFzL+;s6`F{S%-_MW!6&C*YxMx4{JO7ivpDX@b+_Uff&j0xD=8D@d*4lTq z|Mc8bFYtc;;orwU{L|c1&+&f#!Qbv5{&DW9kGf;8^G^Q2@8|FTecWCD>)m|O@BH`w zZZ7}-;;wz=cm8{Si_8DtxNBefo&WCN#gG2Y++F|V-TWQD+28&1Ed0M<NBzBb^4ote zfBP?Scm1_@^M$|n-}*bb?Ej1%^<H=EGrpg{`8T=j|CGD-D(~bs{Z2pf*SPF|$6fox z@BBCZelGoAao0ZfJOA~+n@j&^+_jJV&VTK1ajEx<BJT%9-ZzT8FBEy7DDpl~<h`TF zdqa`;iX!g?Mcy-tyeAa-%fDaw*fw|1@}BP{ALnV^yL_a&tHPiE{mO^Ai?{o6^Bb$~ zS>F6T<ik9+dzZz&PdRGKx@S3PSmsCG^6IL}it_EjkWr)Hoz+t1{tw?}a)FK^*dDyC zdRLjh|DE8i)w9Yj-&xrywB4k<tE|l0R9o56>`|GOni$)+QtPj;9?i=0-I}*NGI-6) zP;tHOmvo~xCG0HH-deQUAaCoZFwOkZPhs(QR&_^D-E;LsbnBk0$D>R4Ts@|KYWd0K z;{JMh^Ht_6%~!a-{P_LK`7-(QrQWL_`<?l*E@;nuq4(-Xe!u)sXS8QN*L(FtzcWA7 z3GJEB^nSV6cj4~eoFD3b?VkVV-SU0EGmri~Qf~kDu7B0{;Cp{t%I)vo^)LG#eD|+Q zx&6u=^Pjy_-}zhfU0u`e`9XL5HQp`X{`=(Hx~$#vZ@gQ+_4msof0vZmFTLxp_HMb* zci~%qOUmqL-t|xQSDANWo|{eYUAs=(R@+9~THDIIcBQt3wz;;MwyAgR5^ZBGOA8-8 z+Vb?8XLqoex{hD?oHci>LJJo?GCAtm6+9(cUBl0R&KwKVnK5%`{4vZZKXX%7IJivO z`B9(Z?qs(+hRNkUH)Ms&jyK#jj4$uGE_=E3c)?x6=<=RxvXe`XC)_m*H}{z?-FBLH z^V7DAMTb*%CT_T65S}NYZSFHwy6qIN@zb`6MTcE>CN8*R;GZX<ZtgQ#y6q(I<|l1| zMTb>(CQi6x;GQR;Z0<8ry6pt7@sl>eqQfjZ6C3Ur*yl;eoBQ-jw;k_`Uaq=*@}%3E zC*QU_DYGiFmfShF>yDpG-g3q5lPBEPJn@$0N!hPL>z_O3R^9Ql$y+YFeRAJz&Es!d z9+&MZw7$7xZq^+?lf31U+b8$j&iq_<Y0q4veDyQ8HIKb5skDx~=Vy?&{M7BvPi3?A z%#FL_r<bRG^0wxuvZg(A<?_{!-(LB#ENRbNv3&KTw>3YOIh9zI7?o(1DD5eg+B26W zfBAviE04ZC@~Dhy&)k1`>ici6d|wt-X#Mf7U-kCM_uft^w|;onuYCLDyKh6vtuNm7 z)5=@E^S0-^vaa28=ggTi=T6?-TY2i+teN&+&3tQMV3jvR#+;{5`q?qw<i~9*3lCq| zk(hSJz$kCVS!uV=Z72668syKAFhBE@H@WigfgOoj`4Xq3(>}FT79QTQBT*%P#tCV* zPi>WZ5@qsd9FuPQ*cQ1bQ6zuH5oxxMZI*iydGco*l5YFZCb=h(C4a^N>19WG4?k*S z+>`h(Ph!9HviEI~g@-@fHK;c4xyL)X{P2Uj2Ib~GcX@-$4_~-zpp`dcr?lI<w$9y& z5AtS+nDcZ=KYQC&xI6Jyp2Rlkw6|@Rg@;$%HOMsY>6Bh}gtxiu@SM8_Y34pRd7U4% zDeg{myJL`S-gARjxa@GlUGcCP>!X(oZ=ZbY?UXX>nLFls-SNxVe);Cxlrrn7cl|&h zX}e$IcHtXuzm!^6-t~*!E`0s%mQw4?yMB?|g|EFWDJ_3dRQ{l-{6<mvg`)BkMdb&I z%6Al%Zzw8XQB=O5sC-6I`GlhKj-v90qVkHO@`9rBjH2>{qVkBM@_?dpkD_u1$lRA~ z8wV%TljFJU^0pOkE)?EYWbczU%eqsxz|i^FvS+#NXZU8wCY9`XlTdk^(XIUy-xOIj z>peT(K3LS8(td((f_=|*-pi$j3+@_3Z~uHK<L;r9y9N>FJ#UM$cit&GoxAmp+3DPk zcdkY4+!~ta{d8M!p7zsifqC3dxB2J2ezMIs@AQ*x-g&E^Z1c?PezMIyFZ;<h*F5hh z+nn>XpKNo?<9@QuKJWG8ZMJ!*A8)hHTm5*OWnTB=ZRUB|kGGlTc|YD}oTvSG+wARE z_vAjyHO!ZOylwjSt$TEPZin5Q_G#PX?X`u`;@hY0$$gTmnE$&X`uJ_Ld(%E{>)sx^ zC-+gVV19K)^x@lL_ojW=*1TPGPws=<=sV(JrxzW5oZHUV+p~SYtXTfs?ahyJ+mGyK zesuTYhj$4T<r;ge1@6hRz2|ExZ$GfxxqCNr_ip9~cOSlg_n^G|#cu00JFGw4mHqaP zud2L#&u->>cOSlcmr!25Vz>2$yRsp7WS_m`D=Kf_v77nMUB#n!8IRt5`1aj{vhs}G z)*J51UVF!<{*EuJynV~==FZ*Dox7QD-F^7xT|!y8#%}8gJFF+%m36x#d+Z%wQhED^ z-OM-cK79S|L23DmUDgG6Ww*WKiz;tlvzz(a-G{H9U3mKJz|&_No<2L!$jr_cmS<V; z;6S7ElxRK~3zMI^4|4zKy)M7K@AkSKy7zOx=dCWkz329$yWiezE8D(yx9;8C&^u-C zwiRvf-K~2kH~5a&(cJraugh+4yS?dd+1qXEd8^BAZ@E2dM{eisMR&iw*_O6lceifm z?MZjbj^rNC(=NNc;dayAZ?Ct-ZGXE<_j>O3yw#<**W6CJ`|VZT%cA`SJL9+A(T{pJ zUFSRZwBJup{Y`pWx3Xw|z|Qz(cl3kaP1pF&J>~b)lYbvQsmm<dZ?H3d+8uqbchgnA zb5HvH^u*t!Cv}=d`vrE!x82cqdN*C+JNJa&Pmlk7^tkTj!RC{??T{Iu(+5wSU3lVb z<B7A0C(b&aIIDQ#EaQo@509Tcc>L_b<7XR>pG`b|*75jR#p7ogkDq;b?Cil~XBQqj z+j#73;<2-i$Id1O8w(pB({1<mmY6zayNnum;lNXdpD%8ls5qS%Xejvc!?fl^MMd_5 zpdc-H@S*(l!S~NDlov-77C+c+`R1<7mpr~obM`&658pj|@ZGb3^5O-%Em!QYJabp( zNgiLJIs1;;&Rw&ayJjE0efHqnXBW!c7i=#|us(CT@3-smzmp!<9W2~`XGeU_9evYx z;!@vF_xyG}_IFd|{)~J2Grw~``z!RgZs(qOo%iAr-@Tvyb*kL&b5CFYow)e-?k9hR zD)&3w)9?S@{rK;tiv0%n^t-=zKl(eVV!y&Y{r2zO5B~;L>=(GF-~7G%!C#?@{abd# zN8HhG_<s8S-$&*9zueW=ekZ=~ck27Pi@W1Ly%XQ_`{}#7jl1Jty%XQ{+x6&Qqw@VH z?&=qQ=f3lI(xW=Z!u@;h>gRp;zWw)5+5R<m_0`{rZ~d+Mw$89{|CAl^7v4?Z{QKye zI?dhj9(VLpzMt;+o%*IuaCiKncigYJZ|Jsfoqf1-cJr;Xif^AKJbD)J=vhNqvBhr7 z8Fyt)<?*TH@uirz3!1lYoZWomtm2zz3}wYXc3D=G78c%kw4n5~VmGr``)a+IJoB83 zlUPqrz0Di;jyLojZ^%2|;CH-1?|1{>@dmu(^?%3f_m0>19k0(jUhj9jUhjB4-|>39 z<8^<>>-LV<^&PLvJ6`8^yiV_U9pCYS77HJITz0sxy1B2~xv!eJuljIrb#rgEb8j_s zZ}s7x>gJy6!_W3Qe!e%MGXKCH^CLU9v;<b}ZY%=zQ*Lv7xyC!Oz4GU-_&x8YulwEl zy6)z#_$}|IulddTx^CyLyHCxg-;U19-Z}TF*|gi?dC@y#pPEg*9h~RAQ}(IZl-vG! z);sS$F`InbJ5PJ(+$Uy}ZoB76?~Hw7Hu1J|9{0}LRd?({^5$!9@1Js8{nT6Lr{5}z zep~FUopr~~CvU#$_Wnt?)la@{e)7$-=(ohq+O9ixE_w46L08`uzJ3gvx3S8rEds5H zJGPd;_jdZRx5|&d-2|_Zd0kxjJK~<5LEijRx7$B0+i5p>_4)4OfASjcY*_fQ>~Ndw z(cAZVJGY<P&G@nG@WZ_aKimtb$XD27&T~(i<vnlX_cpQbY+~Qp4pbk$zxUw#dl$;{ zBMS2$>^6UMSNh94-pcQ6d#VrL-Fxuey@2xk1-s2x>@YubSNh32-oo!}JF1<#s+qg0 z58vK<@a??|W%&ua&DY$O_PZl}<sEP4cQ)bgZ9?DKwp1U!x%c3kdjVzn3WfO!yUlxc znD^Y3KJtz?@jKgw>ciLf9(;Z8LTUbkUFJD=rMJA}jr`8Gruy*JwF^(LZ9Kg;@$_29 z(`yw^uVp;F_Tj0u2T!eCcxr9qskMox);gYAt9WWH<Ega|PsV;ux%%LV!8yI{lTW|B zQ)IpM&N-d!!l&QvDY9OB=bYB|$*11VDY9OA=iaWhrSTDSOTCM0V?6Hta&fY=GQ0N+ zG6VceMoLVG@7^yCwrvTF6|X-(fBgLN^Ua6a-(O4D7y5MZ<F%U=){^<pAH5CPGq-cQ z@W%@qCVaSN30`!#Rv5f4d+p_yB~~Bv=9X{2{I2ZUUB6woS3W8`ch_&{ZOL-$hk5B; zw>uw|?Yrx@{r1f=>zjGcZ@slCw7!~`e(SACp|$rNzb&^b%dF4lrQdw3QfPhh9rx<u zBWv5#wzH{iZ&TgQrn<dNWjmY7_BQ41Y|7i)l(w@eZEsWD&ZfA%O<_Bm!uB@#?QHVf z+vK*h$!%|w-OeVvy-j92o6Pn$>FsRN+uNkJvq^1llibcGxxMXdbn~;dil47FRAzS+ zX7AWz8gWl5;f|D6KJS$6Z6~7-cSkpOM>juNtN7^}LuGcw9#e~ZQd0T6J=@zrGwg?> zdE2(P9g1dtxHj>_HHC_7jy<MZc9?G1Vajk%>R%pj-S)P9(TBUDo7s4!Odj0L+Oga8 z#$BmbdAudt*+jRu?Tl`|vsUrlHHPwRkHTz+!t9FOraLk-ta~=*#ouMy{kQb?>$2;) z>u%3{Ql_-C_DkOUJ-6A<KR<W;-12kn@2<JGo}be{$A1q0owfd4@*;Nmc6oNW+wF4f zvhCDsuGC!0tM&OY<%8pA%g=_pziNI~eCYhl_)z+rYwl;%&#<3)e){<9Ys*i!pH4sR zep>zYHTKibSAn)9*n+xNNl&*e2Q6t>bmyBx<)bB>lW)(1R7$s#mw=WA=2e5PL4IuL zxBT+UKf6k;=K3waw$}Ldw6KXm6a6N7O?2Bi)oG&LM5~Er6ODFG)tjg_QEj5qM7f<) zr6!6^6q?94k!$Bvwuwv=|4sNc;oFX>pC-JU@M^-d36FM6y*J_3gliKnO*pq>>Zu8N zUZzWD2Azq{<axRDN)VTGRHgRPDSlq@9<d&(`Aa7TdAwYFCGb{-cIp&8FVUr)K}Vur zF1!*DrJ*{7@17Uy(#A_-L1IA%qF>Ix;(tp$_M-%E&i1yg(T6*un{TaE<OQ$3H8$93 zth3WtW2dpoPGg0g#^A-f6K?Z>3Y>?J&748&sh;dO+wi!pSIQ*M_{<LD6FZD^?n#=w z=b2f~cE;Md$NKQoxd%U;V|aWnpfY{I9%G+-l5uw=W9~?*yyux%&UV83@Z-4$Kc2f# zk)E)}Sm&Ok$a|j7a<(JZhab*8_~BeYMY_TsW1f4GEbn<5%iF}t*~H4(4p<+)KlkAK za~HNZ<@>)kbG|p1@%_1i^7IY6jj!C5d||ZWgu(U4+uGgF_sPlHT2>X@`F7{U#*4v= z+uhFh+?JEHoozeIcBbu&?Y4$hdeu7B3133)eGB;J^KH+I!i&n4w}o#q-~4>z@r}zB zw-4Xgd}HyA$v2uSZWrH3z7c%G`G#@DZRH!nH!d$~cjbSOdp^ED-ar0)m)ySF^Y+T^ zowrAB&%E8Y<#x~8CAUjX)V6rLZINxEZGo+3RluEZd%o`Yy5;MJuWRmpoAV;@wdZTY zi@}e!v9Eo;=J=ZBYub<G@<*QUn72`G<8h1iQ{IQ|T0XtKyj*+h>czo^<=Rubo4p$! zh5dSV?bx<5ZQF&@(iR<AD*|4Av35$%kr@#;){4A2y}J8#*e=zplhQmlE(za$Q4h3i zyh!?J5omeb_KTW9iySJ8q)Q=7^e?J-^hszINvneI`rCd{-mdDyjY8?G6Pb@o?fp38 zK2K5McF6~z+4tRNFC5!e=JeLg8MG)eeY@nDQyvp~cbqi<4?xU5xVl^Wa2VU#+dPqy z8;oK~9~Rx-0y$Q7_L`7|My{uFgGE5o;5&1ZHo15TsciR!tmWS330dc2_VvL=XZOC_ zYBIj&vvN$XW-KbaZL-+Y?Q+`WwBTpC!fC-h%TC{~tUi=iePG|7T|4rsw^ePa+Elfn zYF%EwxL)*@l%0Dc)<vvzdiu?8=lUtX-FE6v`E9pz{p8<fJM}03*4w#$(r>k$`jdXk z?OZ?cx7beoiNE=FuAlImZKwW(-~V>3@BjU6hkpO>cRSYi{eHGXzwh_G9qW64U)!PI z`}^FE^*z7y?uCEeH}m_^J^4Mq<L+Jmw9nvObY=Xh-+uSLAFYkO6aH!6#P6+p-aoFD zeSf<m{@8E5d*L7Vb$)l<^ZsEi@B8SA_(Q+r4zB3Eona!?n|IG`@x_cUpUglPyieYK zF~dZvbx*I|y};wvw<_Ev-@kYy8@gw4XSvA7KDm2=hpnS3+&SOBcpxjfXK`csmZP$% zh3<^+U)-1dx_fbLdC5`Pr@I$dmxsLXdv-T)k9AeK``dRJcV(CEUaa-*#U0tHyB8Oh zi@fVwwqvozyBD`*OLs5MEuZqXZ{6LC!sQ`v`<C4e6fWO#MAmip;`H(>Z~CU)4HPP$ z@}{qE$KvGjkT-p8cLO(A|0;Fg`!3_U?9*NPYc8%`rY5o77X<Y3X3v^6YnDttm=c0e z91tqvjv3=U-}>^&_wQaQH($GB_K$bV_U`t4pLc5a><{mj?cROzUEZqQvtPVZ6D_}d z=dMb*`O&++1?9nAyE%{E{ZeMW^R91hx$y0~TguGm?wEb$-LfsaPae4&@+i+~_v~}; z)Hd&4`6f@P5Oe~v^1EdlcYD6c<Jvv@z`JGZcb|Nn_iESd9q*Q{-R=20@6@i@8{REj zJ$vQpvqzq$-6}H9+&Od89iNC9>tvp>-P?Y&Hu8@6hkf<mzwXYzUmJdB`uly=->>e@ zzgPS5U3Gc<?%&t$PJg#g>z#FZ{LbIU?ux(Lr}?h?(Z2lerMvTQ*WP@$yX?O3_t4$> zw`zUwY(G+a@m+OU{N~@&?oK~ad-9$2qkYNWMR(`ls6F`Z`qy2t(_eqfi(NarbmP0L zy8e(v`}80rU)n&HFHJiRS~orA&L<Veq9Yy?qvez!%T~=+mAY?!r*Tbo@2-Whc^cED zcRg*(+PN??Ph*;N)zh}9oeM+rG^R>hJ#F*axiBzKV~X^zCv8?c7y9ODOqSmDq)lt* zLeD&nNzzqM+N5?abj{P4C~ftmjceyZ$2^S*(!U<J{o1k6Hcz8pde`H&S34G3=4tdv zS3PdKwPRs`hRCi;?pCLT7fwfOh;X&~-E#toeG=npbz0cHJwQW*%XCkx)53s^2f89$ ztxkXQ^w(V27p<mk9z1n+=c%(MPtzt98N2SBx!{hEf1aAUdGO@fohQ$3d6E`XWURV# z=7c*w?s;m;=D`zZcb+(F@+3{D$e4BK%!WHY_IYaZ=E425JCC2;@;L2Mq4ColGYjtc znCGcUn+NyK?mTwZ<Z;@hLgU<fKC{e&pPg-~G(Ngxre40<>Dipe&W2PP2j26UY<{_W z_Q|7XRVs~L@A*tHzx?>@lL}+gdp<qpmmi&7Qemum&!@xu^24(!6~?0Xd|H~D8g=t# zM%?jfFu#2N?33eHj&Et|?9Y5Z&9h&#pR@mE-^q8=R`zxFc^>_L8r;<0Zes;%YCoQ~ zoUK>V#;C$9ztW`AsM4S^=|jxDPZ6IEJXrZ;;ggwro>m@w+~ht<eG>bpY0oDfpSXNt z`^5B*)7&SjPh_9?e8TY$)0R(YpO8MmeS-RjY0Fvpx#b+@*~{&Zo@X~t)TYL!+NNr| zO{GnRO}R~(P3d-<5*w|GXBAH>9_3X&s0jM7;6df%!rf0ZA16MJd~A7eaoOp~$C8_t zv+zruzp2|V=`YF8DQ7fK&?fnUXn@@dxsdl_v)GR|-*bGspmckH)e5c`G9i3Y<=m~! z%NiCn>~p-Ew=g%r?1j`9mXNn%v)D`-bs1fo=iPNWyC5|{D8T4NNAwGc5FRNu*5<mq zPJ0)`2I##I3wbRzi}h$zT<OCi=?2K)vowP?Xzgo}bb|(H<z|sI17wY(bc3>6mw;rE zG=n1eWM=6GIq+`8WWRUQIy*Z%U%rJ<M|6cj;1-0sse8F&cIKNjq1`hN<*99${ql9% zr(H95<*BWko%uTL(yp1C^3>MMe)%fyRgr$->9kct^cks`FiFTJ_6QdsCjqtt!$F z+&O*O9q*ubOEta=O{so)a_^HTaal$BhC8QEyW{QkZmG(5p-I&*PwY*35~o$9FSv7h z+Z}JGcS{w%3r(ngd3^7a$8oO;^*`>IUUtXZ>fKVA??QdmFOTg_c^tQ@Q2*kN>1lVo zjovLiTkZKd?$n;?2Gf&do=tb}QSV{zdH#CZ@$Ti_?cM3!?ysk*ce8hcT9UWtLc(cx zGGuXV`65tD^5k2-$)J|x&byXLpqAuzYe++@Y&m<stX0vScc7jfTkq|;lGa9LX5}X3 zM)~Ci<w<X1-bK7Su;cE^I}7j3yi>XH@uM>LBK0EnqUVLjKb9>oY%feNbT3r@SjJxX zyx@4j@`Cn)^bg<`8#Ck}>|6$e<d~>KJ9r&b!TY8dC-k(`l<eVkP=359=}|6&06#A` zXFjt5xDS)d!0`Xiudq98kdv^@7|P2^OSJNs4b;Wir#~`dfb4!`-caVGd-g~!gBNI7 z-Cec@mYW@h8*ekT+}+Q}@cYxNU3)jMHM}l+`6+JGp6Lm9yr+H_db-!7Qh)D`=`nY_ zCw&ikvX`Y&-}at&-}j)$dyiD;>)!M3`X2OXZ%c)~>^<+c??Dgux>V@%-t%ty9`s=E zl}B+&8(DUI+5TkvmGa$Nk~;G<^F8x5-<NUbzsx(Cw=%EuU76?6OLr#S3A!V6=WS4z zs)%>Kcb<3dcJCbTY;W~xSEgOco9?4CHNq*{D%xmwtX8zrMwe|SNttiTJaaU2ICEZR zpL|`mGP^T7Guty;^K}_#_DgV&z$<D~!cD<DoOd!e9)48DUhq8sc>ePI^rLV2?w`BY zchB!0-@Uiz?)KgFyUTaCuj}^Qow7UU?vULvS7f`jM%lfxJ7u@ZZse6;EAxA^;_Z^R zGj`n7+}OOWcw6$e;IiG$N$ol5N6y{oyYbfV2H%Zy*ZZ#fU4P4W{oJ*_Ykt@GuDv}6 z+F!lpj@yZ*r(ILEwhOKRZ^6lJfoxckcJvfdaqqd~2APj_*v7O#v|$ZH!d*7-{_3ba zZKys`&?0NDDYv;mi>y;l=CXnoStmW&)&yE)%~=%92wG(QVaM78@FMFwVbI+-+Mq?& zJ%!QG-8Wp2LA14y-8b8yyKlH4yKl5Pz`Jk09g1qaFRH!W3sgD?Jq_aobu(t%(b5GS z+deT`6|?|auxPaicwv0r)QUG3CNdvidkL~WJ9N^<l`}glSBpHpB;@vVtq>@!PTKAT zS)T0$TK?Rz-K)K=wWWExS5u>I1j9Y8znVWaziO@xc(gn8R`8|ZQ^ALVckK?{6uc^U z(eHX?@UGO{7Fkd^UMPLgjaSMz<&GP~SJDqJ3Aw30&20g#X;scwcCfQDGrH#nnVs$h zEt%G+kXGEl%6(w}ZH~HH?H}Lrlp$M++*T|OHdHT{R^-!T?b?2uBQG~6TRl&C#nPD? zjb+jgAvbKanjY!^??V!O@iep{{9r6=*>=$@p$_4VU0lz!ChT6Tu#Ra5S5Qd9qNeS) zx$bCXtZrD_w3W;6PS}Dl$0$}cEsa3NTe+<1qBBAR!WcWbPULAz1RjiFO%iPhWhje2 z7|t3eS`vC7^x(Bz)+o`G(1y^1q0^(ec7VsxbXmheE%T?-m_VC$3yZuRAsc3vGMof0 zLBA7Z-~wuz=V^j=?efWP7Z2kq($GA6S4&e<Q*+^t(4e58ps3woN~>^nOPTiEyIyJA zgKn;Mc@(C!d#c+VujK8MZmbn4({8-$6~BGb^|e<@wF~chMQ@*UZS9m&?ZmrY;pIWo ztvgT8-SX7$QjvP<&dD3@c!s}I(Jl{~YTbEiuE|rsNk!_eJ0~x=<LUoSMZG*|vUTUl zxm%w21r@2Q?wmZ~j;H%O73K1viPoJb=9)b56Dm??-8s48j;H-Q75Va@e(TQTbGJP9 zyHu$DbjRd^JD%q6RHVy;daXN;%{6)KH>prP_nzmh@}M-KXNh&nJ)NzMcinz`diAJl zL#ec*wo@3(8jkSTyi!PTtvx3Ss?By@1?_JWR^M*r3%X|DNf<jMv|jND3bCB%kCuf@ zv8<j9+M8^eSDFD@uV1-(a;N0uOP|8n-Bh1G>5i6#tOB*_11;CzZUwsSqIJ7?n5+|c zE6vh|V?2_xXKfdOEggku;)?NbbF#Y^^x(#c9V;rl9l@KDbXg%y7ERC{8Q06b4|46Z z-Mc56>%+S@uU_P71{kV~vzL22Lgtc1Hmsc+;Jth40T<3K#+#$LKpi-V-Af&GdYaZp zKVN#_^|wP_j@NU8daPf5^4qj$a>5<YspUdX=bBWi@7*yu=8os2@}MVkSt`|S?|Jr> z2R)v9q(YtbobvMdN)P3e7Af&On0&OBZQBk%`=ehgJ9ZpW(c%*llj2(Ue7E2J1Ep^H z>-Ajc-IL>y@x4=fZf()^m)p17ef;$0+lyCW61zp8`L)L1F8y_Ot!YkXws;%ctl}j_ zu7X9s=I-#b->Sd<wax3O`RU>5(dkj?k=HBRwsvYJ2Y<HOY^1N991|6nF4p7edFrJ2 z^_fqZHW><i`e?OTMqE%*Ty(v$_Qiq^7dCvb+RVYs$jTfYlP<<>zt4XEzSn1>7M5>c zKD~TyWJPIlS!v1jnM;-UT4QAHl%_2$h^{Wq-hEETY^#v^l}qc4Pala43!8ScG%YnX zbz*G#wdvPOXO*t?->kh^*I0M+n%5?WZylMkab@M)h?&!;8tUn;7v?^;MCIv|?$<|( z7;j2&{;ZfA(bwJ4)7^Ewb83b~MMgx$+=!OOhNec{b;8}P^7rS*-!DyCdtvwO%cpny z>Fn8Mx!Y>j>mxye?M`}p@2oZk?O3}vR$}|M*GH0qwF{ke-&$?-nKRL0w?TT^_0G_3 zc5@>V{dA1JnyJmH%eekBJZWj*`D@k-(_WwU_%ZQkZ|w5>9aG)TXrJMKWA%66pIv`8 z{n_zn+q%pCr}@1vzxO&;dMG#TUUbs29ez8!CG@p4*9+%eI@($}rDu<yg~##gqi)Au zw?xOxFX$|2E$Ap{zs{My!;bSh|2l)F#@BN%h`pZMaGmpb($c_%^{@Z_y0$(d@!LA# zzWk*ZPe&(y2%BNOTeR(+)x%fUmV>s}i?ZdlIu_0?&<vYpzWr;K^zEu0!q+*sZ@aW2 zD^tyD!OU3oJD_dwg2~!FT;gSO3z7;F3gQdm3StXl3ZkxaZr^(8K<dH7gRyH3R`q=K zd-1F){aNX>%ylMyXTBQhU5{R85H`c;)N7s9F_|%Uj&r!bnkJ%{KJ!WGG{-)P-RE>R zem%+h?P=-U$k^A#tvhcOrDa-f-n7n`J6kyT*s^D#3sd64K&!R2L?k9pnlf2`9oJFT zlw_4Bk3$z)=qVZLX~l_pEqeH1VdBHkg#v6$Tx{ERXo-l`)zsJ3hPQ5VdY|X7|9(}# zhgZ+uyn3;Y%hgqeYp2DI)lQxdw|#qdtt?a{Co5oqgXeB75siQa3t~l2b!>cnL@0WG zOhSCz_0FqX?ZRiQ)>WT2O<4Q2)y55GhN(GOabG>3rKa|HpI&X(Xs8L=o4Cq9#=*?Z z$u90|=VK+M$BQ0?&R3D*lamsQ`^uT9upl9!d$nES!{gtdUoXF^uKnfRw=bX8{bE_4 zcWzzZyH)-nM;1=#Zr=TBvPfQbeqL^P?V)91k6NN`h0dR0p<I~wkHvRy?`EA(R;Lxh zrM8|a+#7XmN1Sf5PIOG-DWUb7eF~R4s@Rz9Ik)MMqgYep^~h^X1xM%KFP--F<HNTv zW78w=&E?v?>$T3}+1`rc<#SUDG;Z9xvu^X6+_OhGx4zc#)(&hdE1R2|rgG$jTTJ@R zhzRi;*Gs2W7EVo^nmaW!Ha+s%FP+`HW*W^2pMAY@=}x=3GhWX%)SDY_u<rAzO+|aX zEPp2LeWmotYQ0Lhl=_pZ=Z~vIU+sv?Es4{OdoKFe>v4!rOkz*pobdMRm90DM=3ZE+ z{5<qwX`JLblg1`(o(ENXokK<4S6vfPJh+wZwN*xXT6k;FHoL<o+<Ia~yKek?!u0#o zF0Co+zH&b0IwdBes}ULA+5{<SRyjaR8c_~NNfXZc2vX9la)6dJTq=-~W|af9q!HzS zlr*cEA|WMBr~;&<31?jdDQQ9*moPA~a7@^?nu(EV$&t{;1#@S{e)YWhi$_`7u<WXO z((B3GZ%)5n<-hCN^U15#qy4wcONsr;c};CukMG&l|4!^#(^HsPc~#y(O;uApKIUsX z_laX3CyuZFR}kZp5)-oLRlm#OgU*K!t^TLL!y>|yQ+QQg=g$wlGxOu`ht{uwls2#U zA*Idge*uuvW|e)=j<vBf%(rh_{VySUzIM-<exX;nY@Cr>KHT1YZuLG#r~P*OUhm1; zc<IO2wFX(YCVtL3S{f&~&bYMT_4OO`H16HfJA0e!aoODP(qgR_uYwL7T|3=*<GmxL zaeLPq$Hki@B}{kId1JNSYmNVA<1M1gj&K<_^&I)N>FYV==T~oVJ>GL^*E#KtTaQ(? zK6SZVS^CuFSm@lGb^cd9mkIl*PY*8@O0GS$Vo8kt!HKV)91Wf8vkTNu$=S0?*!|ei zuU(xTZQ-Rw5gryA5+@$5@{3~l_jB$0=)|x$u~#|o|GIMe@b2jPhaROt<)P|jC9mfP zEKxS??wZggoRt~Qnv_&K$-&xqx7LJBUnSkllHROxIC4#0{KoX_p^YVL{T0F;wl!oh zp4_D+u*TO%a`vq7t7jlF5b6spFIK&TCW={*(mwpEkBN+piO!x?YS6rywdup--=AOa zUiFM??{3?@dsb&Xx_b6z=B3!sU=R>~w`$g`?C`5L3#XM`l~=o_4yvhF|I>(`pO~V2 zY~3&CoZ6zs+RE6k?$>|)Dg2uJy8T-Jn$`afM9rUJWM;a4*36xD;0n=H_}H&0OXk!R zUiA@wy%|&^uA15RT6kh%;Kx-nJ6{)rs>D?@TV4wX7;HEiY8<)7A5<r<PFoi-zr4Dt zGW_h3==q>ZG5l=EM!Rqck)pza@UuP}?dH$S4nJEGef9R4lSh~CSS4%$TBMevzV1~@ zZmrea6_%dS>#YnQE@=@qQBMmmE!t)`cfwt1xs`ryF;`FCkUDsur9VVH>ANJ`x2L7= zmz?IDK3P{i%5T%01k0U}X1)AjP<#6cw>an&m-p)L<L~TucyIR9<LkpmtL*f2`$Ocb z8r@g%@7b<=mHlpa|L#oLm3EKTy<#rvRX7@2dth(uRrap0Gaq_<y;v41pZEH6X1n{U z{;jKjB}8W$$*i=yvhLO6Bfngt*Uy_8d$s+h*W>1ve!=jsj%s3T&q_n(<L!3U6#TsL zX<})pe3adWnwXO}&g{`zrk2*@efreuq(cj83PaDFoO-PDC6n=|RWl|{=<n<84nLX# zTCA$GM{Akl!(WaEzv`~*l03mw@K?C1WJyw};4g4nVwKwMUpk<gd(|vhtY%GHd){D^ zU~>3X5l9^ne)Ub%{8{0%qGv_TiVVMc1k$DmJ$~l&DT(RR!b@AAH9()9g0!-t{Q6ff zc65N+f}zKu+1yG9QYo!chL${5>n`lRU3_Nus>L9nd^c3~`n@~1*3CM(&CYvHfcNgK zWu0F=nckjxvubAQYvZ1rBR4{gk3y5Y@$PHs&qAMtEelJ#7HYilnmV}9F-u!_9_*Uj zmW_7cQfKSMqrY-`T59%WEq?q9T<l~eKl%ku^;yafe?iI}H?h}~-=AOqepRpbwdZ%| z#oY^){&?*<mvQ>_i05v*R-3JgxOzL`Tde41{$f@&`Db#=<kaqk8h$o-Z}8UOwZTh+ z=j*ywG6nM)^BErvHGF6gn6NK#Tde41(5-J$%Q{ylEt?wAU)JXNXw{6=6y;-w)^%xa zyJTT_@S3&Z{%h8TdkuE3>$=&xvvp<b%+^lT$E#+9&wg!ceBIhmfBh+m!$NLPpM*+k zhD$x~{^}?GdhVnN;i)|}B{?Y}d$b;d4h~X$7%Isv$$s5hlJ)xP9^G}PBu<=2+B;cr z!o~Nidg?*lzEA5;t!OV#4`2RhRZj_MW39%zQ!|p5Ru)IU&xwAY5`Dhn(W;(o?Ov&< zTdjeTzA;lb9`Pzp+ZLxAecq!i)J;gcmrHhIosUkBU`}hJ+{&J~@YFv^Pm+!#-ATH# zODkFIap<z1v#Wn)CLQW1Of2fVqOI+;>i*NvzdF~Y);zc94{-;99jmRtU|a0fiy&b5 zc2yr3q=lE3fPf4%AuPTgDhmdi*S+EZffbdZ4q$M4H4_-@+o7cZ0uLUCGJ=8VdM=Pc zj))3~$^&BItSlh#W;aA-zo;U(l*skjXa`PYw@$zk*<x5C>xCsUX;>nY-hD0oap>c) z#bL?ULZxAe>|ZK0k^OCkCbF;Rp^5C(d}tzj6%S2hujFBg%wFvE=l=Ka-=AO49O3jT z{(k8Hur;Rj<<(W<&n`??mezK9m9G^W;1_rGee05Bl_#Np<)nor#n-=D-r-S^Q4zWy z+^7lvn$5W5(fRkQ>S}8$s;k1kp57gt7+xM~ziX#RQDOMkX6J+7o|T2}-)1VTer4UO z>0yg_-o9B?m!|UP$e$B`++wcgpV2?F{xtuY_pevg#p&0@)J4=q*F}YYJ^g9-sVN&P zLoIxLBn<V|bEV&8)LA&gOMJ@Y@Yd>$c9{!o-3`N9LM%?MK4kmLgZ0dAErol(=4@WQ zc(>MquCJY-j=-u0&1=tZ&5phu%6RiydMCKjGTCV732HWoZpf)^o7gL{TWbNVC6jRd z7t0=|J4{!Y&g{}sxb_R&MG4(`=4&LVGrFqC;M#JPr%$?9pV}EQzjxw<{_v^AG4ny4 zl<=wEG4q?-+gih?D#y$(YG`T{Uv@O~X~d6@e<LmTuRgW*$LZa#<e{#6H6P}>dYJ3} z-+;RAuQAkhU)Muj_i8@Wb+6*D|N680*X>`If1Td-N*?OEt2@qo-FYbF*p590)53e3 zp#@$cw7`>k4k_@i?tm6}#{?k-UX~2E{k|Rc($AOQbGu|H^l4Q=e{W|`cX(^C3#h%S z74z_y%pRQwEc!iM;uWDg#9l*|VB8CR_~Xm9=MUG0zkhSh`sF&V@a0K+x#mXei?g>s zT2-KV@6I*ro9no)Z@Xl1{o3ja!mmSDT%5=?y)4wiRQUB=)oZH*wtP(sZ?%rjJmoQA ztwBL@c&jv{I-58fJKJ~G=d8y|LwD%y(A}|S^`Xpzi6?hx-MP9fO)ZV*^y+D`hgK|^ zQ=?dU)ks{sm#a5sy;Eo3l}*Q2Pjj>~Gt$#iircDFxKz_x$5=;OM_ET&hkH-f%!J5p zBeB$m)Vgrp-`cOWqXUnHZwp@*-d28f)1FPcjdpL^WwdM4&ehXaYE0Jd)^67J4s2U= z=IyG~{MVb;tnFEwv)1SBs#Nu`Sti1&VG^QU+wNtSUEQ>K)7SQ}0+wRejw4acr7}DB ztv+=U1fIr*`hh^-yH!PCP!K+KG6-bvUIkjzJ16E==+h@4aAuvBItZ|rg<4u#TJBs6 zHX$}P^xc~`Z{GNPUX>08)52}7VZeLOtD6uo^HJ#eb08qLzN)OO>{!Ls%?NO4^}M+t zz!~=yWYn7Xs|sPY$Kwyzp3jMuy&L*CWQ{+#-0Ag(mOE0%Vdc)8&aaa0N_@KSbk4n5 zRhXKh95!R4s2k6lRfUPKFLVBldVf`Pd+Ak+bzfihT<$s@mDtmFWyk8(#}adsPTjb& zXI130U(n{AvBZ=KuZ2bD_jXTTR@j=jXO-mAZt=-e`X@|$ttxw6Jnrh6!nv7_78(_y zn-6@=ymp-F!=XJgM-w<#Zda7vcxXyz?ADx|rJCH@%-X-TK5M<!y1gq)GJIxOWSHc% zp4HQ0*P6_p^*S`;S=AYdY2kZ!wFG)vzI?PQTpxUJndJJat2^wj9{t*-?W6RFt9Zwu z6|LcWjbbuG4mlna3*XBXlNqAX{Z;G1eXhsb4}JL<`!(z6ytsQ@iyy5mzZLuSRo>FT z*Vn9HhOC(%ofxw7>jmYnT<=ze7rr)Ty*RPzYuQz85$)c~YkPh7S`}Vhy>;rb$|Z3t z*BWH1g-NJyIZ|b^d3D^}sS_2V6W!K?FBI>)qP=l-T<=<wbE`LKZ&<xv^jP-Z&Dnc} zxom^hZ(CP&bnB&z)V2E$Md?3Y6(0Wj%rmLQS!qe@%-Wu^J}j!WN(>2FS60+p=%g>x z_~22~hooAsb%qnOdxSp~ZtctF`NF|+jN9q)FYv0NL)=Xdf2puIerPfPAIlkkpY>pV z?YaliYj?3b-OF;^v0I@bVf!tsT~}8{BtN@0LtUgQWg|zUK)K_D-@9MUl#jp1wQuk0 zc`w(Vx3=12y?gb%t1A!goVy@$_bbUeze=)`cfXq1^;J^ceEXp}pd>bTVeHp6xoZ`z zjkR~blI;A-X_n;kW>tFP>(4o_-@HEadd=%q=`rEjJ<oo5tr1NNK2{|WezvP{TIsT_ zhf*TrOtrPOUw>Ma9`)L1$>J21C!w3=B!#6V#n;bTS}|kl)YOWrYu2n;b8vOq-`J~X z`t|c!@81hO`{mkmS=oDY?}nazb>+bwzYA+(ukz&8?%KLB_Nvd(Up<qf6Q6~wF-Xf# z(>N0}<KS8Y{k+%l3trurnAKJmx_R5ywl`<iyjhi|a!vh4hvCN6vs%~sZ`R$cZ>+z0 z-RfC|v1<)xz1H3sHp5qPhQYcn(Zf2YeYlO=o`!BrSz|Keb@Cd6tXmViN-IN+*Lt|0 z^f<9P$<|2C%t$Xz)cfNEfscn|q~b(Z7u2pZ&`H|Bw!Z5s6U&aP%OaBJXxs~XeXPte zBief5wkT1pgMu7tdK{UrHy@E|F54C*;Ghw`maA2+(V@&yVWZt#<zo&4%#3fE1h(1j z-?QtQ#5!GpO?n(ruZ3B31lC=X2tBq;?b%ZWWAOPbCr>jz<rbebaYEnp=HuYAO%I=D zeDupiCnkALz;i+NmTVsOW*LrkhJG4fI-13%Hy`+Vl964e;6u6Pg9c_kiyOOT3Jx%` z%iM_Nvj7P^(PIY-<WFY?2{a2sXyz@aLAnkw-h2wu^?>0`(E&$s_Q)8SoLIgs*W_;% zf0^Xk!MrBA!S~>S)oEK7tge1^GgfNP?$v3G>&}Cs5sY`NPJ6i4So6+<pana!u1U|1 zlD>T_bhF<YunM8@v!_le6<%Gl>8q!iQg%-3#0MT{xprqwyYcG`)9GKQKAn1X>d~o7 zyRxob^P1ec^-xOZgpD&RT{A!@1++L;x`z1eD^QgDS>ftn1*r@KJHZngjo~c`++q#& zb=vDX?DpC2wXxZ){V1>Y+QqeDNAF}ky(Y0kTPd%0nZw3g=G(8Xy6_^9XWE30t=fxn zL-Um-Dni$Ym8`G61@=o4<GS;9`}d2o6|`Qw{W$tRQ|!ins`-1Z%9bP@jTPn2pIZo8 zXtXLK|8?-%-rTpVBGj)v=PI67mKdTVEPkx=$(M;;p^sKYWJIKC^IV+R<@#t<MC$9w z;1x*MLpL4>lUlE*w`Q%VTehv(wa|^5!lc%${(4TC2Xr7$PoZH$WhUq1NuQ1$J+K6H z+{*D|-Hh&_(|BACcQ+meozUqZCeEx2o?v_v%kyEa|6ZFt*4Dea8?8jaO=~?ig9gU! zSLG5D-X<;RW!CZKTbFW&DJLx|>DUg|9W5UhP5LC3aOvpclqb3jOBN?7Kh`~vl%)9R zp>Bg>Vz=YL=mrO|+J^e=40YO{etgSg{PN+&yEl1^uR;!-J$aYu$kGhwk7e8sj!oNE z_DIa(Y)d5Pmgt7fn+%0gwlgRn*>mVX9^<}U>q_NB6;?CEu-@3kdO?d}S{mcJlsn7M zI=`Q|mBTjm-tyC1wVQHlt@>T&1Vpd>?BQ}NOVvUs@KKk+#yFN)9maxbN~c^V2pB%< zQV=#&bMkSS!C@d6ue56&hrVEp(l(cj&J#k7s*k&N+yX7zO{}!u0jd;!I(;g8paeR; zsPJRifgN_D!h-y~ocZjn&7g^{KX>^U{{9O4@b>9lzAxbM|7UsZvL{LzwnuUHSveG1 zdu;r7c5QF2@7uBhCGer9n{GEsa&8h#PD<F`yg`3G-!7{MuM$=_uesfL?bjQI)y>+H zvuDjHZ$2Y2eVT!m`g^u1`k*NYt9!h1pwlaa`QNj3facknbl<Tx>M(@=-hRx({K0N% zkAjxQt=){<zJd=tve+%*V63g|*w!d0A+TFQ;;@4R+W~_Qt&I9SC5Z{IlNz|0qa;oo zVi03nmy&T$VD`)zhI+c%`5Y2cChNy|INcMN*bkZ}=`?QvO?W6Se86kC!;U$61H+%! zyA}V0eR%uw>D{{mif^1QtPN{gEKqFT()G2dus}0l$6bMZ#am7rS|hj%m0F@Wgw0)| zI)oH2IL&D_;0_dPdef#LnB>y2Q6Ne2kW)kJhh2*MoJv|BaBUQI(sl{!SS`)u4L%9# z=~abezqWwF|K+2s4^BoxpDs@Pm~~;tiWwah5f!EeDl#HGIgAyi7artq70x(NZu+5m z(~+LS`Fe?aRxH`$Klyd6jhEX!br1KWZpVIa*<n}M8z{(sUE=D8Ll=b~)@sSraP9GL zdi{CjQQ>a&1M2&Kf7x#L`%Bn|yUX|PvD&lycglB{?;_uqJj#4$chdHt?M~aJ6;6fo z@6Ee4@5;Oz^RB(S+_`+q^3CeP{;KbUZ%w{=xnubzb)oN_Z!+J!eC_#0^Nn4jZKZ9d zZK-YH-Q{bSuQ{u}X8CIFsS7J-c2+Jl)KO0iiPL!cOMHUfI@^%vCw987=zpKLA*t4? z-|R;8+Rquxd#_5#<~Ed@pNOtc4|iYg^e)dpoP9de(dgTE5A^1~ZHbEN+}#Koq%smx ze#e%QoRpXlFI{q%caPbFTM60BYk4=B9hg*T4ok?kG0=vu?T(1~a!R%iRTW<zyjXbg z@T0E>R?MiVuvL%}6XJ^FZ*J6OSkEp7D(c$p_U+yC+L8bLx_4C@76&Vq+nzakaN!QQ zpglV`#`5Q8t6y5jZYr#LZXLVHW}{878;^7tZoKMM@PzSA6-V@Yn@=B}9xb&k$*sKb zsiD+1rE<rlg_TQZ&zvzmT<Wk8+taCGe)}fu2@;<;p)dT`jT0*>mUedrxvYFRRW9oS zDC3ws*e$gtV*m2#?d9R+rW-($y5iFxWihlrI=i;_?UAbqzPe{y*i=m%E+2T4Rj|x) zCYy?h!sUb(wq&W6Sl%Nh43{5xGwbv2GI?;x;dR!At#+m-E-`pFYimdb%nq0-?j_76 zti^Ukb&9G8kH#tPP)<|MuS};{r>KOeTzTBuRFV_3C!nXRbG4~elhvMpj<(ij@vZ=a z2}fJA7=K(ZU-&2N!^_wepo)OIG~C_1d|}9mqf2)L6o3X{r%2zPb*t5CVj9z1r>Pdk z&05V$y8~1fxH<GXw#I50Y8b50U$Kt+DeF<zt*lc^7j9XwVZl1H{E)V^v>xfBd$z3E z6DTodvR>Yo)|4lYd!4Mz^zLaaPI~mPS81I;x4U74Q{X{q)0nH*pU60>>YIEjytwhB zlcXrGu%P~z4F(emJ~**P|JcZ|=fZxwy`nYZyQYV?mzOUrD=E}^rWe9@PM5WdyEZ#G zIePa6;mmY3uNaM6RhtD<RrIFh>@D`;k=l05Vxf?X<9<DnoLZ;73!*OQX<Tp3bKGpU zFyg{$Z58LwM#7)Dj&7LK5?I-)5$~{T!XBrI{oQ@N;T?e=Z#h@?h3GjswS~VZSbOl- zE1OB6B=s`kK~`tq5}kEhSRcgSpZaU<gUh=wfkIAu@9M>~cL#|U6>6>%;X4|Ad+M%@ zYgfj;>@*S12#<Pnrsv4iX%l+|c3;|PVDNJKme*c;m&9Ds&Ac`>3$(s=_H++Wh<UeE zW=2+aCItBH+To(FqAag3xFq@UBh{o3ADcZcpm>|!0g5-(EXEyCi<Fi2dw<z}uhQkp z_N4bhA74Jb8>?iq+e&nLN1h+wJE5aX9Uhi--s`y4aiha8W|66q=^~RwLeozi`Bfq( zxNz>;Yn3i3+oCx8Iy_<)ZTjkx;-cJftm8<>p^klef)W$O1H}c!FN#eR6TGH+N@*8p zTvhV%ql7rnKKq)&LbX`XG_qNo%<-=;k2vnJ=(*^0=&DzNi0Gb+2M>rfn4fxdKL2R% zw~ue1-d!PgFZOQlt&1xs?7q0u!a~G+)}yn%Z{2QR^ILLv#jH-Dbn~vXFt@VBDsF40 zz0lAqTb%rQuKUFe8Z({-UU}`de^G4Ux)s-Y^ISJCiZIIik`*lcnJ@L&j+Vl99c|6{ zI}1I<Crv2V0F|bErg~aR_ZA*;c0ObsVxb|iBZ{-HE#l5XvDb6mog3<F%TMI9&c7#n z>-6ExyPeBRONz@qT4Q3mtXbM0t$oY4bFKgLivqW^=DIs)ul_6j?Bax5vQ`t*7O~ul zyeVs>>}Zzc!}Er(wYHMCl(&#KmpApU;#$Qu3&FM9ceUc$V>@Ejs`i{ZS?#>jZmzqz ze$1L{l_knMqd5EW6yEzj`Q?86>Z%Jb19_%h@O`v5Gv?}T6{Wa^hYvX%I;gF3<4`~A z1JPfvFGn9NZ~gY^)rWWMCdkIl>DsBS!v1_3(^1hXa3Xh7ztnQhXUYzz45u_F<(5+| z9x;kW3k?_QD;h4`pv|K8M0JVk71bl9t=n2QnYC_cS@%v0oW$1|UW@iSv|^9;Q*ICO zN#(sYF(o;Bv==W*RC*N4f8Cm!^ZJZ~rfzIn2Lm};t{vFTwNAQ%<(kTFv%Ksc)8p%W zZ%ZYg-H?5F+p&nxuWzkcYk2L=E;IFO64`mN(pycB^-a5e?1&U|ajw^zu&)QpaxYwy z;7H)KT+e&ctNE+sbHQ_uvgW#5bgiEHfP?+xBb9abCORrIJbNsfbU~-Bt=(Z!TT@+C zSy3kbd|jX4(K#}Aj&^!CK03QL_wAz%b3uoiKYH_M0qE#lX~nX_V}}mx+f%TsV4EJF zc%PV`7@yd=sXS+2--($IsqoheANy4zXQ`<k{@SK!U&LA#cfXUbOE%gG6>aVBJLmTN zQK^xY=-f^}m*)#FU+}D$+j`D%`2yn$HxHdW^s{_!gP(o+{NmQh=XX9ov)j*BectDD zna|f0U$T6@F1R)LoaFPC;$;Pk3U<XlpS}6)$!9Ue^9oj3`o^Ts+T0?1w(^-t@ifbm z>n67pw-leze8yAUWVv_U=hHWzJ}Jtx+&U-HFv98F>eol2uHLrj&y&a(%NKgz`-t}u z?ELHA2YV&=aPG-0Jp735!?X9x-rxIicg>E6yKnBkxclVpox9B|%*)J6%}dO+@}A{A zde?i0_l|Fuw1|1Wd7gRB_hoO>-ab3hd&~D$Z>RK@>flGu-W+?g>`mJd-kWD{?3FB3 zE)#~HyL`Abe^=hNye)Z~@;2nHGh46aY%Kiw(<;Mj(V#lDr0^=J_tf9l6P_CbnUGke z_;5i&0{4N{n|^$L9er?l`BhLudbgEm_|n;vyPLf~mW7Id*CkzAw+fV9W=eLhp0?J1 zlVEao&u0(O=(Sv>aw`+Fd$?NVR>p_3M$bQ|e06p9)^o~Jv$qP#tc(i38};5MXmgl% ziAb~RlDJsW?9lkjpbQcF{}-qQQ>wq7@BO=V;6neM)^@pbx~}Igc0F2`4_XTqR{b!; zclYXBSxLQt3til`Z^e4A@qd1?3RI$m3n?Ev^iFHry~-_3Yp+#qsk-|$d#|un$(EwK zTeEBPVqe=ttzQ?rbzSV{cU@cU_yZW2a|%oq9Ii636c`_Pu+O4jZ^5ixL9gxah(8y+ zQd=lzIoDn6&h$BpSG-Q#`}svCNMx=4xy5|1yFYgv{#EqQ<M7=Lhb$JJTg;=~!=-AK zw9EJPTzBu+-Jd-`YE?mMU%Eym&T+r=^=PF?Z2TN|#vK<#k5z^o{PoD@P=RJd;+zW$ zmAStks(OC$;;%<Gvl4168?E|#!e)rC_}p=b>oZfS9Pe5K{Qv=(pD_!+>IXc(c$)i4 z<&omVIqsI<k5)b@k$Zb*y7-FEFP^f_Di(S8YEAgUbINl>k5!gz-X|e@^G<2&&)d%e z&-Nz#y>Hf^rg!$&{#?0}cb<c&qj%QV-+DN4ufgm2Wfe=}p0E11^4Esnr(5K|v&esM zk^BChMQ*!r*&X4MJHkbGgbVHn=iL#`xg(r)M>yk-aM~T=lsm#^?>T3EZ#h%V^vqWA znC-()^Aalk8w&kb>`@Q6C#?3KQ~w>O-aF1o-&;;pGd;0=_;KEY3jd5f>IV0O#olvv zeQ!BZ&Gg9j;fHw%75*A~)CKMdv%TkR`rdM&+Nrymsk@r#f$hWh^B$D@zu2w5W{3KR zyTaezaaMhA*;CDQ&-UTFc?sqID|V}2xGNlTNBG%0&Z6%vJF1!P*eV{iWjt#8@a?<@ zW&Rnv)i>M~zV?n&{T*l4_m(ZyO`X+Foz+aYKxb=MSf63pT<vtzw(*E<<C}Q~kLDSa z`3vk;Z@DXc;2mfDcb4_lPS<T4U(ef6>VILEddgkl4evO^%LArccbt~p@|5pV5qs*+ z<_&io!`~@rmj_I>?l>iD@|15<5xeWo<^^{g{og65mj_I??l>vC<q2O<5xeTn<_UKk z-QOuFmj_I=?l>W9@`O*Qh@Ew3bHg1+`*#ZR<pKTH9mi$2Jm$Mp$o_OkbHN=)^LGl; z<pI6c9mix%9`j8qWY4|lIBWZ7w$yuUiT4~0-z_-(+x)~QGmc}jA(iZb_Z%meU+A_z zarCdWN~N?&CA;H2$NusOk7ch^up8cU>@J`1D0lI8HdQ$_j*om+dzyLPFF0h~@qtfj zPczH=1qZBG9F;xth>vMc^S^fr`>j{J=Zh+2|9IE2x_rVt*(v4h5AQmbmruAW8&b}G z@vfuRy9GO~J>Kzk?QVYXZh=U-K$rE4w|qsrn{T~S*k+yamd~n?edS%p%<>7H)+>(4 zwv@5Yz3Z4(9&l6E<q@CK?q;_;j>+W{Zpez1u{Yjzj4z*XUG_>Td*NNj=<*5IWT%v} zC*E}oHxHOD-Eo?C%Tu;XMa-!?8#ml>2+vc{HV>F8-EoT7<SE;vB4*c}jSKEL_~$98 zn+Hsm?l{T2<q2C*5wq&f#tC;E-1DUOik>);*wA&y!6k2jqWOdg(i$gtS)Q={DrEk- zqp|9agH7H7S@Q{f(i+EkTOPCRDrCO7qcQ7_gGt^3N%ILk(ixxGF70VF%2zlet#ORE zq>?%Eo`XT&f>Y8RpV(&YX^gw$pqHm`Qd;8^ThpFKxqOA=(kni)CGBYx%U3umt?`k~ zX-^|xzQSSY6(87?_B68PD;$*8_`sG`$h?tbW$B6~i|$``Dqel5gMpy|bbS;<u`I(Q zlU0g~SVS6hSLX%I7c~@cH56cRl<AzJ=%=_LnxRRK^@O*6`2;WZgVGEg8lTm#R`ze- zvRUiG`c-wCTmo|{#GhO^)v%$+n#IucRIq*dgjH$>rCB;OKC`d>+_!wordto+?|ppZ zr*q@<;FYeiHA{L_&;E&u7oUIi^|t2|*QIZ|p1d(wcUx3+B#S%448bh<KPIZ~><g+z z>ffpD@%^=RRxtO4%C7x&!u!<!#+}{CTJfFx+isT$El=*wnL77iqdrg3a!p^B4MwY$ z_B_x0_LK9|yf5XZ=fx7fi~RqiR_Xt1_pEg84KEJ;cz^fA!DByyU*sNnX%e@lN%&$> z+{?%MZ(iF89{iR0B5RgkxB|PzaW{3hL(;dFe@ngby07fqM1Ds32jAb6^sG^8;0zCy zP<z$A;_XYdiC4XTe>ktLv}|jLS5%mp&V<+Q5x+N1Q1P2Dy4K4_Rz!2<@94Gb)+MYw zx{<Ht^2ILC!i$zWuH9(cV;nE_T77oYef^2gol3519F*vP!V<eWWchN9OQnr@Let;Z zd&SqqoIZMEooDR#uL<qx`y5YH8x@v@|Jw9)74MlC@gDJPMJC~%B}$)cl&YV#?cw>Q z>wB~5h27TrV&yotzim%49D07c{5E^+`$PHtPa(<flT%*Hszgs)t!`P)Xc7PAxZkla z3;HjL%wm|M|0`Mjan*;@SzdQL&Q0G_KUs43X>+B;3P1DB56!sNEzWv|b=S*9w$*~K z&z?Q%nfF9%>gFv;NBTJyybfsi!5v)v@JjrKAKc>g53}_5{NfhtXDTrK{8%VfWxJo^ zu4QcOmk#)D^|-J4?abphGG}zVL$5CS6aPhVj+fPu@@jjr!_whh?I#V_+_jq4J$Itk z+6$g?s})M)OdV#H8om2@&O;<})n>gDYx<9^&PwN+)U!nCaa~t^`KCR-b&|ddn;+C3 zt+$W7C-tX($~M)MS~vfhzdj}i$3*^<o1D1c?o*rBtUCAL9Ji8vPu9=vS?kHa+VYE1 zRs5ze{})~rRClULax9232@lyYNo7gHov9YHJhp2uabYgWKD}qk<O8>jIl1<nai3B$ z{}Q{0`>gf%#AE;XmfQ}ETe@nw?|;=#f1Xq;Ro5>}_)*Iyc}jxIKhR^+X@A!p?2T={ zA2+1$?iRb@`|d)Z^wLGkMURVSD4Z#q_Hg6%qK)SsZd$j`@nHa8YvP%=RodI`rf%u< zOfb1uq+nltSV4YgNZ|Q7`b#o*iBHQqCKWLA)~W*OHD=nkKDhQypHpvt_x&TL_|uP> z3!=0SXwAGHU$ouN{?=-aU}ZgRi3A0OEppq`AC`Vf&+d!s_&5E{?a3dEer`5#*LV5v z`R!)pp)U)jx4z(JtNHGqdj0d7VEq;QTRQKoYIqgG$*eDKtST!pZ}#2anzNo)&OVT6 zEdSQ4uhUxiM&+b-cgLKx*L*K#{La@jKW{m!IYBrs@|4`<h5v<*9}^Xj{T;c|%>33V zoiDR=WR}RNTo6#)H7g?3@$}J`{};YKuz$u2<0_^J51!0;@TU7HhslDM7ryTouy4<w zd&lcWcMlU&^le2^jg;Wxy=Mf2pWFQqiEI#aw~P&q+uqxx6jk!D=+E+cW|RN#=dHXg zwNqR&*hMB~WuD)eGvDKuUkr^@iqU^`St!72W7Y9{69w1WzbIN<Cw8{*R*6HT(RvY< znz{GB=nJL=O>kN%yrf2;jxSQ#w$J0H%Y%8>_RZyd-8j!}Nsdy1|Kt02I<_DDujhHO zQ;J{Xq{D=hb}Z&6UA8KHeO+^Vz4wf1w}1K1eXa8<z)-l$tGjS>arT7DN&L=|Ifs@C z{xRJBJ=o;@XS2q`X?C}!$_SUMZTPIh-!GK(cX!G9bJzT?{rt6HzFBIn$C}-LxWc#H zJ==Ag|Hkp^#lgA%4(|<_oOtFa3u95Gp#RMHQ!5*0vQ0X3m}h#~bd|#YJ@4(Fr7rn# zgTem&HnUxtja@;Gff=4G=UuoDwraF1RK%=Zcv{rQcwN|bmAU68K8RB^+CS&wBo$X# zIcHzS7jtH_I4p6$@5t$SRxRU_v4NJcsLqP(eU0x7mMl+T(csB8eg0GE_q%72(tl)T zEfT%>cT#P&(;B|IJkN{WFX~S2-<x@legC#4FIYdUXIW*Yv_<1dTKJ;YLtieMe0i4h zw?ek~zgofPIqtiKat=-9d}H|WX<)&;;P$)+UyWB~pDvu7TRow28n>5b&Y|sG4-75; z2blN^FJnBcHt)}rqBY&ovQcggw`|Idq67t3KR&m6Y4pjC)Wvr?KMCf$SE{XW-y8qQ zZ%TiK^(kXV+ed#Vr7wDO;`YQnoU4?LLt>m(-MHp6(XXnadH;%7;V09@x314m*fVLD z#r|1!h4WO;nsx5*Q`72P5wZNTeC(km2kzv*3b`qB!s_R#sIVCx)*2hm1fNpiE-p5f zZumTB?R=%2bK5!Z82-))H}c<P)F}SCzR|X8CgbIAExUY<olCuV;NZkg2}Oy#N6R$p z<}LsFxb^H$vqtN*`ER|Rd4{QNxY5Gj-*h}jyqJxDMqq5T)j93y@3vjr#M_u+@H$v< z+O6UMHkD`WueV(4bZ9yI&%tcR*+AP_LHnGm*Y8?f_2!lg!}deBcshTk9=up3sF;&! zoW7*%n{FxRhg}+L8{~g(+Y$NPwNbI7!E8}biTS*r&OL=r8a7Iw-%ZVx+<xqU>`ke& z`d0UOZp5ZmFU^jg`&E0|vV4w>l77ni`qSmDCq6%$R`V;+C)^@8a?k9OCHLF6JZM|G z`-#4R@~h34on9~2(vNVD`NUH2>&82i_l@z|!Cw@9sDF8%KFxdGgo&DL%Bw5urv9%} z-sYa8sJx*`@t|SA?EXo4>3W-fR$e#rsWGs)k|*@9q5oLb>RU2dLHp08wDTNDS$EIx zqSgOgF7-1-Tl53f7w^gXz~{pDsn6=bhMMN@QKcSnt@VjTZ<Tkm?0We=A#=$o{oiwr zEit;ZuPU}=W8NZX_sZ$3=9#?>zrbv)E0(MI=I(}P-+QZTR(OOj6Ovt&o~;sdHg&<$ zbc5;d_O2?vpjybdPnqeBXJ6VTk=c7Eo%v}f7p}ZpSz(h?P|%s33Z4BLHPXJilCPzD z#3Oe6w77LJ>NY2n;BJ;x@0+_0wcavZQ+xc)DuMe)4w(JpW<BzZcRoX8mi6n`G8PhP zy8{0_T$Zc+MkY|q!eODO)Gq&mm>UUuXKX6H;=FVM*UTek@<P1b-Y*$*4I*lO8uO}4 zTu7{*oE;$QXl=brYuC|)8BdIT!{k^h^xHnhZlAobK#=vmqH@u)GM)WOt8FAh1!@HL zeVg>(#`<oCK;EIh5i<|k$#@*x(37FL;(ypS1$#@iQ|Ufal*8=3c~^>>r@wucI4@_{ zx<_-u8P`Osv(yOm?QQSA{f+aGe*6SZC8zB?m(T0BdOb*f`&4_y`5rafeBYa04&S-I z#b!-9-*US7#D+-Av&XVhUoOtAb?>;aEPs#uuVWlvW`)bY=(se$c|k*(Nc@4@`5~^S zb~Qb9(PErx)xTXQcfr*K@)K<S-`4V<v3N&r2-i`DqAz@f@y9){A976EK7D2Ur5izo zbKZQdEwt=ro#*=Lp$LPiQ|2QLp@(T_He5`xx_Cp7w{lsm#2?mTvyDe@PYEs5D>|O8 zrD~#NJnvBdj<uivUrsuE^x3K(zcx+o{k!(lgq{9>%s+eo&^4T2As@vXo>yU7vQI#t zo9Rnax|;o=70KyEFBVO-dp=Py?#Ypqpeb`a4!TdQ-RC`bNmb3EnExRaWdclV8s6Jh z$A3}C7kc0KNh{PQcUE`+qp|LBuHg4i+)G#4B}Qju1n-O8HzzBQ|MS1f#>w&C*H3(t z@!{O^E^Vs(jNdaKPd7ZbH}#%8k9>abuWA-mm8?5QUmExAcKWbrHSeKf^GXk$xdAH# zB5#Pj&70~W&s`Q2E*8D?)Y0XKE;mm&#P#FR&Bw0%f7A*~K1k^ZR6aW&^PJBic@y_l zn<+<)maSTU&*$-lqnkBZ9<aWxUve@`X~RjDmGLj*SwqbQ*NVS+bc#2w;mizei7xNl z(uS8lK?h$s$!}yy)oO0z%IVo8qF2-L_`A|C2UC}~20i~prTmS}X8n!UQLfJ}GmKs8 z_s+V6wLaEU<A;vG5$<V*7OoG!*>3I@?k$*ml=Z_RBX(uQJ3j88o-Dd}J^Ea&?2)kJ z76QFDORki<x~AU{SQtJ{biQxp^sOOFmg=-5Pj@i;d11quo*hzKCjR8)I{M<PMb%=_ zC%H_kRQR%Q^XFRiJ&38>tEW4WmEYi>ocr<xx&ivtlD&ctepGeVI`BT4z@#eQx2u|E zY4iT_&KI_C-kcBK<9GEmSN6&h_oQD-g60`WIRzx!e719)arODkMSP9iD<jri>D;Pv z^v}*KPU1Za?8~nTEc9%&F!!)(*{pumce7e>rGe@5uIw#0`s#Km1ixjAFcOwK^Px)G zxW96-u8nro5xyP!xz{Sh_*t>kn6V}K+DZ#q>O4&?G*-P|xXe7w@wHZ@;8z{Fr)$oX z^SpKtTrj1+R(8gztm;#*j~>;W#?|&$Q*4!U?dl*6eh2B9+)ryCZvCQ`S#dyQ{j?O> zuQN2?INUVZSR~$gzV1r>iZ{oWJbO_sc-&oRx?1w2?k80<zB)NfXvv&%rFePJHeGJ- zc~z@DE*vrCa^7;{{5^J!NhfvY?)gx<hv_f3h?G`-Xn>f+%;S7DK}Q^x9_vtilG^U0 zub+7D&)Udq-gm7QRc=@4@tV0w&gEt(rzgjYEfHrPa2C#E3|3;=H+h+-=x=@19L0cp zno<e*S$lQ1KGHl=CN#sSakZtpxYpzl)(@S|@vq7)Tq^5co6M8HE+iq<&;G*Y2_IYF zj?BYvUb-gBJ$6)x<*HrgqG+|WskSL{#hd7|<af56-yQ2!6`DVOSQy7)Inj{+yiHK6 zsKSbGGNP`WzZLl-S3FtkcRJU<R6ppcMCuo|0*>aX0XzA3vjweu^Q2$yx!?_d4app> z4~?0VOk)&#u3t{7+41@3gqe%}doTT^DEauSed8x@gR_S@8*V39?6&7=^U#!-HSZQ1 zx6QKCOYeBkTCWnYaM?W$mp!jv?aql1QoW~Te&f%DuNlrhn|ifbu4d13xP5PhnEQ^# z4yKj~su%5ezjNd}W#3NlPCCilv#;Il<z;s1$=RKi#~b3K?n|VKfAwM%yJ66?>wnC* z1NC!RSt`T?9<a`xGiPbRbGO#=zsL73*3dY=BTl`+a?XQ8`RaOKZclGvIo|AG6`yf7 zWBp=bj}xpx&U+MD1QzV*zSVB^PJ83}Rc7r^-zZB2zMA0icD3CvtHK0t8<DrGmMD6y z7udnV^=Yzfk701DutoTk3WN7TTbqs3SM64Ks#@E>F>T4N%%r77?8eVR3Z2gN)^N7H zQQfB0xa8fWCqW6NnQ52bPWk5@>woFDXs_g4zsr1|$}@gz?wEIN`=;_$QKy7Os#4<b zZYuv0zidMD#>|9P-!*m*H?G&H+q#8U;<%N<i42P=zg$Z=(qCDh_U5yak_r5-$$Ym^ zLr=qzL1x8sR!PswyNk9Lt^0S!=ZI+6EAN@YoXzh_f;w`}`lKE6dE9d@l!<G_H!sc? zAFil9yS@9QUfYC?_b=NDC5h-<>^!&O;^g2zUS=y&n{3Lt^iCIx2R@nd*n=rGll3K! zY-!Hyf|~iC_Dl-hbWQjBwflcht-K~{&v~XK&9UXE!n_AN)+ybYnXtk^=HV{ysTbdT z&-$$!zObOlJSAM<)Ed#1^Jl$&Wam~WYIk3yoN<m)pv;+<ZanMlI<ws5xQv!h{#(3Z zW7_hTe^zg%yD6-XVVhvZpTF?L%KC2QkMH*B7CW7PGQX;|AXujH!kktqJCA#tPnW-x z=hKui*jAeNy_G4JJNizHw~!kLbI@zPDy|Fve!YrRW<L35UaibKw>oX@gZga_(&{Ej zuUY2wR9GDBi@08+`0KU8p{T8`UzW|SnigHLFaGDfOHn7oeNU#D%;~DVJHMP+`RXP! zj+LfDVG0hb;-9cIKJ%KHrL})rk^iPmP9;nIthVY}?A5P~UAgLp_R@&pEgBbMG=qKL zUKbJzzVV2A`Gs5e8+A;Sf1W*a|J+%@!@`%2T#kA<GpPUCtmE%`j^~_oOL*;_vo^c_ zc(M6aW5aK%=C`-X-P$|<*W8%TH|Bnvq5G2~r?zu#=<RtAcR%+jOfLByc-!&KOV_=V z_FYtt*>L^S7m0&i|GEUdBpQvbD|^j&T;Zx2(^tF1<x1&<ElabOXg7FYkbC+4|1Rk{ zN2mOLx!~`K!<#yCt{6T#&}GmPTKsq2E;~JTx0<vIPakfLEnL-o$;11AM&o>A7tKpE z4<DY?HR<GuH`lXkqRS@8vaEUGv_<{p=lFli-5ehN@W_0nkXFnoz3}hBSZxRI;EV5O zBx<-Osm18+jG12j)_F~DNa?Kmp;P}BT|e~Y_`9m>wH5dCf9Aiu^)mZxX7QWE4}TKN z?mqqh>|OM`Z8QFDiGE*peD~W!f6krT_bzwOzx=;-FY`WUrxzEO8GqPkT)f^^uEhH; z>xngo|6VcPe3f&?pSDY4SFUMsl&nbCihW$!p!BzCx$LTZcI#Zeo$K>tIi=1l-8@sH zchAh8EtB|~ripKw75dLFO|E-_Ht&n7SO3BaOF#U(_44+@jW(~VE`QH-xE_4JJa+PS z3F$kVRF8}3+}84W|6<MSTWzm@PkTRgo!Q<nGgEhSrt{WD*IB>FRBREO6~Qj;&@US? zJ*JL7cb%*5qLX~<QuXd8*xi35cT;BlgFIW;o`dEY_l(6Sb^W};kUlRVa0dU!7y1!g zd!7H(i0iTcp7~7wPc^sBvh}ZDm9H>fzHdF7yZ=o6z3aMf#doi>|GF(L);RQkeW#qj z%(unC_g=_N*<;7Imu2^dRz0QSjCpH&CU+KCh3tMm<?>X=V=MQDo-d4<=6A*ZR^oy7 z{}=kFuVCGOfyMj?8}k`u;};9-D-Nw^ef8G=FT2~thr8UmBf5h-zAVUjc{66JYx~lh zjoUl6`<wQ~95|`PsJ3Z#{}!#Tu3zV)_sSmG7WXyl|CXCi^_DeUO`23%YO>|cf+ZT? zwuE{*zs_`>%YJk5;w{d9!zb;zvi(UB|0<1y12g76IJoE6$$x8Z>z#T2X3g_^ZO{Kt zdq4f1>Hcpf=FiQT-&-4h=bQWN{1Q+me{ibBPv@sbxV6eY5!w1vxhJjCPW``B@MX%+ zORslm-2E54v-HiqeRZd0Ijk10>$&>EH}y@6_oek;CSQLYwZ?e?_q+|v@(u0s8@l8E zu;<@#(m!&9|4x#ARf2upL-|jKgv$>Kmpx#P`I2B>(Ij2e%=m4h<gW|%b`SZh9y0wo z!B_W!x8_H`?X|P&I-;MI?f!EA<XF0Dwc>l-bDM8H5&X$<(!k%gOa0!%x4Yl%ozd2F z((TONKAuap%P((VY+<$CzT$S}?#sV_+yr@5n$I9^-mKHEadLa7Gi@>ZT60~?a7TUV z*KYwa=eEAz^X5+GGd1o%$}2c|Gk4zjygK3c#&4kp(+%sTf6a1P=ltOK=932^?mZ6> zeN*!(`^P8eU$;~DUd*3&aqXRt3;upuv+vjb^M9t_s}cPFy+u2=;rWV;H7*WOe;k=( zih~u(J9ZbUz7I5C=<&Yb-?c`M!*%ntZ`X0&d35_e?*`W{fk@@v3yoWEC$U;)exL0r z&2jsWW&W9n^uN1T)=ph5w$!}r>gIQcKL0yae)^i%{VcEO<Zj33y*I7W#bsYh+m!B_ z`>|@zmg;F+MVDEZUfY>__-F0u-(Kf;2JL@ap03h&D#o$lazc5}#d(j7ds%IKd4B0@ zes<M;xn1gn{hqTM|K#snru=nrjQ6ouv5~&>r|#agv!{@IuYj<9LHFJljejc+PB__p zwYo)n?G}c#vWHuuv;+3;uK2zsI^;@u%-jF7w>~}~GGR9F>Sw9J-abAo5%G%Fy$^ZT zv~&pTZRmcpqVa7<YyAms^*gNTHyYIs9A>}aD*mroI&UHO&sn#moWc&)C){7;`jV%m zZeDrs<)+`^;rYC+Pko-x+~&_TYu>MY;g7r@`RTPgh-RKxT^wHV?tj_Z%M1UfL@BW+ zFA>f)GS)6IR{l79)1`^#CntuzaJ=xtDeUH{`%lhzzgf~zv#@*qiykS@iE~1x>6N(V zlsLY%IqA2_+ubNRP3VD{aB}?#?>imu`rpMd#(s3Uv$!SikJ4S43!Bol40qrCTL0{u z?zW^iLi^+=E6PvSop<tn-@!Ax(q8?)Rq!<=cU5oo;bjY+v#xVzj6Gj9fAu7eJM*n7 ztu@rrt>1q+^k2lF<GK&;<HoC5&C8N5t`7C>-4!nWRxWjY-z~nc@se8?)?N61>U#9? z(!iNDZu!#d{HIIF|C{f9)$Yvv`={=E-<@*v*fxzvnK@mCQ%ycUdg-V?z4mtTzO@XY z0{qWTuvc);H2%;WSAOAbLU_fVwL+I4I#ex}ShlLB;?y2)=T$SEpEthf*xs^!d6M(2 zgK1*rC(@L+ey*R*7_?q*y8Dgni-KFk!#2iV%YDanrAA}tjnCOr-ar0xe$mwXZMEMP zd<xB8uW|n2a#?9^H|Y!J-|}}^=baOE(%EGBNr2_B@aCQEIns-G|J~+UP!;@svCy4M z;<Fg<F>KK&dAL5Sq5F4}7$+Ow1#a;L?qwI8^B)|pe{tOVN>hABll0btJgo!nYh^5_ z3+7EsPl=wkhnMB@w#Ob;`JqCMzk{EjoV2~|QPH~3v$}N*I<##*xXlbYW-;rF;9m{{ zl?y=+o%;Bie=iPJVd|4x^n3pa{TZj^SMD=?qB_g=$;z9((d*eSu8p>x|IJ$^PyXKi zttXYg?VtGkQQ-G2MN8H<{X4dJX8Ygu?18<1ZP$O}YS>me@%r0C-q%h&<p^GSchRDK z-i3E&c-VVSdUtAr%dQDl?^?3Xz5Fz9u4nvV%SZMXm+w7bEv3<Tuj|Kgmwfw(P}>US zXnpIlw6gynH(#9b&VBua!ym&I-MRhr@9&c3^Sdq<|IPVQ*Hypxd$5i4-uXt{LfOUd zkG)^^$Gq;jf8~@9Z~Y}V&(F}QKON1Wn&$r4-F)Be_*E}@Bm$@1ki2_ac|*2=ey>E( zCzI`>uP(1oSm5+!w)om-&+7igCTae?{OM(VVT}j>fiSVapLS8~F*|)G#@~Op%(_>8 zxAkP7%%Wglql3$`jNG=*Oq->-EPs{3N#!rQot8u%JMgz)L#ob=2Nk(od}da<!Mw)X zzMS%&ldv<hI9t!fZ|Svi0o%PMO1{CeP8n8@xlT6uM9vpw+qLkw;{0QmO;#(nEK9vL zTl<2TI8VyuRM{||E5Ys&o5f^Z{JR6PPjGJKxVUAy+E%$I$0yy7H+{G2k-buTpG<*` zb@r)=YE%C_d2{NM@_}tTKd#E?(k{8k8qwpQC~%aEA$!WA*8vZ9Y3!Nj>Ns~-W(KQB zc<DUlB=+W62j{v!S$I41f`Rx=*1qW_e$z~vJffOBq#8Y>6iZH+KiT?`<@}0RX@2GN zXOur3DVteYJa0uTcm9*k4apy4vVMkLKCn|_rlLwV2g6O{l7a(0XN+WnlUkmZwP?yP zUtYgJ@xs@Gfm;&_V~Wnj6*=feN{G+dAU-F6d)g7!=R4*u(vkUo$(Cum(Bxc~CAPAW z1t*s7xLA6xc4e!!e@n27j{Ay7Y%W&<%bcSX+-+ASaJWgVYrU|ZLwU-i+zi#wfLCWk zMM{q^S$n4L?YZgOvyK*uzmJ!DTIR>svReE;zxUpZ)c;H-bCTc9tuJ`^)~#c@>Cv7* zv9zk4WdY3+TbgA)Txl&{=gKorB>2opUB?5WeHkw<=rDb{&dc^|vCmZDaFzRq__w=C zg+6)ab2)hG$F(iHBDdExo{n5l)aZJV>t@oMO)T3L+b*nHoHI#DeD0m+%vmniHyl-c zrC!jWu&ATECAsGGVkfBw>2dKIkI&6C|7=`)xTWJqmfs^^#{ANiM$*6a<h>k>+@{pr zVEP#r^s0Eipm$(=t@6F}!-2b=tf)_%${Q|_y4PpwLIpoX?G@T*A8k&rXP>UrpT?H8 za>;+o+cymQ1G;!Wev<j2Y_Vhs%eQd;TMs5M-c(f9RyirRN}0!eno4ih#?wC^c<BaI zDwqiJu&_5B6x{Mq;nXCd-TL7T$>q0~L}j>1TrS=5iLJ??f35cEg~sf2iwt&8x*H;W z=Awfgm-4{~3GQQuq<0?8I=}S;_tAZRu`U@$b-N8h!=&H8EIlIqXP!%=&xAtOd6(?1 z{QmuAyr5Mwn^PwK;j7~<+n<`u-^{@KAYuQkiLLLy9elXPd&0^?&I0SE{^)QuS-~|~ z-6+?b<w}hF!40V$0(<Rm?b1(=dV5o?Z$rxU54>XM9zDAyf7bA4lE#wNE&mjA`ob$d z{?59s^Yv1}I)*74tK8k!s)TV0r(HN)uIKWkCBpsQHkaLh|90A*nEsdN%TC{WJ6cxX z@9hv?+<7Qd`gRFpK})Ue;ab}#wZ?DuS+MMr;MynAv`>L2llifS<f|h>OPrk6J$bu% z@y7kny071CE8e}}#Ji9@xvS-~tvugfuCAJW@AB?1^X@$>pD+0`M*AmwFo&3_#;@q8 zIKkF)I|_}bGAxtjbm98DBVSRNU5<HT?9r@)A_Xs|s)^-wZTFe&9U6ad@)Vucr4RI5 z7j4Vhc=W1nq?1z0nSkTAPd<G))1u$xo8Ps?-~GLSgPdX;j}zNXCk98keG`tXTUB<3 zuiSdoo5Ib<{Wdu21@Rv<@>iY}u<rHVueUCQv#D=Q3_aO3Z)xYzhk`*Y0c%#yx^>xB z_3pMC%d2<!CvVqZ^>W^&%dgA0O=Fj3M_qr`F}cG+Nk9A9iTB5+t<JHz8sVaxZmIkF z*tbQAk(=$LerL<7Urp9>+jacy%ooh-Hyv7~BGOT8P;q^N<`wfBr<NT)ks4&ds~Z^5 zBv`R<c}(AyBhT)fW@>gU+UL2d)V1e4qq^e`p|-!jPwZ#Bvr*->{d%iV^U$b#^A+hU zH*Sy8-MVVy*6OWMto2U&(reEy5m;h(?|CNo6JO3H?jk2{i=9}mWMTD*>D3CE)PBoX z8;h?7S)S@Is(8orS6962mTLHKhjmh`VobgJ${J)!Lo8oSk;!GZe7$1z2T_hc`@IA1 zs=8Y{^xX>Wv+`bN)+AH9N@nYHnYWBPU#)#L#dF=B!|FfOCmybuVJy4t@RhO-{>zUG z%VtFNA5E;Xb86rD+i|YRX-$2jZ;5AI+cq=!M*TNFRH(VOzUQfh_iKB%!aLknfA6R3 zZ_MGI;I6My(X~ogsHAIZ@GK@T!S_Yq|A+qA@3~ttO+q5oKW&}RnRl(rq7x?vI4ggf z?5V@~uUULfvdVKgmFG8?q)VQxly8jFNMPTrea^srz0rNK89Q5e*Yo!#oKK73eD;EM zSwzbp9(kEviGF?w{96s%^KVR+(9~3UEdP0h{^sgN&F~v4*A8g>O?fms!7el-NZja8 z>Vd!P>t*K17WQda+*h-_?QwoLN6Ev+bl-^Vwu|g;8`X_hvTXj&ba~AN&#MPs{Jz=Z z-BkaW^|`^MV)m1k<}W3CpX_l_5cAr&cxKn-4ZkmVd{0rE+VSk&)o1sZHftz4e{T%b zORt}x?w2&rSZ?0roA-ogKJV%85ZBsy=uFpPgI@<uR2xm6nsF>H;S|@*FH#b|_OmlQ zUH;Dx=SylA7H?;M|M=j|jnB=Sf`2@T6kcO`_)Fu&_b1PUEQ;KF;EQ(7BvXS;bw-`4 z2lrPVwCw#N)BI$PZ&8`DWxi5C>!dds%Z?__urt_YJF`%A)_U<-39Y`H*iOu;ntIcF zq5V^*<6C!rW_h{O>|~`|Rh;_*E_s{eM^VOS>`V^X&h%8Bu~&RXTC49WHn;od)nbJH zNb6g)Pn=`zac-yQ`3#lk!kj^GKFygby=%X*_QS=$?);qoWsYmnHou}iRm<{;b2d9i z6_^NlysQY=_b|Zsq3g<a=U=C<PEdPpwKdDiUEQ+U^W0{S^EW)tMNTT#pY*xp(&lL@ zVqbK4Lb=puRR@IRTZ9Bw1nhpyCV5FiZln3!%_`61RGz<FlCFF5r})d6bD!jR7s;_s zYC5YDkowRyk-vS)(dK8Od@oDF9ItIT#r$^L<TcOFOnrXj?quWKhnC%6YUVzf<6ZQQ zDf8edm4Mubj!WC!R~>EM#?`;YdbNVJ&h?9XU(WHa>T@mfQ@8v*X^#KIc~?Ep?O*a- zXF|w?4F(~4dse)DI5FYlqK$`~{N^&BNLXBP<zC4>RZIVg^Hxuuvwg|)Se555RMPcN zR*HFWyQwv5wX#38mARx7XA)|$<UiltqQrnj5xMJ@y>n{Y$Q-<GvgbD!<GjX5FN?FQ z!)I?5`IW6#6EfS{f5M#n$#Zg-Jg-eW%<;`RQ@W+!LapYFpX@}}_m9t5i2qTvnQiGB zew@YbM@dRl!lY!yi#m0$J@sb^6q_sF%F**IX)rKrI>XF!Qzx;~jVExU{rYWl!h9>c zvrZ+4pZ%1-Fe&f#Q+qL%OTiwlwI9kwclpal-MUk7;hg1i8LQ$8EBodwu&SOVd1s4P z?zh>d6}J~u%~U<DA6s&=Y3YsfZ3UNh&AN3nr{L<gS2ut0FY*vj=+!Y&IicLk;kiW6 zt%HN{h@f@ykBqfk-{y(#$@RbbZJy$NC)Nk8?3zVQimfr)PxqJFbZPB)5YDmAL(@X@ zeU;|VTO3{AOuxh)yJV~86nkMuMS+Q$sbcU$rghq_*OzGQb+hlv`m*cD5}*7>YMF<2 z{8_hSW$w0iuax8Io$~E3S4``^$IO!BaG~^yxuf6m{V!FcFP%tT^8KfRRN<8VbqnWP zed1&+U>02&bY_`I?UBcZ|K3+=d`Vm+5MUU(v%w-ecBRX)lP}7jEHr#muU5}e@IScW ztN+H$;qvE-x=spdeks0cd+&0?58-;vKY_^)^_%adPPDwR+xk+{%a%V0t(R=$mhLY3 zIm6Le_A2MT2Jt_!2mT*-VKrYWHeJN-UDwp6<AEDvxfb>B@G|@GZgyq9@caEMziOD~ z*)5p2^Tuzp35TSe>{?IiKk-zXq8O9BiZ9c=xY@&J=BLiElR27;GG5%6`t+07Q{Cy? zHPScU`Eu)xhR&&Iz5Kv)MV8$~Vy7dgZO)j+oXKQhnaY3P(3M+o{mXYEz8yc7?OvXt zSg~hb!_kfisk}MrvDyV|TXWVfxW%?;Q(Jcaz1GDYoS&U473}t$bGjG)@E2#{dgpAm zN4vPLzMZ%9^8~?XhbtB3GVVHEy8bvyx@hgO*Ssyeq^?HoUGjK_<)hZmiE$adjaRNe z_$9q4&OKW{rIht*oGs__8HUgLKOL&6&`q*Ty2r`n!DHsWqx0Z14tK*FG7q)bW-HG* zno!)bTOy~gK%)7qpkDF@%R`qVp30ug^$F>FVX>`4xmx!1m5K9?7d-ZOmps|}QDaV- z`<@AB-*u>Ox+(k7&1|=_+{v`^Bic9L^i`<MzAN}XWwZ68g&;*!&c5rIbIPo^W&5U6 z){~NFu8_I7HAm3>Tg<hU%6q3jJT{Siv!lhMB(Vzjd1sQ|pO_u_Mz+$Vd$02Pw2kFY zZt8sN+cW#<y@~CcZ&-gS603GEvJ#%EylbxRqZ4xHtxA_%H@;&r>GRDeeJB3r7`t06 zIQVRji0s71&j$Pt6Xnhwwh7r&mwfYAZ>r?0mLHRT@@y?GU^yx)zG+w2E8P>-tm`*V zxLz{1%zyu-S1+w}_ucvXTHH7EO!v+!KBntuh<;x6Gi%wpCCcy8s@6@rU2Lv+BPC#_ zzzvfXGX&N$C?B4nzW?5tFW-%Y|9(wZQqeGSYg0b&QTU|g2-o3fy|;>g-<fy&`L{cE z;)dR{1B<u(O!Aw(_L=wQD|5`eLoX=(ihOauUhP+TKy=~-o50-$H@2+UE0A$-#fmQ7 zBzx<>kJA^{tLu606yED>y=&dNg4q`;>%#o2{MT1c-+Sr8+U2oa|6dg=tlP20|ET8A z@Qp>BXV_m)f3Y({bni=z+Gb|KmdLm9o6oHJIX5vvLXPi3`L4+R5*I7miASGSxO#rH zex}@9_B-;Bz+nx)Xw$~+IxWs=0y8;cR!UWBeXTz8|Ev9PJ<r&GpWn;s{nwqKs9C1N zELk;i&iZPBJ?7#GjvsU0HBNoBd8f0tXg$-#e_zk#RZYte+h%N#$(PZ3pWE)N<R-le zQ@sq&2aCR5pHmvN<=?E$R=p|Klh^Lw_Tcu;M9FPx6<X;Do{zH6Z74l>^xEo;TH;%h z-*~D2Jmd0vt@Q3o7Z%4RhfAGIH@lV3dvtvfQ}(}k3mhx_ZU|<zhAFPJ^)Wplt8YB_ z(2mue&*mlHvoSQ(d;Y~}#kv!Juf2Qew!LJr^e)Q<(N|V$mguCXpAWnKN6I)gU)3U> zk?)1q9X^KTjPuI$cKh1biQb81EDt+)S5#)ZK|}KY34Qj5?*4r_k8xGRPnL&nO$FIs zx94$B{^Z4Y&i2bu`--}v$yZdSYG^uHEq%}_?q<FujI*e;PGecYB6DY9)vOHZ%I9}7 zG`z%qO+0Y(XzneeR@Vj-FLQ${#xof0lG(zeqV*>yrM!9dk9Gd_S4v8oj?1L>bMhFe zd4G)yx)q~WCvu!g&WQC2zyE~36Mj})(#4x*SiNSL7P2#S-n6(5B_^YPO<N~@6klU{ z($`RG<-ev-gW9VZYzb~EK`IOk0?I;+8bTjV>KQ4|-|oI`Mj%V@x?M+Sw+8Ks?G#`U z*ukf#dox@+%~Xqxou@kV>)uP1a%Zo9wmJVfY2Uo(mGi=XSAnQgU?DHCkUK=^I9SLC zETsOs%4nvK+U?p@5H<PNsT8A`K5P%QC!b6)io6uAHu+@Arp4=hK(tf*Ob}hQ52SK) zZK{d1)Y<7_m$~$fjSS<ZeD+5jSpRM5&M(}psjg4Gy<c8G(dl_|y4$7o0)qYDmY)4} zl=0KUk4sCxyiRgfe(Zhtg*dO<<^`b_QsSf5WNle>t2TL;rp7AGmH9fSI$xgTJY;@t z$&~0IuV2SpmMF<wx@ewe!s240@}-ycXN$d^?R|-U-REV~vy->w=X~FL>FeuPue@GW zi(b{QEqb-m_r5mA+66wgr7M?feVyW|^sahpcB}T1)r(gzdOtN#TY#7ID^J$-^WV8v zh}Kk9onoIiPwHOPsk!f``YvC7=A~v~UX_>htoNb5+A(LpObIs%H?(~!dPele-l|O2 zzgc>3Cxz>V>x66FUp+N!f~M`$li#_HE=lL?Jn=p2$ZJpTpK-CVl{M?_q8#H&V+yxq zFOHq{aqp!J*1d;btPB?q7YpC?KJ;kln&o?%zFxVwYPrnnW3m30efI;+gL;GR7p@Zh zqPS{#OI6XUl>#&NB?oKkXl~VB8JpyPR<YJSM*D>F;(41^ELRcw)#toc;EEpWtDl$k z=FhWzd+CGqr#n@va@C(dv%d9Z%|glaTdJ3X57iu>Auj&mci+}9iD<WJg{dKuf%}rZ zv`+|6o-}VgI|ut-14ib~+~?YtUyAdd>pdGDc6t4D$(b|O^DK+keGvZpRLrl|Lz@<T zn!5B$_LCz^o@gt-4DaZ0|9vX$?<0nvAAX#&`c=Ad;lz(q6ThsEHRzriy2j_*)T681 zR<F8S>TS;IYN}d#x2q_rs;H^pZdTAKT}{>4?U3j!J)Mz}o$dW%*Ve6DzZT!!^tLA1 z>hGe1J2(D3mi6nak+SgT-h*FOv;N7tKf`-}Ktx!`zKv7rdgsiTQj@ImmrL+t#{C;> z;$;-%<?ctg@7FUkG1_O?Q@52nZR5tS-jn+_MqJGZ-MZWDg0EwsuV<{Xi>0BhrQ;5_ z42T<)J3L%1mMqR@S*)nAXm?x7I>Dx2qKZ8ql%F%~d9Pt~=~#cESzC?!gs^~qzP@=y z&WoRSe3Ugl);+Iii$v=EjY&Pd8|Gz&Zk66|A$wK*%9JbO;#b!%FSGpGazSsI{<@W- z(~7)2<L7i}J2^ERe$=<mLV3~dhPIY{I~L5}?KFR$e&6!=?ens3pZxi#B#QC*vgN-1 zk;b2oUOaK+z?YB@-PNE(W_xvM>(b>RKOcofIWGG$MZ@-~r+?(9qcgWO9hvyRt;%Z- z$GO*<g(fj4#LW*kKCs!hqn|H^jXm#i_vIJje9Yw+gA2thZi<Ir<l1qAkA3s6TdPWf zl&@Yl6J=$5^)kEo)$~J$8D*|KV&Tco{&j0gP1U++o4==a-Yi=e4T*%Ec~`%@PIXgz zwu|-hda)0yW4A*RLeX6>NVYERUJ|dUB=>fg>1%QJ6Ya-KS1*nimzI*euG>?WdvKf1 zHmz+b*VjT~A?@X|1uK><Sr-}T=i~1uurAWqYp3ecCE3$Drc9k$T5|T(sZ&b3(tdu4 zQU35n`+3^!oo*K(snOKQcYj=3O42&PgkM*g>b7RHOs`wGVa<vd<t32hDAfpYg;e4- zeZC3&{n;&jb+I`aDKW|kU$mKYnp|7DI5uUsK%9{Tbw&i#84(a?Xiw`1X>qpNl_bf_ zc-M4e#}gTcV4=&Gt}j!Ods+}LJ!xWq;Kl1^B3GEdeBS!=!<&}v>(4Grp4|L->)k6y zE}W^!H8R*L{vrE!Oh{D3zHL*d2u+#1{%lK&nbN1NmB#%76V|_7tlXUZIQ#N}4JY+- z#Nwk{b+>IkxMts0t+`h`zOMCkt#xXs`gLv2EW61wrtLFbT6fn`JK(?u(~r^4N>W0* z(pbN0|M~cGXWB<d(4@Wm(#`h#*t1!okc^rw<^w8r%gz?P6z4kKb;?wGX}q$!n(B3( zNp-Cq6<e~eL2}9UHPDdRZ18I;>*-A=R-Kp>yE!Rzap=`|yDmd=NjWrNb}ze|x7~Z! zyRzMjUiW2qyyz0Wvi`&I<<Gm0US2<EcJA}8rI+=k=19-h4ueKdgu&k|#{IWMPcMzv z(9zZkUjxahF&jO8i7Gw1xGrLIU138_Ma)J>X;FCe&<k-fp3_XLnY_!6c7^tah3;PM z2PrMCx<Yd5t65Wu%0b0NY0~~%tPHUe=P+BGdbrP2Wij{NQ0-NMwb{;pxw6DB^!xk& zSo`r#j<uDg`F$zB{a+3)OFw&NyW3?hqv+<J*OH<hvi_-h<-B(JuAJVd(a|qgPP(Kw zWpdfc_DT6?mxy|}-BP`zw|@DWi&={IZ>@>{#c=8K;l<6)kE3s&Sdn-|FH3A|SJa#6 z$yXD?Lak-5mYex`Zq;5D8{jXn&v<#Aso_>{`Ds;Js!#VBZ;b!d@Vc`kCB)>*T*0s2 zD`FiT-u}v*?th-WeeTDablbmN`P*b==e*Zh9&c`Do1Ia0?nq6#(cepwKK^s}o;fhj z>UWyn{m9&FM#(eRo(W0Q+&uX`&(e4`+tici-1Zp@P4q9l5!tcb_*S`DHtXERGdBA+ z_lLchu(TmDUGn}+HoliHb{W4C7q~d#La8_;vUWXw8Gi2Uw&J_c1hZ@P%j>6me9n}z zLo#%^-e0H0$iSWNf_|A6B?T4To%luD<;ddLa%fzY%l_SU<HCs@?+kuzZGNj$CTe}N zRD8A6+Er!Yf#$5Ps$Q|Kfg-MgheAb)!v)(*O0G(N<?hJvc(IE6Rrrs`my54L6YQ&! zU#`u?$;DTp1<I=Cm*Lz$uCB9!X5~`NzfzWlnmb=X)5@;M{T2>(HtTjl(`%{3-%AHe z#CCDbeY2|jYxb5^w_=NT)qzX(;=sRI;+H22w`8wd8NWW}-K^j&OZEdBKhDieIFll7 z-2Hw{lGyFD31RUOQ~k1*{5rI3k%EJ5ZK9vAr;m61j7dLQb$PzGDt`6ut;!1YSADh8 z+1B(0w=mPMk1sj*8_c_XsBE7>wDt-=|FbVw@^ckL+&C-Vc9|>da&UG=)v7b%W|z4P z4fMHx-}?GsgTZwDHP_aQO`AGJ--q?-k(MXi+LD4F)8Zx8iaI&iiCz2HTDgVE{XB1P zPit&VqQu&SK*i_?pVP0nn=?bVW-pgsxnx~baNygfs-mo2S&j)=*C$P?xaxIkD|dOx zRi{_e1BGL^ZfRK;<?LwdU>~kKKg^?{`PdeTknZQJQcr_2iR;FAP<^&K<BGn*BE^NP zB_L@)>l38V=z<pNU8aAlW=`zf*#*h3p(T(qBh&{{riZG4EBD=9&?Ima8U?OBb)5zm zoz@7Xh8u>NMz!wFmS{_q@QOXWVp=f+xFq*`6E4X2^2ID@8Z?Eb!CBBWcov!lXF=1T zDKrfhL(|~1AV?ZK3r&N?PzMx4)8I3MUsIc(>J*7u-ZT||eH~P@-z)i>B`$I`H!DBr zdi0cQePJs~cW2$)QnDpyOVO5sby4O~rcs7bCQ-)Wx{@<)aaUaZ6%+FAQr5+!omp!$ zmS#-NSeP+4W~<K@k1ZKd%27(;y8hYAo-Pz!#9DOq*M(OXPF;9(;nt3<%#6g0lUww* z=&XwpjoLU@?98jG(4~`iWiQzk=j-a&P_--0(p1$@H+KD$Ba3C;Rkfz5gt(OKmEwBE z_-khzxUzUX)%W?jp9u`?t*!|dv}eD*>MPNf$nj9BEm5M)^SS}gVFR08AojuwraXrY zc&gVWO0*^JDCRwEz~ej{9L~pL4jb?s-cSY>nhF-01{RtC5$XpErGbS4%6J_GSbUWX z3_z`&d$WE`jSlkKb?-=>=)(zp>&zF|F$(f>#^yWz<*Ki#T4z45?&+Nz>+7@q_Gc92 z<*eI2t8VX^6Gvk5A<5(GvrGCS(?qAf)`eCn(w_UBTx@QAHG@?7U$0$UUk0vi^CkXX zigsmokp058JMxZJ-lo^OVWv@`Cns?56zM&A5VJlnD=8ywT{yU^topih#g+{*>%)S) z0{qs6tEp@eocMaG`DYHsopH4>r7@{7g)zA?>rK`ftV;nkm#?qxT7BakS6Zp;>XIO3 zCYh2YyZV9y1s1%Mn!j%G<r`lNGgEeD$L#9!+WA^TDb{_?#ZnukU45=j*9$pbSg~zw zI$!$d;m$tW?XM;Jtln<A`{2TXnBy~LrO*1DDLwM?x`*+(9;53Q%^D?|`*f~vT*|(n zNH#o*@u}6a88OEvht1e&w(jxq?&dQc2OKUjb@(!^X>QuBz2ddF$ki!VM0;L=iy!S9 zSJvN|6m&^c<aa2jCDG*emkCsNH$lT$!GC{I-nO~gE1=b81f=TL=75yMj?j|u;1qEC zHpUTBb8A~bf?4#<3vrI7gkz#Cjsk0TG`(JM|J|>bzraoFzl(ky{qlt6;V;v#+S`{* zDftVH==p*B8#>xr*2M?xKO{eY-s|I#dixhN8~%b8#lIj8?Ca+t)%JCLXgv2r8dbj_ zJ+{KXR=>W+)=1AkHofq#!I#w+_?OLZtrNYz{>agfuYP3zk+5Btd{V=xuSX^Mq=eBq zw_7iI6&@cg{Uf2hZt+ox-F-%@Uvu1!Eeu`DAgcOwNy$;i1yZGfL4MxhGA)76SsGt< zO)hG>P;&I;vK4N3g+r!H5q{UzTu^dUQ8c#D%{g2q@PerN6)t-RbLs16xu17EetEsm z@0=+6mGyG-<rQx0KDjw@=c5uU27~YzAMJ+lJKk@*en+jdm>w39XgG7_nhiTL)(gJZ zzvHp{S+h>z1c_*#F5#VzA{=gt_CT5)%V#$&nl))x6}0ISH#sQJQ}gAkPH_KVbvn4q zS@N~11{98~k0+l7wUOtY;|2AVuCAU~2`Wvi4B|l*+Pu?Tpa$4gZ$n8?eO9Fs*U{m= zyJ|B(-}%owKpk<Z@b{Br_e0vEmr8;ILV|<BqoxRhDlqM}o|>QtTne#Q`)mdWs4n&5 z{=^B&s3vmJpsIZ7%R3hy+_@2xX=()OafeHtxz1F#Rr_ozE2zWm1-1B+#~uN}{<5WK z?JV9cYOJY<$&{56moDF#Ayveu;F{XX8s21laPEVE0u_sX?Yt$-2MUjtX2cXqON7U` zX+O|ex1&3(p{uGlBq)6LRS(nBqoEHHc1ZZOt-kPf)tY0=R`;%A-cYjDP&GE!Yv*d; z99HQ|SKG2%ZR5}WTy-Wqc3;(}&|Be_`=UNhRX7&;=o$CIkGTtK#ryX0C-HQvmT>lM znZqRUQbO=%gUgd;DXv;Faz`XAo}HY@cxA2VjA>IQ9g*RCd16IJhKB~ni{s1N)%V;i zD=8|-%gM?}OGy$+FyP!UUH#0VmRmXtw|#86<-zU1eJwcZ>y*&l^B({7ayPviEWf16 z&Czo1`gu`~P8qLGZn>hjw5;>_>Lnm^J6_a;ny84de7Rh_@6$uh>Q{%?E?*m4r2f2S z>18gV<;(BgxU%JS*0UPd%Uni=wux2yws<$$roOHDx^v5hH7gd(SsxVTIB&+3E#8y9 zT$woU)!g+iQI6{~!Z(+-Zt-@k0u^3!*4somW__6=@qBep*ahx=t1RLpw>0fZk}G{) zc%3WR-&3_zZh2JW+^Tc!D-SWXuN7cq4&hcX<5oC$!;<NR^udp<FLtuH|7x6)IcKR( z*|G({f*gJXJ=rJX9M3gRpY_~yrDxt3KP~;RPeeAJtNZ<tch-zw=LY=plc-g%+b3*& zpL5pxBd5xno>((}ntS4h-+_HX!SS8T*0a3RR{S!RW51AbeCMrjrl;BuYgMiG35nhB zxNFYzN_yd!+#f&85^BWP?Bfo)&${gWp$p}W&v!R`j_vrVx1~nhXCL?G_lJ&^JAS+R zakW>x>91Gk!^`)1eZKmBwPw8N&sE36_4jp$mh?&da;vFPwAjb1bf5K3`22lBUo5;0 z9_u*XoVn=9?udtxr>xEi>&0`<exGzRrb*FOl_Rc6+E(>M5ogqwDGyGna=g||SD1e9 zM)0As>2e|dFR#W_?U;Az^3E?4s=WH2U$TCsxp#s0`4!8jT#c!6vRx{6cIoxY!H3H9 z_RgIr6jt=|=9ei9SC@)k=3lbr$tCZXnzp^({7aXAvD4c-dETYZdbX42T{<0GrDVJG zTKUVyFH@p4r{8n)U#VL3GV;ruSDLA=(~VZn3!1ijitE=YM$5b<L;P2MEzuON_FB8d zduE{j%Fq%`?pK;yYxGiWY?r#1YA$}MX}j9{rjNg7@XjeGzf9S5YpKq%<x>J<Pwkr* z<o!Ho+oj-hd3vd8wyFxnnxB6)eo4?vRkWRHR-*a%g{0!{DWPAOIaUY1P*~;n@k$Zf z0%qYAlfzCN+_`b(!kH608!H+2&+_Iz-Q~q~s?(G6WJibl?AC^wiX&F<qWq6GCd;Ht zbulOL`E*+`NF8WozC7*RmW`|nTwR=<9OBn32s`lW;HQ@>BW!I&yV<pmY^vRuw{}AD z=c9)*S+~AiX%fF?R@j6HqX@$Yg9!bI`{LX=tx^6j4}ChCmB}hHb?RjOuv1=Kr^LON z8cJvy3jR!*IAcOWZ_P%pC_mms3UiJxU!lJIGsn^+SEiK6O`kPw$~ooW<c2B2{pXbZ zjwsGO9+Rx#zIfsb8!<*s-oJB>FIcFs;IqaRi30!ghBo~+YZoMEUte2%?(w;|#qI8M zKWAj~fLb2sl6|%JoH=o1j{A(T495MpDoZk1<pLwZDncy#HP>EPH&Iwv&ikBlXStkf z+VhEQMRLwI=N_{kuY8lydNSzgfyKuv@0^g(Hqbk__^8I=(B6%LWyd1lR=sLow_K+B z)fGwit6W`^54k>EP~ew-CwRYt$=4}9-nLg83%%aX;^*hnmS$vre8gC9C9A+}DTkir z9$DfnS2eqCu?m)Q%`bCwnCrAWRzSed@UmXVvfwMXSQnOXO(`?<eIcU!mqF>Ji2U28 z19O=c#|n7N-;gEla#4NBEmp@boXg4_S!$V9#tJyh=g1O2@>Y0znd1$+#LIdebNu&g z>CSYVpYf7iz+%2Zrnt*l^|ZH53w|DP+pUmfr<g6yaz^!7nPbiLf-G^Dw?av~6(aN; zujqA5_5QMjo9U^L<=Z5w3#wVa7>}G(Jy7O2A;xKGtbp=#iA-^pw?gu7n`Xp<oFm`A zAxpgFxbl))tcf2vmX$e%*npg~!Chc$ccy*+kC*JcVf`GpuP&%=@!KtR%>Ble)sC+O zjoxN;RI%Jx$F|&k%a+xQhm?bEUET1dV^W!^hV7y3aOZb|3U8BUomV#d<;eU_Q2cF{ zL6yszSYFrm8(UX9z7Pn0o5f*uBzU(}4d1~l>)Pfycf8HIV0k2Pw^R|I;gxl4vz`BJ z>CUumfAEr>*R=gcW_a@%#k^Zr1&TXnmYFuRS7e4CF6WqDX1YbjX=yC4PP;*7xbrDR zms?jGiaI8gnNE>ev^du1u_cR}eTIT%%bHjp=St^L`-EF3rC0o7yzFz2;VS=(uupBv z>JFGpGFSP^ysUQ*<4So0-zU5-e;YFINjLmryquB$;3c~c_x*&|>^`&iGA@@l(0#&d z^0y&VA-~~gh4Wf@gR_r$wf{C`%H~_VWS6--*K(V6@tRj>3|AXpPDo#N^qkM+xiiBG z(`Fr=nJJ#WEOE}vX@zOCj?K)JPG45ICvl0q!PytQihmUjz2KF4+oe(Eye3xAHhw`? zILmo)g)Q2LzO}~eo)Pw?by1zb#?P$t%UmDSI4+MBG>@N=8P0N6JnL=OjGs(iyOk35 zJ=m)K=qb1C+pZP02N%T(>RpfBtrWH{;BAuB#i=XhT~@?Qyd_###G7@!S;D#H<kxFO zYzi&U8f!MD-P3u}?V8P_a*aLgxcF&i?c`==?#JCr4>m4soci(Ttqh(GdR1D}Sf{<| z4!y{=X7!<_t*gu9=Z5azkTgH6eC3h@iKU_OIuXeRUN7BNt<U4)auT_6IsEyNDOZkc z`EphBY2T4MR%`gaUsc@N6v!<p%6cHN)FuAz1U--Ii<vL|ttquzyWsJ~)?Ksnp0D1# zwW-gS?_79!u&}f7=c^|VT!}ld{$26Tu63@*KV4nBWDEDxC9&KE5wR!3(=X{yny0K} zYa4YU+&r6=_2-M17mLy+99H~#rG4?dtSiBt=XNYoTv*0x8nC;r>QU7>i}_&(K0Y{9 zcDL$L**S~Ze*QC?F9+YdabZrO=?=*`JbG;G&CKU_Ob`1Jk)@X&bNUpgAM5m@V+&_= zOqnpJP+5*6x9nJJO-@D0oI)8Xi*BAC<9CbVMYku-=_%Z3%y(`5s#yP(($%NfSIrAb zza66O^7YE{&Ag|crDR*(R`_!ISW2+f?P*a5(}b&^735f3-JZ~ME7ZkAg{5R0>)$P> zm$yGJ+t#|R?CpyOb8;=s-)>rHc{}Tusc`YOlN;VX&1q(g-goQfvrAk$Z=(V;r*By} zCs%XZ<g&eT5)U>Q3K`6B>50j`C8}6dn;CxO&8|!9Iwoj)?p88dr|`Dxz>c&n+KV1> zWnVvD&VK3R-O8Mrav90{bB`S@Z@<X3yX*bAB=y?|_wA0j8oouS{OzOmlR=E_qQ}>- z$mUtLPbpOUjF3{h=;D2gLbN;l;{!!p15<u=Ez;;pdZ!JdT){#t5TPHUu7M&)=d9Q0 zTBLEQ1~lID@hMo9E?AYzPmqpBC&EQs14W$oEz;;(bmF6^dDJ<^7gi-QR=w-<oTo25 zd?B)?RD2g#?3>k-pRU<w6(M@n@B7)_oh$DI`)P@PJ?psDX|hm@+OqsSPZuwt;`=h^ zGG3fm@nW_z*C#<PreDwMDspUX9-5b5-g)!l$)C>(vQl!gGWPA1oT1P5?CEUg*sv@; z-TN}$U7cQBr_9SQ=?krINqI6`_~rFC&1T#`pE+0+Ny*7t_T}d-Ub1{r&z95A=H@D` zRmj~uPf=l8f0?bthP97#KEIg$<VA1UNp@C?4Z4qWE@!YxxA~^+-Viw_S9I-yZ8Lb& zt_H6zvz3?GVKy&&SDojom9s*2i#<4Cx}sQjRlL8CpTN1T%fcpjd)ZDdvQ@pbvn`u- zt?bt+ri<ro3Ja08y514xxFXhwW5x1+Tl{xt**uT(*FN##TJWusf^%Evgzb2_(o|~Q z$vN4^YXv@EO^7>Zx<NEcTTl17RCkvr*QuRlL60W(%*j^T(jR$ZC)=Zi7Y`P$%T2kW zWuSM?w0qW?u580~2hSO=u6vsnysLF;Lu2~mN;lQ6MH+E6u7)D6g5N*`2ZujOgGLzw z?i=tNHc0seVkf=>3%P=YSRg__z-s5@gT{9*)qutwK0F1h(gmw>`3cha@Ps+fVFMFQ zM(1@(%#R<<-uxmw)Nfk%(}er8L-#K$+x4+}@vG^RLqx88|8_-RmBZKP{_UXs6DA$G z^S$l$^$QaNFMfBsqA$NtVZr;i%j37n&HGTj`|Im8clGDhhhK*8PRYqLHMxJA=ZrY> z=TCbZ^|Z^c#zgHipH{auJ70~x!HetE_p(d+ph&J3ei^>oF2k5tld*XYcM#Vro9?XE zn><ebsaEG_O{r4r+#Itz&EvUbUfC7B#Y&6HPTstr^TI|ri<R})$A^~ZtDNTDJh%CF zpWnH&m2Jtv&dSB}&TeVyX{`Kt&Ul09mS>kX70uhYV#$ITbG$v=_I&nPB0A+-@HwlN zbou9!9qn%H$1Ar@G+cdPhsAlFv`wobbJ(m8uPK`!yz3*+;z_So@(0?gUJ3Ru&9XYI zV4$lBo`mE%y_G5G{Nl3Ai5Dcn6AHy`KesqBo%gG-KAdA^W@(Xr!`GMR>={Y5%Uquu z4>}rq9XMbx!}v{fJFoLTMUVX^HdYq<9=h#6)Wy@&ou6@W{i*=5V@@~3x4gQ3#m~IX zaaEnAr>kS_!R2+Dju}b6n!NuqISQPqbrjI~)uf>Dh1K!HbM7XE^WrRyx5Zfw&e7*+ zGK&{rN#Ccyaj3>o;Lkn<j%_uL0wF(}6e>QkI!<`P-L&94tD^ykJ}%C3uved>Ng`f= zMH{4H--73F_sx4ASZjN}`&ZSx=U=|s^uK&v8F%UWXPZ0MKie!`|Gd&U{`}{Lee<4w zt+747yVmyn<)2ma%0GX-mYA=2UmEJ2?Y(t(wTuk(_L+Crh2BlseuMYh-!;EXw_fhO z-@hu}FDNkJ{`-~jUIMdb?7JVd-)@QiOX2-@z90Vjdc}+1l7gJI`xh>l|DOHH;m?1o zoNj;L{q{+Xy{yda_vOLHpZ{j0B<zbnbNc(=15u~H7bgb(tkc$1zt4ZP<;m}<Jr(!; zTf{#7O;eD&|9)}u<KKlD2{rqBy1U+|e>`b-<9m1H+kIQKWUo#)^K-4u3<~gzza`AF zOn+^r=1cEjp~CnmzLPJ!t+k|nUFv9Q*tccD{CWCk+n;}1+PXI3^3O|UQHt$lpO-$| zGDi|r;TgXv4$MrHI4!wt(kG#|g$8e)@EB*ZP7<6r@6uvJohLlA8@H@J*qA7ne#3qL zmgYnmp34@8&7u^UA0KK;+-TgBxS=dE^zE_r)B0A$1_uSiuU)ac%+j=WtHPIV&zGyW zZi#vnuC1Bg;`}R1R+Lqcw{~kw6l2Hp<Ih)Lz0CDV@lECCw_Cio7VL|%Tw9QQdEKnC zizjNfru|ZtPpUe!C!;oAcSHQ9YkF^k8V+Y|tl1j%Yw6ZSrHhs>n)>Og(?z3;S}#{d zsYEHoubminVbRw)TeX)g7wedIJpA=yQ8PUgqkT~le=lvi(3BZ!lYVyX9MkloYjeu4 z-8mjvER}vXbk5hX(rdS`MCSXY@70|9wXNjZ?F*6lcIkU>o~znz`P%o{n(gn`Y@fRM zp3k|e&*!RsTfFvtyk>jX=DN`Izst`3vYh)h*y?qA$+i3!Yv!Miw7(s>fA;1&qx8S~ zHrHvV|MfZdt1|ts-ML?xbH1*&&|dp$&6bs$FU>jU6>O!=`*O{eg_|$cob%c|cWPO2 z*sL>=nfD_zwbPf*oHO;=+^OFR-7F0?e?DnH$v;_M&EBVO#vg;9iJuO`1U|U4Z`^2@ z@bbdi9D&<w?;KfGmipA~9M6J)Ad3LTUmssS^sFpOkQ8%f(y2L=(;8NIE#hiqa&UUD z<y_NcCD$Y_MJ79^_x_w?nr5B-=Ghv<nVXO8+k7nSoRqO;_8IH!H;>mC_HI6=b<W7? zYNXo?tJ55Q>5`5wb(9t6rnW5H-1DG#nqW@4<ilq=$|vTew!GI-UNa|^W%A~p2Xj+f z`ZxD1D4Zs!bxvsYE1iwY&Iz4<sk3p)IU(+sIvd;037vkfvoR;Vv$S|xgi(6u*SV_K zPDLiooTECed|HHjdgs!@X%V97D_2{2-@Ov~YR<W!<t5XsmTbPlJ9p{1=Q^d^Heb0r zXQ|&aoznY}ufom+r58=JdaqL|pT6?4g?H@H$Y-n08JU+(vs}LUjON@_zLz@1&gnBh zTb`ctR;SoJedc7#(|5|J?VP&#%*;8d=d4fPIUf1U<eX7>;k50mHt+GB`}FKfoqVtK zN@uInw=YEAt2y^6&HD81vyt~w&VBke_i64^oqV<Q%FpLMeJh-1-n)5E=$xnFrPJ<T ziLCQW|EW3mX?w}E`xheX?9zYUJojn0<!S$CI{V-2?4P>%kI%VJpU+JSE1kAw<>n=f zb5(V1yra%VUdlK($<5k(*73+oM(4bXxEz)?&zQ<3;^V5iO;gWeqH(k6G~TF~sK!iB zPS>N2$&oyq8<wb_$uhhXd934{(YNAhhSN9qES;0u^W@CqlQWOcHtsh{m)mdLuO0u9 z^G}z4yx>apdV~1F73%ed@fVk?*BixOyf%6N%=HzQC)>|juW@;D<}TyQW$DX8=gv$k zeRlrQnfpg)+V>dOOQ!!b+4t!B<o&(tcU+om-?u*F(&YVp>tB4GBKPLZ{Nv$&UTE&U zF>`<a`V|)^@1M0k;$m>sCgXaA`x{m*|Dtv7PixKLxyJRI^Iu#EK9!UHFXMi|%H>n; zp8HdIUts0(DXr=MT=qQ-@PBDK_owvFHapv;><`b#pETd{b;`WUGxtx*uecms<)8k~ zXWv2}|9?6A9$pWwx<7OO<oq3%f~y|Pw4ajyqv)CbQ|T((r9EZO{GUqKeVMZ9W@Ob? z<9hA;0gKh^b?$Fiq+YKZzhRYny<U95D)suU`Y*0b-v6Fk>+6&E&$yMo#t0q~*Lk%w z>5{m}tDTQ7ivM}JbI}FyCogv<ofqHoHKy=6_p>iC7oTz8`VwRKjQiY|n2q096RXy( z_{6$@p7ykwgVW||U)s08>%6G#z6YM?MUD3<c%Bzcjlb}E=fij0ZC~yLyyC9<a!25h z_?;I!6EBG$d9m~1Me#K+b}qai-tzU%hUc%}R^{#Z{B=cDUdHFIi>mS@K7XB4l^5~5 z%E#6`<Y$$qt+~$6svYyBFYTKbc%IjG-@SnIyvF<F0?zZM#;;%gylMCP^4B{TT)BSv z<xYi`_2rj~4=!2netGhvC$IN@S<>*+EAYwdtzVWLs9nnOX=>`6r8_=;b*ox+^g8#; zm3HxxQMI;x^SBKqgKGD7PYY(ylsOU9p%rAM6JWL@V#@Ma#cZ-y{PqcNz&_wPbIXCo zM7acyhMV&Ysv{FBe^qUm$L-`DfAfa1)Ay;0@3fm=X%>E(s(3N{*p*<V7utvCuh+RA zeCU<-;oIS1SBjmUYCF$a&$HsW%f;|E<M_xMn;$;XcK)D!`lV;#$JqR5+KXR$Dt?aT z|8pv?DyZZB*NA<arp*i5Rv7pGiMI30m0I_|y6oFDaUR!~SpFy4+%I<qe2mS1to``K z&Ig}j&p*=M{bHv>%Gap}tK32YW49lhC*&XAx$ym|Y3qbHzCTrPopajzQyuGs-S1ER z8zXJBPkVQ)`m%l6hj#`osR=hLUi#zbI-Wg2Z}w>?@60+;o~j<c_vbpFC#wSQPi>45 zciE?Xdxzeen((y3-i%+brd<tXda^n?B%E{Z`aIiM)p`4NZ7rF*pth8|d@jfSU9Kgv z`y(A})mQA>Rk}N^)mAfP->%l;v!`BqGJd(bc4oGiuYcF7^?8lg*G!*h_4}3BY17q9 zmp`g0ef?xs>!skO`*x`oot1jI)8Nxp-3i%Y9_KlaNQmW>zr1$Lw0m(nOUwGa$e7J~ zRbDMMrl()cVtuW-@$*%kRj>2jXfl4ix@P%nzE#hUTq<pPzB+Qp>UX=fUs*2voptSe zDf^YlO;1-xZhx)!##8ajRh>Dn^_DN6a`4<N)8e}qzl6MB9r>X2`=#L0eY1`h-QD_S z4uAF|Mz*DsiWxM_CDdh87#_GhPC1t7Y;)kiMj=bi0|&VUeRvqmCS<ZMQ(daE@yN+U zW19mL7*5+JnoTY(y1Vd8jK<HbX(vj}FBL2P$r3wJDt^iM(aY75(${6({W))iZ`N72 zyL+C{_Vw@9tP=`~zZ)2<?zV4N^)A03HKp52)*gyI8gssL)%tfG>yiTfxu&mwSGP_n zz@KZ;`geKZhHo@eH*J1burA5ZUv}osE)(0UY;i}Y&s&wevrE@j+|O^FlGpiGxrwVS zE*UGmT5UaHwZx@hrzfj-_pUy1F}UgZYU}RR6&Hh>PQB7PROL4%AolRa=PVa4o3^~V z$q>D(&Bs=KR>5_H>yw#UUPy_3U2@~+&Nc^I%>{Ya4c@Gjvbb)reEFd>G0wB*am9(- z?9<v5Bc8EOYhH|ULA<EzIw8q;QO9*c`thRX>x4YxMRnH+%f$1pT_<cF&%1J+Up(*p zb;1Jsr1WAno%TsRS|_q?eVa>o*NXLR_ThJbWL50WIuq_ZXZ5pvQs-jq4`~0mRJ^e5 zDc80yJtyYII8K=-B&8Hyuw*$4&k0eUmzoQ=6tY@Y`IXd|ZrRZ!ZmV9fW}U*C=S)|x zN>}^Y)QZ;ZstT~x%!p^5zD_RKzw5(lg?F!>Rt5RgimL3Ya<$$1c=dzxSD9a}G`@fP zDtELW<D3;aGYSqQI$cS;^l+o9?u?1X%`^EjJhGl0o^--O=NP+$P=b~JjGGxk=dPZ9 zxl_QX_-jU$-kpZ<_e;}Vgic@0eyMq|u;^<*mDh=mn7tF{3Hd05>o0kJ<oL0xk6&0W z{2Y4W(W}@mF&)2FrI&t9u+=nLw`rq%z=fF9fWHk+FSU|iu}kefRrJ>(a^0pybqk!r zB?IgucI-HHsBXiD*i_5E366VCW&CPnd81YRl3hqUJkr~Kg2t{>0lyBq91S(Q#IKgH zZqtN1gT~#bEPgp2J{DSbkzd1P%{rq9|1POjr`K*&KYHo%Q?U^Lsk*UwhF`CU-FX_e zZaJS|Y@X=Xm;*m{-eG#Rli{A;_CxcyJuRk3TiU878BdQku~m&YaC+_Zc|vYF)1!55 z)ph*zwg*)C9qEY4>-{q4L}N@|>zAAxJMKJs;d$_5=**)}uethnNv}Sw8{yxjw(9hn zjmx8KV$&SIUb%HCRV>(F_fTxw(<;3Tf!H+BuQ3fjcb;K-wR1zs?lWA~ULg-+(w=^q zQZRGpnME%(8(HGg_I{ajAYtd3te2LC#YHn)FBL0!J~7H(lFoc0HO#}GtAG8STRYA? zdf_?o^VDdu>5<~LnsfFUoqlD+eJ$8<pHcU#BJ*z{AG9~m(<=|K{rW<C^EAD3A6s#Y zeMa2nJ5PU&nV`7qj_B8zj9)wNFn*n~V@K?}L-T|zrth9JPiW`*GqZNyx%g$yg&(JM zJ`{bw^x3f|anZA)@J$EZPx5kjvMYK!H40i9Z+Q5ijYEAow7T$XVdbl4WNKrPxFnor z6{$0Q_q2J!-s;n>r_AG3P@2Ab;yjTJ>(4Olywmz+$&Y<T*^i5kUz~jCh4yA0y>fBe zrDq=Ll!r~Xj_}uAxJ%^tJSn@_t^nJuSucZ9UhSOFv|FUuR=lo5@93g=g2DFFl>+=Z zC*58u@omb7gF8ivtGr&M>AB9DC#?Qp>5ogrP90B!a$eq4u-+*WSQT_+Uy*u|X5W`3 z4Eu}Ni!|H5EV1}?>h7_nCoTq`(mPj_UZ@%OB}90&x8Swl$`yKtH!hE|jcp6C6%U!F z=e%qlx5JLp2G@<7x?ULRywVK(R4mE#b;^&Ov2BU|owDarXIw63da8YN=IIH`o*%go z-t{2$$ED2+A8ETzJH27)a~F}TsU4RlJ3V=6B=geq;^q>`wl7O03a2Z&`~Owg#iL)9 zb@qHJ$Lp2by$jy#bojlhy_nPKYs`tCtIUfzjlT9o{945x*R*q<kX-!N2)%=g<_Vd^ zfA!OITrf{aVBf3Gn5M+@ofFnqDNIlBJI{GLd~dJ!gk{eUT?x1C_WrO`-Q~^g4ZqEN zYNhAx<Mp}E_VISXmt2WoW`Am=%l7e_-*20lfB5wFgHLZS_>^n#Q!ng%<SDyz!uQ3K z9`BBDe%`Sl-{rXYq35>+v|7A3EPWcHFmvLH3vL!4+&Wy!T-4mo@t9q>`SO67{I$(R z5#0g?DhCSY8SLK5khGaW=!r$}gc|9z`>cz-H!PKRk*QU#*(bO?-{qY7qG!^ApL>7o z6V$oSF(senq<Pb~-U~n6B5ITq;yLb$JH6t5_@y=Cm(!Dd0&ejf3-w)ov|jk>bfZQw zWuL$U@kLL$Cw^+x_~}#;&oM#&$kFMKp6rfrN#~rC-*UnH(Bs<=YQ-n)>pogME#Y|N zDVuY`Ti3JbpA(S0-*!8Eww~jZx(yL_>6~?QmhwEYVXRxq^Vlcx>(U9of(&XkC+rh( zjPJTV{m?V-kDr!C{0y2=qnQ@p)vMq7#@et>ea=4NN99c~tS^3^d*Y|xi5m5weZorj zIs4xqIU2s`mA2s5sS&@tWNK9#_6coU?{X%5(NpcjPg7^qs+#Q++LV9fM{mI|w~qU5 zefiBt%M-uHHvH1ls1<M8$G!c1)7kQePj_$l6sz!4uc1cVXdm~6^?tQiU#@->-nmao zWkVJZXpT8fVL6+o><WjqKfZiuQCd(qL(J1`nqUrt^g-X8t_94IXP367x~j51J>tS5 zrZF*?S&T2LBgQEpn+G&;?eKu9J#t~nZx@D8MJCa1fr0`-rk-O8?9Y!aYio1!<2Czn zfG@75s^ZY>x6GmiGd8J8pW$(IXX7xtFhgO-%>;p%6Dt{gc-W0xjOAt&&M;JyKFe<P z;D^I;b{m@y7bTuNxO3ymg)=8Y9GGYEX65L}sI56!=FDPbdqGgBVNGQ6gy!PpU}s}x zVdl?Fb*9tBrio4!nIb${Xp-PW9l3UPMPDA7&rehw*v$hh7!RD<c_|a@X3%8&i!LTU zexq3uLJ4mE8{Veey|BUR!-fSe8{DLtW#&lFmYO9wQ$j+gTinw0#>U)&&o3Wx=5Ldo zD>G*{Uke|<fr5d`ft+~>-0LkAb}DJ@d}R<B=;>%_sHrF^_%TEFz^s!i9oe1uJ`^ly zXSVBQygVsr(u~83vXY{O3l2Rz*edMI)boOK+kC#9f=i9kB77wi4lz!JhFO}M8rw70 zCk{VOu4H7lcX4pEv$c76cH82~AfGO7)NW@N^XK6-n5BNB#bQBG^i2kK9chKEg=d|d zWaLh)Y`hS_?jkK>%I4$k<(b6SUXl{xVzTAn*@up-BF;=cFF4H>^WKRuDOjEP;9Dt! z8JnerxtXbnv5}#H{)6z_4^FxI@%C73kQKZ+0UTwy?&{!(OjBc4;NwpT<l!`!seYqC z+Tm`TS-{SNDGklhXWA#W&yji{#msY~NMb{$tO}~#Dk3F~d=@qxo`xz18s;Sg?>cG1 zCb{Em!UMPF#%NwP2aux~rvxP@L~mkXH=Cf;&TcS6{Yr}L4WAXW8Dboswk0$2$;2oI z^Z4tkGp6)1o@7&HFj#q@y;(cCOOf%}=ayTYtQ~z)%-kg}v^E^<(+*gol+GqQ=SqOa zgrudHxIQ<owRrI0(1Ik721DD0+kzUUqeNM)7DNUzaJex~l?Z;o{QS?_4LKJcT@H4A z@?e*Qq)>v5|B9Uj3d+B<ZmfE|`N1lo1t(@YPCdPD+wZajZ3Er6HZn0{R&6XZE(vGp zOUH!VO(^-0>QF1S_JFmLw59Qb2dx}x$CkIN%XmI`u<C}P%7Hxp6(@I8EdP{SaA2YG ztAx9qCx1?!xp2|KRgkvrDn<6`Yq}H})$A6gEq?vs#)IDvK0af9`G7l7OX$KJduhJB z7#9n05->}B^q?!!P~||`ynxdSXBkdC<^K4==ZdaQmvcNtW)~*j>fE%I37pcpr5c;L z+p3-3nyDI@D!E?bVn|pyd%er4BZ`VGOgu7^Cr)NC59eUutANHad)7%s#bzcR&JA<a zuT)tXH2(6l@OtW;xI(n!#7xKj^TBVQbFP5a!hA1R9#Ldm3{t#U{YsOChvKggiB*rI zZ$3zVxLj4j()fh}$11fD=})i>Bg3Ip;IU%^gEP~cXPl;smd~EXXD6<{Ldv?)#M1ad zL&u5}8O@8s5~6~<go-VeC@)r1ZD;A`Hc&axH7~%qDCnmJL+3v6whJE*7EI=Hurzjf zwV*9|SybQ4VviLE7@V2bJmWOo__8~5*Tk0(W+yH)bUDX!Bq~Vz%9N;wsVfZ;#wslm zKd<OGjj@g8MnH}jLsy&U(zfRF$F{nBxx2-Q?_94h@7W$7o-^D(_CCo<=e0^`%4%Bh z;Xpi>j8Kxafy#k2mJ5xM4Er8UbmU6X<h1-e_3X^`3(f_3ztq{<b1vxhbDgba=Ym#0 z)7g4p^OYb=@3pHox6GWQYVdjL=9!!4w9ZlGd8LzRp3dn|o2vUnC*305Qrgmc##^04 zg>=pyo35I2dEMm<ad`y?_%bXNM10j*mOOR#<4yDHJs6zKu+{NO%>$`(ij2z`+gKtl z2&V<<Hr&u*=-={w-D36lyXR_3i=S!F*nEZSe314tovnH2K53Ordt5Q?@rlSsW#@## zi>Fyk+q~zH#c9JAI>&oA%V?hyVt=Sp_$cyF(>bBA!fDDC(~?g|J}OD?RJQV#xe)oN z;+)X3qG{pM>6UhLpWY~$mi$h~ebHu_{B+BEbDl~RPg{I4vQI9(v)Rf!=B-Y)Xu9R@ zxli9b(MjL1nWbT$O<PU5wCztVo6n(VBD3zE3*vsJ<GysWO#iu}E0(7xKGSjU-h4!L z?$glYk$pDlmR)mH^PcLY+ooHtwmALqiO%u<%`&Fvgv3jy?O3+?NNu{Mx8-T=?#(i* z&lOFvI{opv&hhs;?$b6O=}qsHw(`DnKJw9_%|{;2QT2PKlP#9c$x^F&=DALyMmlHn z+{!;c-WgSWntE~Odbe{y+~w1v)Sh!5kx*IpieKg23!U`|>6SrrpUx<mw)kRXpJlq` zxj9ceil!-_h<vo<oY3;(X%@3KA8AalJX$y{Ts+;9Y3@^rc~4)=RrPzSbG&D>Omcc> zvX!^YJDv20k>>)=6^U7U-#8z6DCnG!nDuF?H#+HF>2lWll21iGiaYnY(bwdFLZP*# zbjZTDH8K}+<S(u@WMHV5P1I%OlUc;b*0=S9C&Nm<Wef-OLQIT}-W+;#KvF8fqv5Dc zqS>Rab46RMP8Yt?asQ}ue9>l^!gEDaEKdiPP1|uN@=?(_A@kyC#`8AMIeAWqtz??P z&B(qjn~yA=ld4-bZSzv&e(C$MuICv;zO2hAm1}sjyZI~gywaMZtL8Cxd|l^JD#!3f zyYFv9x<q{8s^?t+&-Y%kEY>X#n_YfQ>U3qg!t<k^bH%q8hTT3EnJbpQHgfJ(x6*63 zE=Oj2r>}i@E=t+zwU3SVn-@A;OVT@kTX-+{Hr2Fm^PIQmir7l0ZN3wEuI*gWw!&!} z7H?+h+b8tmr<cNW?L?_`&Wd=>nwr$yCpzhR>73qH-ZxH1GI`!_UA^Y&xlLt7eFxtC zZdl#2b&hJl$JPUHwcWlpi_Si$-Su3w_nbD{-0&xs>sJ)VZG5&z=}e{T^v_+I&qY1Y zX`ef%T{b8Dh{bvd>--hg`4Xk~HoV-ku;Si@7kd&fR65W5+-CKhH~XCQp*iLymiaS^ z?oD{I=i!?@iN`CQdp@^q{M@wbb5r1R*4gK{yPkhdIrqBu`PZ;>uV>D={`uVN+Bw%R zTdWT+jElTlnHv0js^#49WhHTvmnu`8pHKZc$Na&{yI)Ek9M%hzElM*eTA+L@l+loz zOGYA@nTK=3eDyPHQp}%EJvk@*+uZPNMR7AvR;J#oOqG8=HFHjQSmCv`t2XEP&MiIt za!s^X`dufh*VisYZm&7FE7kh-wX>1iQ_k)BI=3|Y>6&P@^t+$V?fP1HO}BS*Ug#Xt z)vwk>2B)7bvdW%zDRT3KbF)lKuIbF*oObivtkU9ZIx{z?)ux|~vdo@#GIH~t&1toB zOuZkki4;x08#=ety!6`K%aP??>30?9mYSDbn|nU8eB0)CdFOUbwtW50`gPvZHP(|i zzuU9<o!z-zmn~ked$cC$YUI(Co4fqdMW>$AQkokoW3~E4aoEMOu#eByEIJc;^!=Jg zry^Y^Z|=Gmd9;6XmsGl__BpArxu$chvR{;33wXIk@lxdBg`3;#(s^^!dGpUnotk6% z=bY5KIi@C-*)Qgr{;|kjQFv{`qcsUvBM+|J+~k+eI`tfv(p*s)EA1D>VHe86K0I5q z;7sJf_iG-UigcX3x#?cy!T!xnQt7PP=U#=*y*k@!_4AT2|CejzE=BHLxVg$M{cBG8 z*SvGDPR_ad``oLwbFLa&u6{oE>Tiq9+*kU$xdhl9U7YS5+N6}u7G@fudyR`rTqa=w zxRdcJbWUhkY1qvxk*R*^Q#I#?wv~k4ybzgcmp=98Ij!B6t9_oW+4O$Rrm34x`JB`G zd`|1P#cH3&Yc_RlzO%~e^xBo1?-W^`)?K>!j+52twF@`j`DA%o_jY97-8oOEor%n= zP2ah-Xj-&d`p&0wpI$p2nU^`|Y1q-ow9vV!*RDjSS<X$Jb}2GVac=6h3z2C*=cG=1 ztFt-poRRl4oz43;pNX<OtuuM^nNR18UO(2^Y;w+M^&_3~(Da?NtxnIq9Qkg_xuW)x zX}cG0zVma=({p9hcF*2?=j5EH@2pSXJr()R=UmbAxli9c)+v`t-?`etd*dseqboOy z%sm$rV&yIPQs?Nx%_6nug0{?ET2VZ0(V57u`;lGR>6)|VEPXL|>5sx`i;hKhiKa`2 z%}uo^owo3DWSdvIq|)3}i;`&z&quax+su=H&S;9|=?~VY3!dsYPu|S4cQcRuIio8U zr#C#(Ik;*w%kpzVC05=6FLfN3Y-VXcC$wd*YDMw11!p3g?ngFhr*qDlqxxd*((ux0 z_pU@%Ii$aAO@H}x&QkZ{X>xCMZ2LEVF*@h1E9)$Mp<~9=WgX#G23f3i4+Q4QSeo8A zz$}Pp-s4<L>n7FwbAygHU(Q8PZF*ifO$Ah&HcWYVF37E9+NSxNr|g`wROhKqs><`L zl5@k&=Y_ME#>rl;EZzC}QNi=0f^)^Um&DyUUzslZd~V{LaxrUtpYphfE0u}9&s#gw zIo{MLCVXynI3v!qRi7nfpMb_sr-pB>4adcqB;z?w?Bn14zWK^`#zWr~U;ZxmQY-Mw z?n{k)**<==`|UH{JHP$C;8U%}PrD2I_$BYN-<+QKJ$1q_BZnVGDz%b*`*@t<+ve#X zJ~#c~v(o~fQ&-eVhV0`}jc2<x{ov!%6F#O+sIC5<yE5PTN7<$A{rm2Iemgh+c8&G3 z+gsm1n{{rs@cG%sR{44__E^sQ{H)~M?A4a}e9!h+PXGKY=-g~&%ly2udojl=izS|) zJ$kPC^4#xYrT3yQSLQ#e%y)afmv!#<W5xGopR3F_eZKeLobS(y?nR%f%vX87_w2dq z*B0-2AMe?IqtgCv<^C0)>wKU8J@WZd$~o`f=e(mW_0K-pWAc8_mj2I|OwM_)w#Z-e zYR|@%pO4KsCmn2+&+~H6#)Y4c)tpn54U+cp6<Bqw0X8X_4O;n}5O-kxvrC6IEn>Pf zQE54wimICIoHOmAmW|BeF@`D!rp+^O&SX52#%NYnd~e2?%H;c%$=c6*XU;KyHrM=H z;k_BhDw9Ridjm?ZaRjILJ}9}y;g#OoP;!mKIlcEm@imTZo85L;X0y!P?DpfFRN~V$ zf<EV@4q9io^lx^%V3FO@wK*wtu4wkFH4%R4M}w@i#V$o|Y&+NWv^Y%XcI3vqb6u*% zVQb#6iO^0zYGkQBtvqZ^|K_C3Iijl{t=Y9|^Q&d&Rux;V_ItU;YRTqTZRb{fwp@L# zEbQ*t$Wo*9tF`G@*Ukw|FAAGm9(MP5WT{m8)zCSn;icE^T!}39OFyeQ*R;Ll+MNrL z#dhguZ=RdA+cMkl*_xgDQwml*Y1ZI6?4c;t#LU3Lz`?=5;4Gsez^9nHBE*$hghANz zRfo=2M&^ke3``6R3{DIz3=E143>*v!Y!Zu~Fekf)>M-)oJF#H*MuohheN5)Xs{2;X zJ;Poz>&tFobF=R~Y+S;s+80mnuRD{Hy`%Z_SD%_&U;pp<X`Rx~VG_0IiG;!X2Dy+a z298-#GNlh0EtbslFn`YY!0?*g`c2<5vog}AJYEr381yYk=<TbM7kti%pPVyaV)Dg) z&eHxH59I#ork}~=OmVkmxjP|sJ$ra&<I2!2Ys&xSOU30}xKdrcft`KRlpyPZ3w9R$ zj@HMf7?>XmdKi+S7TvY&9DkZp^Rw?_aSqGHN-wNYWB<rAuRPpx@uZkLoJj}&Ph0l9 zojpb8YCzi~2BwOG4Zloydu?hizf4a5)TVmQRXI&>V)x<C^Jg}=Y*}H{GtnV?|GZ`2 zzNi$-`z_jj!}yMi>66dv`HDE-ZM*(XLSz22g@H0}+@`2%>7PIP?X<<j>b|PFg~A7a zJ${uO%rxcsOZn3+N=3o~XSTDxe`?ossKVGHMe1;ef`@K`XOK_EBAezZIZBIP%ItE` zWO?v+#-Sr3zb0$AoWFM|?nBuw)`<&y&mHNpYOWI1XX|r)^#0=4N-d6+8#O;XDBNgp zPj1s%RYr#mDF;5zkFJz;I(TwJ+3c$<?~mOzp2#e$>gmO?Qtmj5@!XRl-x#($zpy%B zYhIR!r1a%#yGq?-2T}`S{0@bgKaBh_@A)RV+0JYDHXSd!9+x*;r`@2+-pTmmM#2A| z?|VLxt4rqp#Uj1v$i)ywIhN#ql3tNVBhA!g8oS#cH5^&)Y2V+xzH!%2Ml~<JDR#>x zn6Iu}GryBLnaeWi$JBGK%nNM!Z_EnqZRL4&snTtA=`y912e#XJRJa2B3zzWk(GK`! z5@_;8P_-`g1!tnw!Lyf6WcC<@7<V2M3G9FG%O5M0BJuy{txIq28Sic|y5qygndcpK zb9H{qudL(h+x>(8vT$9yZTmu{QFE$i>*r?yr?Zya)U%qbA;r)&Z^t{^MwN%|EelTX z;R*KVo7(L<ldr-kb@Fq+<-YtuLZ1>B6<rH-ns5Bw;pvZ<uvpdu=|w^rK@9u8ZHicS zskr}ksK4^eY@LmI0!~LhYV5EG4iNi)JEWEOQ@Qz^OOGdc?2l>OqN|?I$j~Gw$?UM{ zB$LT9xpNCvOx2Al{o1hZ?i*|67blftqty28GGzJ_a(#oq;<m0hncyAL#}_6C{&Nuw zlgQi2s+$(oviRg}*Oc6(S+A~HnneFO5UE`D<ax08b0NRGHC}g*bKS~sD-jHc683w; z`ugGL7yojePPo1}>wvSXarzkxAC1zTQ-%H8-S1jOo3Gil@XGg4=2hMzT`o5lZFY+* z_1Uq&^_%0W8OAGljiaY^pWT_et$&laiR7i9W<Op3{`|7pa*@LY!*;iR*~Mp99o|qk zJ?^-k-HX}9rzhB65%;^nEmT!EN!2zvv{K-WPr2LqnKL&|_<4F$iv9<SPunF9<w$Ny zlw16n=ZR%F_q>H}o@INcH17+Z(#N*S+`-e$Os&rN;I3H@&!|}V@yYq0+n^PeDUll% z>$G2Z(a+5)=IN(Yb+3G55>GoVqTQj%rmJ{cBee46xr42b7?*6?y6M?M14ZdneTNk% z1HyPX6Kcbr&bqXA)?o#cnI0PHYMqS-C)_MF?w_ic9lF6mbMEpvOYP<@Ed3+h*YmAK z;&5C*g<VR@KHr=xxliUYeANq@wM9%y;$cvD&fNVs?m2a>srXqlck)B~F7-1Pf1gm5 zd(YPqV5ao<+}yRStkx@yGEb<zYS(mFpz|T7O)-FH)0#$AuMDXwo98X+^5^3Oc01b7 z@G;H2_cPr%`tM|sr`4ykpL1GIj*2mO`YdhljYjP+FAHbedp~`1Jh|>B_p5U+h1HIW zCRx7<Vr_6|+{aKlZ>HlmjVvASZ%pyN|1K$RnU$AoGShpXB**QHtaBTqUSz&y)j6|o zW3_4Rqis1ij!vFmDEIF1p@OnF_37L%7Bf^l`q}Zhv?+DNiD?Fx1I(xW%RDE-wRU^3 z<tFhtCtoh}U1@Qn#C&t$EC<UfF5yP;Z%rJwPdht*$1^`_{B!#S%bfoq#@FZ1x^VnM zaP-eV3tG2$d(5fmjcV}QJ-eT~DaPzw_a2A2U*|lyWGGnQqg<}AxaiHclSLeh`#<$H z&G&0spcrwy;Y!?xw$=TtlJV}379SBz)#5U_v#Z<UQ$V8nmI}A3DYMu6Pu?u|ywuRj zywQbwVZ@dDReT(0tls$X`@Xeri?vVgYWj2N`^6JH`ng8xa&xP~kJ(+k>>!waXw!px zZ(o?sh`7l#DK^%0Y0hNkkHvSFiFBBKzU=ydzvABxQO8XR^{uLwa;+yj;%Zd$d&CYY zi02qpB|0e^cdz~KvarSJ2Y0Ul-?_xuzf#h23Irc-Hv4+@MZ&B}i!06)+a5XgO@`&{ zeJPdYyd{2)PS+MmSIn2(D*Lbc&W;rUQ)8Ez&v)Rz|COnG%U!l54+5oKmrM42x-o-W z$0+&MPVG0W1?&F^PL!3}C}6fpS+lENws(7nQjI&0hJDob{hP#3*nOLm_VV1y{G311 z(F=^TuIF2JPI&4dT5V9!5~Ofy*MzjTB=dPnGOPzLA5}l5`Q(`GKI20>Jq}-bpVZVp zEBSt$!G}BTI;9WpCM~($|JCbOMDRbxOP_WW+`cSgJbV7U=rF}T1BQ2wG4{D^F0~Wb z57+dm)pIV~=%E<=>C`l(*FW}rNe$0Ud6hoj`F(`1-^qME`wy|Jo3i55dzTq!U)&(g zlA`PVqNq!;z&0%C#`KRem)A!K>|_gE%P{fD>y4A&yS=FBSZE%c`>`}(iiMct;kTaU zGT*PKan&r&*wWke>Y9eQ=i(RVBt?BsX_&j4h<HhezO4z=yX1Ote)N?MH&WIu<GHuH zohS8?b9C5s*RO#+O)+=dGz2zJ-r2Bl<)pyh5wrV}w-j3QurUk#S`zZ_q|l1(d}p7l zy*q8b@wV&wlbMo}RUV~nE7|{k;_LUJlR9Jse_F8|XAUzLiRKg2d7^ZadqLh$<t{m< zJ1*Du%)Qp#kaf-J#FW&oFEuhg0U>rx7d9Kzp7<PZCcpJz_w@VbLWTP;x!z^yJn~R{ z#m=Vs1GU>8U!T8@Z>Own^^3&&``xbWU-vKj`zC=EmFJx29u!Nl>wLbRUu})t)>n_X zwRt;vEiM;)d}UcJx?;nDwVKBAU#B1UI-1gc;N<rG|7w4H`nmnTzTMwn@1Ec8|KfY< z(9VcCzUg%pGcS4+O6)8A_i;<6z;_;jy!!4n|3lJMr*X%<<mB1FSW%a(8QQ*G=_0Qi z^On61O7-{lT}e$k7N2OoY@X&B17jCwrTV9P{I&1QSh{TcjmsAbpZu>0{Ba<5?&kWx zzZn8fKfM2M|Bo-Pch6rRu60Fx0`sE9|NhqeUhu0;h~?v>KINSnY-eQI_2=v~{PW&U zV!{%8ufF@&b!?{ur#zGsb!L9}?d^<TZ?Bdfar?4Jp>I!7^5qZW8#ak6Tsh$^am}p7 z(Uw#1dTg1Zf`#-Ke!HK45=)9@e*U=qUwnRD?f1{`*VoJ2U0qmc|KaKT_3!QKe*Ss; zyT9LVNvTJKRbS2Y(n~w1aGrFTvGDhY@TD)bgZ{qYT9<InMQF(@?uv^&moIOa-jc7s z!sE#8)-%2-skx5d93`w~{_48NaZ<#;WcB|e)BFF|)_nST`@g)r%+=C&r|+--S6lOm zamTjD_I1C$etg|Bwbo86O5Zu?VQZ4h?$QGvB<$+6x7CG~Ry95`VD0<%<dc`iQ_++v z#Xiv=32`55?wIL+Uu34kKWlm9;YGer-gbPw&UKFaRN|D`3+k^i)fJtS>J;UfxZ%;F z`C2m>+#Ma8ohF8IKUtA^u;0T;&gyQk@jjO80lyp*xr%u%F_g0`x@Mr&6nHkpd+)7p z|0Y)LG@0q=&=Y+4->s~bA2_1Frf032^FZ9<TID+3y5;lD7N}$w=<BN=iwFuz*|)%? z^{C;+S)Z8|qP`zAJos*P>tU;_kAH2?FfXhL`TwI#ELy`Nz5j>Ek`>#R95`+)C=s;t zQC8XM6H|G#_PG2xwb4UfTixN>>{&%zsXa`x)(0OH_B6{K&1+k*&FFLrx9UId59j#m zc6p_%K4-cUR9F?wF!RRic{v-O>h&KhnA9;V=ZDW($FgU6T;8>3_ig*~_`&ptmYUzs zly!7F*XS@$N;`AbfOVE)&z<nV0O=*RQ`_(JC<(8Lo@9J*@2dxk+3IqB2X=NBPoBMW zWqOBI=W8e7u#?9a^CZG~=NcdKRG$@;ed?Eqy7KvkHSrq0CfvMDyfS>@GZy9j*}u=? z)L+Z0Ao1e;M<1|sW-A3xm~b-D;Dh#hZRLUrAH$g|(~C@fC!E{P>37UQhc{k*nU{}O zWAuCBjcIp2%F1X6zjgMSy6fbXW^*qkalt>bcD<V&R&RT?Xgi1W?3<w;i@vrc9L!#! zkn{IZdDz~Y+L3~)8#gwc=j@+*;~W1Eu^UD|%Ob-s{EXR~Yb_zU(PL$xl2Y~KMFNw8 z_P80@++~}&>gn1aJ7zBR<<VWePQ{SB@=}-B_1LI}=c{w`r8Y3GR-J6($GpWa;BHgV z-)!&ivr5?KCB}6gSpT><wAz`K*Q|HSZ7GhDN|B4qI@7G`MT*WF%N2`C9r-RAHp98s z{LDVxGX7n;|DLX>xjnD)JR{Fqh577ivF(>r9x+><o!Y*v)?M1`%df_kpFA!z9FBc% zVJw+wbh)ME>DGHrl?;`4J*-!oRUGk35A(gi5#a12SRwgg-nJ`!=|>G~{FW}}73h_X zN@(%)Gtr&)M(WDRM|+wISP$$ySu}Z-Wk(D5x5$7q8TIE|s+~ISPTRk$ES#^$?^8}| z^`xMRm6y_wmKh&8`tr-hfLldj+Y|$jezdy!$ELuhJmWyv%sn$07iV<7SaTq8Gw)B{ z#qCM+#paZEb+mjwwB=UAr*5I-|8AGLW__5T+T7!+ZTsN5y2tT8-6FTLBj*Gs@nwsb z1fF9$XuVeHPW3U9vvU+=z53@fhRWI1Ft6J4I!XTH!gB$I*QXh6HeT5Ac*n)oX$wEA zIWL!(w2!^vWccjOc}ta-nHa2gJIJ?BI)P^bk8x)4BG;{KiHU8;|F=f{J>w;&CN{nA z*{{bbMe`2bI%k(J>u=UB{o5?`&W}{HfCqg$gXcet^vgb1eEZ7PIct{Of4{{z;Yp*z zH~qKVa?KigWo(M`ttW7ueZpWFT=zq;@5}{}i2^Y~n(NLUd1f5QF=HL;tQ-1jsox3; z@7ZXnN{30my{M_WWWuSZAL~@kRPOqHx`z8hzRXH?rMMrrY~J)v&MJNC8h%Y#w#}KT zHInH&j~D+vv94u5AFY{WGH=!KU-^DpW7S*_WHHTByHc23Gdb<`29F1+&kAaf)wnMB za%YlAz=5f%a#mA*Pi1y@+0n4?Qq%t_6=|WF*N$FoRQsiBH2=BcUcdPXiT1OPHWZn~ z|DC#JqR3W>ZGR-BK4#By(0FG#&8#Y`f5C(d@n>s(&r%ZXTgA6z>i;dtJPNnj1GV?9 zlDvDi!|31N6Pe3;b~i8k`t+&sdFHH}cJG^>n;o6)m%D1>>gofs&li_}F#eY1D)XLC zSoy!pRmPN;{As5x1FIQNbvdUV;g`60xz+xbqQJ+j)`MH;t<&{uTIupnQo?WTPyhFO z1BL!KIM2QqlK*<jr?OqCiUO;<tPQ2Yzxq$zZ6%`pO7a}<hN!xVfNhf;<X7crcX7oX zUu+oCrn5I|?fcM}he_8zC#x;rt9ChF=gbAmpA*FHWSeO(R^wl9+{Y--XD{n|a>p^v zztTlt12ccBWxQK^ds|nSe^~XE1AYxDH76KX3v+chCQjJHE~<6LI-PxL>Y~Fbi(kLz za*Wx}t#F93kMZTqjm^)wmzHXDC7!)~B&z1*Po;~$!m1{&vuNmXzO_VWQ{s_EgQsSN z!QZa_H2L{G@)w7<u|^Kp`I<8#{=vF=^KLJ@Jg54R`tHP=@iTI-DyPN@^6cbgh?$*X z{I>16M3QIw^5AeQhPI}^oA0eXr6juJ`$j<ymdniXQS#QZ4~oCUM6fb`byA9X>3X6i zq-n213jf(0kq0LuxVHF)%=qv0euG54{l<IV+EEJ(rf+sLWaZ3RF7f2R#V-C$8SO1k zSC?&Y`RX`#;d+7iHx5%@XWR_>Wz_cPFZ-hklXa(NDHa_wi~E0Y?!2EobuaQ3l!n`e zoV>yqP@3v9y;3f5Y2EB|IU$!eC9gJ_r!XU^-uFbMny6O)qZiCyPS@>|vAA7QI<F{i z!`r_i2mUX&yr-G|S*Gxs+SR*0&l)+d&k%m|Li()ED`Bx?yG4uktPgRUo+TOm*=T9x zlNQCt%cm6Cq@}4xo^|iJIoBoC*e2g4rlKjLr?RZ{TT#}9zkh95=A2ogY5e95*U$N% zo|G3XN}RLhOr}OrcW+ulosA$1>&=CcqV~%jSjrn`&$Qe>X~tgN`NwrX7ckB?aa?Ux z>|<EZ=rF^1QB#}A8Xj2(-mNnWzD{)du5)4OgWq>I7M1PW@v_SIChNbq+W&9VABcMN z_`tzn?(GqA9gp8MGjI0hO8tFX$V*nlwwL>K4R6ivh(LBD&Sf192PM`#o%p4^ig|7M zw2v2jBsPdTbSmU<$(^p@I&*tUo$*vj5p&bDdB-}JubejXd2VpNU&^ib=N)=}nmlZJ zZpM63mT|Jxfw&OyO~DLnHm_CpV3DafA}sf4R+e+D?Rk;qX2JI=jbsn}IL5O$;j!j* z)5kYmtEL${-v4WS<NcIp|Gs%Fn)Wy7a9*ZZSdem+=QrLfW|I_sd>c<?2>pwUd?8^l zx$(gU6(xSf1df=tLx&A^@UZqP7D#%@IX_5=cx2kZAZ5?+D5Wv+uaSV&cDw%`VfD=K z7QMR=miRnls*Zo!qfEb)GoR--TzY5nbZ(znd)Mw9uh$i+W+{jIE#qe&6`q%MD)^{P zn0eRI45<j0Rz~e93qMSJqrULiBDJI|C(k$Dh@ADwA>Hh}NNjG<JzpdFjt|FJ7FRxw zyw(Zv2WS1iVBSAQSEPkX66e*bs-G}x>S2^hSjPJzZO=M^FZs`;L^IUI{hmn#8l_m< zWVCDaEVmE3?`A8%E@yYbax<o_aU!ho-SN-f>qVt=Ox$3~G;7NP_OEsMIv0ygOt!yt z3&_dq`e{+w{619qkOK=(S;x+Mk!ugHRVh9z7kT)wjCIA&3kIuYcId5&_Tk>R>7m@| z*+!4*7^MU)TX!-D75vso;#i~=9BQ|);KJ4B*<Y@NOujk2#p>&x)%)|+IBx43AN<9@ zm}sW*>2YP;QE*@gOMkRcU$o`-@(s5Q&u)CAH~W!B{A}IXAB@_w(~cim?)Ndokw5H? zk>aJcew#yz-q$$vXDs>U$&fTnjpyMO-<{89p6!a(o5@)9dB)?vHa2q$Ybu|KJTJ0q zob#7u(Hy_v>o-$3vxrToG}nJy`hcbT_XnGfxVI)+r&_<4o8}*WIJrk|YW?{wa;u6q zC-8XA^mzMNsqALpOP#uyrsWg$cYf^-5<eyT|M`y%dHV~DE4$j#RtLROIQv~Rz;eCe zoubp#&Y|aK#n!BQxbej4+ut6=Xf=Q358SfcJ6)<|u}{jGGaRbNHKp}~r0??u8nnio z_4>9xHXwGxqK;+OMw6EP{B<JL_;$s)od=ixpWOJ&{Os&UbIulBDxQ7We6!KPRdbRL zWuB{GoBFBplG*QPb2`rbn#pQzc~el(I9X3a{IzXDP<*nL^6Yz8p3nUfseUE(vrl1` z@zc5Lml=EV&lqr-%`1vEo)^0$-BS3=UHj(0ewq7%zua=^I(BmVZ13xlFIL?wOJAlo zbA!`sL*5y4?bd56oY;Crv@ieYE6)YSJTD_RW^J<7a9hlDdcP=F;I+%EK1^Oc=}@E5 zsfn*wsD4>{`jNwTqqaGR&z#hi6qlWx{W~lBW=V}i8vA0SGdBKf&Wg+GE3JLMO#LDk z`-uvb=p#&X_85CkXbCZY=lb!)wSTQvsi%X)>}&#WUGbaqG)3U$FU|x@zs>75rw4bw zIsdG~EH15J{>>TRXGGd~zKyZ5XYsRCj@VT%^!48*n=5=eSI)>Cm?m%eZKanf10(0G zvrSKqOwFD;RrhP4-S5kn_UC+S-}<Zl)57oNn}z!XESEcWe%~>b#mn=Az`<h&IOUc1 zuMwZp=v~{KZ_QU*$X+m`)*-a{mr`!_eY;Ipp9IU@mW&JIX=rV^bhjw@M$x{5>24*J ziQCx>#aj0{gcQ}du9_jSEZNrcx6iv5S<0TmwO0~8FIzEvve2FP&z0TsW|cfOo}JE+ zZl<U=C$+1}H+kL0uOCDXeg8b??Ud6$dn|uXUQsvgnf2r?t#b<>PHFj{)@8D|T`1=s z%ip4~rc-}yj?M9%ysjqgv&(|hYDbPnqy_5d`<U*MpXYp|V&2Wzbj$gM>GeXlc3+y4 z*B*CA{PvWHvvS5szaAg^t8Do8MxUq79>zw^r;;mJj=a6Hd;7h~z1J@4E7*za<^DUU zeR-1dr%QHC(oJc1TDxv?@Mx@@z);=tyH2&MKV2eJqde|<+?Eado=o-K&X}nA)Nmck zk>@UcnyQBDk5BfwwyWjUH9^<Qro1<uWKYe#9DlTE%BmlG8~j(Dl8i29h_S2op1_gQ zw>&{_w#u8u72)rGgijQespRm`o@rVT^!WB<N2>t&<Re+Hd+RR78D6}VA<G^5d1CSB zmgyo|(vR~uottjj6{=u#tn=b4>A#EqDlOV|(Q4Ysj1DO`r5z6+J?WMTD7=s_Sp4bK zw(PkVl)1#tYQ}H;r6g0sslG_-4WG(-S&{0F4}TmYG=#U$v9sZ_KG<?KF-SnaG5A#N z+7mB4pH7fJacWD_2A95$sqC3+LnCi1I`uFgahlE~JDthT|JVgVKZmFW)0fRFV&ZQ- z_^W$dsX%G-f99ppna>{1nJxA(?O5w&uO-)|1ES8jMo9Fj+MoM1)6sC6#tME8IkO+P zXD;36abves*~<-AXWw$YJt^dwmav`RVgcP*K}D{*odWJB%3ZfA9a-q~q)SUEaUDmI zD`%%c^_q1WVJ#~kcij?9?B<pZztYPQ9TG0m%aJ~###Hrj*DS$A->&t`;$i{~l-3;l zc=bw`c9(05ssFxNUWKkJJ3h2MU#%$|ex;Kmzoy1i^--6UV50Zb^@~=;1V*)3SYLlD z8d*>lvt4~6>++MO(L#}zj+AD*P7~Rc=c?qrZQbk%CoVNT+O<*1`}Bp<+k%l%b7Hnj zPh9GDq;#=hWY(_NX3CqcY*{DWbD}8t(XNdhI_A#TeO*&_1{U4*bD1W7rBqrlvLGO2 zXI@l`h0QXX$#H^@EDAsQR0$={3;5&{C6svW$2`xq9FtENuk>iq)oikv+~RU$Q<d6A zrJTw|k7sUCJ~T_vY@VmN(i+c|^E^$I))dy3PX6dKOYmWD=lVSTd7cJJdk%iQntRl^ z)3wFid*8056>2LxKD0hxJ-ajgQYS}YNKL8mM;|Fc#lG;TGncq_FsGgh{?=tsKF222 zU+Ijr*St-tg3rEB*{YQDu)`+RRVjz_(-h9m4XUTiCx`h<Y9Epky6<!8<CM1@8`_SU zPtLJP1v%x@S(U57Zpw$G=jN-OtT>hCQnC2)Y)}1pMiPSeWNM8wj|Lx8IwUT3-^c6Y zl$9L<>|f8S2>ajc<S2}|?<4eaN^ggN`ziC((0N9>hkOj5a#p*}u$W_$=&$6X>VIPr zM|8zL^);f2+!NK;c(mvS?{l1IplJAz;nL)y<=3D1>7Sf?Z=&^H#quhLcVG5c@0BU9 zGJW?YsJzPX-Itg<FF)RSxp2p2?ZV~akIwa-nmc!j^=`HDGS7E!`tIE1-5DsDw*2#( zG9@4XlbfXl6VJ^Mxoc^CNae)ah>7XtT?XmK@8;<4l>J><6sqL&zPcz-$*2Fs<Y=M9 za~*ld1Mc)ORu*|E`RJdRtSyvSwOXBB<<OIscTWmzEcFjP3GvU<IP_$fy1VkBFC{iR zB?Q%ue}3X;W0`kk^5&0ETwG>EO|~}G^k3`MvQoD)D^STt_hfLgP-4}p<=<Rq%<EYm zCX$%tT%Kh!?^ZuY@am6O%3NkdT?pPRm{_GhFG@TyOFK`%WZtZv6O(S83$7MS%u=5x zrFH0vn~mvQj?2*>uei8yEIKLPGNpNikgvit8J8&;OcS3d%y0>=(&T&8t@CoGMaQBO zlZ++H&sF6eugN<ecBk*HjLU=*lje0azYvVvw7N*ZT#36wl6_*=vNy|QTKrQO7d}#m zatSW;<5O}?IoVg5KK=2wnV+^={<^hgpP4h?As3U>=XY=N-Zcx{XXd@n%zdAk3wvSL zvNvUSZeHDyJEtahLQQU0O>V=TXQIA0HFusZmkv)k*>@th!)4l=raRBvQzk}!YEAr9 z%DBt7KVws3ZQ_o6lOk!Eiz%BQn>k%fDSm7gYjX3#j<fzIH_z<w^*6bBU<a?(tdydC zdUko6g?-Jm_8Hy2-g-RO!(}pW_w6~0PCh##&Suus(S7<t=7cPtHS-L@T1=g+=53G_ zyr#49W6Rx+4{WWkI2Q{(<n7Ntm12`<thA@_lkd%Lbso1CecSs})>a&faOp69G<%bX z|BNn<!mOI$v`3uQf{J~wXB!Fn-{{~dHZD|Ob4qxb>kQ*%Hqq-jE}z`|Rprn$8P)UG zCUHcE+~0h)YeVaa&7mrXB$p_hpEiLbJ7LW{-LMu@=MtM}Yo$Fmb8Mo`mG(^h=sV-p z%|(I_doP+N8qHfPDY$RW54AT(H?t}`&6$&bD9k2WN9oAMkG>II>E5m_79RUJjX&nh z?J(FbTz<&KCR$m^XMxuFX<~_fmFwaJw@oPc6l2@Dp|x$&^DNI6-TTE?y9(M)nMb?K zTdgE`?MKaK(I-2Fgc5lt=5Gr-FRFcL_GR;Et9h$s1@E2tk#_o6ajEj5*~<5GR?gFw z65RKqX0z(!owEcV^1fNULi4<64@cn~n{XYaBbvXoyiOJ`RXTKMOLXA<;!>qUIgU?u zN(m;eYqANCYS~$}EKYFSfeRmfY&$m`J!ZUB<<LyEc-NKlR))2h-U$yhnzvF(@Y;(X zTCa{8tEwEDr4jGCwqjX?;Jpn$w6u;IZ&f}dtq|`zvtn7E%a2X9qN<N(E)q=iIcNUt zOYOo>wKG4}R(`5Y{8a1ssn+sSt>UNJ#M=0Zn)rg6_^g`vko(gm?~BjR*Ev<pV0KHK z?bLPE7bby=I-h-QEv${L@hcYbHSynP;I>as*RNS+R>riN#EyM>cQShxbuRnb`tf7w zMN8j6lZzrh4}JKt>&cvF?j{#Gejehfjoo(nONW}&HF32U(uvCt3i_H@?=x_?&+*j6 z>0*lUBa>K@i+6q;`cb1i`SKqZ;j=yZKHd2xbC;>l%1Ee5t*A*X@@wu=lM;)cxlsR1 z*{r!4ivmAR<@~klfS<F{tPH=J)P{X}0e;0@YO@66CFif_o_t!tRk-)t)Xa~ig|TX0 zCMWmoGrDvA=!w+6MV`-2hPyp~?NlPEa?!>4v60(FmZaaO{`@%frY8BK_>ptsM>_J$ z?58Vs>6oov-`lsow|9N-t8C@R*`FU}Z+?_L`B8TAqip3z-oa13jh}iye&W6OiTCH) z%#NDOrkc#Kcq!R<Dd~8rtJB?%O@DTDdfL(HYDcFZJ2KtvNcgf-;c2JBkDUy6I~o3L zH_wEOO&>pQefV*!<gZ&SwYd%Z%<ST4i^WU7%U=9>>&c(Da^j_KOlLbaz3t@mV_%mV zep;&d>(Y-Omp=Ts#8aEuw9mvLUTVI+Z?``0_oWwqUV2iKxlO-?v7tjr&uGKxiir%; zIt+e2`Muw3IX{*5oU%P!o7}O_P-CCvquI;zm-*c>cf2pAdVkI*pR*l0#_Qgnneg6c z!h4?A)`uTkH$S!xer&z?*SRx4&i$xKui9s<vCmj-pRw{j<J;zJFQt{AN<V%gz4?js z<6p-lYLgpklEd!Lki9=+VSdjAbG5I%6F>Gge(cTs*y~uE>{pYYQzN^&=)6R2dcge| zi}JZA=O5bF=eW~&Y4PJfHQ7})#-@+zetz^7ynkn&ro_afhMMKe0`6EC?K54SpJ!E` zr<5nNME~4meZNWieG~QlCg}V1>!0h@_j}cS`BC@eN8Qekx);~xFR96&QIo&#z3;{E zZD04E{J3}J$Gwcd?(O+;Z^1ru^?l}w`%E|F_fF2|os{o8F`sur{@DxL-M+5<`SaR_ zAJ-QAxb~zbeN|2RqMGz+HSu9J@n!q;mG|j}?9&a{r+a_<(igW6{o3~A$2OMQ=#-l1 zs(rdz`*c<J>E1S<TO)kZyt_vDgn4Dn<zwc%TP6lD7zOMz^4Vv!?sUb)Df)A*({=SG zD{pGx`1OpvWTw<a)+61gmn=HTb}BW=WpeMN(>prUq!*w5;4-;)!s!Ja%idJQEOSXY zDLKVD)JsoTY10$$-NnnE7=$Q!*ZIAhBPr|Vm2&d^iMhs#?U_Q6n|2q@P?@N@|LR=h z_Xh4t-bGIBl0uP2VUPUso*OtQd1nRiJ$9W|qr0=X?XiKSl6Te`>v(6rVC79qjy*aj zKH=~ZC2o!-`TLsqgq1fv(LOfUSgO5HF!IyY;^#WY5-XIv%T^s;(4k{wz0b&epOMKv zBjbHW2K$V3_8DpIGg9AYq`c4QmiV);t(BizBR{of)+Tn<B(~HfM#RsMjh`VMFCi5_ zLo!}MB3?o)UP828Q_%P4shzUbg);;us@h9zPhPP*pi@U7tj6)n9ak@tn>IVWCueN( zESj!zF(vtlp8KMcYA0gbT!PDN-Z80cN@;&|Zpx(H6%$qWYi>_=FFz?5`6;-_`r0n< z-V>AJFTTAoVfPXx?=rJ@Ldu(-us<nVb38W2W!j&jJ11H1wyJMZxn8XPy*ORxNNj-1 zv>L^`D`oF4bxAoHKKZtxY&oZ3<fpH9SKh5yA`}_)_}!JHJ3l|kEp?ez^KgfwjICMc zvYOKE$*Yd5OjM1(^H$=*<B2CG#a?(k;RKWMBkskuv2pR!)#InD#!tU?ed&qot|zXy zel5NEv2^Fh(uE&OXMQYg{8*a!u{7{ws{sQ?;*q0Ik0?*@Xy6r7sNA>m^VvE1%ZlDf zD&H4ZxIazxeod{c^Dg79ca63789MDVv_3D=ePWVym-Y5J(#ubYtDh22KPmqFgn0Xl z?#;g*J^1nH!jDHgemp9Oml2MibNTzTuX{5;?Jca$@2JVItI02`$q%|OJ^%gLuJ>op zeNQ{Gz3tR?wo}{PPHsQ;B3k)L^yJ6U!e7=-{J8ez&uc4wT$}RaTF(8Mn)hcee(&A= z-n;8Pcjx==Z@Cjc<rdaPchp4J)kK%oL}%4RH|*2Z->17G|8!@*cSpX{&t;SHrD}su znD5Q!s}25oc7Fb*A8K9e({I@x{@g41saEh)uH`SaOXi+6##@WiyN=9VdAU~kOX-`F zy^lYyefV*%=BLt}BgRvMY=bo=&xgD^YP|JPXVWK_g>CaRMEcdao<8n$60{AxeL*-> zWMQ)TJPld@kbaI}=Z`KAI|Nv!sC#K_dUEZv%TJdXD}?+-dN_nzA9Yp<CN8R&ry(w= zw(6_P+KvkwuLw_7ayp)56R4(CvgW9;s?wo5EjH$wg3rR9>~!rk*nX|JStv2jd*1EI z9Gf4{o2~71e1eU+vY=JkmpdIwB|ayLKMN)<T4u9dT2O7(sp8FoiFx+t*SfXn%=XT^ zTP)ng$^O9T(d$LEk6%mAuX#KvKc_Z%qPxPyDfiFmCO?smwW+!&Qoqm0b>GjGI~I2x zm$^7a{=97EJ|mZXACH>9vbol=>`y>>%g5do`FDQ03CGV|bUehwb$-6f$Fu#rQ`>5k zzxS%{Gkk97X5uQ}Z+lTB^8Sv;()l&Yz4>!K?ogVg)PG#$qDZ@(ze_Mrm5QLR;EO^R zlT@9fy-n`A(^A$+1{XFzFuAC`qwT>g!z;X>rCj<S%-Seg&b7`koKJ@BqSlVC2d^5l zk5#<mjWPZ(^M%AC^^T<)k4`sd^Q|-4vG0LO$GwC00=>=U3RP^^9ky`yHD(Ch5_dUg zzF)Y!%<$ctusaGR`;6A;pXtmO`INi!6w6OHvHL=E7%wV#)a0(J**v-M^p78hUeqXG zG`APt-ZLRT=i}MF=WK<Kq}P97?+81<EfC8fB=4Xjs_>k#V<}UQ1w+zG2BmXC-bQPu zCwcCCANIC%^)lPVE1x(j9uQLXoUkM6zM!(QYX^^}l2DNb!wex_IWdL<Cr>P0!diJU zSdeqWL6#?GPj@a=D(N|1+%1?`WIk_pH;1hE$2%2DJ|P#1g9RV*bUqJL+H|I)A}=~+ zCTHOs&5I_BWuI%zN?IkeT-4Wa!(*P#Wizf9*0==wOnLmGW0{1;alx)-Gt`dH6!cZ? zJ5`wAGHu4<#~)mRdnP@8;S%gK>2XKLvKiO%CoIx@_WSJQj4s1ZvsEsN1V1r%Tcr8y zcz%;ha8LjJFCEJy-kX~*T6skAZ<||6=gW_?B`%6Aer&G0NK@^2evnJ>ncn*oI+jUD z@4vW6Q|*Om&?3#YW7#uYf_=Wv(w~*o5Em@sYiJmExNDgZd-d7A6GERq&yu<*^7)ae z!$pzDk4*I!X|^5B4!9_?`H`vmBF(mH-;Ru~z>ks<CP!!d=$V|+rTI}(<)Vo3BV+eP zE0658b5_}Oq~k}=gp976c8`^3C2cwXrDK`+lJieGmWkg;&vCijJ>~p`j%Cv|<}-FJ z6IY-Avvb*WwfTjDzWUyFo0VpH-I;QJNr#TUmtC>athku@Cp(u-zn1QI@k`Pd39XA? zlAag_FM64JB6*(6W$%m0Gh8m8er4#f=;hJsGZQnaZhn+dx)}0kiH({^O6A3m5@9BL zd2HL<P4?cY;OWb#60Gv+&8Xt6>d~4N7t}vf&{zL%a?PTbuE&zA7QIwGmRzyuCF`-| zAeZ3I?oSePPkj3H$WUd`$|D=)gq1c;*>N>~mCH1t=YOAyMta5c?|10bQSCb(->2l= zdHdsZm5ED_y!>%mJkl$sZ@);Vjw;{r_zorS&gM_z@)MUH;r+YRJw>z7{NE(yO;aqS z>o*EUdd2kaztEwh>i0t5VdBywtbd=XZ<?}0ti1E}PVYJ0yN~SM;Vsj%`^e8bs_&lb z6&k)1Qh%&hX#7ryy=3~1cY1{@cOO~#PRRYSzMj%)pV#^^CTDBv4F!FTUF$7fFY{cC zj=N~W{6yDx(aI~i`JO_NX?1&!dYGJDb5BTRR@$EJUpkh}l+E8M=xc0sZ&KH?nG3i7 zICH1sbk__6hIw55p937~ek82jBWF|g;zVQei?&`VCr2$=ZiWQwM~94+zf7B(F^jY6 zbVtT4PurC)!7DG%3sO4m^F2k#*Vt%Yyvf-k6=JTJd3w~fXQjp26uVsZ=}r$YIlH0a zRL8QJbJP7z&c3h-b-C=*nckV9RTw%?QOUcr`qLGq?nEz>eF=<{6TM7!B`~h9xwAsN zcfPuose|IAE+Ni@8I!agGVT$T<6iH&{4f*41-tVuo>M0YHRvx{HYLb1NT2b*lprgy z1}!`Bd^=9Tgd}waIWED83~hnUJlqaK@#aZ<8-l(sULx{%#h1$}LJ94B8l3zF?SlTh z=IF6Zkk6RV%$neL`gNc)-<9cYmJ6aXE1HTpSuX}GwOSx)l=SDv0ST`P29qxf4zfzc z&0%y?IUuB(UXjFTap>vKB@fw4S$MNN6c;vnba@2?=Gn^Lt#T@Qee%V%;EQXQ%Wt1o zb*Ji3;cL$q*MiGmzkGfz_<ZlLLp?DL<-2O$m2BN{`RLu3mv&yBTD&}a_hi=HlUbko ziA}bSTxT8W_AW*6?#WMu>fOiZu32au=~%w0;a$qlJ14UW)xDqlg`Jzb=B=OT8$Z#> z)}iaHL*3qm2;N=!sBn4K3%}3{bF~&&huW2|in_D%(Yq&&cTX}u^^;R5|5Q=_>B)}C z%a6^q(R%m9vQYhehqb@QI~&Qnm0G(#t2{n;x!c-5=v}MnPVs3^;%-c=PIP{ktGRRg z*Soisit@Ej>|J|xudeL(Z8>*t2i?6rsWAWb(Y<R$zi$h=bK9se-}}hk)mq=zExWV3 zsOY`d$-S%J-xHl!9q#xpcI~^^mpjT+AK#n$>fY3z>Tvycv1@mfPknT6YG-x0(mUVK zo#v)b?@gIp9qjeaxAyy@rgyH4ce_9B6u<f?PNZDl>v>$rxxFji-s3HM&-V0Q@5#Nh zU*9`>Vz2bYy|eqP&E>x5Ie*V{{GR9VJ<slYp6<K5JF3m+R&SqEz5VSql^bh41M+;6 zin1rYzNVtQT}^Oq%Z`jm{I+lJR4Em{ep&KdbcywPmz|$aU7Q;};ZEhz7w5EH%j13) zKA$RA9(S<tx#&Xc^(EzTKX-oiIzKl&pgiv8&d*$(*6ZiI+tO6Be5yuyl;y6=T$8NV zO5Dv{`od4!{@s>KkNvbQ-fdATSw8ihpSFE@)XSZhxnB8cTbD;E7A~K9d9H^?xsvNn z;g>J`R8-29B=2^Hl&D|ox1Lyar?cg;pUOh(iMy;P*1dCiwNse0RQ=MqxgIL-T&(WC zblP=U^r_$Vi*xl<%6Ij=D-pf>@>k*V)yL=R$&~MEc~??ezN_wC$=5qCo$kK8wexc6 zuF0(>>e{E~u32my>G3W_^6p8kU6a2)_6zH`j<k4}!g=@Pt9L1X@0@(Kb8_ph$y<xn zyN}FWb9t`T66?^wcOkNOS2`6fKlQ>d^y1uA^5v_l?yNjixZLXnw{cfNs^j-lW$&i8 z{y5a76eD?IuaU_2Q!aPve0TmeD*FBPG52r5hlg5f5^a@YX7pDl+I>G%_O7)sUZRgf z{MasWw#RWZ&h0f2`hF-NenvnGcXXlto1=R-2z)=Zr#eyXU2E@;L%TS{j}`0Zl<L1> z(Rt4OyOWcBfu~KLuhcG6%@@~H7DP|3$@9%B%oZxoo^)=lr{#9F-0i0lwx3#XM=QB# z^_kMuXHKj&S`?jXmpAp}j!?6b)jBW2BrmKrnjf92n>V#^`>B$=sZZ}{-Og)0nAhsK zQ}o!Auo<tzW=xDublHBW<__26LhYOvVG$SB8Z3xTwA+3t>JHcAyw;a@xK8gB-FEj@ z)7@K5McLga*XmwhtE;?yTSs2*&mCKjKE8JC!rHY9qN5$QZ>!16-MVAz(Yv=U?c6%G zI6HfHDeLZ1)~DA*Cr5{`iw<|oixs@P>r>(DuH$Q0FN_X%+`g_MFZSo1U0H>%yLOi@ zEq<-_{MyyBY_76wu2X9@)wVB-*}kmj&Mc)}rcWPV6X}l*cHX|MDbJVj?yOHcO|L$> zCQ_d5_551MxwR|bhKalh6PXen=#%HVGtX6Wr>JX*_Nn7*HRQH0YRhwFz1zjKOY~{6 z_Nt?6HQt3yIk$Gjoan&YYo*^@<2|)jdUCY+^|iAnMw>5+HkaR?XOnlgE$?nq-ra`0 zyES=tqwee~ls8inzgseI<NVg0JH*R&i7%^7v=`LNIWB%p_~D_FJKUQK^?gcLcN8Sr zyldsX%gwz@ob5~N)Q*Bg^L++_f_gp2#J9Qb*dTd7Y$}KNu}5(dUHREwE!^IP`P?sW z7YQY<RQ{B!+PUG1=+A9^E)lPKYNDN$bV}Xsi%sD0zB@7hboYiUwfDpNIJ{$D-_9zW zzH8p@D?4|1-|gOg<=_tQx#weF)x8T6f2>z(^e)K!v0kY|`O4Stf~No479=>$>gsk; z<wHU4k8c+VCa&cEwXH(QXG+<0tA+X8t}UInvTCB&<!igNbXwhAdhS@ctLh<_)*aq= zd*6F`we0-5!~5=q@~bL`?(Erp<>8NYlU!!pex+Axxo@?y;Im`9yyy14&+=&LOe&hb zOZL9#1P<ZSlId1*_os>{E}C^`>AK_PQ<V?7Snl+m`#tt*$A&A4Ki35bs%e!@-*vTI zRQZt0%6CD>f31sgnV}(7zVh?O*pDtVq+jWk+V9gA5qx&-?oz%J<)KQ4g2bQf<`PU? zDg4Pduyex|!P?*;C7n{&`yrD#yzfrP*Ya#xs&~@7ROL{vY;CZs(jBo$`KvSz<x18D zJ1X4?yJ-GY`B3iPAItV|Y`^+=_ASAO+X`xeEtKv|yI`KGd?>f{en>#e?O#8a1qtS@ zem;Aa;KOYJ_pkW3+`jd5S)9ubU7`CS-5lFXe=Vy}x+8kYe5ul*Sl6G+%9QS0y=b1Q zbSPHw=dvQDJ5w*3iz*$86|D`9YT5nkqpPCpj@5GUSEg{3w?6J}5_-6<W#0-l!FQ{E zFPi4EWA%J}F3*<TuYNAt$5Gz;Yf+EOj@5JZvpiaMD}8k3>?{ahuK&utWwz6=MPY(@ zTBpR1Dj)KdtqrtPx+5}4|CL*dh3dYWRUch1b_k@un7vjs{tAz3yhzWC0ES&p@9fGg zS3CI5r>Q7iXtMR>m~u7AoyMIn&Z)?kt9{&IocZG1k_Fb28{YYR+G)J<=v>b`b3Luf z)t=s&x#g~A^Igs6qUAj&=Nes~YouI$s^i_1pF4t&J@&hKVeX~{)~OEVr)u6!*}5b6 z*j>%bJA<bcFVER6%(h#Y?Wv!{Wb4Fr)`@QKS_JQMelAq+IX-v8LhD4w@<R>pT7KT) z%q~>#*)6=RSY7A2-;J_#?y_|5Q*(9I%D2UoZ|k{pQ)$=cr;pEx^;<_fmv3u&m&17X z=BJ&TuRb~_R-W$t{9M?%xoh8^6Mb_|bc%Jj&%2nN?_wl(7Q2=_KXrVrwp{tTws$eC zcXu-FDt=o0eAUsp+V9RyJvVpt9P9Agb2V?w)l@5A7E`{g=gv%}UB*uzpA+e~4t6eI z*7VMY@$Sq|JB_bCIww-D&Q+$)b!x7LLiwUS)`6DqT(0gAUi!%IN~d+8^1C||?(CGj zyHoV;&c8c~Cl@~d_UK&h(YMzxybZtbR{X-->F3{ucSrA3*<R$jy~sZAY1JKpXU$<x z7RmHY%USwkQG&~i+vn!$3df6da~OX;JFP?C%e5u*$?Vly@mD%IqJ3(LO`mp42_|-5 zFc0_Jr=fdj+BNfVuYI?*4@te9z54xb+s+MY$IQcB_T8Suk=;{MEc#^kR;4|HAJ1NW zwOh3F!?NGcypER(3qACGJzHD)ey`|5?ymfGA@_6LTP)P~b#MB3H_xSG^5fkaSIZX* zD)LQ!zbK_<yQJW=X^-NrbiZd)KD6Xx?3J$f$CM7)e2NX}dcRERkl5V!i+pOf3kz<$ z@k7t+NV&9NBKM^Cd7=AeizS|Yx%>8%>`U5*W=Y<^J11uHJeP>#C%b1)%Dyy-Bfn_h z?%L~1!dlE%z0Y%5=jp1n$MMtLL(euvPspA$L83ui^#0wj>ndR_<{|rbzuckrwnKpZ z#qQa?*_V1b3Oj1bgJUOWxXify@}BQ8(@eLPmydSe?#>qK;kbOfe7op%6*0lIsqgbN z*Li9w<^25lF745*5~V|8iudoj#7uT`vH1LCwP)x$&!`qtn|R+@MN{`Gt@-%zs)~B} z&B+|u%W6zLPlj$&IW&9TdbQf{NbN(?it)ZmMN>sPKd2rFpWGCa>ZG)1<)^Dlu7)1# z5?KB_Yf|6p9QPIr*L|~69&7CuOw3cv^9_nkHBmYv9`8G;aO&9(gZdiNmq$a{ln;r$ zUG1s8&PYsf+mRnxL0zkTTw8Qq;(d(@r_SvtU^^8)*(D}bS!qq=$I!^Bt9`mRJUbqq z8oX{pc#G-!^{3{<B>F4uDf}2ZbCPzBXN$Q@{M6d;2<=1Sg7H%YcOL3;>DXKwD)xj+ zSV*z&6?Y=Tf<-4>Q&(&Ehzh$sZOMDOD|+Yr=$-STEw8M-b8f9nXY|g?Yo#vDHP$Mx z(|PyDue{2myejbBm%evjCfs=`dH1F0-IsrNTz*}+y#2AC{|mqMyD$IVdHMCu%g%RS zzP<Bu;*QJKcW)}*z3IAhv-Pgc&PD0zCF$WM>E9o3`?$}{a-W&$KC_kUeS6mTcCY6> zf1T~<^<_t{s~wr%c51rYsp-p3PFFiQ{n?4>X(y($otS>?_oXL4E?xQImsq^e^RA2V zWADe0ybpg~>iBV~=EtR+AEz$-G<D*qshOXqdVZQ}`DyCKk5e~(oEli0no^S*SCbl6 zlNwZ$>Qj^IQj@r<CUIF!;+&eqDK&}v^m!)g`%KV3^NM@%WA5a~+>3u5I`QMso*#$S z{5Z7a$DxiNyFz~M^7*;T;^!`npSx<}#g*cxE5uK~dfoN-^`pnGyM8U5__4I|V`=2a zQqPa2h964>KlX<1D?DXx_0vuD{*PMaiTN?Li~I7oeC%D7KjmZZ?d{L9nzMP<>FjWl zV3?p$<aogNTXXW4(3ySOIqoexdv}DUJ?7dinD}eOIs+-eWj@D5&6E#KyBwaXzs^8H zaNC(5t8$Kt9#c9b7TKlk<Jw{zv`@>puyt<7hGj>?Q<c_j5EQ(|va+Z(Q}CLC@6K&; zg7*&m&^mK+>sOUSvlZi8HFs{C=JI3G=g=GFxm%TvaDEJxn3%oVqs788zLj<7wicI; z&$Xi4c5RCgeCGBjY(`&pwtI`@;bU7%l@Eo)Mw=+*2!0Hm(UYC+)}nhiJW+q0u7u#W z17R`II!bdYYelC$x)mgt*xSASkWWmsy3!iOUs^s#wwfv(nxz%GPFMHP?D?A4uWBEf zt>m|EwYuQGDFH>Xx}6``PL;=QRXP&s_+*!skm9+1h3iu%a1_R^e%&RSSY)+swXEPW zKI!XMdpUwjV=s1WXgwMpZM1H+q~NxS_}sla)+M-DOn$O@t>|^pZjS7deYd;{V^?-; zICd;NT5X-Fdwg!^j(aP9yjpeY>#^UjvQCLUdors^=%KHO>h&v=I0_?bu7_wl$tbw2 zTd5@Y=s;6Uu#=L{l~=QpTxVF9!~|O_`AA;}EysweDP6j2S%%AvO}}1w9WymmJ|w9c zAG>$QvILii&7ZGc?aBtN$S~ix%d60LWygkPC&R<l)~ys4yjKt(+qq+zn@h#!Us<b8 znJ#s`(e&l&l_}b<L?51=vVPf|m_Yx6Dj}|fM2B~+Pj`riJ&ucb6&KM{9lgFf+JD~0 z`5ea6o=7$eCLWt$ldPw-=Ya7s*U7B&3`H(ZkttXAK4$EubSUOz#W6p@XRnTaX6-hJ z)StIrUGQ1f(a)tKiJQV~;=Pp4gmyiD?a^}5>tl_m>x@~Q&rcosd{y~SOlQTuHYJ~F z9nZVnT4t{LRKw^xW0ltV>;5e(XML*K+F=kJJWpT!{FKSdUsczIDxJCV{m&AY8Cpu` z*L$|iRQmL1lFN)$3g^#j9P(kUtaA}e%li7K&Sl1`tDiqBote`2yj}TF$jgd4N5Q6m z+Thg3KhJg;1e?u^>9;nOH|Nlb7f{`Ia_PG(Q|_$fysI#!Cb;?T&82zLTKC_0+&SsH zbF%fW$;P`T3m2(RFJA8U#83A*o8uGiq_3=vkGYkOiMMp;*Qw@72;Y}@qTTR%+ajC& zqJqzsfBqBXG9&){XJx^Kz862=R`M=ey_~(<z*@$i->XG_p4{Y&Q|FFeE_R(Uw{v;A z%AqF-{{0$<p3Jeal^0a|Zfh&&>Ysn?GIy83P9cAOjYC&r{LiZ&y3%8_S4?o(vZ|_> zmX#ALs;mT?3T*ezIl*-3#O2#c+#K@f`NSd}UR0C?C~<QvNI$N!slmb4Iy`0L#7}Q} zT*Rc+&i83-x>0lfoO{Yi|0A0(3no^D&YK(1^3u1m%vj0i?2);#-PYC;<z?%wcdC^a zxxahT@$QMoot2_H9YuaNCDb}T`O!4tBkRH1&CI(dPcB@3ZC9}OU4^Lg=hQbXdH&?) zSC<*_9nyyw6w*7qt3o(792Cs^{;SAJ>CU^8(}lYVcB{toX&ibR75~n)<+kY0A|0g? z^(STKU!I2S(Ee3qEttptIBxClA{C`OddK$0c31Bf`CfE?Z_L!{omcnH>8Z9<{a)n! zy-exd+pIgM8Fz+z-~C<qz0B_2+mbuC@9x+>yHNl7lel%er%(R$)K4&P`Q6ioJEte# zwf?<xdvalZ`=hvbC-%<gpHRJ1`g_)@YHRiHMJua!U*3DCKRVq#o>%kG+m3f{!@idV zzPsA=?rqt-r+ca`Z|s%rQTbk^rT)Fl;d|ZsYD=Z>MIQTRi70+A^RW9~rv2`%8^_M@ z=%W1VcW=MEbNcI!>A!b=uP)SQ-#y*ANI(2Z+___GH>+(w*H^Q3F~{`iB7OG~{r1QA z`cCbYnNn@JxH{ec-QS9LZ!7NHez;?LapCJVrTO0<-FtUrZ)``krQG)-&v$QI-d*jg zF;x-FbAJ@K?nRv5agf&Ca^K5rz886XFY<r)wC9dhaMAnrUE6;b=9_PcIluSTt7|u> zM5o_hYpndeOz+)WpF5{_zI$4B$6ET+)w~+hMI7OqKVFRx%yWMn=lf#y*NzLa7vJ(7 z4?iuqaJRzt{R-Q6OK-1Qu|7=s&{Mw}(*+#i)*r9dD8=|53C|XMnB}%lO8jA#)4o}L zEvp~?$Wm5{$$eq9TIgYs^196$f_lqi(|wd;-j>eYsTA|}Wa+jiR?0#Tv(~=mIktBG zxwUaqU+3(;DqJ*o;f|}yyUr!ud8Mkk>s-j4SGqf|N*B!)y!(nXHeD~TmUrjX)rE66 zuG=iKeP7+3U#AM^itfC+xp3~(9bZMCT$|qa`pxre*Sn+RwbmKVkB+}%`t0$w@Sf=S z71?V}tX(g;eP6{oLlwbwYLBn=pISSAa`qXGLs#d;B)bZ(E8F$8xv2MVM?t(&-rq$r z$+k*&&hI`};Ic!1ar9p4>oWpcR)4+oYwfy?dWWuViMChXUbiOujryUhK6ie}?)>_> zu=lIWj$7x}%3oL;f8MmMt6=M*=>1pL&hO67Q9pFm<Ib;(JHA#I_D<|5uwNK$FLC{b z=AplCcU~P_r@w^byK>>`_L5rH&H_`V?Lj;D^(n=?{lb(p!8QK=+PDi}TUDIqo=vmb zer#KG{D$cGfV{bacdfX0m9mw*KJ)xqWOsD>owdeuqth+7pW7ClydgR{Ag|YOr|Gj@ zrfE;ENnBiOD8Kz!#T_Z>U8Z6s**q_<%{aeyquBOiJED^<x9^(~6Tg<@>((c~tb`U; zS*_Do7F;#`@h>Hzg;f^o)-QbR?a}h<)4KI?*QfV$d_DTuO1iN2YCvHv=f)lTnv|}D zpZ}_=>{PpT$G(V|cmt&?*SlVyc5Mm15o5CL$*)_Tj2CW9e(kO9)Vp`xdSSs=KD+nj z#KbErUEwdQZS4ryfBoxL*A@4tybf1&`nx11dChBa;iA9OTvlvUy6*4UB9$GxUq$d0 z_tCGvm9F@o_-fo0uwUW&dXJW0j63U`m9D%${#CgvAlYEu{hrs$m7RJo?x?d=x^koM zb-S|D-@bM6y&PXB7yeC(u@7ze^*6?T{%dn(r{3Njb=pc-ZgjprF1+wqNQ`|*i&S#q z-yIxZ9~b^DQ@Wym<g2yd!n)<J!<C%=ZhJjlcwybGufl>0&$YzZY6`x3ee!Cz(87Ij z*JqS{o#(PbzI*j?6{oK~>*h`3xN2PVb%M)^xQVN;cLmrgg}?V`v07aCwa#V5X6f+r z?k!fg3%^DPzUnFc8YZ~P{OPN=9RbPa>*i@W&7HfWY9&W0+v8WIg0FIpU1jbHuvG|u zukKX4Gw*9ajP1KuYdZpLCBpO7or)dT$#rs^{kWrQImcPvSnDMmXIW!+YY5I_-c{x< zIP3GyG7lw_>yK_px@Pq4E^`%JRsQJKMx`ry$G2V<Tv(;NZf-!!tIX?pZY{5Jx0eOv zy`6FAmgLSdeWfe!O5X+uW_^EhYi>utZq@60EoE!9*}DUFD}|r)Y&j)qS}6E)<6*b* z=RC*e8qZsw(Z=o9S#It2xGd)d+rdY(WqYl6%9a<cw%#gMo~4y1utA?=p+1Mi{U=#> zR_=XwWzL<I4|h0P{7jqv$dB(RuhWt1Y-LX;xQHnWu5v3`{cTr~i{L7^$6>rDr+yY( znB}-V%YU6rKS!wWuF&kFr)^3hYmcpsnzXuYS7>pp<pPe-$2&v2i=Ngfh2$Pxd+XxV zY{7+DI(b)@#8~P%U2V9t%5rCsmEbC|Ct<m#rk?H$Fjd^1<-P8X*upHIysK?@R=tfe zjc8f5b)D1{j?mxhW=-OlYF(sl{v?d=#M(LK+S4D0tvj|h=2e*9v9)u$qb=t}TZ(Ki zQq6nnbSGwFw58(qqBYSwWw#fZ=RM81bL#Alsm+Dj#~+3H9bJ2;GkT}s_M%&%tBbC7 zDTVZ%m?~WO)F;MNR#0pDqg78k0xYG&b=_J{z1)#yFQ~PBSC*ZimiUuZ2Rj0`%7w3W zZ8^1eN0I)zS)CkHCl_Aza#=Cw)vA*_vJ{m<)|IXfdvfmPl;=E}PMU&g(?8FVb)7M1 z%JVfxpIAyKJ+b8eH0Nc9!B)ldk-Z#_JeB7fl(;$GTUHB3I`G)0uQ)Mj<2%XOAA5L@ zGUXUBNOphh*;{ceNbr~Hqi^9)znMS&#{T%*@kig5Kl)bw=$rYYZ_Dp~Ysjls%q#cF zD_?bcZqMzz-M8;{-Hz?LJ-74r+>YBZQ*Ymya(hna?KvH{qo&@zHRZO{gxj;qqfZw` zuP%)CzI!d{&b633*2cTa!%E)2Ilk9e;`_OxcXM;UA4~XtY{8x1uin)<?)+YS_qXKE z@5;Nre=dCQ{xr`2)ZVzs)q7RGSGm9Y+IHtP<Id}!-+e8*^ZM$J>)v-?AKh`ixN!aP z;`Qy1;?^J88`n{7t@XVu=-t~{cW$fh+-_ZzZ~i2%Z})WVLVfW^alNPZ&YDuaRqcD0 z=ew(YcdVF;UZ2@rI_>eb$lmDmmC@;jd2@H}C_PsEn&;@+%|hGHIo*+3T$Jr|YVAg~ z?Z+nFk+Lqz&N;ExP+|MAJ<-XQdA(P6m`>YeD)#u=jPB@Uo9)K}?nr4DX6qbTtFN_v z-;z7OvWi}7pIkeyJ9@9s_A14^w=3@4^4z&KyC{45lWXrzti3xSokzoo$tKxoQD&^y zyVBb4yE=M)JlwQSK|UvP-oonJf)77g>@=6P{XXsSy#kjVT5{jbL>{gR`(CDeDD>|R zb7{eKUMKcm?I;L!e&?%kC{%Li_5~c%-H-3R*ilefb!WELp{I#=qqPn_Wh}~%Z8_~+ zlJ6j>$3L-pw&2505_f0!a7<rbns2BSGf(CFH{pk$40f8&=a{a3YVX00g37q>-;@qL zU09T_rxbIwEZ<geo!61QTRRFuRo?Zwwyd@)%-2?m5$&pe+*uHMXYWF#n5$jYkCkFX zd#Zy4AFfKe6WzrT&RUYMp%gRq)x9#Mn5h@`zI55KO8R@5(xItaf1GM@*<tif`?FHa z^-0ySYpY{j-<5Liynb}o^{tQNuJ=~Qn!GDLyW=|R<2do|>R7FJrE_;&H+>X0y-fdg zss8Jed)KIb-xT$IQ`eo-LPh%BrTW>&_pXutz9~Z|`&jriWi^=tyTZQjD!KD|Q{j5= z()HfQ_O28EzANm`Yp=rfr;qH_6a2nu)t%F`iu6yP+^eJdebc_`NSAl1tUIS~-8Fsb z<G5+P)sd^JBaPmr?%gqc>!Y}^uIfm&cd4B_!b6|NU7b=L>h~^Gb7%P1yQhUq^!YFB zwXysDY0Hl3?YpPDKaZO?r@C@mwf}}{|A2S4hC9DM+4Vi;$vxqVd)4K?&#SmoEw$^r zNXdK77xyNg-@9Dw`@9|1{#M`T6}_{~-5%F?w~F=D+VB~7s*aYv7FFIJr?~5DSAX>S z4?Dh|y0A99DQ_=R$!pR1(d)PD_{vrOT6Avo`X_g)Qg>hFdK0D{khk^FlQ8Xd(Q74k zUgf&DHmv4OR_pP#VQqO^g-TY7E{I<H<4)Gnqie%<M6Z2uCrkBtnD*_p9vh-3F1XWm z<Vl#y^|c;ud9F&kL{A=H>tU1UDz!^g^F^4-g6N4gd9GQ7+Ct^plg_R6u-vYcyM0%} z_FW6^yh<useY$k@=@V=97DdO}<&}Qian-bBwf2iJ@e6D9=10fs=9Lz1-&K-V`t;7L zTY0GmT^I@j#YDt<sv~vYrLNpDz4TGswT|k@TYFbs-@8iv`>JVoR%hK^`RZNB-#aT` z?F?@Hb*ji^#wyYHo4p+2--_3-c^)VEDsE<Hb?U9~X<Y@WcJVWNIK<h0pNezYVW1Iz zvx`Ig*b{AU!H1{3YErEP_0D{q`qX8|2Cev;nul6Ne;%5k6f^U}-c9e~Zg%MFc(rH; z-_@R7sLp?Bu1(;(C!9s<=U@5B$(Db*v179PbH90Wto=RT*~sqvZ1Uus@C0jr<99X( zcYHqbh}*iWAl3BU)VDjr#h%1TUKDRrIW+a+j__rV<8EG<yGo>dmDin>T!rgTy@(T? zSRLx}eO1Gq)k$|(zbahsb$suth1H>^??Nx`2)}xF^`ye}r=G`6?XC{hc^A6yUFgys z;Y*9xuR5}KmEiYPe&0WZ?3~VCrr$rMx^mu~)5RtF{gbLI`|g|$eiAotQFUe0ozssW z$H~2qn<xAI(}Nx1+jdQNe-StDUEI9+)s<E6o;uz=y}4MQzq7j1@B6$7?`&OnelIC` z&v|mM`r>MT*YES{?o_`je9w7&ulmAj|GRsa&#(5k{XQ??`@FJuwi9=J=eo2ue9@h% zr!TH)d*tm+Dp|_G=Md?Wm%1}ARdVN4*Ang1$Jgq}ZQs<Em&$tg6w|J$Pm8rzA6={S zE^OMlwQJ@?N8Vnmbz`lT#`aY+?yM@hyUM6&b=Qlqsr}KRHhH1EcUN)k3S})>ed>8w zXm@nzowZtXqeCsXui6$}nXvtn!_KMgC)e7ni>~asbIQ3yyT3oWvf|Du;}UKE3u|p0 zwtxC@=hWp#VRG-n<mN_K7Uey?nP+=2&(?A0*CS7^O@4iC^2BI=m+kXv?o>T0e4Y8? zTJVLn>I<U%?Y7U0x>NNi&-Ue=s#80^Zn;~@TJ~I2qdYFL=(*_ix#2x`DqCNi)3$rJ z=g{MG+Ro*1oVz}AU6>nQ^KQ?jN9VNf%ndJjw`WrE^QmwBv^Q9<b=Y~CYqIs)9q+az zJ@M0aDUbSCxLj1OJW6ucWv>f!!y4Xgc~rc7s#tl{!*^R26)&GU$9io}xzfRRE=@)1 zLX)j0#*`~b?iB8P;in>BuJmz-aOMlYB@3)4HoSBBv{QKH(YYRX=6YC_D?Po_x#jN5 zrn@hjik5euoU3<zuAXxFu8wylKX+U{`q=M!K!<|fORim?9$(Y8&D-1b_?ouU_PCdM zdp{Mwo;ojj{erx`n~GnH3T=<Gyqm@PGE6%#Z);J>YEhN#QHHy&dR<%_R(B_B>G8E; z1$VMsUxaDjT^m-HxAju-YSAle!^-luIz0;0_SmlEx>NM!i!c?H?Mjk&yFyB|FZD-H zth&?H@;FRoVf4gZ(G%<PTwm=J<t){{bZ)JON}j9L-B(V#u8KYlyMA%4p33%JJ$a>~ zcVGP~T)q1ET0NQVyIS%}OSkW;%PakQ=atjlSGRUvb$t|e{biWgrL}7`wr}doOI6%C zHMK;0^^34;{n3%Od8rR~OjRw>UcGzj*JAC}&%?xCg<U(hR!3<2Cco{gCgg>>?hGw0 zS<Q8Ft=8h`P}l9N>h7$1Rk)h#_*$)n(V=(Ou9_bmYP)?^!1h&Td7%?`gqH4_%KkEJ zp2qf1GIvikKM9jt99`L!_w;9>wts(gWx<_O$|c(V<=W@ZueGt={%Jwp)0;b|wjWt* zvpm}0WBWX&Wf@KX0=iUR@JoyTYIbY=_d#)S-Gl~B-^fo76tAZk&GcCo!8rLOm@$c+ zf9KMml_6S3xt3^puiov=$ffedAuVrB!^se>r)g=+sy<AR)0wbxBa>S2$}O{J&6KmL z_%LCnoX&%Ts?{G(&0GmGWh2wl46UhNOM@~WvX+^L9SCU>{~>VLiRHqD28M=+ibj4e z{RR9NA{dSLFmkH~9Ab-DW_CirVNn`$R3=Yg3(r9}*W}=YRwgU?4bGR&F$FQSi90&X zYLM{KT@^B`;R^FrZZ4Y-iO*6QIW-?JZf)50!uU|lj|Z$ADnI)|E;O@lIN+JmD3QQu z^Wfmo!_f>6n3*>`Xm<QjFj?-$0d|K3VO9Pbg=HKDt`8jfiw-bJ?5SB{*dS=Pgqu03 zz@V8!;sLYG3rD`Jgl4gl1<d{hOu`c`G|o^^apZI^`00?$ARzLv*>{Rf#Rmr;2D^p^ z{xE~ej+ac+jMPfF_8BZ;Wt110AUCf`J)FZ?d|Sd25AQ<^5(|zp^BiDjcbUDQPQ&r< zh5-ADhF^{g>pWJ-=k02iPCE2_c`e^X<~{71dmi{HvcGHBoYKL>wIgtcAgg47y-VV8 zt^*9$S(h(~l)lu^W;|mdPl`md#F`vOZru}1+^Y`!b@<G>LtdzzB}9Sg9p?`DkN~E4 z?4mO~4)Wa*v51_=BwAp<<N@n<W)>d}59Sz=GY{$<9Hj#k+W0jT4s!+^uw~@hA@8@~ z5sUJJc@J7T=L)Np_$09JP`Aic@>9R@pw7aPV~4yBgRjAZO*IR-V{SBwZ3<}R5_)6m z>LsG)HA$gx>po>Sw=Mz6AeNS=?fsl=cldRDAJkp&cVmya+&xcBC+x!l3sKF0H!q%a za1`G1xh4B1fZghhBVS>|Vd=6dB?tZ{Xfb|oe&x`}y+c*f(CbdS5cdvwy9y4*5Fv-Z z3QQ~H7pPs)VF=-uGh=_(uFClRa9)A^8_sv^EIYX4D};<^`Ya2|$xsW{n(DP|lGwcs zjQqQIv#Cx=S|-W;A}GjN#$|!#o8!z0_HqlXl$k_qW~|d-;0_mQXbqC~nBkaq{X?^q zbT4P^1ihJ=%u60jWt9+TOYwQp%v$l`0Mpe@Vg8&63t6OvIYT})$u&5Xm9Ttw)(mF# z3pglHrStIfgM){QPh{n7vQe4&fJ4qpCBRXzf#HfPBZtFX_7u-aYZ@7|E|@*z49IX2 zi1={uZ@{TDnj0K=6h3jxWRqF)FnESS2qR|@hm+70gBArFMlN3gC&5VzS`;N7G}p=5 zS=c&!UEnVF<?{j;xhvk9J)&nsrbZoV&MG`Hr}Bhx#fb<tahoTno#iS|oOizWVS+3V z|H;Y|LUKW;t$hwMci1$s&-O8O;94Ru(FsiaS->Fw;y^Pyzg!Ljzn;8~L-Q0*p%n@& zAs&LC1#A~Ii3cdUo@r+17yt0!(L=dK{3!*@5;JB<-B`fN@MD3yS3sl!qnPQJN!wHo zxms3w1`9o0Ruy+?lFN>jGWts^_AJ?{bmz(|)wQAzm(}fAQY)C}b;A4BL=JP)C#q*P z4*5z}dKwEoTvW1WiD+j*pl#fx4woGo*Sv2{;1FkhqPkY&kgMR{C4#OyG?er+os?px zT=b5bz#)FM%9B~>;i5@<mi+A~2=s}&RO7N^g@*pZIQ`7k9OAA|RnK-81mE_K>gO<5 zeWcpU-Z_1Xta{v~k_8o>kK-;Cxa?Rds-NksDWRWfu5>4)XS#{BU>?_trBhz1`f42V z<=nf3)pf^8Y5hzSr7zwmWvg9ZTrWR9%VmblRO@G529_G-JsvG5cjon-SS!0T*-VM0 z@JV0kL{^pw)@;g~8XgoLuTbLdm~XvIIC9(8C-=f$-wT^q9qsfkcjLR<w>!3%KE5Z` zTODouF8AP$?MENoo7Pnwt^O{zcgOancXwCa-Cb4mKI`P()z|i}R{FlK<<9P;ySr}{ zzJGOWueQ|pb!m5YHx<6`I=WX|^!qx$JG-R{-+S#g|GLZk>yvv|CRGQowGO^JcjbKR zVB7L#0p&XChkVxVNLEz(^7`c4>yvJ;zxFo#;@j!v`x69zJ$+K=+Ic}=_WNrMr~Ns1 z^i>3ZE!`FGCiu&>WPgXti|IYTMOB>kSKZMMYpL70Bi>r6<W1>s)+cp$J1*!ixvjCp zIxz5^3+qndt-FMmKK7f^YaMuJuEt#JK+Ezx&v$qF?(F2<S<GDY-0bl=-eYs6yMMbX zJ00J4d!x|y<lwyH3E#8bTIvFK$|@IHt35gw(q$d2`p&0yhk0sAe%Fb;nv1G~9p3qF zeCPXhhxyXS_pV&nyHe`=vWz>kU%m4^xx@TvasH{Jdo{(rFAMm-Ea=YcOFPX&AKkms zQ60RzIxzH|>%n)fo;$_ArUbfwUo_=TchTK$t0MhXC-$ybR2}H}&h_UG@uzpYZx!lu z9e+Dxa&@57_eHy^1MR;rs(R<T@SW@1JKai!`majfx0SpXdwTEfi+j8$_s+h!H~Qk< z+x^ws<-X@xyt~`-?(VMY?enX*&#N}SviJ76z0#f4+b^&6^w_TEy3_RKi)$(>+tnoR z&I&2XzSJK*x$4fWmdDpr7Di9r6+O8w&-c|%Q_j-tOXt>ls^s}v-PLm56)N^L?B>O_ zMk?D+_2f+zy{q-RaP^wwYmH>KpK8gQTDtvIUEb8MceI@EYTe!$>h>t?=F2dNOKUf1 zY(LbO*Q&TvG_6E?&5N)b{n3fGd94q3h^m!nuh}j7typ`_^Dv25VK>gL-EeuW?vm)} zz`R`9ySJQ*vQNLb7Ity%TKVnUs_xu6RG97k;#ydF_Uq@@!p^VNy|Q-g+~{bPyxh5Y zv5I$hbrrpS_2Qc7r08(B?d!VoV*l<az54i?XkT==)An_{qQmXCudB+7U6>d9_RcP) z!q=}#vbkPf3z-rf?7n^3ggdj0yhQKL`c;^{>iAksneEG3@_b9TFRRP*{d#AX)7@FO zcAC0Ax_0Gdn8>BID>Sw*>dSLg+$ow`qP^-x*p>e1K-)amhdV@7OSD(*7X4bRz3O?G z$g8j`=hkWnZC~WKJ<nr%USQtczP!5=?(CAhyG!)$uD?4<Ul+b^dwk9J#kIA&OMmYy zeSML)RP1h9-d(?PHO0F#MV_8pve<g^ggY}+O45Ta&h@l^=kuyCU8v7`a?3lPSMPj& z?KEEb{G7^_xt`YLY7=)DzbskK^U^PJigl`c`KbwaG>vx!hm|Z}b9}CmO!=vncT-Bs zPu0Df^7W3U^Igr`JA>UG`Q3c!Cvj=+295GVeeYTncM7MKsIPh9ccb4r(e_=-!yUqE zCF*N-3x6wCU-R5g;+5Zxb8`)Z$`ARKZ=3Kg$93oC(vo!UlXG<!TSvQ=Z>zg=^HpIw z_wl*93$3H?&Rsj-I@-2;TR{0Xk2^Q5?(THjRV@1S+|`S7wN=X3^}LG_y}R>Q;qz6; z=W5H8uWNZ1Q(C^R?p@5+CtRh^v!0(5?X(VGZXF!>&PVp{OsAssQ!mbiT%5a7zI<8L zotcLU)4g8Q9qhQUUTb^2Yu^5vJNjaRVhl(BKI+tAy|FVsCPlO1++Q!jNUnlMb#Gm! zG0oT!udd|H=yhkk(8Qzn%5FQa6xj7WwIu({^EmbG)*9cnU0SRkue?}nUG^l7|L9vi zuAb_6#drC~-|C<G>)Cl>{hZ&~LJQ+>|J|u{NB`ts!OjAEmG5<K-|Jkz|LZ8&ul)VG z@}a+Nckc5lY`^|w+xI8`Qd~05pUCw;^*3<mTfw{EBED}|a;kRCt9Hz*zL%SS>hIEy z3v!oo^^fPSf3fZP<89j?|MPLl$Ul+${&;Tx@m&7nx#y4l?d-T9H}SUIgxmKn<gP!K ztN-HLDwhoYQ@QV7e2dtgerNA`_3ir?RLAS&RlB|Gt=#@x#i{=8Tf@!^^UuFk?YuC* z`?mb~xBN%nu0Qft|HxbY(%;>M)oy~ne(&5n?{>873;xn-E2S^ni>u9*zPLa7)}r*K ze3z}%Jx=xSN^|q_-CDkt<?*|<eDk>@=il<p>&`sCmTy7Z-IbimJ<8RezS|>Mo?a4d zUHJa>i?Z)`ua(@nJBj12>CWBCg1eZD%AJ*B`kuclx-++rW4dtR`mm>Qxu^Ednp|zF z`aSFZ-K!lJW=*^;HEH+ffb!#icUb!!zk73swTR%X;JdM%9A{7N*exJ9tGci_MCne% zx!k;?d-c`K)m5E})$`tYwmfUO^Q`L5vw}O%TJnnT=B|5gHvQ4FX)YOjCvy8rXWuTI zy}NMs;=<Xp3uiYM&Q30z9b8!Ku5{;SZ*{uKySa>apM{ybi!8KWF#EIM!rhYFt;M#B zFSMRNJG-#hRH@|n-54#Waw~IjWv6mW^XE!V<+sm%bjjc=E7nlj^6b&JWyR6iMenC8 zy?8e7&b6*P*J8HY3V%P=m#62_a;@l&)KreOvOCS&9$#DHlHq$Y_w0*nGh8zAc5l^p z%H9yI-E|>q^6jXu=-+}1O@+3bzPlE6=bGR4Hf5)5%MH=OT^FRftJD4RvK8OWb=<jC z&na6wFI(x|+`yTxJIk*<xp#9?^zY6Kw>oZ1o!@J$`TbnqySa)xx6b2OD|`1^z@1rL z9BVmuZk@}qR`Bk%nmgAj?p$lj%eLEYx*%FxaG~k7wYh>(w?EF^tF)!;v30f3LQ|>x zl9M^sp8audl1m2fG4pLt?n#{At1tZhTux28o6?;bld97#-qoHB`F?E2`yP!$y}Wm= zxp$ej?J9SBWc^w2;klwa*225WuhphoDc!mGeeTtcf^?UCn?qWxxj)T4*-;R$@vgV^ z$GJF{9mb;H_l4d0eQ@7qQNed_o?GAUym0?w?)#&;`Ogj=-)kuTeP7j%u9)xVI_~^l zRe1aJ&btTmiUabBee#Yc-I3NVe1H1*Uj6y+b6i^Ht$o)kxYPXG<8RxaY*T-1_WVg1 z&x?CA&h6E|k-Pr+y@>OB*DHPBS8?a}ro!FMyZ&a~{rzj_+qFN=ZQ;19x%2O>o#xl> z{{Hl?miO+z33=!DR3|&Xt3A2nujbCTM|Yex-6?Bbc>8x=wdT88-<{u&KDsB-T^;Yd zZ{ziS9eMjFz1T6~&hK4?x3dd(FD`n&=J?+A65ID*&wc;gth{7)_FZe`UFK?!??rT1 zC#!)DMEE`FQ?G4jLA=Gg-kUqVn?AmGqo+FF;azR*eTm5&=G$tM<6ERJ@7t&(_-^$n zb1{`ewShnPP1v_lTJRnBx89XXcOpCU->5qspK!<e_Q&469T()v^VfXsUE5KR?6l8N zR4|X{gt^;eY46%}m3MRJzUx)IyFR?-chjd@&7b?Gx$M|1aR2&5j_;~Zert7oI4bmO zUy;iWL(Ti)0WI$$w%bc@x0m0(f8lM}iSM~J4%P16@!j-G?ZJ+M_*L(_)t$;+^XeV; z>5B-y^ZvcBNa@b{Q{PLw3gYj4uXf#GDEob1&z;{{MfpBQ_O6$`|K79Zx986~Z>2Bp zCBIh}{x;tAcVk|;*}K1U?)-jw=hn&{U!6bxjS}2tyz6e|c6-I`_6u*{e^vJV@wNOT zd+%S|8{bvERd#!oX5QPHytg%XPAS&zR}%asUG)2RUcFUbxlLZVW8T{>(bi(y%QW)d z7Uex%bm!I99bc1+-n&1(r+;kk{JwR^*2?z0S66YWcip~UdHa5u?Yreb<>7v@?PX4R zZ}(K&%WW?+diU4m&aIm}w!Y4L8+PZ`+dH=$cV12Y_%}lE-SWr3-*#Mxe_giy@xA_| z-!}_BtTWy>U(2c9EwBFG_vnv*tCYTMfBbu8$A#DnYv*=HTfe(@?#S9ZuYbRF*|GlW zUVZiZ{VpxP=l-Z$&M|fMr>_%~Li|o$?-qJkX1niRV9V``KdNlM*O~5{7ua%Z<&N*n zzp7jXv&tXep1R{Z_pd5jr7L~MuOAkCSZAB}wyef>A;<UKh1u+1zV71q9)0)L$C|zK zIliAR%wGQaYn9TS{1?~!j(q>T@19@F?}t04R)6~H;j%(@!us;AfZbQOJ9h<GU)|m< zw6IKf-`&8LTT6eGc_>}!JF?xlt6=}Tu)I^-wYv)J-`?J+6!ZS;+ai}8_a;~GRsCMI zwtBD9_o_Ad>B@(`w$$uiz;S(b(R%ZzapzC&oj0j^@B6s^6MN$(RPVjIcV1t$t@QV* zRn@kV->dZBeGR+wdg+eq#)a#{pWe$mwRi5M>fP_}^_|#0S@2<%^}DZmHM{3=Twnb0 zZHUW`dp-H#N{7BC)a=$h^fjVpr>5Y#<&RFwy6(8wseiqzV6R|&o=3~;%=mNeZ3Zh2 zFZ1qE(QHeSbm1{NB4OlgbloyoQbFQKvW&o^Eh@?{n1vZS{8$?|Dzq1HG%N5p1&TPa zv?!=3FuAC>aClDI!tC&sL3*vA^1`AUhbCQS`Ta{{ZtauBw;b#5-P?F{)66Ee?_q}9 zznnSl7caT3r2OTa#@xWn;M>3ac7L3@Yx=YKDhoJX7BIa1*u#)8X^AoGamP@Hh>K2N zmR#5sx!C+yjOb-woi#r1F0pm?TN*rBX(G&j_+!kmXMen3c(ynG*7<k(%jIKrd#=7O zG4@`!eVOYY+btjD<}{Z{zdpZE@5!5cC-~+Tb|3Zsd~N0}vHq+$lj^<%SC2-;q~-Zc z4HK7}iCVT_mGpELoABA`b=ujzCyY&J<~{mgWbG|AiSOQl32IAyBz*dxNzDEl-Ncr7 z(4@QHrsqZJEV(;O^ZZyoYi+uAXZ8mR+igw<8dhC4)k@%wn6>5k))#r@|K+?V>pmB> zZ`UvBS7zP$^ia?&L8gRPwlOJprmr$*bibzN)Bn+g*HYX2x9q<5f^BOS{@!`2!^vOi z_3iZ1U}d4LEk$v+l2ngbOmMm4`!@M|N!2_>?<?=L3xYPDH<HX0d6%~Qnb@8)N~;eG zR`1mm=&{+l;Dn`x_q;O?w=HOBymZ`<p}|*Jtvjau`PuD^dD<cd3csz`ShqF#3RlN< z-+TLR{mJ6|__iDB!byv#pS3-z@YSNZtJy^J%eLQv;aeu(<7rScdvGn~!M?SJU)>SB zFBSA_g;>#*^Vyu&zF)oQ?C-M2ZffuQd2E|oZkAPk3kWc*3R{u8=B~W`@;NV+=4@4B zagZooqW-M)+FA3x6P`~sifxH>n-$s;=x$pWwjyWUO=(+*!XQhf9;>A}r{<k*+uQrA zONBe<)TONZ=BMYBX7O!5_geGavqKK*mJz<~i+-=Et1k&$`Evc9wrQ<`?9(k*uCXaR zu<~4MYU|gx_jF8yukDLI^U7>h)~<<R3P&Ah^BmqgtB89B@8Xxs^b*Uarhd?8pIu!Z zSafvvj+|8yEe1!<?ELyHt~}W<k|D<9s@l<o9_JP~S~D?qKhr+5PE_kupq#e^-?<b1 zUpCJ98!f*1!QzNT3+E;@?3@$)rh_SgRhQ-KwlkHh&&-*6bA@`{?=Zd1KeMZ~8iJjU z3QhLR&0D8FHOAC@_6grEU(vZA=PAuKUlPn2S-g~U=7QiT`>m?n^QWF#UvS9K?9~zn z<@Mb%-=6F{cF;zp`TOCXzoNcD7R3jQZ*KX`azBN|GOjixvwpGck{@NZnHd2bW;6R_ z_HX&1*4!ZcREA^HEY5BH79Zbm@PxOzE)nZ`xnjZF4=QFMJ0zG^pI@0I&%OA>9`Rt$ zALpig67{T-TkLuuPA%(T_MHnSBb!SltDROAoccRU?@;a)j$6%bthayqWiITxxbW2L z6(@=_FT8kuD8yj>(m)~m?8ORl=Fy%H<~x7exnk)RtqbQ51#ryYx>A5Ydi92?Kq;wL zJ7;uF>aEL6KT>r0x7bX*6wa@apY!TW3;#8Lk)1g8!^;O-OR`QhY}J*!m$b2m?Iz2u z<x!c+3saBIWWL&QW|~CODK9Itr+Lwf);$g}ymEp0P6TV#7p{eyVgtOB6SJ;zIh=K$ zDEnc4(XE}&R9H2*RtWKy3S3Cq*mKCk{|r;W=HQx$)~rWanoeI|NJ+IF)mo}^%eKmP zY4^k?KA+Fqjs$P9-^_C5jfk|v=e>*f&fr#<v-V7Z+T@VdeP;W!ihgBBNjY>mXzwn2 zzb@P>kw=j^IYV`#foqG|{;Wy-8_Ji62Q#*O-YT(n^7SpcWgoQWN+o>|Z~k=7D~eS% zTte`h!IP>P?(Z3Xit?@e;&bxn&P_LFeiYikq9?XZ$Rh7X%Cn$12O4B{v);d(ee`&t zg8z$8PhQH3=2z8Oe^Tplh~LfdM%P76R#9-<(%$fw5{Gsj`!Vldueqzo;>*elnNF8o z5^7>!a(;b>ZIpHZ+fJ4z3m5LcvRQuz=SG7!Qzj>^4k}5z>KS)0F>2Yr4f5_kUNtPY z+mm-<@6<CT9lx8HC!AJFaFfyBz%+4Z$Iqs!?=Q1<RqqLBIXJax+tV#M&o9Yt_!$w> z{$qXRf~6@_svS5sEqG+ual+i@%C}E{zN+@wyfR?l6!O3Mcy@p4G&gSk=^r`ozG0a3 z*X62}^vA;!elM&KEB?Wv{wFhA_|T-^)wXY@h#dKQXiKETijXYJ0-;ie%r_3}cQIe# z<6k#h@$-TCrz0lamU}*V&328l8ESIdvkW@KC#y%gS;a5sZuWciQS<a+*0U=12mXEY zU4Q-1jM6nl?{>bPr@E9S!9lk5p%#Dh;sBm6CndJ5uI72s`L@*H`GLI#-)+2YI+t00 zyey!?d$;G{%!%PqjIr&n3oJa{LRM=PdR*@o=zV4G@tmEpMzJG_<<Dn!sRR~T8AGNn zVUfGRH#%F~L>)x=m_47a&3w{PcP}dDpkk>`wyUNAOBM%%&W;%qAB*V3mUiA;6`<Vr z>*hkyKbH<&sNG`Pd2i2zH=RwJGrT|UsQh|kmg~Q4*7_a4@=be;SMOZ3eKObjO>^TT znU+3K+r+mhV9uBN)CArsy}qBTmOf=Xvphoeq+ml};YZWluPe+|)Gz)?J$|%pe{{@~ z3mw0?#NXCEoM*r6<MZxscQSVsJb7uOvPRVB$^0!@8cK@llf>CyvKz6xFD%}lvQo4+ z%6PtE)$IH0rx_o9yz=}8#sB`9)muV>0~he!y8lIK->xzS_Jf;dOc7;1FEy>Y-eha9 z`nhQ{ww%t^c(M1#Vadlk&b`eoyp(nN1e3zXAFKh7%lH?)mc1<_@@nOT-x~4zGiwuc zHH4)8)oW+p-m2EbY=12$<($v#hx=ZtCdVv}V77PJaXe{L;JlUJ=j+F4ueq^)a={~! z?GFX6UQSXKPY6<fQ?2;V@nf3xSFK_N3-)!vr_ZYXb6os%-9_b92RoL0knQ1~E_hL2 zU+*--=@)ZrFH0ROd{8afo;Y`9(Zh?S(H=i87P?<o&^X9-H~heVrt*o^j4Q=>KYM5_ z+R^$_JDJ<L*81Ybnatj6Z#DQu-Kg6!Bm5<QUI}a3oWi=xTed3xjA(jv;aN}HJO0wm zJhks_ugLBcP`z1u_Tt<<pMJ@|aaey|LGIL|i#NlUUpd%wZfbAuPifOT^UW^2d>-w( zO+37z+iBCsy?jB@+KT!HMUx}N>!z%1<J)B9bTGG#`TPEk1KV!C_5LW65_xl5>+@IU zwG|m^;sREGW1gJyelhI|=XNud6V2{3!wkM!atJO{dU$d|v#w%j!;B6C?{jOau3n8e zX?%&v)%7r6V0LLE*S4Mqe^2Z<bt`W5!$&te%lSmvGA_<CVhG){f-^?vIPV4PH|~mT zy9A%@b-l)~cHZamHD}XEWrqv_i=f$e(?7g?R`l@UwGDR7Mf}30E)wStE?>Se{qE~H zrEUX9lQ|t-@{v7F3nm{3aTl)su;M=Fzdv@u$@6$Dyq|oK%`^HlSuG&MGO6^lPntxZ zpXI7~Og}?<g00lL_PlwxXVC%votFzDR$VypK&k8Gm&mP^oG+I9ES+I6eUh5*EXUVk z7glaq*Zyeod(Fe8_S%O7E2g@CRM@kmxgw*{+pTlgJTBflonhPBCf_^V`S|x;p5zz_ z#&_?(*Y6M4f2d${b#Z}+-hxi0IeO(M_(gukoY&^~`od=QiwW~3zu%L5)9hwbXg=|d z%3{wu2Ye5#+`ZdC$l{|@$OPR+R_{CAlZ54N{_C0Qr)KR|&vE)s*LB4yn_pK={=afU z`z(%)p`o9aSS3bT%-vErK`Ou6=KS0WU6J53J(uNJ{V#IyEoFAi{gLybRBgeV70;H> zJd@@k{QdKjmm7@yjdab@6Tdv1mz%n7W}Nb3%iS5<e{!F?8L*R+Wxm>7mBLqCAsh4= zg;m#lUAcZ9r?YVU;klhIjX5%$9_-&`w$1-B@At7+d`_&V#D$V8*u;NoymHV?ewX(w zR><RzZnNC_KLKXTXU|=DygDHB@6z_bDz)pE=bgRov*_8bGiR&jd5WFN)GcV6^ygTb zw85Dj_d7xYyqQ@<7(ifY%GRh?-VeT8GB7ZJFh2t)Lt<%4W`00XezIOlT184yXb39< z^Yt~l85&#l|0-CuGBSiP@$sHHv-X}S8v_G_1cL$t69*$3$KBoAzw*Aj`~Uy$@7uoe zGI206Gc+VP?1)R{L0GpeWouOKn&m7VMhpxf%!guKMN&#K*tQ!`+ZMQIGcr7#%&2w! zVp^C$LbxlxM%N;Zu0=vEZ3mWn3a~J$7^<yk5L2C&zwbxk5zUIJN3|AnXNJxceE2NO z|Ivj{&(`eiV%opv`qb0~|5dFeT?#Mk_}pt8b$V0Rymic-ijDP7Oqp!oSYy}>?2?~s z(v5Cum;G{wJ-u<k^Uo6mq~#B;@BSQ+YN2&vU1Q6qvd$&z+)QLllP@c5tyr2j*+cK% zGA(U+-kEj*=F%s+`&J*R(480eamI^D5^eX&KkT`f2L=abzw>llZn7k~)M#6f*|`gI zXK<@}%SEhJxhT<FcIe5Aq+QeeCNELQoOJxv0YA3Z;~gJ&U3gULSlr*Kx3h17|L#<l z+FQwjUo!axI~VxxZc}`5Sxkt_aemnZg%_9Em{@9W+1D-b|9y=8SL*FA4)e{tpETON z4Hf^jCG-*Bm(1lQ3;d%+iWm4>A8EA9)xYO3zifM>U2eddM!UCCQZJ_aDxY(hzpZ}) zckL}>qYKOLD)%(n<w_+i@SpAegQ@nGk=}*nw$3*|ER&1N_bT6Un!k-t?8Wq6uJ8r^ z*6mzhGLKJSs=c*mvBP{bzK<-ww&a%xzqoAIs(N90ZZpfTEr}A1c5lUZaeT?V&e2$R z(@^2Ua^J_yzfuoZIL!an!}ntPU!@|4`P)vjeL4NliTB0jX%iX$Zi=>I`;xgi#$o>( zQSKMhb3bV<h~L)reanUAYZrTjbNML5rcOUUxnbW%Q<1e=)9v(`W<9OB8?|Nn`R0aW z8?9&d%|EgyPd9>>CpYxw)6a4X@^f@q`#Q^R+80D-NJob+wCCskyQ%ev?y6<?pT{or zj}G4Gay&<2OUYKJ;~Pww5^Cl#Z#m7^v?R1Kz;x=zO5O`*+vYhv{VcH{dE1P0FSQoG znzbm4>vJXd1;d=ZN4ywkrM9nT(O9_3X>-ncCkG)-EtAvlR%%WVSb9N6T4b?>Qj62( zH?ux4SfynvcRjiE+;(B4S+D*i-}%p5`fl#(P@4Ir()Y#GwcHQR71eyI^m#Gu?cupk zE1rJlS&*IM+i+XR@yZkirD-h!Dnh$bUn|CJ-dy^r(*4CWTW06j&6~G=s&tb{2yMx` zX}+4tW}%YP_8e(Z#yK9m3!}}nRb7OW1a_sacTt$ZQGY=<TJ+a~?3`SN{r|o_`CN5D z*ZS+Otr;ug>vw;uw0R+Q`$<bc0AGje?$b({p;OP_c0B%O3S-9fy{?QcRxk38b2OCQ zv<i7teBpju;gZ;I-1%3!zibce*mBzL<k?@hRU>!jToTWg_S|y%Tf*B-$-j8E2^a7E z5vP0js!sF?{w<UFmq$jaxPLkQkMW4#nWq;e<X6YHwKSQW-uIBn^N`=Z^^sw+n>%|x zoHV>B$+LFqHpAm4wX6DWuDtd7n$^#SFJ4>>BJ9fMe{MVoUef-&>{gbG&n+LZ+ZP+3 zKG@@RX=&^?ZjF${Y?+|u2?`E%cW!J5TQx=E7vHrDKW4miWaghKnQ~)ctl24MudwBN z6ZHSSyr3t|A(W&p@3lE+in`CI(oat>=tz4AB&o;q%t~FX61eNc^^?a<x%;Hmr49B3 zD7Uel=@Fmq@5X()%TqNqdwQ(1xa`*q&f=NBx);WN<5y1FdikmGF)yKQ_Pmc<=WT2j zQ7k^0^4s~MahV`bip@Tu!_Ivx^pg#ZkCiWqFH?V9ICWCl%~>3h{n?Z1ZZ6aGv-97a zGg-t)&c0JIDp+Lsqk?7YFB|S|7nBp4*70zXs<%s^$l(B<!xy?#eU8YLX|IV8`X%Sv zWiwgLbrJtwk!=E5imkdW3GXk?tk8}U<*)^dZ=KL2cIe*BiVu4(mL=&)+`pz<dv5Ow z5jD*n;>Gte)?W8JvvmL3)H@Xm)SJ)k6xZPJTwQm2@gio2@Fm74H?Fz6=4HQqpw{Ql zY3CBmi~k&Qn_n((em^yOU9%9MalT!RiT1<%38xoj>8!Sz#qsWFO;o+P+>MhZYQ9}% zC9&7vez2V-GI!VcHW^8dZ*ym8AN#iIhHIKbo4L01|46^o7r(=&x!W22+ALLBcGF~D z>i5vUXHVrRuM^PzFFN<G{Bg^#?XLrxCz@WqQuw)WrSxI9!avPTMGhHya<c+|^d{P! z){DLzsIs=o`1FU>r(*uOo9lJ2i$7O5KO$Er|Iz+q`tQxPqn(|Fi%U%xMLzz*>f~s` zzKz56(kfGf=*7o2_22ZpX=+|CZ$B^E>;${b^$Q74a$Ve|v*wu^{81A8J5lQA<9iEd z&Az|Mag~r?_1T0B$>zNQ;b)KaKl^bwpJ(ys>G6LO_6szL2WL4(Ivv)?6ne?z_oMM@ zrVIZsag#mSUPnIdIdRcCzV%M|JzM`qo2QQNKRI15HApLo{eDPgSCpl>YuZL7#@nv$ zec@}vu2ltu1#Fa2`>B<k#$y<_>%{e5F~Rq%kH#$BTX@IfX2Zi)DeL6(eVsQK?v2|L z`L^uRg1Jl2sH89Leadn<I`7PeM#Gtz(&ul?P-Z@UIwx#bgZzK5@ZN8~cJR#H`FPRM z7;EDQp65>&ZCrd*m2H~rbq{NA30du>XQs?dImxUYo637!?QrGJm?VRl2>~0Y8cA9N z9mrksf6DWUTUn|6XV=_}F%o|MbXH^6#K)%E=Ox!nnQ0jHcFx5Z_1>_<l{*vc_6rwZ z^}6kwFMF$f&D4;DGYR@7PiCmS-LmqG!^MfkL7R<|o?E!4E9-548y={z&Gq4t2~YdF z#i~Ef-4>O(xkUbCn@|sXmC?OkwNm+&SC_nNJMJ>wW7Zab8|9dJkHy`d>whsmti0%R z)r+DJ5As%=e%V$sw^#h}#)^BQa<5z8NmpK<nNf1TTX(;~Ht+LV?Ce|PpBbHw6>AH= z`q$a@{h3%9*LTyi?yM8Zx4)Qg`RAP8X?ubA|8MGK-i02OXL)Q=_|Eo`{PA5YPZh7{ zUp_IiFDuum=l+75e`EgbG*kVxb87uh{<(A9;^NKz8MrUnxAxR?nKy?lvhL{JcZ}9- zp8Nd7X^A&q7Zrx^NQW91ZJKlN*yc4KHy!oA?RzXc^<~r32M4n3)XpBw-7shJvG(8R zboz34PB^{mqk2{Ajyum<>TEtoFDQTJzV`R0$iMClMMm>q9*q5Vdgqn6iw!Pm$^UML zPqWukKdsqs|8DNnIo+2(oG|<paC2sFtmTh0fxkO%gxhA_Hrc+(<;|_+-xCh*%s(Tv zbN+Gt{~y(VeF~goZR9+A*Ls=y8RvP<zKs8A{n_-dZv5>#t3O%F&Ptuo8nj?Wsr|bb zxexcBvVL;@T+ZoLnF9Tn@2|3)etwPo@k9fO=})(mew38_p8nIr{ZG-))jAjBe_s64 z9AsISXrcc5hhxs^rzZalv^1u+#+aoQd)B?FvpoLTTtj1O@s=E^BlZIEckOq-`CoYI zY2?|p`8>xBb|n~ImZ{7sIp`O0_Gq9<8E;?nv&TU*H*flLNapLIZH37h&m8sR_n#43 zt6Bb^{ZY1+$o~JQ?dzI<1aeQ=wSLaOA0Z<AD=lZTZ@lx@W&UT2S&v1YWuM_YD<k@D zN^hly#`j|mFYi2iBL8{HY0db0P(fkT{_wDS@$F5nuYYrWl&nl^z9;d__~o2+HXdz@ z8*&u&pG>N`S$owiH#qF?hBJYy&aQg6>CXw@^smR?SP3itUpSNhY>r@gd|BB3-&{M_ zoH?dBf9B<H$7QzOGBbH}XU?wGr<FLXeu{e)zC87K`sq)%_c_ive(}sUoq$t^SoZ$W zsQZ86O#dB|x}Rsl@9H1f=5+t5liu!UQCCwfz3!}%G%o&g&Zh3?nq?p5wI)5>&+2t^ zTK$4;zU?XJ4xcWVGQsxAQ}@ipGq=t@nVtORS<~FD7oXT|o_IY&KX3EY&BEWT(wD9| zGV@^8ddZ%}d1eCBC3BCZ*Z4*7T$4I~bH?LUQ;q&DD!g^_<foRC35Io-(*Eq(YBxu+ zXV1|XV~P16S6)1K+j5>++~SXtn_7<gNcNn+k&}8>C+L&p?H)Gk#3wz^9zDsKlBk{d zJZH_-V{)_oSFf3Bx#t9rShMKECpk}yw;7j7$J>ZWSDxd1ovE0vd_J+c@b--6=^L*} zH22yl>z@ofcKX(d+>L@^+UEn0c}LGIE|QCFyDzd-_jG<^(A3;Si>K}mHGe;!dGv_? z^Uc4TFFxNP(`Q-~e5GGDg|*}PJ>`GrYW`YAriE|w?W{NzJ8gY^YUe$PXYpy>0c&cL zCk5LbjyKh^JbU4c*xw)gAHSZrR4|*DTK2SP_NI^XgJu3Z8`r0cnm^q<`<T=J*!^$n zrsh_jdVc+>(dozi$(O^X-ZWhrb}AwHOltE)nWdY;%XoHb<XUna>swek`&ru8?YV#2 zpG{w%x9XEw>0|rdI_@{$$Qy*2KQ%F%CEfr3)w3VJ(!ZY1=P91~boFeuy)swJe;lg4 zX?gT${)E$?7F*1<mtLQD>h_emclU2{e7CyjX6ogewV`^Ooz+iqp8eJNNdNzg(|dB= z{TA<Hv^D5Ed)p~|u1>Pfyc_d&>c(_0X;$p)dbX<nvEb}`o>%>=Qe+~hy<d_g(;jC3 zQqa)!)sG$0VnX$ol;^n|wp-^CHoaU=BWIz>Y;N0plS}ho=!o`NEy(Y+w^b^;)Ngfn z`?1n1ANQHQdNX6y;m7-z)pxAdKj*SA>Kpr%GKK>y^DL%tu1ac3Tj&%YvGVrz>;sJ4 zGI>kRA7*OT&a+ri)uUs$=#^saJt5_=6;(Y7nV|~PL%oiP6*V*GS$NKW&M>#gnc44> z-%drg6P`^s*U7W}nX}}4@`3YAewX|j9e+l~i`U;YHD464>}*oAzu^2=#udkHH*hxF zY~U=O{W0zGZ;N?4i(|T^&T8yw+V;b=vbW<TSG01k>F;Y6v%`z!-EZ!BwbqbrcENeS zRli>xS#j}?R<?~s#UkU-6UGg1KQeTO7FL{&Os~**vsRGZ?BQqA&vn}AwPK!YKkbTA z&N;MQ@!#>emH!`qy23EKpnt-<UovG3O$XH8FdyOg@wmx8&!W$M-^HA^`x$)M<}bC* zUkc4DHCvVQdT(f|JY!Bidl(mgt8Ht0(7o^1b~O3UaBo%0j9oYDOu2~XY0Kwkle%r= z_-`{Si8lRRy&|w@(~eRN(?0j;&J&47M(nL!8-tzhZ#}h3xOiuZYL>FlI&HyzzG{X9 zE*F#K4lWDK@6TQ0wtB;AnKGfRf-`UKc%${3C4sxaZS|H_In1-PRn}^Kmv}1^_oE|N zp|eTdO8BXKdA;&2H~q9DeNA&+es`V=Gc0wzet%!$iJjkVKdV(;{A%G99g*hgH_cFr z*T!n*)Ev`hrnCuD?fe;yRUFKkv)omkMUCD}v0Kk2DX>A=*-L$EbC;~u(({Xxj*D1X z9|=7#Sn9Db`D(G(eD&5ojWAnx_ZQA0A{rV2><1i0PwFIow{YE*`TxX6m%ev}Uh}`Z z@Tu=Qu9+(;F-69)EkruJ)Z}TP6wlFmiHnWWFYR58p6~fBzWwd(ZoM|8%A?a=H=Ww| z(D%cpFO|L-Q>A48JHI+3cC+A)#m>z#%dPlM&VBl$y*DKN5F6ji&oeG)n(;I^-_kV8 zTQAMyec*#u?L8rbD@XjU#RWWK-8gya`QS&bGql5$JEhiLdafGSta4I9CF#Va=ZXtM zvq}ut-Msl}-_u!hb*0=deApNIYmah<#KYRvTS5~8GWL9)lU*p1l`lF&^6qJ^H+I_7 zm0}LP3;wl7DTYg+OkO;+|CRD1<wwd6=cm8&JSpMi=Ku0@+XO|IejdZCl}Dy2L<P-V z{-x4!3&X@$Ho6aWQ+_r+4g0l6KB8vF)NNcmT;1{Yx0ROdSv6mE|G%<S=19-V_3XOI zu2;`rdj54mXjnzS*UcbjgjJnd5?W%w^lw6{PbZ(|`JFfX*R`D2+WpJn?6#Fo(+ovk z9lfR*z52+8ml*=tDpDtId7L|T*{%7ljPZ=lX%>(BvQ2`ErX=k;pUQ7}^5W5!g}rLU zACG)-zoeUZe7D3#w_hGr*I(?Btq{MwwZjyY1uvS3b8X!eov`PEmd2;!OZD=D!#k!v z4i61J*L=L5&+F%z3A5_nZ8F+%<!|Ah=fZ0rZ8C^Y*L$*Q_3uNU`X7fs{ZxMQ+Sx++ zM`2U9oLciwIiKhA%-X~o>XX7Anz-ygc7OjSn>nA&diVcstvHn`=GvvXwIYi3M8CB3 zULyv%FTWlb^@b}~hF9o1&N9ht%q)-p^L(al)2f^)zR9UCMHxF7FDQz*-z(ju$oax5 zFhof1>4WpqYmf7;Ro#@JlwBKXFtIfD`bn#qebbXX4C8nv{%O6|zv;O`nTOl{3%|b0 z^>%daQm>ppIsL<)i&b|79v2)mF!xOB*>k)5kcTg?Pjh;i<voAl*z2Y91mpPU1zs<W zJtzH%;eqJKGwWXOQkds+{PH{J@NeOd?`ZG8AwPYW`fm;n+pNU0yy6{GdV_D;_f%-y z*;*O>IOE>6wY(<<Mfkc8y>vEJ^l$lcvnlId-$zfOvc*?BC)my2p1j8R&4V+?ZgrR4 z%P><~!s#|Qk$uItU0X9W83JT{+zv=@JI$Zr{Qae-zH5#D3FUi1%TH}vwXx&I3~iHw zZLui{Yu<mEA}-6%UF<UFig)Nbk7=iuE#(S7Hf6fv@?N#>Ueh0QmaP#H>b@JNz6{Zi zt=e?$q|_;{8J~hK2ghaP)NDu#vkfs>Qqf`bkSDHf-{U!VGuB1<-xKT+IKr;PvXH|? zpk1Lwf#D&$UBk|ojFDas9mTB$N(Ee4BIZ~M6c#XFWHS}WG+-`V`|)rqPZC43c#9rK z606jmrVS^>6uH9$-W4$Sa0MkOeblgM{4u$yiPKAfOS9|Z!~_<L7{?hq3KW@S)(JE? zcnHe4JYbgFkjU(1b%3#OU1lP)6i2g$qqyXO2EAMn89hb!DGi5NbuLuS^O+#C!@!9( zA+Kpo_=1}ckDhs9C)B^ZRWDcMJjd~eS&F6iyZ7#uEm_HID=8wU{ESUFMKUyU$2Jz# zmfEZRVRx5rJ*m?1P-dRTg=GS)M-@Jrrfly^_;-n80ekJaYnn}FrJ?bG7goRd6RWz) zZ&86+k4uYGn?j31g^=jMn^UtLelWg>t>^x!aP*a>tw*W;H(9wAHhjL#bv{#GJ=xW~ z>xScv!_)Z=$?Yp*nEj!HS^n3D<Ffe-vzPK#X?)wnwt=(Vguz)<;@=MA2H%J$hmIZF zox(7CgEpIv*y;Z}6nP$8;|^PO^##+7mU!KOpPM*y4z1S>ihEigvn7Qw=g>;gjz#$^ z8O$DraUHtwe}^(xg0P<dgT3m$46_R&nXaz+Ek9j_G3U^F!3Mc6`ho}4&TuvQ{x5VF zsQARJGc$ds&4R2_ho|eRx|ug{t~Z+yR4T)Jz(lw&RpILCdEx&H%MBQ2tF0+!yg2!> z*O`qBIftx8UnKr7EZx%JJA-N8{~a4S4`>Vbc`oSR`+vtqjswd$%Y|5~Jo*y;7naL0 z%vPIo_y}9d%?5itKe4+l!4WsIbUqvpjb&`U*OKZT_Mkuea=4*(i00KZjH|>>IrnLO zIR4ckC%=R3z%tI$9y~9eaQxem(bN9+?*<0Jum*`uE|E-5SGDGZ3NHJ@^{4QnBvZmP z&eJO*DnA@|O%6Vw#^d#{Z2M~$#@PnkT~oT65^lYdVK7U)CY%?(^X^lYoI_T=E9Ban zJfcKH3mq+eGA6%iby}Dsp~5_2nu6xX?kb&50n@{NeX{L$Jv=;)EaH3FQCWLbR=G;Q z`^8)pQ3t<Gzhs33izZ!tEue5U+PPz&h|-&6fqSej-&J0=PoH3WgkAdnt47gjDso|( zvX}U@=3j0NnQ@qP<NF-NH&#&}R?g8;5iH*Dpm1G7^zYMLJ4}zPWSX<6DdS#_Lhuid z5G%9a?IJNDepd^gALkQd)jzi2La4RBN8_Q039-$>E}_Cs`9=r3y<ZrsehJArk*9ia z+4Ttrf=>2r_~aJQx>;$-E>D&~QE8_etq%?>d=U}Yq8WExg!gf2=%ZBAHy_y(dsS*L zFw3>Pm}sghwEM;Cds5{EDZZT-ex823f;o5A(>oJOpTuj-y**u>-C{>0Z<a#c&*LT> zww>SX?xj75RH!UsoV1+h$jpv)M*ci5bGp_U@z`{(I}qp^{<EfW#iG@B9ygi#h5Fr! zTHqTyx#X$mbzO}Oto$!OYiu~mQn76U!+Eh=S5_H$-*C>lI$KyWk^kjqr3JxRB?1)= z{F%!SEZC61nrOkDt@YuakK_D<9$U^=@v<67`?fVH+?>4T8E4MA>1!70=%`!zIxJyP zk#JPMC?NB^#C5NYu%pMKm3FIk-4SLIn#SD3U!kBEb@tJsDE2LxVr9~NHDd2H{TG=% zu-N=kN9VfP1J4lFzRfX$+Usj>#0f|lbRB*7IFjv}gv#|Dvn4Jp6HhB%`RjBsW9}=J zR|gACH#eM`tidgFJ&HAGwcnz<J~N|Agjf%5bYsk&l<hQ4J@EeVf~)z$6Cz`JzpKn* za-Tn`!R(~|x@D_vI-6=u%5Ti$7o3poP`Fp~rj>((%cWH-E=V7?<G-MG+3nf^zsW8O zgSK^gibXu=O1R?NoEM%kdCl=BdB38PTA7`q`D>*f_}-ViP%UO~e&2761he#cEN50m zzIhzsx`WG_>Cc(%D_$h|e=X$NFLhK`b4w=M?=@0K6W(_^%??={AXau&<5{E9)2Bbm z7(PFKpdNRv=BDWKuJ3PJ%pMl3y2m15wOhx^q+VWa%gfy#<!5;_>nwB;x6U#tpQn;# zn{w9YtL67aZiZIJGtVvSf6RYdn29sjD*N$U4&|;5jip=)w<au}?d)>mlUzH4;_2$E z0&*(u7VaGUlag-~7VXyHxM7kgtFT4$>cvQP_bYBKJi*EvpZ{6lYk9}D&$Z@-<LrtH zYJxFqqpUL~bFEr+&4M8`^eW4ORjY#8uU1br?PCns`+d!|6)a|vv7t{FY}&O->bUas zKtaKrbrF{3VynXJjB}P%rIf2xT|B1FW+gOlMdszh@(Yx;=c$;6Y~|`bvqELX{bRzu zCq$f_lqGnb1Q;euGB6x2bm4uxVT!p(1G7b#jh3FChJt~Og&fBb#wHID4wj|_0|^cX zg+6yrsZPc(E7;ZFajf4jU16~<RmSAadvTH5qNPW5pB_%Xf7)Wl#FxKzR_@<aa7Xfd zD?1bSUY;hspVG%yKUk>!nqgzl&PQ%@UVWcy$~r0fWJ2VI`e%M_Z>7s_#Tv7jt@FLr z_19n1Bw1p?x^3lOGjCiBoyVTP`~LEmH-2rse=pzUnwm?zgxOUCe%^lq8fi^h|H}pU z8Ttf<--?aeTp9e8<;FGp`uS6Kl-S<&|D+@Tucjm>yfQVnZgQW0P-N*mj;UI|?z1?t zU%&BWCfo8!zj)Q}`n*5Z#QFcBfPv&$>nSInl)S&JHhHSwzjfa@GGh%|kEC7O{d0x$ zq)*}tLR{Idl+1Zvdgv%m`K77yk1Ox8M!US9829AU<s)<bW-M4<QvAF1o1|>;YGa`# zr!QQM>NV_nnY@-c`JG_K>a-xmU0e|pSVd;NRA$be!#azh|7vDisO%<HdEN(%{I@^Z zx9oC!=ex~G`*H@SY@rnId|%#=1}ivEbS$&nR+clTlX+b;XYkIX1!Y$o<Yzal9g6q! zjasjJ%3?;g7i(nNT(8CDu~KW5e7+nCTec|nCdYJ%ef*ClrbzzuJiubWIeT`%!MWaB z{`)Rd?P>p=)O|zs`+{w&41_l=j|!b+8GiIf*1}XJhgrwN_mzI)k9TZ&Q1{$T)PTif z1*fr)Phqvm_qI>g`k(AN=G*mc&(#*)wVg$F(f&A#<-u%6?&%y_xzBo+&b0?}4&U7a z!smvc=DE&!e|NU@+n&u)%^!RZn@_nMyLZ8*r6O0u4}6W78D{4Yb!y>{SPg|$v9<hn z(w;vu-;fco@Z<D4)*f+LEB&pneSWpQ+@3h)!Na((+PUmG{npz$9Yvic-ge;a?V93g z?4H&$S-)idLD39trZ;O=2E=4WmrRW-3YO3k-^9E2X2#VQPkJw|p4eTsu6)+Q9X9Wp zF3i02g+t6B#q`F~F7JxSRW*x(nvQ?fwNf^TUp{H;(WDs}N?F`(?}{(*dB1ybRw3j5 zGKT9ZpY|$w&24;T_w|$Q=@Sjb8~bn1i*1wiQSJS7eBN=}x03_CzOt=c@%Dz)`*!{< zZ`N#WH8=P_(`f1{%NPl_yZ3KR(3ol@x}U9XV^{l%%`$VIuM?7u%IUo1<tk(TW}Q=- zh1`|}iSrvGxo$n!*!Df(td!-u+ZhKh^QAZ**mf`1<-Uno!tA!>k1rPqIv0g2i?5N6 zsZZ|hcezq<|7^XHRNOKZm;0hEJJ}9$d`<OeH}I4`|285yf2K~|sb^Nw4KEjPw7jU4 zK6X>St)t^!)ZZft=Ryu0_{V;+G)d8FZQ<NAkCI<9O_k$pzc5LP<7(TS-ChjL-#`6` zsgu?f^>A&!QaaVPxUSuEwPEob&U5QB%BR~M@7BJN`%JiD=eFQ4FK%?qWtzRG?#?o{ zv(83ezwGOnH2<UdpQ~Fk4qQ5XL(e4n(ag7ut8$+E3hcgfYSLnrhlUl$3V%5mZw+TW zDi)LW=N3Q9EZumu*OQW?vQ<p?6>ePV($wT#I5Q`_v7q+aj~JnM)#jJ0E(dEpc9l+^ zIbo)EXx=M{{26tnN_?#`ya(BG*#g*kZ72P3Z{_*@@uN=SX9k@w*Gg@Df6i7Yd?jCV zKmL}xorj#JxzTUm`AHf6i;TZtlWvp}&D__xNBgB9i<Oge=*ozIGQ}rd>?+Ull1!{u z-+rJuw{v;ZlSWPcbpfTaiCwun1U(iB>ddsVS>5~pqPC);dhxw%#-;@GYdoQaO6wgm z3@=HCUaDkz&364&=yZ9NyK?`m`&;F6+y1_se{;LrboTCFp?p7A&U&7=`p<>`t9~v{ z>zJ4AxbchO!slB$^u-&!&zxwRUax*fbL&a&?-y2nxZ1mSZD_vF?VG8#kNbaYKK5VZ z=yT@$pL?>oA53>#*_Ziy{Q>igPyQ#TDIEGG|EsZC%gXF>$jja9qBRR5TclFXm0x)H z=(nc*oTRNUcGvuDm)UV)<22ryD{95vyG}7ah}XXLJjyCYPp{eh=9F8_fjpt*JNv?Q zZ@j2lDDfq^K9_&pkE>0`*NgQmurE67D|;dH*t%)6IQ~A74d!2aX#KJ)iapb%AFg_( z@$h$-z`sJKn<dxQmq=DWu&`IZbE%0pF+1zeu9v-2jPI{*eRH_-(%&O*c>Lx1F0B13 zF2EMPl9&6{Vgp^dFTNg4((`uPN35T6ZgvPy?lCL-%Fjv<)KwSNi_V{>?=|)Iu`{M# zA7)10cy_}=#{5)C+Fgx{)y+*C9fDX{Esvj+uh*XyaM|aiyVvi|1>dZ%3vcaHm~%B* zS+VWrgH@&f%}wNNtLNm#gs5L~6W_R#cjN1}SASWGY%Z;yJXa!Sap~Pvd)J+p=4+3? zzI;(_l<=Ktr-Jglj-BFLRkldy+JrCjf0lH!E}j(8e?{HsgYOi_Hr|Qi7Zn@%II?fc zI3K_9LU(cRVNF}5-pw_cLBGG1>~Gn~JkjfeL^%85kES!Lr+F_~J#Y1n_lp#-1}*1! zDr>yw97olJLn<d%vbnCk^X$sIbl>F3+t)I8zjPOv8}eXN6gQ(LUzEUt_sitt8~s#7 zwrJY0<<4KQ$xnmL?R=;x%dBwE+>I|qrT?2c{bW8ADz|Epyr9x-aj98qt*@sn|5WXG zK36Afnb`}$ga-i}Z)C&%$^?G8&fWAV<owHSKW5%Nhj%H&x*m97=~UJBinaN$>8T6S zTklWayM`fi&Kt|lyz(zo>JRoc+FjmJf9UrfJ*Qho7wn$9-^0;)!vUjdvOZ3k?d_|$ z1-(Dzuukk${<ZCn0GFD1Dko>k#jO22adN50R%tKe+NHuBWPEvg#9f(_dJb3qzlb|% zqrW0<!-T|wY!Nj>W{K!`yYzTu`6h_z^LW+>+<nmZrywwE3xldxy~KkBfBCmh{mQpi zeM5?`2Ipbjl%!;NmzUQk6qd{hyVY>W*sf&rryON9Z3FpvTax_lMl7Gj(Oo07Wd5wj zSCfT;Y;vsrKi3iyyxyVp(#pJ6+{rNg;5#LOg<X%oSznWVZ(|k5bn@cDdFwb&>F;8g zsa`&3-O<@ujWLnyLdqxYo~qpT*>T58Er~0enwX6hI~#xM3Cuqcln|$IBJg$Z(YHcP zo1Q=NyDodz)lex#MB&D+7WU+=%}J`vOI#-Bii<Kw?NxUuJ@6;U_o|?B*lXjOZz0o- z!o3ol0&@K7KRO8IJ=YEB>;Lj_XP0H>Dz?>+ChRiU6>j@nKv6=KBd7m?-&y&!A}suU zt5^QsEUmopz=1N6V`le?4}53x{*jcrCET*b_hpoW)&7j_^R=ccmd@CjGsWpJo4h+u z?Ct|%Y0EZ5Z8W+V{?jDj;+~DS;|wSKe>Umyi;Ov*+`Tt1o3T%9e^cx<!Qwz?(5jZC zSJ}-wnOkx$d`i>F`0GDW{+Yn^O%**S%@mqNu1%j7vavy0@t2(Dnubopy6S~aw=M-6 z2JcJlo-1CX;QnWWd*A+yH;L88r*jsIwKc9&Gv_{)yT`%pWS&b>f`i5dskd!8c{`b= z>|}R3zV~sa?15AsMxhNeRKy&w6|(<axj^v5#_xXwru3Lb9{S|4Z1dZ&musFRF)y`r zpO~^l#pllko&V*+eAA2?EPYC^e04u~b?=Vd0Y`X5cb2Db<36u=Q~I~x(d47`-(Ea> z-XX<ncA9T{r<Tac&zHEBo3!~ex{J@9<az#<=g@T)FOy@=7fvR*o%GYV!F})bisNe8 zC#vpA&n}ER&A#q)Kvw-_-n(s<mcO3O{>cCGSltped7Y_F%`G?Wjpx|C_dDgN`e(9o z^u`$tR{NEwem!}?#>a<YHdpt}g<3yKzkE^u%M)fZr^INl%S?vG_|`=0MkTp>*ZRA; z48s}bsxJr+Z#@v;(!1`?#3biGj)sq?Yq{<_>(H_98(ZYVzy<5h^fNs>diZPB&J$U} zn^IriT`jUEt&d@<g5#X!9``aNlc!$&RsKDA;y#8|GoMY>-}S*LWZF&>+sGLL<%V*T zQxwv_tnZh3dFIFRw9Lj|8>QrnMRo7@zVzIdxouPPiQKoAiW<z~0UHf3cQU#Dy=yBo zi^J^K)spY0cXnP}&k@ZVYW!pSdzEioV&1JqLZS`#zn`u;CzZB%2j{Za{q2&UKC4!E ze_b{?W&zX5oy*<}idO8tnZNSR?@H!L+cxh?pW$Vd^7P|n-^YEo)%IT4sJGSql;}gh zcMl{tncPmj=k@FWqgJQ)@ee+!oJYAjT_!eOR}i}0dboLF#J>x-qPE-*uG?ZB?|#qP z=}o2#`_%t}3!2<ln)n(EOXxjZ{?KX5nNaTqCI=<vP5&QcKmTdP-=C-VOG_Nv*K_po z)9a!hQ#E9iFWa29nEv<-x61Wu&do{6MdxoHXS(=jiu>fSfA(_!*PV5FQr{%x`I$3! zO5A*dkT)-6de6#VxOnpU5}9U!mgP%56c4SA`0&%DjrC38-dht>*(Pw$Tx7*?-Hd<I z!hNde^xaCt{%N%|upN|K-6+0yuej%V8F2->r`x7)QQ7nMt5VDA)f%&|Mr-}L@AM|v zKQM8RUdWZRS^sw|d0e@Y?U-)Lx|srApN%EWXH55;u~9nMs(<zA&8ZHOZ{!j@f)k9& zcS_DIe)nM0ouUX6;ZTLzNy>7%->n`#Hau}&*!9>~F@{waANWSZZ1!GO;cb1d{KDDG z{f*Ot3}wXE9XS+qD0Sz|jefF)><Z?YnZ*yz&YduCPH_GP)_lX9>lN+!tJYnYJ&_aF zZP8=Q_pOdOz*6kg8m5lZ`eDh;k9RvY=cI9FPU0}*yC(J6GhsoN<mwA2BtJy5r_I{H zHc9qk0L!JvOi5`X8f<B8c1POS&Lm#j6>jf+ICr!7qomW162lKQ3C^9eTFTTYV9n77 z4HG{6eUN_pN@ZqBhw<&``77(h5~g-EZ&>oE$fb*It4n2-c3c<ByLr=vt~tMM$yoMm zlJs+vL~mu`Gh3sRd*&ZoSnTEI7R(UvFr(pdN%O0Ro+52;-h6Tjso%Gd`{wRhM{5tA z=vz=bWo_9)KHc8UtScwIO_#N(HQaqJdQ0V_uZkxHc@KCTbMUn&yY)@P-PmU8?T`27 z&i&l0Wt8*!lyuq=(Y(IQ*}Vzo|3VTUIBd|6)?XXCGGcOA==SNqJuEd66aIXO3T|x{ zW4j#9)N1<jp<C}lp59wmK1;TnnEWp75Z-Y3a@IF%5s}Aoyq(F`o(mkfxnHZ>RXo-E zX;ZK7vu1vp{)M=yo2;L!Jx|)f`JGLh(IO-|<h$hzzei2cuP&Y4_&qUsr{@;6)w&1f z_LV9=m^%GMH}mP$(r-4s*!RsrB%@W{&aCgY;}^}p`xag3Z!W(0AbIi6+Y4r1JJuTT zJa*mWH=-(fNsCvAIS4Dd$rm2kyVP&$>`ryT!jo6!Dz^*Wz1?%?wV1^9r_N=*k<YF> z<~eeP^LM2yKkc_NFl=bHy(*}y`*Tf+?6FNg$$k7aY#&^>UGK7;Ua}~yl<jg$gkL&y z#Y8<*HBN_D$ydLz@6=R$;B?S?*A-Wd%?TA*4|!Hjj|&o+Dym}p<Xx;StIK=w@UR17 z_WL|C!k7|1lnH14xzM}cvvPU<f>*x`Ud6ngyZLuV&BNXAB6ej=m}>E>M7%yHm095B zUw{AFmy8#eZ|#<QYNfcNV%4Lga`R9BbMCvqr#-(nZ-&C8M+Mb7T*sOZ9ltZLO``np zZY?j@WdiOw_G_(;I?hKPWL>8qxcGPUgX!_QfeI{a?Mr^g#2n0e?y0PxW%T=kkZkXY zm*qEY6?TcXh`);WKT#gp8{oFS>dIUXt-rq}zRXjoP1zqR8@<A#=JVbS(?4JP@2Az( z$R-|^U)Qd@Lt(YfT=hJssd5Ex)dZz3Jbr$4<I5$oOlJ&o|5`BCoxb98)aelS((Mup z43dQMW~lyFULK&s+P5lK{LjIukKZI8f7&g_oWQMjX7U5Qjp+&8A$n6T3%^-<#yDfy zX_a*6O1(nW?(d>;Hs^8=-Z;kd#8yaX-PsZ~IZLhfiDj#8i}`|A&$P%omKyiWj(Nt$ zKit>m)V(cqX%w>Fbm)4y75AN-nIUUd#O2=1J(|c`J}pNpEJQ#0-Iiw&oyMy-y$pP1 z()#wd#JLwW|Jmw}*`NJZzulI%N%66f_@0gS_Bx*yT-;MWJyOo_oO{WuCz+2PUHW@` zm9Pc#oCmULE%qObb|^+~`0>WHxtryd=%*4T>qWQRR?E-(I{QIFdfu#Uo{34yYjVCF zdYEa?!B_TdS?22{n<wNjuRmY@^1P7kOBPc}hLqj~Q_3<nq+9VzoUJaO`PT69oFr@O z9l3(XXFj`Ka_nzJl;QN{49D&n{Q9MNvC?r_%86&!`L21L5J-FY--*F&XOwY=CUeED zrB$VlYkRjn^0hj_B_drUF1ox}y~Fc{R^!GgZ3pcb{%YEN-DmJbB6Y1(!~X{&o1Z_} zu=Y^Vnb39OealjLRCA`><>yXYy=kSm=u6Yhn*@aZzS#9>5AXJ<x37Gc{r|@jZ@Xl} zN&}vbJ#iez*B<LxlsmUB;AZJDR#g!JQ3al7I@;_<uQ=q*z9Zo7>32&sYFm3(Yp_n_ zkE%1~A70cqd=Yv$Yg&fBOWF(T32V0U=ke@zW!T|u*MF5~!Qc63m5x>}uG_qur%r<R z<w=zQ<NEc-efg_n+9%}R78O=kzL@rV%0Z|1XWS0vK9X6lu+WR;XrWb0yVY*Z2VXNU zl$va|+rWH8^MTnZ+d_rT?=Q}2?3Q<H>WZCNG;zX{jAy$0WLyKgmu*(w5cO-i;KOWz zbt-Lf&P}BrwL<&mY3b(+JU_o#iixABVphV}Lo*I3#XXH!kn>9+bPMwhM@us|<Lxs$ zvgHq1oV2yNl(tw=e{LfG4#(rt{W;UR%?heca%f#Dxu<ezF8h%trmxTbxoiBG7|oRU z;kJ5%kn;ppiR?XQGrmT$<UGGGz%@z6RhYTdK~DLNrdGOU(zR~O<oM82)^^O24Y~J4 z<v)Ei)o}Lu&GRyc$*SO)e_lgF(dwChrf=pJc~fb#U`a*pm&663cSY6P@2o#lTF4t% zAM8<<m-4aN^NQoUhQFU<roR;4n$|I~zu?h!=M##G#S6qAo!CA3?xieyeo?orwg+WW zgkSP(&@X&^*sA-S@)@hbS8KkdooKW+_4Y6=R_P7eu{So(r<$qLwMFsdo3NA_k~@Q* zT+H9u7d}y7H^YxTtG@WE+EyDqf5~Y6W^b`#+Mb1{W@colTysfb-SEewiKB_3e}ntx zn+m6lCrF*>j`d&sdf`>qS>HC>^{?5y#QbTW#)9$%%NED+fA!efJa^9j)3X0oJ>0c> z(wXzMZ`;;$uf5OoLHKLd*PF}y*!8EXnri-SUmEh+V@2Xamfux|+SU`6Y07H19k6v> zsj%#5&E2jSEDPlIyHc(m=-+Z;YLH@;)se6nvA^T<czzi@a5!)5o#V>4hV9mwD|gx% zW=lpgE|y(B!CCGXM_gO}dg*PyR8ns~T*i86p`PjN!;jdX77Nd=mEGs{<7&aj)O9nR zt23@{h}u-8^=12#;N3S^wukrskkE=hA8DF#MQQShX;FrZAAV%ETXa^>(z~OwK4;!{ zx9>j##V5~wBQyW<+(+rVeD~PfiJN`>yg_}LWv=x7OY=T@>{ELD=;iT}>lWfcZgY3p z-L$`{V7FQITGoN1Inm)A(G#m8ekaUdY;mXUsOG)-Q*W$0)2Y6bxp>kf$&Vh3ZY|rc zY%ud<^1NSvP8hQlvz~H&u+l@mvF+Fm1@<Ez=U7C&?yUC6n99G4N9FQmp-mf2n$MR_ z<-JuS;-hut>gJE%UoxCru5Rk^Df!oKhdRUi$IS}$MCw9fb1o#9^sb+NbJMk?g2#U+ z@2@|xCGxO&{PKr(OW)0IocX6;J?Yo|nKz$QS{qIN|2O4@gE`moNhxaDX*%Arndez~ zgDvNl%}}gsGV%4(*cEjnW!It^)modsZtZ!X=yNb;%T?d!j!w_B^DboZvY4no?2%9Z zZ@K3zYr*G72fGVJ*EaDL2wp#7deCG0+`8MMX$roK_m^2&Pg~l^pL|s2#H9z*cc%3( z36bF`UBsxr_>_d#%7)`HiT$5UE+nrpV9(_GvBsA(aAttx|Bnpj(VR0i-Z>@lED1S~ zy>6p>rPK?<FbVdZQ=K{%aMx~s7WR9~<5k&rPETEs+|#YLWz&?(<4N=Xy`5pf*dd)i z;ZniGkG0Nevb)x=S=468c{j|_pUJJJX<Dhkm*es`FYFJRqI-LR`PvyrOs?`STlHKj zyM%Z7_q|%l<^em`RbAWQG;_+{=)?7*N)ik1?^Nt?eb3+%dP|K%%gbF)>(0L4{dMou ze8WoFPwh0#e6_pbj7{rq!{tBTea%{v<Ey$Q;==v{uf%G0cZ=ZvQ#RS$F7$o7LdBzd z_RpWrSN@)S-NHLpa_`#vCpA0fsTYSlcboLI-s|?$#VmTdPW!%v-<`8_V%qcnzd{%M zE`OWmTx|YA`gafa&s~l#_2+~q#%mlBTh(kMQq!>@XxjBfHI@x~zl(oKT+{W%sGQ@0 z!;|F+T1*$dcF1nC=3CAk*7IIkoZZbrw<Nvi<HQf^K0Uu;e~tCIb}ir6`@a}XHe7mp z^Y{LlCKKgfMVre$UFcp9+q}ZT0NN`f5xP)Wkb!}LAB;H}5PM~kbFz{4$~=Bt`#tZi zZJ-$&8yhDZD;opM7zVI0t*iDtv%SX10K&W|dti!?3;}JpS+M2<3xi4>o86+mb9%Z0 z0_$({%+LI~CPseyrR`@8Z0cp|%(`;h<qtEn1Y3N}%G}71_<ybOsiUUWKNWWA-qX9K z$In-v@T2*VyuiPN5A1Pu7oM`m)NOdm9#uEtDSMOsflti`<Qe`wc+VbQ_uxCTw*87v z&HLm({7rbzUS+@FQ?sW1gip;H_6?t!_sBo^``{%1ySjw$&Aa3;{7rbr?pLSqy?KZH zfxi#lvga9pJ$J<V@;jeh#!t^(NmsiwGcWy-@#T3lznqzpu4ZF=^IV9v@LQkqv`xmB z-}scKEi#_`#%H7P*_oVWYL>=(&xxd~U7s11o-{YJRPE}_2WO^OU7kHNAl+*2$+JGo zjHjM+u?n6&^TwGiY0ECobV&~~4u0XYz<BA*m&I!5XIiB{nv+?qc6R24GbL%u&dfY> zrp5B|Q=e(ZQ)jL$Qad$MEq&6Q&NDvAX+p-qGiDw*^CflJiJ1!NRdY@jE;}|;B0Xx3 zXW_CVGX>JE=A0~8c4#I?y40MLr+s469vNMpHgn6FDXD4)XKpwnVj=v%r`|Yr=E;0D zZR60HEA!R%&HR>jX?Eu+pWw7fM!{2NE;uvAT=<@kf7-6uC-au=n)xDa*6fofeTs~a zo@Ft=eA_2a|LeXZzc0P>-lhL^-<9X8cc$mXKl*%W-t;efraV`*(Z9Jb<hRgU@A9}! zpD(@fE{$9CdD0v2jrwORIlrk|>hIkr@?7=$^r-lx+RU%2SEoPNGv(K%+0z5!t!hu6 z^<Jhwb)U<xpxM)J?Ah{c>BZ?T@j;)1UU)CiUt0O{v+DWjR`HK&GC!-Hoql0Y$+M+r zrk~l<^7GPD?`ir|D_4F}JvCh|eo{^68Smscq0d1xrXSez<>}HB(-q>YYEFJ!dThEx zd{m9+$E8Q63&dO1ocyr#&~%P?shX3gy<_7ZeY!Ml`j$OYo~j<4zG08Z51|L%_4=`u zC*P}T>xWjZe6PB1`nR}C)t#rjgX1QB3Ys!~!JaAKh3<L#$L*><`EKd1=`Z4DRi8ZR zU8H|>FU$8!x4rYMU)*JU&sSEyV0ZH!*)KO4-|^*@A4q4fE?bb!URl<V&c4lh#a+g? zeDB^IILDV(9+1vnQl_xG`G)M7n~ZPxp1gTb%3fIZU{~`s*$wX!O4(OgH*9XcBD?1% z<10SD@&{?`%d9o-GQQ-y^`_x0-?cXhCG3l=12#8bkZpLUaF)-m{K3xVbFu~R5{lVp zSvzcQHnu)-r*Woi!n+4W?9;3@HaDM=4S1JO#6HPdVRN&gwZP_P18auO&HC0IcNm}W z$(29Y(R@tS;9Wu?dzZDx9mYp|eB}u{nh(heyh|uxk1M;7${tg;A(cI<Y(gq~ll6g3 z%?D%|-aW`?4=;PLomty@#ir(cvLD_i<g-^<FWA(qX+2?6vxarUrsh4e58ggF$@ea% zrgfo4)#9yNkG5`Gr2RTN<jH|N_EPHww-4UooAbsYkG;^k;r7AXe0TCLR5Rb3nv}nx zn)$BulY0yNneRy7xz})>_uCzZ^Ip65Xn(z@a9-;*&#pTR{>-<fuiR_+##Ww}@SJV4 z`HEs@E8`U<a~x;gJ=JmOtU?}JQSmh69WgJ@d2QLI{V-DVJP%*^t<6I7r{;xEid}i$ zYo~F=9LDLAChMlGTJoH2llD}hymeQ8NN^r2S!y^bPt7{~Q_M@@lBE}>Ug?n%i+dUL zT;=BEIBi`;<H>2ir#y0*x@5^Y%g(c&Wq!MYcVD{W84<Q<vvsF9|EVWl!C!tbY|gRl z^qw<y(Hs+riWxg@{HO?0e75{a+Vsgu7w255ob-IMX3&R4cb+;%Zm1Tz%WZRi&CcRU zGOOQe>#Tq6S!Uj`_uw4q1NR)x@y6u~JZD>{zhJN9Yu<?b4OPrnr4Q_Me8qe34#QX0 z(!7FSO}A`zdX{EY`4?Hge9OA4SpP<`gw&1e5zOZ1274V}@_OW7c*d5Kx8WJvVsnAL zjkBa%?lF8}%gp;w$$VbA<X*#Pw%O(v_At(pp0m^O8Sj}p44>Jin{U|TI8!?0Uc)E0 z$>tOGFwT_j+1WTlx@9Nh4C$Jkj!$?M@;_8CAD1?{*YJ_8+dN>8<0D>y{DKPR!_p%6 z8a}W!n|~;3)-!)l)U0cMp{QBMTwo95G-;N53?JC)&36<r@0X6)={QyT%iV_eY}Mu$ zb~8?u_SxAuMcQR2;}q#9cNyNZ`R5gsGw+tZa<}0fTd{dT5%W&zBX=3zvE`c=%yxXw zQ<1*Gn)$BelXDBs^Aw~PSToxh-!NvjH9ld?Y-7A(uH##tjP!zZwz4z>>t-wC1;)%b zC6Am-ILDKau8_{Q-nhe<`MTtma}A|ztBnKZGR~1)a_+%do`CcMEAg3~Yd0up^4vLd zpoDFyvBF%(*^(P(9-JlFbIzfJEh|mHiur=%l9>me^Vp<cuw*_bnRCvem@Ol%AdPLN zv4Ju38OfM)2a4EI(*i7+Pf7Zmb0}g<Ni#@eOG*<+V@pW;kjggExM9x0$2>CW7c7{M zN$Q+)C}it2c9?VUArDV_fCcj*NuF~DPV?+K({P$++Zl(`Je$rq6tG3430N>6ko<G@ z!6}|UX$h%pp=lS)nfFP)IqQ(m7LsO=$`+I+kjfU2Ho=^EkEG4agLiq}qy?BW?~*)o z_P|M=Cusua%sV9aoIQ}c^xo8p@LRE-<xB5O{k5iL_ocU9HDR|lPntLN)0&oa)!S3E z!WYH9Jm*ywHY;}JIj@qiNwJz`OD(kzu2V^0dVT7nHBWX0z4lt8y>{J_T|#rF28CB` z7JB8iTzhBa$&#g)rh0^DZ5En6_1YSfU6WpTWrcCYa+WML(XL(hWM|NGuQ}Se>z3>k znl<&@nkhRc&75iwzANTr(NZJr&~+|5FFo;^q&+b*^Nd$g7}sW@8B_PI5!os9#H(N1 za^003LXW+=wKdmG*&+1Et6f`iUC0ihhhELvm60n?d&Pv^+B9j})J<ztb_h+I$`sBN z^D=*_w)W4pDXB}fw7;%Bvi;IMuPW`p$jnn-L1A5+gr-dW6m}^3<w>t{?VD>uwhP_$ zD%Q4)^vqkjW9oyjs_2t%m)x6N;eX54^ZSxJlYh-=slN2qv&QdM@uYc^Kh0@*u5x>F zmj5E#m*+go{ASs%Jm*>BH_2A>+Y(FlgY#6LFS$PX(VQn$L9ad6sIQ&3q)KSc<RJg5 zVxd=_%hh*Up8T@p(qs?+tYV?rldsJ&shae{Gs}<5mh;OJ6ZP78Pb!0+d(KhMowuY? zXx8L&bEZ^InmO6Pf0xb4PfLu{L+80vUV7p=NqwSa<{8f<Kdxe-8I$+T5vde<;@Pim zIqyn^&|}YTb<KHGDuf<+wyR6d3#kx#=-I4ZX}R*WXN=#iqDj*xZ<?c0AvA3=lRuNq z%lAvP)ql=SdAdYP{p;K#<(KYxR;dSCW}fm4^6M%Rnlky5-y!RlCq2v6Z_W)V7rN_N ztZr%P`EJRM$q)RhtWUmW-K+ng_TW42lK2U~o9y%t)E<1x{bNtUdG0TJ6wY&h*mK|; z>sI{*wFl>l->5wJhWpi?hI8C0@dCe_EcF{|8|R4c*{5)hJ0||ZFQ#kaYxX&OWi5!C z@T<vO-=X&4OYS>+4t!x<s;^M%I9q%}<-u9vJ^LKKux7;x{9?KwzNGTtb8egX3zZVL zZcOLgA{>*Vb|I`Jl~+S)6HoMkpG{}QGxjl@<vzDZ;WKMmT*J?%)8Y~P7M$VMig)<g zbW+@7U&9&hV|yIVa39*kaE5!|9)(Y=6Z9);8Xt2@#UJ?5bW~hppTbAh4t<N7#)sTo z@eV(l4vJS)I!+fas604LJfqTanmETkhSS_k@eDtj_KU|<Hs0r6vuD96?q6{SzBla^ zf3dgW6!)?{4yU*m?O`~@{VA^Ddy~5Uj_Ss{+^^yszBla@x2SBq!~H0Z;d|3|@f~Xy zl(X*9z7gB_j;kpALOSaX?H#d<^F`l8HqH}$63IAE^v1dcWvpAYcWh>|)}9gTI9K#S zWaAsIq;P|D)(zSdHaA@tJrddYnky>&z^<mNqAS)hoZ|`#|B%MILfay?akl7;bqh*Z zmuP2fW-`-`*xYncv}2vaSuUq=hFwi2+CO3%pL1D-AK2M+Ry1QB!&$C#YZQuE)502d zHk}rYShwH|msYsL&Zd*19_t#;a2;FYaE9y98iq4m`_?EFu};vgh-rMxB^7>PN7GSJ zjdcoztR31GF^vzoxWXNFG#wPJh;*DTS`c|~nrKF(<1|r@bquGun8F!$H0>9SiEO;j zwPwwNQ(V8o4s37QEBaz>!zr$1YaC8-En35HDmEi-N+Q>%u!ikT>e@S^8}D+x3Uk=r zv{Td~vhfbrqcDc;P1{9x%w6!EWsmv|+s1dCMgA9_v+PjcVaqsQ_>E=bJmDvnjPrzV z%v<n{WsCZbVkT?#8Mcmdg)dk(zTr&rH+asnL487T({<q^mW{7Dqx=t4HC+{6F^}OK zXORDgXDln!Eo>WS3(uIh;0wzV^^9UBGxdn#ri;QI^Bm4{I{7nHHJPaYuxWhGY2|;Q zvgxdF#yp0zoag2!d}c}WYp85GEgUg#!5L01e}~GZlfoYJ8qRPYo8xeX^UxfIGo1V8 zD12g>pk86q_?T15|3F34QDKdF3LjZI)GcfpA98Z}J5)3s6t1vzoGx5od2pI=hNa^) zVUBqWr#YGY87i9g3&&VC-sfC1XTd4XUw#M5oAwI7nA>oQbJ-k+Q=E(DFr4E2<kwK% zq^`chy74aOD?f+wrk%nTmW_8fANetqH*FW*(Yv6WWsmX=*~WJqMeY~US#~JzkY$`N z_(rmEp5PNn#(9D_`WBS2Y*F4}%w(-RL)LMw;04LXHylas2I(vtlqVQBT^Bqe+4!0x z%Kd;<(^bJ0eGKO~g4{nm)7!F6PdGNx*_Dw+a6#XL5|%u-1S=*DWer)zmmIfx8qRWD z>q#hKS)?3b+;l;(p-<r~dye}DOQ!RJC4CLWEVGp_$S}?loFnP@jN?oXLov&A<qa~9 zGX+EX8j4sZD^HMNoGI8N**HV6MUrubV2z~X6AlIU4;D<v1x@-I3R$|917sW@aR|5< zSTG$H6zOXyU};wVVAQ0i{J^M5SNVcbla8{0OT*LWY8%p78nfJP`ZSa?t==54q{NZI zqG>;8iqa0F#@m8F6gL<(9=t9XGI6aj<DrB5jy2>6e(7z<XQ@`cAk8>c&_}XyilB=m z;}pRsy$ty*{%!^4OuGfI^fu(N6e|}PG3^vQ(#w#?lCNCw+u=QXh5d%#jCc8;)GavA zUSMDFo6%1G#%D%b`4gWRZR9unb$H93VPEi^xy;VsccYd3g3pXM`H$2koMTV0S9s36 zUcTcq<8}Tmbq!ycSIY<dWthXir0&64_5k~WUySDR27eu1vU}KHc*dM#x8WJ{VtIkT z4YT-L>KMK-XWD)E$#|Z>q^{vJ^KAJGe;8)*&-v-_jQvav!)NB{@*Dm*%;XQLYxu-G zS$@JFhMD|5KO1K7xBO(7!C&*!;R(Bf{f8fn$N5d_8a^_2%Ln{%c*HJXU+{zRFuzD$ z!w2SO`468O_2eIXYSfj#@TpNpUf>VIG=7#kh7Zj3@;g2;?&pvA=`fZ5OKrn@=4$y1 zzZs_T`}}N}!te5vVG93~T88(`{&of58F%wvscm@2Tr6MkiE$_Yky?g#%=z*Kw;kTI zRhVzs&3KphN#26<Yz5{8yBY1IZ)|3?l|HeV(MEd1U5B@98RiA)%w=W<yBn>f7i?y{ z$$KO(;T&6nxk5Vgdg+eMjMsU$<TaEsua*wD%P@y`N#29AYysv4yBN)-4emO;Wb-h; zkj9*2wjqsqv9!S5hFQEVc?>1YnPwk$GM?ux$!jQPo-KXh4#O<oIX4}iv7O0bC}y56 zz2T0-Ox}>Zh9c(4(i845%;fF4*)W5*<tD=n-kO^ZPuLX9KkQ&U&TEp_P{`aZ9dO6t z5u1Q{!4Ag5ydrrG1<cLTA2v1WNk7=ss4IP8Q=^Wwz#WEZyexSP1<du*J2o-y=Z(1O zFqQX9ZbLqEwe*GC3{!c1ZZ=Heb-Bqfh4)D=Lq4;=S;2P3-Mm+F8}gWor3*GO?&Lj^ z%aF&MFI}+P;XP}G{)TGCyWCIW7My1-&@ZTFv=hHk%xEiqqL|S}e8XOcx2zfZ1<#qv z^bD#St;81;Gv4Gr5|?m}H9=qDIn#Raj$+2^+*{%rzA~*A57^5vhkHrfgR`sw`UO>t z=Hdo>9bU3}=wEool%u!d8Pj5MfxQj0xLe{FzA$C#eW+wS&s`GN@R@10_=P<Tv$*H% zba=*kCWhfN({%9-dmLtRhr~5}Vwx;IVGqMh?w*|uGq_uJGR)wv+3E0vRYCtl1><pU zlemVDOx@xEdmJ9I3g{P9FdpU>iEH@4)GYp?s8LV+K~bZw_=TcI9dUs@4AZz-;ut<K z)r;>aV%*OivD0BH_m|j)_e|B|7j`pD<@VXxFooM?C&Lu(C$S9gnf&z%${BZaUx{sa z$5bp{P{g>C`$#OqJEnZ`g5UR^|Gj(t@16Ibchvu`sXPDrr%nI!-2LA&|DU`4^XB@` zC2`-9>(3qDH>duu#rt1t&;MGz{#SwB*ZVfF=I@(r{`ZplU$gViuK#(_y>AwO?FIkO z^WXoRd;aI_^*=NIok`y}^Z1|B+y5BF|D0m~bCUnF<9lZCe@^&!+THGn{l3TMc8~S< zJyN%OB){*WxZOkkebe86im5xD{ZmK(M}*x6#{civ@3|lSQ|tcsn*HCa{GTr0Go}Ai zfZh9#|4!cDd-uBS-Sc}VPyfB6{rC3r*$(enD%3YvGv4KV;<w;DOM!ZUHKU#I4P!=I z;S<J;Ho_a`I=p4cP%lVlDpNDCZnP3!V9a=v^N3%<IhF)<g><I%!X3tp*EzTNHIy=~ z77m!pFo$!A--EL(0qO--jOM}ya~)o?c&J}UW6Dw6kjAuFSYU3$EY21`h7zVswGWnz z=Q&IK8j6`_3tyPSFpG1}OowMIXM7lnnWhVGnBy>$GsLf<h-tF$ggFc|IeTU{%;0R9 z$uNVnW~Rdv76tVW7L3O^P5c@PnYx7o<~TfJ5l}C%U_8tz;@42X)GYkLs8LV&fl;Hb z@CBnr9bthv4AVGS{1^(D>V<b0G4AJ#nCUQ;^NVjoK2x>uh1m>KIelg}OyP8y$uNcU zi7!JwlfPPlIpc25E4~eROvS<lMvOZ-kN7g=G35&vR6D$9u8`mGo8d0|lXX)Mbvw*q ztd(o{-Ef=zL*;>a>@O-E=CMDhY<SC@CGYUN!J2===Z2f?S1K9ivY)V1_{LZ)$MBoM zlE0$XVGjEay9Hkv*YHQwHoQuXSG#a%+p&n8)P-{&oRSJiS-j!}$I6Za3>N$zwFhRi zFR**?g)vVq;a9^Y_6c?i&NAPyNjS^wCa>^|!IYn)mf;2SC7TDI8Rzl8s9|`{Y$m_p zCxZ#U#pi}I>@zBRT)QNh4de@cGMr|gQt9xNSwr67C&Nkh36%$Cuy<5C%s6|@FQT<{ zVPj{esHkjj<ywP_Q@`qJaov`3Rt^X`u-at?r-_L6As+!zNySD<%@P$;<(ZP1UkaD# zD|bqE7M}H(svIfR$6^`u%wv=C(ms=Pm2(r%^|Yj^oHbaays~eLmC$RC^~y&jPnMqX z$aPbaU0I@XdE$<qFKH@Qj!gW~Q(}GTfyZX$tCA<rdX%_n$#9<YSYGDlWqfJcL_POk zGM+_CPE3^f-s55+^vEO5?UeDPX%pY|h*$?b_o#5&B->f0a(-f#dzP%{d5<Z|QzbJe zp7AJC{#vW@`{FzITDz>jC(4!_=+CoX^*QjZdxhPj&w(@h-_^8O3r*|Kus<bx^1bq@ z{tGoveg)2%IIpL~T<C#EkuqnWi@DGpk6^c5LFSk4yKkua=C;ZxXj=cLo+IBU&YifX zX36h~bNY|flw|!9eC57ce&)}N&x=nS?>|tJ@?&DkBlj+O-8z%sfsft$<Q?n2JY9UK ze?yJPGi5{hi9cU_SbTlrGdr%o9A6fn@3*mk^{3;r@>yf~)H;*rix2ec*>C!@;*;{# z{vh`vqe)XHF6v=PU9zWtQ_Yd5%KGxWbuB+HzHraB%la(%(tWA?&YvD<-Sh2){&sv_ zd}HDxyG6#AZoBW5fBUoJ`ziMpdB(acPZ#UTXA1u5__%myZ@~SUkf+M(@~%HU-Yf6y zeU-zKu6(tBP0o~E7vH+=mVQ|0@>E$<dgIL(Ul;G{{gpH2ci?@uUD9joT)qoV>Gd<e z^?BlLw?ey3w>8eYSId9Qz4ANoj$66eq|FoGxNVU>m}l}_d4F$`dC}&H^Lo=BnytFq z@qMwT^v1fD?SZe|E2VGdwWKRw@4siZDL75}e6N+c)8>madyUMEHVZy;n<gEbw`AwV z7jAQ;m)_(kS$v__%iQShi(=)Iy=vx4n+2b`C7Cg8zWB^7*NkiP#aX?_azb_r&hPb5 zUp1HG?0p^aqdPs$xfZKknv+qibgt7%ziO|>dDji%JL9fY1y1i~(3jfN@nMn6;ch;C zr#UAspK+Zb9_x1{ZSlU|4`#P!cksS&t<gJVd~sH%+t(PE=Zmg%r>Xy%qjA<{k#Ot1 zogLp6-RjOUzckz9tV_DyqTLyJ${tF0l=Q?O#!eA`s-!9IyR+kzYk|1!&W`ts_I0m{ zNqMTYzkA(_*eN@oFS^}bp#Q2^a9TH${-+|rr>>L5J>x>kC*E}}m#*EJ@qW?KZVCOY zJsoFU`^6pOL@F;%?-tSLDxNr_`&i5qi;FMax}<gUj_eS8<klyB()UT4(&bJs^Qt=< zMT?L1@~H>S)hJrLr}Kw|<INSP-5P{%`juEuoYNVn-nDt+ZMS^sgSjH+f^Xac^<L?o zx_jcJ%L?g>xgq8kwcfk#7Jr$Svi;&+w;E~IyeSrfb2@|6t2SS}<1$Tn>dY0NlrDAO ziaGLZk*RpEpNpm76SojOp}ihwT^EXn`k5SGaq5X{x}I0^k<`Vy(wR3kPP=RjHk(uw z_|kQm_|Ba#zAUnmj-1I+qI9P7jL(!x!B?*NW>uR6r}eU^@A{+tL)`oE{R8_a)XPi$ z7xQDWxOo5m;r$);!vEj>-TUeK$J@WRf6|}1e_s98KeeB)`@VDu`zQ4;_H+3c{ptJX z*Q@^bi~CYJ@p=5|{nP8M{}=tcJLkoz_&c3X{(bwK`g!}=`&RX)|C9c?e%^lGrKvFW z-@SiNjVC_5Z@+hc?7vz6&i>i`%ly0kjQ#Qd+`8%#@2WM;);Q(TBdq&x>EG<<`ETQ| z?O#{F{SWV7>9j@rI#=oZQ~g{0OT1Jm$h1EF|Gk+V{)LN<bPA|f&0fJhcmJmP<p1Yp zzWDHd-u}#g%l_4V3P0ykCw%vB?%(QX?`P~^Rj>K~Q03p(OTR2M;on->an`BmpI4+u z>B4KR?{r*t3(RYMro;04!mRxT^^gCZ`dj*`{GI;XyZ3jupR;k<9x%VPs9e6QIwMc< zW^0&u(%;`t7G7w-Vx#iw!i#u~`o%U=eouJkynAo`eYv32+7(|FueU$4`SMF(Zu=`6 zliw5OwkOE1s#$Tyc^ZGLUCOhCXWG@|ojy-^<~)bL)Q;uXh4i=1+xQ<|{46lP{hdwA z>u(dD-`}&pqMl#W&gI922hIg$VzXjboO5pDkF7lMMbVr;(5~g@g=fxN_}^BpIPYA| z|CDp#zqv~88Rwnz`FHAG*)1@)ea{iQCn*bWxBk#+`90x|(;n`Ff>p5^=bQuNRz;t< zy1ppmw1AAi-=+4v{SHxjCOalfZ(XCqvSY&R)(tvKQWxrS>*~2^gd8ng=%?3M%Uv3I zqF~|SRsm6QEs>axLdG53FLk$UXSm1uMeobDhTA(^Ux=-WK5_1(Qvvr*U6Jh#u?zRG zzR+VyU8uqB8JWR#->ICRL3zQs27Pve&kZNo9qb-_WbEV5s9|`_EGEC;N5c_z1-l0y z7~A+YY8W0ev&lbr$`~tm;3;FY+=8c!k#Y(@7<BkKWEd<S<R6&I{=@dbDdtr+3*Ix< z@ZYFzxW~N0CgBwG5}uAv3>y3=su}Jv&$D^(j<Jk?MK!}+=4Wybo-h_MKjLesY&gw+ z!1lpg#ysV(eMhV>z4O?m{Iu^%y2>5qhMC-2&Z_SfPB-iEAF?@d#xawx$y(!`!p`P* zGGEFrOm6<f#2{y4K4D(tj|b1*@g1=ac<-3U{!sS82Zl!Wi(A<OwkSKCb=(ut^h;*S zt+a0n2b*~=$}Fk8@XT=zd#T)$$_vjOXR<fSiBwIP)vU#TNa@)EL-vW58lMzSHOui& zDk-|4^29Nk?@>{}^yWP>CKUn?9b4H`Enj?4IMVn{W=X|`M~*%0rgBeaR0vGbV2`x) zIOQ0^x2ovEl*U^;Pduv96|Oh>IQj<$vulZ7IdqhJ;X{YWGdv}h7bJbpHClzTdnq3k zE>t+$c!%c;m$Y~KwlW2qZJRo~BNW)Bird+r`mQVcF1FQ_=Vh6So$^~r&+{IYZikE~ zz4h3te6g=2UBz1YY+p#aik0%oJ`w9nb0#LZPcpvr+N02|NOonZ%C(7M?m@Dg=R8&_ z@0H{%U2=Kiot_k{pqCy?l($NDp7qFflaloWwNG06Sge9(O|*0WB(t(u<=jLw_e(OI zXFby0PRX1+<1s@ywlBppXy!yM_eC<9MJgvJp6D@2Q!!L-mE=6*5%1O|!&$WC_(U1^ zESb*2B}XTUxO>TP7A`qFk;h$2rn6wl!HIi%uB58yDd$Rhp7w}z6O!RP?a`>r*!RW! z($tB6+%_2nO`Z75?U8h5zRKQ-D|$>)RWy}dB{@%dR4PC0{gSd|_ry1DtE4;gmh7B3 zr$@y+=#EE$^4{Jj-vZye?~#97x8!#qsO@s-?~8BBcJgn3dYpH!v^(^9;#>Ev@)zq$ zo-13+pREgdu52ZLvQFgp#X0>6_LDweeC=LnSM+zqSLJK{VfI0PInKGSl;8W4<Llzf z{da0oeg(dCUn0NtXUAFhY&)sH9$%DA<y-4meg)3zx3mBBXT@jbbNy!am;P{^bx*fD z_2<MH_ZjlBbtyjsXZCB^FZz@5N%>^|i5e47E2i})#~Jr{yRJVRpB5kQm$A<RwJ(nL zi`aYp;rO`ta6gZ|)}M|Kix2kisk!o0Sx-Lqr^jjcNIRiF9H-qI<r(X~e7`ug|Bv0K zPk~eWf7w0yo$+3IZ~uxKlc&m>@~%HQPPtdgKdk-oWby9)H+HLjcf4D?vwu#F%J;xK z?gjFDYoBZjeDAhL`fc8l-GT4iYRwMaeNm=tC;j%O$9cC(vqPIFzIEFweKD^jUD;at zY+gvZvX%77JdxcO=kz9+PuhI(wOgTC(cKlL%GY|s%!BT7oO4?#z4s<Z>Eg@1cXCp8 z1-^7!BE9uy$62>*GpV~CCCaAKt$8fF0%!HwnSZ*oqFDJ{ubKI!I~-@-(#=lYIdR5q zhIDLR%Fe)<y;|mr?qn1xpX@!6W0Iz9DBXIK<BVIpS=SwoqQ%F1Wz4hgbQCT=+ACu2 zb%&#H@!?(`bFDia1&a^%?#a25s;noSd(-2zTcnxL9gfp(jna&HU$$SI+WW_B)26_w zy}!&J-Ok8Y-rKt($0Su*Q`+?=$0@f;>4&*rQWo#-ePg!jc1PagoxO8%RJI4+aVwDC zoBO0J@V)CE@wahHssrD-*6JPF`{J9Do%q|G9_L*v^$rzJeCxVZ{9;_mb0ur>vvDEM zm8`^1#)(v4oYS44KdJcQYu7@(qP;7=DqZUi(+}Fqan5z6_}-lyUl(2Oz7vyD75LJ1 ziTKu?9cNv$^`!QCd{HtLZ;fNA3Y^t#r~hfsiqA^ty3O=2?cq4<nyz<h&k1mYD=wun zaAvob{-Qk@pOj8^pNKJererAIx|8FKYrJ099*$3oj(5v|8bco!9qks;_u9kpana#! z9(^rP+wEZYo|r37mGs1OcY2(5jnos`!*SZRQJgXEOZmmA-GB5p6$MW1{-yV5cgB0A zz1=HfOh64Y*PR@vTr0&N#(sISXm|G;y;ZwA-YweMJtsz`Jn)Wdf%x9oCuV`~UG@mS z^;=>c_|BzP?a<s8WlDC!Z)bX(cd1l6WIXY$%U0owekJKj*1~7~LeiD2gird3SYMpe znV>$&_~L7qLbal~D@v8Fb%v=2&E+`fvQl{OOpek;mpkwHq*w*MbXg+2b!Nv|muxku zxgI4-royd$ELMTDI_=ay%~=6zs+y@^n!|C{C0*^*oD*kUW(ddnrC0{e?9@_UG$*4- z>15{#ACojCL*dq$9A{kO)w<?z6fHX5DWje>r=xJu(M}O{uQ?oriw<}4sB6vXC|Go` zbC1uJR3$y(+?gJyT_V+l=5U;LX%uGk`(l1^YUdxdO-6xJJAbJ?nw^oaw6}AGk4dVM zrm*Wwj#Dm`!Vi7Fq%7Lq`9^Kk?2f!eJ3Ht2sF(-daVZeq>-*%}_V4=d@88|OtG@XE zx1Zg=zkk!8zrXt5tDm!<>(AT2tv>tzrGI-rUq5&M=Kj)umwsyhHvjg1&i)PcPyf{Z zm43ed_5Ex6*VKpqU-hr`v-#Kev-hv4_y51^XZEk{U-VzzH><z-2h_q_|03SB-uZvi zKi|*aKd*m&|J?pL_2&Px{<(hse&+s}{b~P_{_Xvf{d4=7`=|C#saOB+^-uM4`I&gb z`on)%KYu@c{{*N}`QPea?w`{?%0I4ubpOcyj(Yz8QvY=Sc>gH>uzvdf*ndSocRyV} zZGYrHu75{AnSaoKaDV^)@PEJlZvC|V)ct+?YwExMd-Zqer}9(rn)QqSw0<&wuYd2p zdj0!<xBjmE?ft#{-TKM<i|QZ$JM_2q_v>!~@16JXzqMQPJK&vjt=ys77vB`^_}^A~ zoOiC2JM?+NTj#C(7wt-(D_ZlPwF`N!XvKfhPUQE6IqeDZlRjT~?OZ5V1ZuopYY&qT zs^vK6ypn%!CCAr=m)q~yr2Gna>AVEoaCFX=ldARjqG-zBYRB>`U{<@G{HK}~pB2xw zo5^3Q;W+D@E_bTt#2M!qpvK<MfSK)D@{4LRJ}I7TKVf6?Owo|PwUXnEbG%$v4acX2 z$J=G(vuZj%E<D;UBJWkh@p0kdb{=`HnvM?(54P{Ix$;y|k3YB4<Fs?6oKOwNY3D|M zM!PTHFHCL!Be&^Oz|{6%a*wJr-Yf2HUtweNR8f=PwUXnMb0z;n+b>TR?rwi0x2n41 z-NK#ib8J+;2i$Qk;NNTeWLv;{r#;+n^_J`oc;{3rb}05mnW7!{+enY|PL*PZHcxo# zw3Yj!UP-#5HTPM)kaR^W?vr{VyD!XXO%R{7`NC_bLb0OQ6{U*TTEoPHVmZz^t>oSt z$x*uSa_b$PlwAQYotAKKjqEt<lr1I|>rtX;%H68RvMXR#tDX3#m=(o}=UUCgFU4@2 zbxIdI6?5W@(+uudy_B5+Gh4O97sX^0DV}USp<|M!Xvp0f$#KRhUaTvIqiEsrRvGcE zn2y4QM_Wb2y<#{D7anfq5!Z_8C|G!~b&t-KR7E}R+(?hpPLX0lF&w9z8o3$uzHGlR zwe^qKrcD7;TYrf?iq6Pa+}pZB$0SuzliM|t<CIe+_e0$;DGPVEz7bm$-I2F&XX_jt zmF)p{oC>)2>OR@laF6waUP3wJF76Yt4)a+b=shT7tP$Ig&R8WjA)T>8EMa%UE!GQq z56&^Y(Mc#{EERJ|XWYcy5qn?`>j6E7b4+pK0_lwFxHDoIUNeP>FWALk!R--yU^eRl zy$2<Xd148>8ZNO;&|7ep>4r|iStd7eg<TA$+#InCFPJXrJSb+I$NeIP;W?9;_=24b zCfpXA8_uxKh-7%iq$i%Rv%!daMGV7JCN*(|oeW0Y5}O$exj8m77;yjC)Nq2;LGM8! zV;^@$48vn4G4TaE8ji3k=shT4Y~$95VR*>ICjKCmF;?tADr2<Rf>g#xF@+rrI@}yF z3=f$8i4~+W)^Tsx)S$)vBAVeo(>JjN+ZnXDXKZ57<nGwSpuv42n&BSPJe>!5jAh&_ zq8aWoJrjG7!dS%J5zTOi>7LkwvW9!iALJ6gGwfnNVe2rT`GMSnZwxhj8=f;%@lANn zP{Ef_-EfQfg4~01jBjKTzA=>YIXq|B#NJ_hU=H&EIfrwMar^?$8P>69*fP9k4C7x= z#bCkiVS8XU^8&dCUl{WE5~><5F;9?NaF+3gOu|`4H-3dG22*wpTZR{mmt-D%W|+tR z!iM2FqZ$8#N(K{li{gee%rh(*o-yk2CsZ~Vv9GXUc*>~8uTaTg#4b_HV93r<%wWL& zqp0BovxD4&j|_e688!@$8O8V)R5To6R*-w}fuW6E!-nA@BOCvNrwp-t2c9xS^DTJF z5Xq-d!Jxy=VZ-o%@gHBoQ-(VB4Mh!F>@Tbt?lXSlTTsrR#Xh5mL6g0sh(Uw>gf+uG z#(6Ri-Z7N1udrsg%lM4%!4rle_6}=?JB;`E9+U;VcihANR&Ggkz&poUzC*SzzA4zT zzqRx@?^wxqsCdF#$F1xa<w~9_ShJs%3wf?!#ePywr24{~<^=vp#TQ;X7V;I@uK22O ztvQT8$d==r<4X3umK<LfTyDN2lTsD%(s2p<R?CjFj@f)twjN&;OxauISgHbMHQVuj zvRUz2;asyB|0NravySO}r)*A~ah$;(E0<CkFtb^Uf00ebCxw&ECuB^XDHyW1T5_Cm zjOXjJ;rO)Rc(V+DmQBaU1xK4j_`Pg6J}x-i%)_r`)A3=!!R9?OSDq^9vFBQPoOX=l z6SCnr?byi9DEFoO!qnzJe4B~_rZ)fLdt{yQUSV(Z3K^593YzS$mK>)XE7>2)etEKB zck>&*Rn{Hv7VK=EBcoCtaL2KLeXr~jvw-&wdzjzyEwK)G=TOUbNcKgUf*tc)Nssdm zm28KMC%kpo%6yTpBwfLp`7B>Zx`Gw+Nj?$l3v(J1*e4lZc<oTgRwTQkRN-1<7<-T` z$2o_U%zGs{N*7#iyu*`X74Xtw3G-ITj<XKgY*MlwB?_j@t$Zw20kazI*gwgvC{{Sv zXvTg?hU2V5I@>9k6K5P|Fvs$xSO&~&)M8&GlToB_vhf6uNt%KobE_oB8HaebE*XxZ z1;-m@*t29h3Ktx06k+$0;V4{ixRHllOQxe>!NJBoJXcZ`^q6xcJx)7BvI)s>oOWnr zX5{-~eqn0kAGS?K0aF`)u|1N`$XD3gxPr$dRY8;4Rg&YBLnZS=-Y+Q&b~nCZTP59* zw_s=E93B<(fIAKa%zJsCeDi;Azvus(e~W+nzq7CXcku7?Z}N8k-~4nxZ(sTE;OG9g z_FMm7_*eW~-unNUf5Fe?t^S|*C;a>Toce_S6F;ASZD07W@bB`k^4IFa{s;bLKWD%4 z|DK=hU*})0zw;;gm;X!qCI7elY(HzC{ZDeQ_lk}~@A_ZZXZ_>+%l>7)$^V*vkAM0< zx1aMr=ilO={IlxM{h9o;e`dYG|DAu1f0}RfKjfeD&+||0C;gxBGyRNx(m&46{4?tJ z{Sp3o-F`y#-_Jk#AKUl-H~6>tNB<-H&i@MkiYu<!xBVCS=ltXR1N*ptFF)~5uiy4( z@zeP_|2h6OKb?P|p5gz`-_K9k*Zq(9x%`xU?f(ydH-GQHXCLx!=BNHC^^5*6KUIG( zzo&lQpJMaN_GSOC{B{0*{*Jxhzlp!q-^uT&zxS_j_er0)O_L_~JW-b3nY-<}-lkhF za+@YJ^E@$7I4%Es$8no`8~ciT9!uZ0Xvp??yyfD&jXlXckHzQbZtFg+R-Lo)aGv3f z_{}$7uM1!ENM!MkBQg1FORuTv8FsqsJQ8UBaaiu1LA&{yhdjwY4vDQZxE3BAYkD=< zTh{c7zx7>{R&||+9L_%u`slxXz-Aora*6nw2Q11z4zTHc5Ux1jA)i}v(WPEKDua>T zB4fes3)?n%6}0B|ZuDq0JEp`sE4<S{n4_!i&7UK+%@e+~eEj`~i_>G%ro!gl6TDlc zT@+S0et5W;rG-mugN}p7BIV<JO71NzCz~8foqOGQrCc*I92JTdpNN`Zz~R>L=EKIr zZ<-lZg3a|;++W*0Bc|nv(g~fUGfGEvl+Gv}(0O!PNlBb>XUB0@3%x~!f<4_4F)fdj zgv1+nbR2e-&|6d>*wQT#(=y$)B$8#iYffa#G}n~KmIq23bd(AN8@hi)ADQa<L-)`r zrBynM@&#+UZ$!7;Q(B>ubV_N7j?yWm1v*Okf)(8rn>u#8-q2l?Cs@)wBf4d>>j_<@ zJi&tQis+WxidFmvt2^#GzmQY<E>O$YSlw~k`Ge(=dCo5^UFJDIuxxp&n8oi{-C^Cn zp}6Cw^A$^$xy~o#l)ecR^D$O)ShiQ#y3BFjA-CwOz?$|5+m=^~4`h_S3gq)OR&`u< z-eKADQqhawv8uzYJ)yY6v|Yls<%QxE8Ko}*3)(%3JI*^-$SwLTFsJ>7P0KUI3o?(+ zC>rr6J`<SHzQd;Fsp2V_MV|zww9l|<c@mKk8?6&D#bIJAn^_st$`y?997c-AT0m1d zoEl9I5>96n56Li{QQRk^^hsbsdxcHQV?`<cgB2Y|oi*f?J_>ZSTiCQbROI4!tmruC zTw&=l-MPT>$Ta5+OP6WR9CA#j6`A-MD?0W&$5^)9S6m~r=#=6wzJui*d!1j%Hl0#j zCgXHUaghwuDaB8GjpZHc?K`Yn?kc|Gb1d)J>1<)ya!2tIA7gpPcIO?ui^>J|G~bYI zd8bgselcBONAnI@midlvBwOY=K9OXZ=Xir}QJKJ&<{icy*3C0yUFJGokZgIQki>47 zF0i3_f^o-n$0L$0uN9)$4_b9xbzH&6bWS0N{bQQIie?Mhmf4Oo_!gB2ENRX#<}hoH zFz&eM*um#?R>6s#(W=9w`G-sks2V#6s+KbNn9eGk<54OWNMmcX>^SWh!MEs)f)=}@ zWyeWJ55A@|3deYy&L|w>VLGF*k4LFUU_x_+Ov_^hDfWXF9Y-BC_>>9-I+`tHS{^EJ zu{&CH9CWOZbeZm0AbDh(V}_*5G)E3Trqc>c?2Hy2`yFE>Tkb2Y;aPM_;TPLM^Nzia zFL;|yDJ<i0I;F6Phv}5UC$>iO4)x|8(k*utUa>ivckFbukZif5@Q97kykooLj=u}P z^Y5v@@wfS%e9`|4&-r)M@A%6+-~P?d=6Uu{elpLqzwvM3H~ua4J3g~p*U$LtJlFoh z&*nGsN&gL=^KYo1@VWiE{gI!|ujQluANbXN)qcf4#&hyP|35tAUr}%Iw|TbxjDHKi z@Gq&)_{?rrAMv^UqJ76d$FuTI{~3O@o7DgK(+n!G4}c2bjDL)0<<I?5{LG*Bui<C= zY5RzO3(v@F{df4;e$w9KU*j41V}Bga$RGN{ct(ETAH`4n6Y4AeG(VP?`hVa@`%!z1 ze~KUZJL)a|G(VK*`tR_g{h)otPv`0O1wRi@v(NbHJk6ftALD6xrvD5-+V|VX{A|82 zzvj=vQ}Vz59r)h9*Z#%d##8dk{y3hJU-XCZl>DcE4d2_<>v#NazAOLgpTqa|o%R+# zoA1a!`p59SeY^dRw+qYp_f+4w+x$+h==+6q{vFjj?lRA}eRH#Up6!#H%=2t-yjxht zzomM|W_Ii98F!uM+FrQX{6;S6yFohthUy8M+ppUmx!L?$F6#S%UF}zGSG;39Cl~bn zLmK~zYKyzgvu$U*TUf%sq&j0WyIFO_=Jt!W9q$~^$~k>!*wt=Q{o_vab2+Q;2X?lf zwas|PcvkM*8^vP&v~LYN+fUm@yjyriPV2kF&i0eG9`72@$Q^s*ct-Bf8^$wo``#!P z@lU9(xYPVtPU`!C9qmVLHQp%}@^@5Q+-ZI&$MxM|NBcqBikr^UZ3}K5o@SeI(|MXL z$2-Q;a!lVDcC_!ejk(!;UvABtg{S0xeLJwdeXs3{w~eRdmc4O2CAa7e<0-jM-x{{J zt5@&1-F#Q>)i;Oj?K^EPZZ_YMd-RQAd;50V9d{Rg=i5_$V{h|2*`oIsp7ZS}-?5i@ zzV(}(&GW3E>|~y2edFH3Z+u(IcNDW*m(SSiJlFcd&gM6=N$(Aw^KB@fP~3jq`pC}a z*RoOX4^*{ZwO(<L@tkbX`w!3fR+L-pZJupC<KDtAd`rqRirLM|BZ}KET6f%YJS*$; zo}sGUr2NO8=I63j?+;YApS8}o$9Puu+#SWwd};3*D%($6N8DR@Mpo;+LuLC(Yma-4 zXJn7vaXce?=nmr<*?o5uKk-c{uh`T4SXS!&fr|E{)*AN|Kk{{yTkL6mD9iQUp`!hu zb;VBS>DC214^Oks*y%jYn&TehX<4TC3>EGBtz&jJ-<Mr;XW=Q?U+)f-x9_!nakuf5 z?6NzKr(_r1VLT=K>0Lv4yL$PK-OYDpU%hiEZ{KNcv9tM(?4x%K<?Y+8cbr{V&bO!d z#@yz2GDXiXr1R}4-Z7VXzU7;l&GRgu%w(QtdE?x|GQKUvJB-<_i)YMro@;qwX7d}F zq~`|dd>e`<7`I=yJTkNSwM^9W16J)<EmxdlJSP+M{6iYwieiho&9g0MoLgAJx1>13 znBA;6!npmSWyd+kvocQ48LZk(ihs;$elBD6{D5WqS<8%bjAv!eolz|2OMBK}*?!tG z;@rYBGFs0aEZa|7dYo%KBXjJG;~AMlXBf}O>^q}a#5bY1Vovj88L8(7EZUD+YMfIn z<m)K5nA7}FhU>Y5Mf*X^ikZ&SEemEIo@SXb(|MXD$2rE+GEC1IEZX;5#>{NKFSF*% z!c#K8o*gi6-)s5eY~v}JWoH~u$t*g<cuMBevj+2a_2M0~oA1iJdgfr>zSGiTX7e4H zN6#3{+qYZp*t_sM@1Fb{waxFOi|$`|&buRjM=kSw^EZ{v^UR-AGS4%=v2Wow-Yxk% zKC@Zp&!}~tYkr}!`HghaeS_z`8}cW7Zo6)Nq_X+7bkzL=zuK;vuh_?UPCDrRhiAMi z@-1qcXPeL1x9|(^lKhO%Y-afppW7~)ckFXKEA4cj;a8hU{*RjG=h9a95BzL9Yo4)> z@vQW@J&K=s)9y9=Y&&fpv2WoSX|4MXKif{4d+cjGBYkX-;~D8gdl=71@7tsJiFZPN zMNRW#X{q}MezYAm*Vw1{k+&n?qNe$wG}nEHA8iNCD=M9*n-^3bo@Sm=={(JxV;|#b zX{P%OKic-2$5b}omtM1H;VJ1~_YQn-+iU(}Z{sQHWqTY?NiW*NcuM-yy@u~?>iIjW zo9{}$y65n{ZKt_KW%C{BNB0=Mw{17yv36lO@1E=%vCZ$KimqQs=iQOLBbIr->6^&r zd8SVyndh0_Shui@cT4t;&1}}$Gh&_RnqG)(ej}B1-5{NJL-vHtZP!hYL^i*cin@Ma zSKC$773&z!Nd;a1kjA?r+ak7kw&{#@3rl#HWM^z<Gs}+H+;-8lW1Zt!DW~fUyV^{$ zf5bFDm$JHkU}xJ|(~NbDXQj@qQ7q<7yVkI??X+pcx`k(?w5~hsY&&V{v99rq)Uh>= zXQU3TVLT(XZ;fIR?}Y4%nC8b)Qr8dcXgg}Eu}-m&w<Fskrum^1*L8;-Z3j&&BAut3 z7DOJNW||S{Jk6A29ph;!rt1tl+V-2qL^j`-TC-;1DXCxA4s37RYx-hs<0+|SYaCBW zEn35PO6t?KhV5<Y**l_}?@GP8=CHkOr>R9`^Bt*2*BG|9Z8zO9cj0%QJ?S@Wo8L(m zoxkv$XGi)DTju%3Z!DYV89%XPo@abx-okG@ThezFvstIluyvkme8IB$jbze!gXcUO z(kB$RT{k{r+5B2E>imJKwyVY~<}sd=3_Abe8PAGz3)|+|#xv$E{KB&&J)@Y-EIp#Q z?V@qVJjb(=PUjh_+Dy`a*fc+vv^syFvhA#K#yrNelIP|qe&$I#*HGDZ+Bjm~!ZVUu z=N&5BP8xg6Ydj-)Y>wj@$wPA(&q(f@qxgwuLVATw^J7V=^9L&0jv8ysQ~b!&k#1qr z{7{nXyhBCXLE{Qb=jp};mWQVqXIMH<Gv=7bcv_O_JVQm>e&ZO+=KGRs<}5rV`Rm+) z^0vLkFXlF$l3X^&@s#AEIgF<yKb>nRZ&Od-VcmRJ^3^$q^0uAE7M9I-Bp;n)C~w<t zyrXwvInSQt8?w#sB#Mq-Naxv+yhE0GzTq3m=6QxsB$?+K-soFc#<L}PhcTOV@(fw$ zxrP@co8L$z9XCkl*^oTJxb3>(5y|G)5>dwwShZa>T+zpPP9o^|hcuoQ$riHBvkhnT zEiB<#lAK}8W|kab+;-8hqtEfIgwt^bt2UG5A2Q9)C9IAguxvYPn9;|0R^nWbVlhwJ zu?EYw(}od!3(rVs9e1#7J89_A*LX(aSdZfwi9<b%XC(IZC>HTdNUo4+ek>t%{D4K< zQA3SB#X_ErWDA+*hZ0=J9W2@o8dgX;Pd6-(JUq=XL(+MgAx9tMX$hv|3>Izs4Pzvm z?@O%dS$Im~*RccUZF>!0^fsQ7Sk~isN@7tD<0*+x#~RGr)RT8eH{X?bb<DxMZKt7y zWb++~N5>e<+qN6-s9pG-dr$n0zfJGNi}qi5&b=dk$6u!T`fq+V&C`GKlWCs*jk<;3 zxVOab_{?e@KjW{{T>T3_o8E{g?KgPNy&-<W=ho}`M}9WF7LVG0;8*Ka{S|eL=fs2d ze|W~dBHrR}(`@}2bql|6FNx3i%xV@N@wxS)en*|-S#hWR48K}U;(z>UdM<9Y|G>}I zv-%lzjAzBq)hK@EPTSY;v-PxoMBTzO;#&J1ezu;}_o!<;BYv#L@r?MP8pbo?`)U+F zaZiY^_|x=QTx$P;AFW6AHR=>Ua(BdA{Aqe9&b8m+N9#fTil0u?^$UI;nx>!e(`lMM zM;+s7ai;wYKU(+e$NX%%FTSQ`;VJQ7`wo0>-K+niw(*qsvKq%z;)`k+Pl<op*YLen zJ$}dUrn};=_BniS-KlT!v+0ibqkRnDTes`)$X!^@y(jv{-KKY9McXf=bMJ`WahGYn z?wgxU^K_rwWSXaYBX40D_m=1#n^~=+XWVs~t9#*Q(;Km*?FQ-G8=@y{ZoRI1<Yv=r zv8e3_cC}vBU6IFlPAq8qhcxaL(H3``X0wVoDr{+BEjYOFOu|>+uQ$UN`mbc&y*@?v zLN4Qb?d!TWnRlA*1hkZfKe*d;TkOHM4{aHW?^b(pFN@Z=%k)z8Q_WOK^=}t9o)ZmQ z|KV2K7p?{20b7e%tu}>EC~m!QLOUYvp-``y^e(QzbvF{v_O(9eisstrb9+mlYgMay zXHiq~-agf8R^8H-#p@=RhrJPf_bQ~}lezlyFI)}Ddjt0#Epp{O8g$32Pf+`_TA+@P zj&WdDbjD^@v*?J;trvAW@*K~KIc;ay)oNnioA<Dod!Crp!H5T2Htb|QtGi&Q=hu%b zcDuRnaj`#iP&X`4O#Hagkxxcpi4j+yhNd1Xs+Q({x7ysfm2YX9)|G`W?NU?!cJWPl z91wTLr}UTTtMHY<YyFN|g**&s>j>Uj_w<%m+8Vq4CpZ4v6=P~SZQ4eb<4iJI#agG= zKMU~tY7zSQVd|`nUzXi+X|}xbETSekcA-+QQRvHv%J`3wtwDQ=g1<i1GDzC+$ge$0 zrmOJN>Qh=rR@$_`VzLZ*5;8yj;iI2g=da4H*}XpFcu~^tt1rT{P1=R#bX_|ap1V(J zuh+ZP=hs`<F7KM#6(qvlHF>?)dZo{z+1a8~R)2_FzbJZ-*T&PK;rom}U!8el=jtUf zt$nWLQ$_WwRvhvPRV!cRadxHH{#&xEd~%<K76exvpEbLye1%qB@<ZRL-leCXW_>%w zcTLzfV&5&}um|Ds#~M0Y<|IY@5M89CeD!YV;^<{FFKFAYGzzQOw&^d|g{<qRL+{3{ zz4A0@lK0)Hvt52(4}<JNCABKkG_P6)m-}bheN{8#eiOW6U3g3M(Q}=PTX|os%nW%I z6xH`C#_Qr0&bvVq%H7}2yrjlGW$go<(jB3%f?C~oE^>RDxAjzJN3}?f&fJ@e-)xcl zS`{*XDaYD`?M0iXz6@KwYJFj!)!i#LTvv)$8-*>1Zk^cEwR!4HWAB%`Tepi!tu_st z7F1gnxF@jk$BxKVhDHXbf-1u%d+*F;-Pvj!ZTYM6<)&62y>Pj=5eA!CeYmGbZ`cx$ z#yzz#EcfRdO;b@`?#&K6TTkkG@ICdi3V9i@#(C{A$yHnXFPE&)&im5#N%~cPzQzGd z=ckTm|4w<XZnJIYeEXw6JJ0&B-(Wv;(Z4CHeqDa$zs7#+zc0_!&6nC&{=0JQ>vEIb zd+m3M{`>NDx$#8%KqtF@ZyB@xZTTtue8J^8^S>-QaPj3axmQ0k&-l-@e)><uL%m%6 z`s_P<Zh8uwd*v6I=M;K*OL~4$Vb7xnlDgK34|f~ySt<Tr?WXR8n}=rTcHDHDq1$lt z&~#mwJjPFI2GJFFnjVWuZ9lN1^{B2!ALr&)mpJYYF~02yJ6aFv3f$YWyVdcw{_G^J z(-m%guN<GuxzjXVx8x?%bln`z%?nbwBeyB+VAYA{kU4cmjA8o+^N=TE>wH|(R-M=V zk$doz*s7d``P?<pH*PoG3s~!X^d{5PjrX=0q;dys6G&aNZ?#!;gVEHv3;*rYTI;pP ztB|`g`hawI{u=H0(7cBy!`DUcn7yi0<B0B!+=Y4ECDAi(H%-<(k*k=;T@YPyyXkiL zitrb6UzKPa(*97VY8~=8d}jEKy-n{#i}vp-ZnX<Pu=mhg(H}91=S9E7D4rMn;B&Q- z^|tnvxW;c><?9ljb8QY^QOs%;K4GuZ8_{PmjNiD5)_tgIwFocR>-1VQV*Q3H)~nhF zb~?Qhy%)pym1}u;K{4xP?J04MU$}DD9eBo-z0RPD^`iEQolY-A9oA2H#x+0u!yc#S zq895nRI;AcUa<4fOzo7ogJ(ny))!Q=p4OhS)9I<G#(INF)|1*3b{?9c-LcbYhIYfw zL({cg;ut@1^@kViae6E&v3^4Z>rri$IL41$?coM{oF0mDtT(7&)enDB#Hts5qli^E z{6rD!LG6T{P7g#G)_*8()eir#yJ@O+$WEvGqCeJcC}-WP9kBDz6m5^4PE)iUb~@b? z{jl!C6Rz^`4ZEH0ioRH9P|m6zK4G`h9nlBtK9sR;-&l40k!*Ik&fSeg$6pzXy^GkM z{82Ldd_>i;Q^sQRHs%~(CEH!L#ya_?WOmsatK^H4-eqfUY<$+kYCUbv#<=5M#?xL$ ztWDn7x7BLetB8VQUb3&xMyyDl+xIk0=ki9c<4Urt&qm}Ni!#3UB4SbUN=fZ6D>Td{ zlN!JEO-);KexuFtS2EqjYtC*oIqqb9ZRTu=<EL!60=AsId@4`JIQpvWQgg9qzMFiv zM@)~HmONE*b&<}gjcUgy$#kEINX}D|^*$4kc#O&T+VqV|$D4Fin!i>|oxM?}f0y*? zLUkLRV+%9NXBl046tFc}lj)molIpu7+k<Cp6gX}rbNT{j@oJ5T)?~pX-ghik)5I^D z^b0ObDxKL?q-7KQbf(w&h?rxyjIO>7NLZF-JZ;*>O+Bg>qVqRy=n=ILdk|3{?CYm$ zd2Q;(KgTv1g-zY~>)4~&T|C$BMN}mRN@kym2s+kPBzh-gsdH-F)09=$S3c=sWz~MK zwR54~@>6q973tWlI=#|kxskExtd$kVtBj^T3fUW+8~4>rblLsTunq5yZIWJ{r?YG0 zvtva@Q)g{_;&i-AnmcdJ_SIU)i*~O%6FNQIbEekW(BO58il*KRtq%X_%la$yefXa6 zv$b2Tuf7T26|C#G)biQtJL`Ydv|5MGTzROb^tb5zg*y9h8HYXzuUc00N9%le#X6}! zr^;3sg%|GBIvuh&{%W1;@2hhcYVF_j`RbdH)$vznYL#kP#9#dBRi<%#ebV}>Pgm!x z{?I11hwD^8<TjqCPqlBXe-bm*B6RL*vGrX=qIW~q#P6*WeXf0dWz_np^-P~dUx%iw zTeL^(Oz7nB&YxLdwXd#!z$5xA^i_ENJ}23(f>oCnUWu9dY}NVIQv0p;p8B}zxMBQG z$*dBMiz@^68|~F9T5)alKAx@5R$p50u|F$0ebwOuD@&FylJ)wab#(oWnyt@PUtB4* zeAC>nLaiGspVg@TnmTK})AFvrTwhk3#Mj1E^0_|MI<nrX{nDSV;uU9)tc+<7lF9nG z`s{j>{Z5~+&Ri(9{M8?=&)UY}u{&3t4xbVJH<q;`bisp={`lCs)Ssa<*K6%x^e5}n zD&2TbzN0@xXRbe1qxx*ML44!SSEs`##yi%1{W0}%cyGL6-PRvdABA_uE7q0%nEEih z)q8HF)@RMDE8k?z-&D(WK6rb)pxspKskcM&gZunTXTHkQJZX|~ro(URb@QoHSN>V$ z6Z&0b?($bYtly_hU(PmPsb<v&&BM$2<~!ABebhX<Tx|ZVx1XozybG>ccIohJt$Zzw zVAq*OqEnZDsxkdBb^7{UHAkPW){W2nsdYNMDLygs)u+`5)-&w?_50PS@VfZOpQ}!V z*T#RW-THm%z3`BIvp!9ovVKtw>(kYH)_>Sn_37%}@Ur-;wXWZ<-U;{HH|e+5JMA6o z@9itPy{cUM-rBP5m+oqnueOVRm{+=6bpG0JIZM-5+xWZYwLV{Y{N7TX`Av6Dm94(D zHe>s)%~NG<R^MFvD&l6>x!@ZAUc0ADidNcrKh;~h`^vkZJ>FOCo_@P>J7|ah)SWe4 zpEZrs=Q(|zqVYU<u7BmSO0F*}FD#dsKPe`wRP)->sOd$uv0mqbHuxXZ72Q4MO;E|S zRhzH82`ZbmYqQAPpyGL5)n0j;XO=&kx2Ss6JI&q8-%SgO)jAin)O)7h)3lYBmj+C) z+8pvKs9;{zr;t~{1@oc~{|b2>ye@p6&>gR`)i<s$e`3S>`^x>`$PG4IpK6-M%&WTm zO!Lxmue&y-zeHXI_eP(s)cU6BdusQQ#UFH3Q?+j_4p3a|Q-1qRWY(#m$fD^{HeThL z$CmO4PVchjD$}-%-kT?yu6=!N)b^y<Q-v#!uMOKCWE=a+dxoL+#G72_!d6D_y~!0? zxbo2AxW`NKN~=O%hviLEih1>6g<bSaxuZW%?h1Vwwj_G%&91Xy+1sS<dX;FKMz`j% zR)ws15tg~_m(8iOVe`CQEwf5ipIhv({n4GQV(qhQFXWV_tv<8%Oit_0t53tG)r9_; zwrf-9%(YtE7sYt_pL-h=@-D|Tb9cy+5M@pMw_%f_C*I6D6PC1%YqRK#wfl6wrf&~< z5H>N|QSWPtrp>{npSD%qIaRp&*jkD0QFpuwS07m`u-)p;se;vq)^co@x^wDuSnRe( zo32h<yCr98s`kOP8*)T<h&~9bkB+^0ir@FS-_q#Fn?08{g-%`jtIz08SN`g~Yro`u zy5o5^EM(0xhdN8Hg5?HNQp+~o@jA66bjrz_PTCsgAI)Zdx~Y|~y=U#boYL~k@50KW zul|j^c-KWui|bKX@tkPOot=f+maBKHeXy<S_Nlk4?yas^e`~MT_f>c7^*h7A?&SKu z>h|g%F<YN&*@i#e$#p(-Tlm#D*XpZtSHFodtqz^L`bCUtb?BSW;&n}Xz0QTM4?nu| z)YnzlR!6Lly6gE~>*~U+@<-Bl?sOI}w>`i50Z-~{ma5QKq4~eI{9NO7_vIPCGUu;y zrRBlz{VKxezH@2)re$7Lc1oJ7e7Q#ThnUo=(3hc0!nf}1Ivbk3PHL~$7cJB9);Lx! zHn(jHHfo&>ogZEqcePUVdFbr$%(&KPtBk`_<5Hh#oms87e$$>+XF{ij2gaFJPJI%Z zvQEl4WX|d%F{01348m)7YJJi=v083@(VkTwwT`V8TOYJX>!a3@)qLxX_N@A#b!au) z`cFln(^v0^+4@vVH#~Kx*9R?~aMn21imUfS!`FS<ed<(b=(<<CyWX$byZXzzMMYPq ztX>i$nyR7eoVt_iRA^=R!`QD+R_$K>X5FgYUGG-yTs<d7wLJ7rXhHbi*r#Tp??d(k zzx7*cJ>`DL&fu>zxyo1FUirgkYr2+g@Y9)G=L5o)ZJN8POyl6njP^rDArAr?g73~e zbuMIc@YR`J=K`voKk_a$pZX?bWANFTTxD98!F&Bg(^ni_8Po1H2h^xr$k%Qpv#LPr z+RCuyL9$&1D-JH)!}HWabk544<yFQavlm|TX|<X%Yo*`vBIBtqLzV_l^t+m-Wfr`4 zW>$&T#fAHPmZoVOTj{i%X|C4UkonH8e5{sN9tXrO`!r`&@rq+BEtcPsIaQ=}cI5@1 z(zI1)R-W-`wY>T?WLoglnX8JlPOVg1K50(ZnSivmLvymuge0}Cl6h6M${@H=a@CoT z_+?#lxQbRCUn#RZYfe|;s-r7KmV3?ND%3c;lCS;NoKpp>4z1)^E;Z-W>5$lEkBqKP zTe-z&YO2=3l^c9SEkqxL)Cb4TJe9Ad9UMAyRle4~mEV?Kn%#9OBzV~*qtGcU7x+vy z7rhtaziij+Q+ca)t$eX;*6dR!LyCfr`m&l|y&aP0|JCm3?<?<uclkfHyZT)7&hotZ zk3L_SxBQFE)aRNu{x|JHe~Y{gE}ysQ^OZNjrSlejp7JJmqyO1Tu5X%_{(J32pKD%U z9yLFyHtVbA)#VRtrvADzdwIZotJ+g%gO~YFwR8OyGJE+Ao2}1QUR>@nKj?GFi{J(R zODkV});z!5YW|~|tk0TfmtU|ceYWz<@-sHAKd(Fup5{Nba@8lzQ_I!nPpauU6P!Fx z=yS-7<p*rOK3#cYxx)Oano}QF9$PLkKdQ#-<H{q;1?F4Tocgfx&~lFXQZ=Ve2glBP z^y$j9<y&l~KGi(9e1nbX50MAK_5QJyr`~I7`-fJpdat=}`L}tOs=H1F2hW@IDP+p> z1vXQ^i`)zLpSP>})Vr0tmcN)ctNPT*;3EH{wyfW;+z!t3{;GErRH^LpeyVphUGvV; zyy=fNUzxY`i_X+^O&jl<dZD{T-UgLV+XSjKN~bN_JmpQ$M(?wcTxFV;-h1^#(>1Rz zjhdbmn^mfLb?F10sk^SsUK%jnD)!Xbpk>}u^;~y_%wBp!XKUKZi%VUm2W<{{5wyU2 zY2>S7&GSpGray|wD%L!^^ny-l+R8Ia&*-%7yz(??n)lSmRYjVoma0vk6w`GkD0!OD z=8zdn59oYNU3p@u!t|<`Q-v#!EtQxa72{R7^2kzw=~gkP3RWIk$}wFk=G5t+*lCY8 zU75CYi_X+k&4Wuf=!otRc@R|Z9UFNnUsKyVG;&qG=Dwxhrd^8eIu#T=ZPKQYDN7gV zOx-SWFUWt|uIN*FD|an@F>O}#sgprP-bZy=w_mv(l;{3c?r8OucY(XypUPc*u5o8^ zUjL)wEAtkAk(v5j!^ZulTxhk(+raX^O~qH<1eW$KDxUHtaHIQKORjGkmhOAyM4xM1 zUmVq+WSjL><LcrEGE=Lr%w8POZ)JPxY~V8YsdBDWA+s0XklFfd#l^)g{XxYcF9H|1 zFSUI2S>ya-tNuqeS)Vn|F1{dB`fSCS#b;z%E3Z5aoaR2&a@8k|Q;XI5C)sqJ2~6%2 zDh`>k_<+pUrz=h@R_L#?IrVYHvBeVkmu#{=Y8*YkSg7C0=2gM+<BNIvwQRaRtT?!M zkIdDl8hY-zmR_g*CRn@5O?|52cyjTEHzCW;1va`f-b?A6x%2YUd4V_YF8+6Gid^Ya zjs1()$b>#sySMmP-y`d+_ZoX=yIhxXeY))Ak;ThoR9S;Qt<bO*b#uIV@>F1@`@^>> z<yR&zephztv-PQWD|Rh@(KpNb)XBgi_oK3`+b2H^%(q^9@5rvnxBdJV-6{Jev#MO< z-omo>OJ`-Y%2(J~SH3ejb&PlMW4}et5BW;1MdmO3#<Mhig^lw=$x~&^HJz`{yLe}1 zvBs^1?|4$vSKM6qipMow<L1Jo_F11J8r?K*EPTTA)hcAp!kG3f*{;$RR~Lq~HyMY# z3dnDBl6_U8VeUMWFVsrpWk7CQlJS*U3*Fj<WWCM?EOc(<o0_)b{6d@dS2Ccs?n0Ax zC*vzK7aFx28H+p%nC=|O7n-)>)IyE+Su$Ql8b;2&l3r&565E)JuS{R4)ZQfXs!-$j zLaFv7nXE#MqYH)Fon&4WXdGV1)vhFyRiL5oTq@ahIv~33l2ORCg)Gn_-}x_ZX{yHl zg==_1Q&;R;_@nKXv{$}{mb0&<*QtQOwkD&HdjS>Bwvw;%G<Gk1)wW1FD^Ek+`6REX zxyYS>eCM5iulyE%@4wsr<-aMvgXhmLsNeOs^Spm`-KEdL^X7l}lkz+Gt^XGLyFX8! z^WSWL^=Icf|4sHs|Cu~jzcK&GpD(|H=gg0(&-&Z>b@|o#A@xn4gJ1dQ*E#)t`9<B_ ze&)ZBU&1f_bL)~mU!FDJtzPJ_=UM-S_Kp9hJX?N#zD@nBKb@bKpPg?~@AUcd%=t$3 zMxTYB`A@fx{1@_U`KkFD^|StXeo{BG@BQg{#y_!+>GS33^OfqG{=EFCetf=EebS%I zkLpL~3)MUQdHF&8@O-X%r9YV;)b;I4e|DbskFLA)DR|m^mij}Vf*<%d*#G@o@>G5Q z{55|<o-W@v|3}@e-=6Q)wd{R=dY<wRtZVude9yna-uCCqcj~+6zp7jGJM*2oy8X$& zBHxAY_~+a2e0ycL@O!`A)-T^p*&RH8ZbA93yPfC#s>?2I4xTsn!<&@d!EgPxSl_*Q z@|@ph>#H|A&-rb#KKjliUH!(~CvU#&3Z64JrabFzXX)~*b3@9THV41*%P(`f`?5sc z+<NA_kX^zr{c_8aHea4K*9~;E&{@BQ){XC`q%A)`*QWdxs8w`!u1UGm=F2nZ8kHMu z7JlY8-8%AJNZRsKb2Z9m-SI3^H?r=%>3PO4v5aZ+<>_;k%A4-IEL1-}SE@YePG+I{ z(YZq9PIq1ws2`roRjza=vp`+ny7Xq}X}{>QOPhkH&1ES+v?=(3UxW4Ew<W3S`{%BC z6Oy`o-`pQ%w{Cmpt7}>N-t;`>7g*M`DfpgWg|+R?mwD>D=e{aibUQOoUETWRTaoR; zcl`3Lciz2HE&SehxB1I^Q>ugK&o0Q{wYT%UZ*|_K;^29+Kio;F4u0#q#r*EhljnRl zn_u18dCqr}`O$kO&(&_sesbqaRq&kIG5J}0JHIZwIy)r4sW|wRZ+@QB-j`q0%*|)s z3#k%*>6@FERD5~XY`1)&y`E=%7n(QToAPYg`Pnx4ul96)UUqi2NxoC@<(adM@{Njx zpZQKVkGvQ1Y}u*V8u_#Kcz#kdGVk5#dB!&}kE!_b^w~=JO?zH`R69OfDnDsY=0~-o zvxV}V_PqR{c6c^dzS5q|4{G}6r8ilkZcOW5ueW8L;rca9uCA+MGhAHVQsWXblH($s zU0o7=WAbhlO`bM;(;bxx;c2s(@|pI$e7{WF{O8@2r^~d=zurAke)*nnm3iRK%u~KW zd0j=qQ)Yk4JGA@dN#Anwn|DLXh41<nn_KSme79`J><4*OyHDnF?G1h~_s~0$lI0Vu zTkV1m%sup0<cCk<d66$ZiswZ>_#7<b+8VrI?xDGwH)bAsBl60p@tjD?aslgB%ixB& zO>;E&_$i(fiCKQZiuIc28b8NUu7YI~tXj>39p)Z-DRRf>U<udKV1>C(vo$x&JTyzQ z$Ir2ZD{Gm673&4fB{L5_7qMA>!IJfyW{#g@F;~X2f;6s~!3M^xXEbB{4i<5xE(@?^ zJ*Da6=UBv*vdkcjD`}ZP8dt)y52;)egB#`?dMqNd{DKARF-;vm$3m{oV23$}9*XcR z53pc8q{-uV@U+M-pT^T7+k6~Pi)`|7EZ~Y*CSbvOK=Y69!&4%EmL;Teg)X~b&bm+Y zjjv-qSI9DhRIZ?90;ya9%O;q!?$NZFdFZaln`Hs!th+SN_#Qkd@?@ESIqMG1J-!Fu za_;qiP<!Z|aLN1$zgz7557ZudEBwPI@x1UC8^!a&A8ZbO<J{`Mp!U#Q^&6Fk-Uz?4 zX*?&KGGE|#i=}@<ZPOg}J$8!cgk$Dk_{DNfeT|*tSI&ZY6MnUr`#aPgdMSLz=HM63 zrTz-FPP5fFR34h8-ec$Zg)?iOz%P~y>PspQJr}l_f8i&~IrSVn$IqM@^9r7E&h$6< z%yLFO#_r%J&eVAUKUq$x``9^t;!K%m@QgEQp1?ECgn1vHa!&Mbs5$glSZ4l(A1uez zb?h8Ja(4PV)Es&!%rigW2g@OK9=n65g?HIBo)+F_<9J$lla1pC&WL#eKUfZ^|FL~| zO8C#bgr}UL^Dca6*{A--*6}@O$UK9moI&#ho^l4vn*cfx%BJ$rUEw$L0=~2CQa@vR z@TBmQc>>>AcBt>MJ($b6*ZV>2p?5+h(<kh1vGYC<d+4pu51qvGLSJ+g&kKFfIatQI z)q6qgp}DFzA`iV0dZp8NPAFx%!0r}H?}pf>IjVc~6we98Ouw*;<(ldmJ;zecf@u?W zwU~Q5#2$JnbVui43FlI8g;=NAsv9B?%~I{rb1dP^nkKM|<$~&x$V1PCY^Gn>$#PCL zN6)dCGh<po8s|)JgUu{wRAclG7ICId3)snWO4Uctv4}Henn4<8(lmiI&V*?nQaLAj zH^dxzEF?4i!VZ>Wsycd(g`Az<4l#!w3h_)2*uip0l}GR3X`x*@ji-gS={TMi+N9%H zz!@=3U<b<q)jzrqPYL~*mXOLBI_<)CmVK&kbRF|KL#7#|at2KkNaYNeHeox<9#xyj zLwAMVObgh~vP<=h?!l8nPo@cMXW5~;NB7`cj=k;=Y!AH?Ea{(6-D2l{!1mBv!5=b- z=LNsWD4rMmAan2=$5!_Rwuj~_->^LNM(~wP<2k{Ueu3&1OZNubra8)c<P^^d#`IsP zV!5WgM$YjoM?v3&supv12irq01@Fil{KB!+UBT9Aw(<tcL$j27<Q%_nWc3MDv0PAI zVtMGfpiTdUN|tlVIdYDlIWqbRo^i}{Hz;N~qZ}i5@DoRBUqB_xDP<oy$4?w7eFo1s zlKKRmaU}G8c*-%+y}{<tV?mkz3l%KKly&4BKXP=sJJ=k0D9F<vP{DFYnMdy6X~A7G zji&{-$vB=C+$7`pfg_?%pn~Oq@*mlUrv(4>B|PN_?YmIUvQPPqtmAu*kUoQ_96@~o zPdNhmCV-C7vavjLSMW_=Ksn1U<ukGePYOQi6DVidp}a@-U@pgA=LfQf-U*bnPq1#W zb3Pz@=&isHp2YJ4Uw9PH3w+=?SjMr{d4cSqxr#R=54{n1#nX6BAf;Wvy2aADLAGg* z;vPQ5a{@8#7pz#WDX!sjEafO@n_$&q?(86Y=%v6No`WSEOPv*Don|X;kUTU?v4_vG zgd?j>z>4L9;u6V2&to&<V>EYMIJRd~R~Orx%GTUo#}6`2&jl>nH(0WqRa_u>Xr^Kc z-@!8i2JHowEc<+GmTG7nlF}A--dqrJB(eLcz$u=EMI2L{XUH@?5jYW``I+f3L*f~M zBRq;{1P<^#JT0Kq&S2ScT+xDWVIfD4bA(LOBLSiI28))%iV}Pa3piSwCH9=*=E-#p zzZP&&j78u1g%OLM^9>^wUFQ=<EC&@6B%K}zFtmR#Z_#%CAl)=oF+|eozQB*R4dyI+ z6$2y>O;Plabef{*aFc0zr=k89(bz~A*Cc+8_6g=JdlYRX58V}b(-y$qn8#7<T=1#+ zl;R0q#XODz=L+ej+x%Ab7k)SIl`nYpH{m1u9{U@A8{hF4)ffD1wzHq`r|~g=L4Cn* zW;^>EpP6m#Pkd&!vET65@hyKweZy1s#)LYClTX=0>cHnqANX@n*1EuFn|;Oa#^`x7 zzns~Uu4cEx`0Gr~a<$ldGpp(qp0XGFl%%aPzWiqH%#8F&b61wBT{Jb`2s$YG+RUi* zqT0EhezRv@IrD^VYsoTm<C*8Ctg^cN%4ebR%$c19YDTk+bI%>I3>G;*^U|3s7MCCR z%rw4x_REpCs`qAo`jBR2Jo$<DZsWjdGfx&RJ3Z52dR6?TxtT?3hQ_@!UlyzFocZt2 z85LI0A<zdZetx?2%zKW0>AojFFFp63rN6ZD<XP|1xLLI)&v}=}EvjAlP4&!lqj;;& zLbIly*~9WH=!JJyoKS7%m!+oL^w;h?^6Sz|@4~3~Q=cczoBnOjk>^WqPcMiss^$Eu zYNh|RlJmUxPU{PY)7i_*8fNcqzI$)_oqIAb^q#uT{3JA0_DN%NI{Qj}%Y822FFoYT z6BIw$^RRfsF~?k;xshQvWLxU?m54uovUI0x!@eczC)%!j`J(D-xwfk}QOx*kf>)N^ zjK7X^<uCkfe8ZnqZ}6ObgZ+fh&DZ6R{A_&9A60+gSMyc*75^B{@dwp^c*efM-r{fL zZ21}g7JOk}VxRGu*~~uTbMr;{j(-kk`JL(+ekq*gx2u2fv-zBS!M}vh?6d40J~tcN zpZL=_Q$FF}gHP<!>@_|&pOO#wm+*;wlD)#`W<z^{&&>w*44<3z?K}Q3KH-<EfAFLE zn7qNigpcf9_8xy2A2F^zA5hCSCw+<C<Fm#A^9z6Rn4dSOb$e;-G5_K-$((r`pGhu0 zFHqYyE4{^z`HN)cypKP5&Zn2yHGh_zef~lX+pP3Cm2S_B&)6`3mYjZmLyg<a^bot| zPm+_*PpDy=nch>`HY2^Il5IwMO{LotV}<!2fAAbnH?eE}DA|2JpvLWyvB3PoA3TTC zMeLeCNH(AU@To`d{DV(Dy5}!^>d`qbP{TGYoyCs%gJk{r9iMpir$<z}O-=t|+x%X# z`uv4zwyEhpm2FefT`Jk8q(8A`elO`iukbt1?({35<y6Jz3qJAeOh01F{7y3ed_lC^ zd!vf!8+Y^EO?{%b_`FfU^upadcBgM_=CM6}Vl$7;=?$@NZ;djh7p6;=O*7oxV|9AL zW}cg=NA!}<86`|tOqX1Lx??lX_0%nT&83p7PY1-Z%}HIN_xP+)!1TghJm#kjV%=UE zc}%~UCYdvBW18gR(*m(=vr=31m`fxxr+wVXb3V01uen%q_UQ{TY_n45M7ljQI-|o} zEIIx3h8VY*sUdpJMUs<GPl#cgnc5TCHY2qql5Iw6O{CisBZcW7ckmofHPLG>l<Yno z5aag9NML&54xYoQB6`gQlFg?-Z0gZF{a{m%?&%AgdUQ?;#IQ|EWzl0UkgPwwV-wH* z)QCv8si|LdoAV{BPhW^;o0{qq*)}EBC6aAQ>Jwe&d`bUlh1+>{r(V%*&XX)YU9gE~ zXX+7M<~+&#(*@RU?+q*ZH&*l9O@1P`_`G33e_=I`-SHd6JhsPA6!X{|-(c(Z)-a>L z@VP`;pJ8>6)$s+zJU5e%$R(dMOz2m9F0uZ2M={U!<SlZ|UnN!_53psMle|Rk@ma%w z{=zCA^Wz4#ZZ8cz`Y%3{$m!eoOk(kI0o%4&$t`lsUnDa7K34LaPcD&b{wy*3_yrrb zS;=!O-JThqkzxKUG5z=k8@HLsA#%;1Bqkr9V8b>uxyQ0?MskZK+l=HIOSdP63jH4| zc#bEV$TfeI=sq4`<Mzl<puez!=Ww!!T=NHs=HnlVdi0JzDC*HYexayG=eU3k+q7gB zIpz-%^~ZM<@$65IuymW6{6)6;y+rl#3)XB?lYK1PrX;&qvQ0^TBFp?<!oRPuoM(6P z70@EI;^PHHJUf$*$TGi^$Uj~n?e^ZFqJ5(^&)vi)e2dQ;6tovw^Vl7}Va#KD_=GW! z&EXBQZf^}T+6&Vq%GwOAd#nyGFy^_Lc!V$coIygnV!Fio!yU#v*Aut!HJ3`PJ{%y+ zHYafj-{Z3e0quoWJm!ZDWZhmGc(h+klgMe?m?p9Kuz+mati%>R<`Rj_wvU!P=Mzi# znu{f7AHE>NHY;(Cq}wxtGd#@264MWFka3%t7{b?FBr*B$1R1uOi9M2SGZI@Q*=8ix zNV+{SP-y>X!E-#(gs-_!qWf@wjN2mvf%ZZRp2LYEe9Z+C&4)i2_2?abVAP{~_<~W7 z&S3!=wrPnhe9Q$B^@n#D@$65GkaU}x_=UGQU!wZ(1!=aai9V8TQxaVy*`_2u;bqR3 z@NX+L=h>Zjg||6RqWExu5zo%VBfQLc68VP<e!ITcuc+VnoBMA3lYfiO>lf4){^qvZ zf8#T^?fw&=xo!4u`0M&sKcl|zxp-Nf;qPv%{R=*G-;6)<FX^0qLcQX1@%8&VK677> z-}0~NtN7~u0e@NN#4q{x=&XJ~ec>-|^Zf>YU0>>Z)L(oio>RB+nfT)U0)Ja)#kc%p z`XZiL_wgt9`S_B5O`pYQ@4xVebyobGpRUjJ&-`KfEIxhzhCi+|<3s*6eG;F%f5IQu znejb8TW7?#{A8UGU-Q%TiM~Sp#~<9s<4yiGeH8EBAMnTZk-k8E;ScV^@go14K8QE( z|M00>Z~uc&-MafPeCpQOFYt$TT0F}?rVrxv`*(ce-X9<F({*b6m%mN##jE#U_{};s z-sflQlz5k)tW)Bj{AGGC?q65<oqKou70}YX;{64mxOX1k=d&Pp=?SLaVhfL!mQLKr z5uj4C?RTVp%zT@K_~c;yM|F(fySK;hsJ-@E@_qX5`7i6H{f?Y}zQBI>-=6d7)pnOZ zN6tIA@0in^sXxz2Znm7h^Vq3N7rh=%Ep2;leEWQs{o=oG&ZU>x&HlUQTzZM!<i9%K zHe1d<dFy6Rnepx0XG_dqZ<d_9HK=0Z+=F#$&yCMsKmVvE?bl3c!}%NQ!hS~1GMvA& zPVDE+>1Pw{v;XRRHa42?S+|Yr+2;M{H`OeAx>+~YPWlhe$IVC1o-H$f{MYBJvBmtQ zdUb9;W{U1OFJaI8m#1sW`DHb3PmTAU|6%v}Q{>e1PWHi{XTC^ZJb&%ap0nvo=YOp& zJNjYs;qy}V#U;OW-f!N1{*m3}*}r?<8Sgo-VBUS#r_9)D%k-n?Zu*>0+cEp=&NHQ( z@16aWGws&Tna|Vb&;ObG&F!o4xw8@G*>`hFjV)$7a>}?YNv>sP4>|8T=d79e<2QGB zE?+I#yeoFjqqmQ?^{<<K*6DW6F}Bk)&u+=Nwj*-Z*(+}jl^I_=>!xciy*YB)=|lb@ zn!8urJ=5#;IxVB@BbW5<o0DTN%)IMUEWWbp$Adh!<+~#9>7|%&o_u&y_rBPSc_$ao zcHYc=Ay9jEL33;SZige)lXi5UjMaI^v}t3Wcvr%a36*z_-qX|2)-5lbsahl+rFU3$ zbL899?bF}xT;n&-a`w}E2N$Ocl^EO2e!KI{)yd~Ik|a~tN?bLLcG}o;(}_zoB_@Nl zt4rm4gncwLi0i&RGrn@(&%XHc%$Mm)=TEG=_RQF9{@R~8UyLuFzfvRiOY%i}rrqy9 zXFhK}cizJO_8*_m#wPRU{?z$wY&<`)uI%T`r|GG7tN-+T+I;f7ioNpZn={TIsS$f- zY%ss}r_Lwi6X)gZi~p?oXngFvn0@dcosY&x&hyzD|5@|F_|SPa`_G>ur=Q<Zv+b#| z?)=oBJ|B#A=CjtZ{kVBQJ>2f|?=z>;L+xJw?s>m?@A)rwi$C3*a(+pT*i&PT`A=)x zzTcdD{+-?C-)r6(?>hg?uK3f;JL!e<57vJBHuHAc-q|1Xw(XwzE^X)RuQz$hH{U+{ zBWGK>vF+@qH+jydZJT{H&u#b3xo6+xnC*_7d-g?++V03VX~kyEcYV&Kt)G4L=9$vX z*Um<mN8j}UrG%w<-_ne)ob@v=-aPYV+S1t*^RA^Co6TN(GpEG(;@K-XV!I??q-C1@ zzH_E{^SQGY=C|+o6dRk&o_kZL*w}b>VqV$KnNQPF%~s#(DcXGUtctnv=9@Fl9?20) zGd7rAdsC;#_{3Q`^Wr;e3XPAQ6*CXMqf=;n<Sd`L@trjV#)r<bnSb6CIsNR8oNcMb zy0cSn`V<)J%x2AF+i~-LTDaNg+h<Otg_^y--IKq0@7XVAi#Oeza&}3MSgNtc?5DYH z+iy-j`_63h?KOGEyUso{E8aBoPFmsYgSp?f&AhEwReo@H_ubeR@07~LYs(sUci)cv zaP#QA*cUfl=fys_+4@#5tK4ySxApD~o4aquUb)FSH}=Fkr84p2GREE9mb)wNy3UE+ z@orJ6_?q1jcUxcSJ$R#3DxP1~xU2he?2enQFZI029d~t`?M~R-ZMs|HZtDxZD{qua z#24)L*xY?Sw&LBQV(~e<Z`^5prg!1ZqceI&<%wzHGj{K|)B05J)SE>`;!}3dxYPPX z@5Gy=GkQnfD4o$e@aEBJJ*9HSo!!S{E#56E6z|y`ai{f>o=|z?j_$*;67Lojh_~#P zxYIg4w&W)3^w^x6t<z#tZni$q+wexIK)hl1kK0G5#{PJF=#<{7H;eMcYj)qb-Fi=N z#hav4dQ09Yozh$IMk!ysVt2)+?%lCB-Y&`$FWEigcI)KW6K|FB#0z#;+-|*{x_A1= zxNX%l-=*%H{&gqM_f5A?|A^W4+{kwNQ&5|3+w`k(Zq+yEo_-T!RvkI_^otm^>c}^# z#d^(qea@w>pMG@bnXj9!osQ6t-s|(#$YT1^xNpyluAKJMFD{<>GIi<niE-DS8JSIA zyEEsD(Z$nOV#KN>U!-R0{oZrt^QLpBE%a~i@%e0IGJWn&ozF(b(-Y&$DrY`TP1Rey zr{~kAlc!bmm5XoAIDI5W?3t0l^xB;|pNvkNmeViZv*x4GvD0Gu!FzN*8XY;!r*FJx z%?G1Hr`hyB7e!7#y(4DZQzPB!sXKi>80pmaE=~COG&LmT$+FceUSyn2$<bRpyJyQ4 z-bm@#3%*vV<*Skss{PeZ%gp8BQ<-_(wzDmoiT`ue$rqQN_?bQb6*1@dCkB0aYnL;N ze%y$EHPx=HBtL2Ip5&t9?z4Jr%g<?Q%bs^OOi|O;H8kE|@`|;pHMdt#<JYBW4`Zjf zn?2j4K6zti@yy$)g(cJP`fgj+|1M?wg5pnK`VPghnM=M;Ev-;f*0rr|PTOSM-#6LN z%S=tHj<eXpLQ-6Ja^=lS=8>ZNckMa(Ms4=&o^{*Iuk$@lPI5|>@3x(s`ONVA@i_VF z&k`@v)#n;+o){+=UEA|@<F(_T<hq?-bp5!IF#EWW==KSAZNFwbOwN%DzWV9LeUZJ# zzkT=>^0B(-{YLFw`Tciwk9E)feM5NH(R((}rm5#`ymx$#&bHq(?j)_R@OyjY;^v4q zNh?-wD7UhAyxOyAX3vBvlTV49KOA7I6PcJ4ot&<Aj7_9A_v8hiYnGB}PoJljP5$V2 zt@`HO)S}66{gzouzDUiV>>Ky&$)-IglhW098%MrPDOQs<mVB9#r{+92XUh4MRg<6k z9kZJGI%WNi$rESt6dIj4dBA6z#mvX44U=nU`gA`?X`5U)bIs}0`pKa?-<(RVn;tpy z%&F8+z1O>Y-f!A_`itJ;qMK7rFNqO*YNXNMYPal};l<-_^3k6o-X`zre`}Za-0;@% zCpKxnC0-;~$esQ?W8U$1Hfg_Zyi6{TTmAXQo8&+_>CZRj9hXtGmOotc=JQ6Ae$%!} zpEJol_5GTYJm=K(eA;;G_$iyTpEp#eA6LnjKU}-!d~%JPckLVQcS$?D*V;XMvQfSN z;!WE!_3Nk84XxL8->rO;Yk2vT{=9Iezu&~TO+R*ZF|}x(-p%uVllt_#v1#RzcT-EI zpN)O?#AxT~M|#b>-@G;2ezHpa@!Xtpqq`@I)L$D*zDwCY`Qyx-^C?wor;R1&oy<{R zJ-4T9llA1AGjqx|Sxvq;)2D3Hjg!xO*sN#HIT@$kZ9MaJ%G${r{kB=ne3eq5<~{e# z*_0KN=lVTMGrD}zOI>;HnzJc6YSG3wU!*LWymF>aiP43Vmwdii&U~IScXFZMHp`jM zQZm%6jU%6>q^TXA^QOq?^vP2`VV06lQzlRL^m9wwWH`BVrp}p^1hwBrkxx=4Ot$rV zW)b-~rDw9P-!hBHM=2eXW&P4DA|Ix-Oy>1_mbyuAa^cLJ(<xDEr;TPlNQqG6p2JhH zY5&P}KF`c&PCdEG=bE|X{gmp-FMZuoH)&4xoT+mvB|z=;Y@Ym0>XYyKrkO|HO(~gt z*7sS8(aw{P)S73%$u-)3yh{FYZO(VYyT^;<Uw@W(m%P3IV`a|y<SMz-pC#rU&yinU z+w*Osb^p!EoNpVg`Y%@ceA{^A_%j=}-!tYMkCX5IJmYop+Ww7p+kVY>m0SRd#IwmO z`sdm`duDj~xR<<g?V7X6IdajTZ@fre)W5P)=ZoQm<Cko{{haYUd2WB9-L{`Io+W3< zS$~drmYgPcxaQ3#!_&u4*@XR+c$z%9-_y?R*+#?u&Pts#$q915KSexAp3rY=_v}Z+ z<K&)xUAtvJA|54o^vl|%{fKy&+|ti$_w4CLz5c?=oYTosa;HDdc#s?+$6dqoVdMVe z>ujEVpE33LDw}KHCGICz_rJ7td%97x-?LKZRC0ja>1v+$8`b;o+NOPvxSL$kf7bTd z6T_XyAIUXWzj<r8{b-f=<Jg>X!@Ea|#9wcgc$c)j`(tFz`J^hb)0-vc9nBG69otj3 z(YpI)WKP*etL}@DK4lwk9DSz4wtL2$qjBQhn`gXETHC!*Z`-aJuaXMHykp;-O<K`C zSMOPx;pL-V;>xjW&L-uEMQ^_GB56_g%1E6O!wW|*>3rKc<9X8D?n1q7J7+vg$`G^O z9PunEP3&;Yn<B&0M^EX5?UZ<$G`ZVT&n<1EVRvVw&Y7eHvEQ2_o+M4^w$*#KBjRyV zPq(h#vK<kRk~+F&_0o1kJWOio=GA+ax>2vYFf!+KQk2-~O*0-OMTl|7@Dyy^e{`MB zv+Xmc9$lq#ZM($%r0VXMx^Af(HM>0{bxtJ(h@Fn+S?}#27#KHWo_gNSvaDSDgG#Lu zvjPP<H>!8v)lJ(TaW|=?`>gJ>6vLfIABi<bzsWV+ez=PNv2D(GgS&@|_+J-Gyi45P z{?Rh$d}0;f>0*g_hjaK>+xC3hVBLPxGUwX{tM-eQKHoOnIQ*>UVN>UNkys~JSFQa) z5*kcQIf>5=ZXA9h^Q|gkPV@=6XH^leb>s3MR&`%H9Kj#G*Y#E6s`i7HI$t-KZ@1Wc zbawQDwuM!ldyY<z7P)t5!bh>#9d{0GEPO9kQ@8!c?xRyRw=bw()Gq#1taAH=BJQ0V zE+4)llU5b+GI2@!R?D8ViP?P8wmx4BOxs)K*s3CC9k%2DY_sOG!MVd`{FiNb&L*bw zotEi2pRm38Dj!?*jF|`T@swH3c$KiC`KaWZQUlB8jeKnB8?GMoVfU6@Q)+Pipxad* zwJM2ciPPI7<-(qAICWTqe>Tr#o1Qa?$$Y}a5i<@Skoop>!-<2Jc*LqCo+Qj{x8++_ zG2?N<w02XuV-+_ZC3ZD8@|8W?aOAK6zqQSo0t2JwK)J9AiH8Xpe9|^&yd$O`-XXIs zb;E&!8)TL}-JsLX$tU)7{oVa}?A1(aPx;KJm%rDyZQdKWP&%jUUP58BrR14+>*K8R zk1Y7-nr5))@CF&N3W*1a_3g2iXWkoVw})D;d2g`q@Hf88);*^ZgZU;GMNB!oKtOI< zxx~Fhf4<$;XWnhtb@&C}Z0j>86N}o9%CeQ;xSg1{{l#9^_qt{I3#+^DM1R@I`c5Y= z`p&(k^Sa;eIGxx1bcgA@Zcct+HTSLPJC<u&=SH8ox9FVii#tx=#5Qi9QOtcK`ozwo zuXP{YIrLR*?e>JdM_=iN<TE}OTe-cWnEOifoO_4P>iXmdR&ig7-m;T*cJ!K^M_=f= z<S%?Cws1ScUe{UCHTMpE7Mr{M!JebfbWQRbpNXaCO|0ZL-hN=u(WkmP`GL>Grfy%b z=jan%m3+r%V##@m&%_e*7@vv7=LuGFpNO`(cj%*7@AiZ}M<3~m<WH>NJ`yc+@6ZRa z*6j*=jy}-cb7#?M-5qz5PU~*DqjXxACBN~hSmSnvJxA~BuDf&Sy;xXY;8U^M?GJVz zy{G#n&+(~PaGv5*vA{e=P%=BP`{?B8J9iJg6D!@mVE55Gx^wP0y%Q_k-mv@VZQVO; zuVs76H{3l~!hTwI&H02K&3E~ZrEjopekrMQKB0nbv+SBOgIfpR@ua11xOwmuk6XII z&4WqovyCO*Bowh#%j%p<Sl7Ij@0it%R|%_{4@&BkZZL0N$X8}HWA;HGc5T_7k_~3f z8zpnjCM<65<Xe`u;le=&c5mYw&lBb~m+~F6yzwkyR`Wv1H)j%NHqVsgDc*4UpbopX zam3SvDb2ooYL*f+4<6%DOWR=3+$j0xbi%}DN4{?sGae`OHXHJ7vzYNHp|e?$ugqe` z!-UpmK|V8!8PgB$;yISOLAN<mQs;C+Q*)xEPJzLJga6oe8_l?%5XQFJDB^xXP4ipc zW#$r74=&?TOWmN+>?o;|Z?Na!Jf1T18Fv#(n=kU3na`Mf@CjSDG*8}!?FaAtd-b>b z`}({4Oa7nwyZU_mj{3X*jy_*+TmSN>_WAgVf1CcU{-%Fx|GPh_&)47F|LTwHbN!q9 zlm5^8EdD0G=wH=e?Q`+#>bL$o`s@0u_*L}>e`<eSZ(hIfU+J&uv-kV_*ZSN2WxZMb z#-G_|;}_R={#*KN{e}Gw|Ghq6e;z-tzVzSGpVyzo&#GVe^Yxkdne{V&a(`ZbdcV$p zt<T|4<EPa7{!{%aK6C%EKdR5x8`L-ce0@58V!h+PuRo?gj_<8E{I~VT^hfcX^@{&W ze@uTE-&!yD&-BOi>HBy6Ir?<HZhhuY?bGp1^@%^VKj<IW|L@<fPt)(mhy7diDg1tX zP5s-yOTUXx-M{RQ>eKZa^^QNa-|O$$KkrZJ_vv@zOY1NGHT^z)^8P3Px_)!NTfcq( zowSSA-1j2ioO3D{+qwCLG54LwJNHx<yoi4OdcJ-fNBY62AIi^;pR_N^HJ|A@LxtOJ z^9^He+s!A8xotLYnCtphCnLQuU92q4(7M}d^8#b;n~_J(C7shrNLNf3Tfezu^Yx_m zzop&kJ`dN-jM#B*QRoq6HAC)ezH=Tf-~Q~^5e>hMUEz03yS>jOm5Qy}++f^&C34S9 z)>k@y=?~MymTlIU%lcC1)|sZWI@iu5m543c9AMmiA+q6|(peq5^oKuh=vbv6wCwg> ztluQO=E34dKC1&vVpT8nOqg69>coykERhKKGA+E*VBIFsM72|Aj`=N66czlybz92# zl#H?_TTgPb3b*SqgR_wfW*(gxnR4#X86AW4LQC$`kyB>6KGo4kH?-tF898C*(HW5) zGhJsyHq1OaJ<{bIQ;}Hz=7Kq{k98!{H(GEXjZ`_uR4CTI*<g<ALmiHELkn*G%`b{1 zx*ZN~X889ucIKk#=HcbyZf0(6X5Uho-YUuK>^PHjT4&1{rPDer>5Zvkjhh+f9KElz z?#!Wlv9PqjRI%F44`v^|r}HJvF;y%$O)*t0FpV))ta9^#*+(Zw-Z^_HPpowFg4sv! z=*&6elqXiWxncIv+d6mN32A9Py1HBZecbNqm+z+T4xhib;QOw--RI+~zg^lKK5y@b zH>tbB-^OjJzI*fZxwy^MS8sNoi`!Iv^qpzC{*Ap)-hAB^K4)*t_pH0!rR%Tm4f)=* zIs8>z{x_$)uS@jJt7pCo-6j4qF85o~=IgWex_uYA>wPwEVRhrXscGxa@3r~<>P~m@ z`m=jYzB_HcK69_pccabX&*G+6N4^V9TYqY=#`jrwyo>aWs(WvGpNUKS#<cnR^u0>o zo9?_W)IYvg>U+|i>_YvcdxgF`-FaQ0e|Rs~ccnYo1^W8cr8m1z$3=g;v?+YrUY75N zHibWkYpDMFwlr0L|K2rkLQ~i8+xz3&t=r!D`dZb#Kb^YPi#RM(+ZQCE;gn!jc4!61 zNhem5O$H*#QPI&cEL~hJvXwXAmYUy<D=jQ2fBR|Y>#t_#=iIPb8~NwmE~XV}Q+zdM z`JOSF9)H9}NUq9LF?VzLl)Ve?u%?%;-?RHdS69QciidB%rmWw+_szFex4ZM!@7z1* zjq3LBJ8=cod*41S3x6NGr~K``rPbl@Vr$<W+WY#Oo?ZFdo!;kTE8iU|p8hs=Yx%`{ zrO)-O%g^2meXeI!e)67Z_4PTs6W&iMzWzG4@Lkc~)nE0l?GAe%w3qu_?8@@JJGsBE zyS)3(oz$xEm$6IAx9;pd8=L)3YOnVfJ=5~md#qLAvv%9P|FmcIXT5W~&E8+y!+kb3 z{oScOr_aRBD386DS{Xibx7Pbbd$K?2o!oumj_ET!!}8Xh+-G9r-*xTb{<QA+ZkhL4 zd%8caJGxusz1JS@kLwQa=6SERr~AXYgS+?Kx%yO3uRM3B_vzTkcS3u(PscWvGv51J zetqihKXRX$CM7Qd-A#HW_31jT@~?M~mS4XYTU8!-bG3^E*CHhjPM-<AotaBN1PQdI zE#PpxK4tfkJEBkZG|HdeZ7sh(dH1__n|7~$r?+eOvv);B)9=I<mLI(PwQTxr?W*{L zzq{^+zu2erU9|Qe=Vz`M!}U9AS?7npscfAW{-lz1UigiDi@u3&S-;~mm-YG?wXSo+ zFI2X^(N2mtd@j0S{e;h5*Taugw!YSmia+?P>uUIleN5-HgW^9v6J4?1qPBH*_>6sv zzKAYapYfT?Y<<M%u8ZLv`<%{dJH<2p>M~jXqo(z_wpIMWpIv9eGxjl^)jqdJ>9c5B zT%#vPhw5oDy$O@%%$VF9D-d7!lk0T&luFm9+8XhOKe<kZPpCXPBfO*1bw+qY<<aTk zF8i21iT1BAsBwL)EfK%*2iMVXm3>SfMcdaK)VMy>=7=}^!KJ_c#V0Pk^*26o>8?NV ziR)l^LZ#~iZHD-d-@CNef2eMq8Xi*VdSClT+{W)*d&2`Nk4_2qsC1nY?ojD^Py0jM z$0wrY>o-)p-qn5)XZW2<ef@-L*E`w|;y!-k+8+94T~oQ}?$sA!UEgW_l4)DbR4%%G z^@Gh_wyQ5}?y^~ZU^Cb4qjI~CCT^~<YHl+>^N_Fl$6*Dt!j8}@>zc|$%fk}WMK`Zr zv6;(i^@Ld0H(JluFqMfGg?-%BWwE*-*7dbkMEJ&CTvtO6M7qAxy0?a@RCM|3g3Vl) zL#M23DiO^MJD4V#9cH+T>tg7NNY@uy4&f8iMCY&m5aaq>%OZT^POh_|3nGus3{6>g z=!}+uzaXa@&&-pjf*qog(iYvcIV$RR=y+(%x<f^xsbPVjjSxQToQgzK!VJ?ylfnek zL=(b3rixBn-4Jv1v6f8u#T{J7LUq<T6^eGQc8EFpP>Ux#a0l0+P@Z*%PHXL2({x&E z+Zw0STAS856^KTJ3GU!J5c+5Bqf=Uc!V*(OL&Gj^=h_$gX021cXh@i0s%TJ{V5(?9 z*u?EzdqQm@kKWaK6Bf9gYgg!*wTDh>JqZ)s&b1?S&)P$8MfNU#V0-kPW{LmA>MpzT zg{&TbHUAtJ@Oot5)zdfOe}iE0HJ_I1zfV&?$UEvRKVW<Gt>%w8N#`}c%uzb8`C-nX zZz5ZlFR(p2H~5C-(Kni}<}{ttOz{`2?y_9oVB0z;c+WhgbDA;!7pu6g1+SUs^i`z5 zZ(>!K`Em!_qc1h@%sKQ$Wa)ARTi4ma8!V5`3htTb^hG4gPq2#XLhusHqo6$q7c05W z1?S9j`Ye*+SNKe1=5m8#t~0?g^A3FyN%ae?<T@4XGtcRhNQ$4~Gm#`e!Dk`~ejlHT zOkCbzbM&#MjQ_<7u4BPE^PE14bS`(WIr>nO$3L)w>rgPyyhEoocg<-!t+{QE(`n63 zbDTbiMED6-a2*K#GxyOc%|CvLPenrgE|zoc3w|@#>AgsZpW#!HAV0yUA_0CA%enRh z+gKjGtNF$+u$*gG@R_-XPHH~!6D;T25xi&ap<I!@iyz1yeWy|4KGC|%Zt(%xqi;2S z^dz0v_|l_vUgJa0p)!%Jix<cqof~*V^5`3lS3OPVG*Z%wCg1+oSse7__}ls0>mQgI zO=#A6q9`2smdTYdDB0aG-FKFA$}u^nvrA{544iZEiA#XXmF=ys($*c`&X(%Vcrxuw z%;d!!pCx{VJ<`~>r}f7)iKANuu3uWDnx1{?+C7Z~cSZHU$;BcOZj2UP2RCbMw%fko zfsy!=n2P+j=R|jg&Dr{D%~JQ*r6P^#FV{^?U3*}2(e+J6UHbz$)`gmPT@O4W+4@=| z%Ke~K*VVukeN5*xg4{o*iL6*`A=^4Ta7NvuZRgcXD(x>?b8QdYGqtbj3(GT&Z*GO= zTw1*o6OK&y+44l=jh&+<*WN%MOT(XP=d|B)TF);~kxkv&a>gb2=1<O9yDO`k-X9T; zzU6=7?9}6V>+C9Ocg$Z<B(7fZqUHP9g79*|B$u8-*Ne{tw!~~+y*OcWhuzhkQO~P3 za~Q|0EN+x@I;S+}%+p;RJ9kDr?MXVT;pVPr#bsLDEBEM|z>e+<GOjN)9NZ_SiOgSL z?4tU7RdL73Q#<XRdkLT3`b=Qv;tw*c;m<WJ+&5Zsoef+dd30uAO5dR~8V2r#mRzR; zr%1X!)zEM^tX$C1k$?KEhRS`bTJEoFuLMr0>^`e;tjFn0^rrmU%IptoP4ibuy3W|i z=gycW67MEx$#o*orteUpNbll=IoU;P^%h6Sv_8@hI=N@-_i6W{L$0m*JZ;MMHG7_Z zzczLIKexsmrm4Djw&q=bwE5bcK$&%|JHwtw&B@MPw=`APY_UPi>a$TxvZt=wx?|d- zsLpJ~bxSM7p2k!ZKRx?2MNf0FMoo6PNK5|LzN7+?IJb+bddGJvJnyP`{XzH0b|LqJ zsUp$$f^4|YMowLPp(yN`#-=r{=3EE2u2~bhecHUh1k2Z-*W3wY>0>Hbdm!-7+Nn=< z?gqw4w%*rR)3fN5#xJ*n=3RROU-UMe(pc8xbV_4U57Q})Pi~FoUFwT>NVneAc;)73 z-nBE(LbCOa#v?aI^RDfIJ8Bnw7v3}f#^08A>P7Y!p9}ApzvC~<eE&B;Tju#c`N=ZR z|3=-SZ^B#V@A%AVJ%7eum%08Iezv?(PqH_BF1%s>gwLJV{g3=?d95C0fACl5RsR)r zOy|^t>_0veUNPU|Z_8}|8Fh=k2rrqR@tM<Xe#Ga_i~b#TPG{Af>=}P`n#}+4r{%f2 zmHk1`AqW|DOlQ^4)hK-yPP1zSEu4?2TXaTU%ii&4=ShE$x~4Pg$7-C;s2{3fI-|a? zM(LCAg!vVJS{|!Q*&qDTdDLH{PU)j?$9#)FEf3YX>>Yn}9`vvH=`!8F;OCKP{uw`A zrulQ!F`ZUtvS<9!x!*tLXUl!{H8qP)ssFM&_`P$l|BKqDQ|ilVoKC4Ps$n{%{>iTK zd#C#R9lu-ds=u;x{NB0K-{NP>9rZ_cjNd!A`|mh=)mr?0%<kfs=cZbR&)-?_eAnFW z^D))WE*Xc<+xg*4s&)9=m@UP3XP!P6v$^={%<gka&-e<hr@v8B;XjzZ?#9k%XIQPL z&vE^7_N!I+oSiYxvrLV}wP)@Odmc2G`&`V*_6>7ipH(b)?qtjIHfDM8&d8P-$6bA* zjzzZ2ah`K7)hhgD%#z}*GrP~mWIvOd>s_K}THLDVl&2T4&ZM|jZc)*s)02zVo!e=V z{_*M4uc0AdeD`cv+1d8`jADg;#0itbt(r`IuRjUw7Sk$-ESmao)ssawE$@`>Xf3dq zsFdRv$b8Un-C8}9wIXpDTwPKL4`<EsF48k9?w#p<CMNM2lkxTGJC&X{&3Rp@cYLSR z^Q1Z1g?dMK3O#q4^SVIq@J_DhN^`Oc^z@5MXLg^CiGFs;D16#Zmgk3z!XLym6#qS2 znyR;d=bAI2sq6Ob{PFD8Z0~$MtzzGq-lt*$pEVhU-;1dzww?JpPjC0mSI-vB&d$?Q zFFtuz)Li^dOn&jsy;pyWy^r3V|8n2d-(mB&7u?@f+kHN|`rf6_Ve__s*pvD@>}~Xx z{JWK>&qZ&}zgpRSE_ze`(S4@Rb#H8cvghlsusPdf?q}6@e_eZZd&vE!&tb2k^Y1y; zzW$<Xo<DP6=r6IC(Yg1MK3|))-R-_mt@qjJh53#9raoJHe!I>6S2f+A*Ph*Oa^LCm zwVB(E?i+m;dlo%CKXPB_v$dzTYuukz<NZn3D8IMT`%HA=J*Lmsrf*le-&FJZqwewT zQumW;vOnq`-7a+B36$9nZ|AzNRFnNdS3keBvio#&^u0@;!lrF!xqs+W*n{YX{J(ok zpX%=4zGhG8)3y7y|G0On+WWn(R=#hg_o?W>drhCh?nPJR+g84Qr@MRmt9y&8v)}2e z=bzjw`d#c!bbkKMwO4nGy^q?R{c_#Z-C^^$7F^#I+kHN&`r4(<Ve__rSd+Rt>}}MR z?7NYt&qZy{z8cwmE^1Tu(RHTjx;M5yS@U&Q*qp5~*Rx`~OV?iA8gjj9bJ(k>{A*6J zuS;~zvuCag-6i%iD)(B_=4-RIx?LBF^*$T5FuQTx)U>tdx7u8P71Le3_Uu-Z>rR`m z&D?5q-DtDev#9CWk?TU!)}Gp`aeY>dcag49c5kHjnW)5TOq;Jw->P)IDdu&d?(wZs z*OOwh3w4if6}s*e^SVIy@K&ztN-^05y879rk=>`GqOV=r6gF)u%k@K>!X895WdB`T znyR}WR63@v-M96}wOi5N`MO%!zLDOiq5`iqZ3?>=RgrBQ`8rQ`_tsa}7DZ?0>8fX+ zTr0X=>`qjE_RhIitHs_&?oNL>Z)$be{LKaDciDEIkE}j-sW@!j<_~jHtHa(#Zb`pu zdHP)B=JczU-RB}Vr5~MV`dsJ6<|lK$R)x*k9CJR)w)^XvtD8g4Hx-Ayip)RfWc&Jy zj(Pgbd7)KeFC%l$B^6(rwb|{wkgfOG$c5>R^QJyqbAGeU`BygGpVyq-Y;xYI_}a|P zM(2%+#hyh@Pmi1z`fSaq%^K%t*?51_F-q^X^ga`rc#f&~+Vssz=bLO^f7Cg?S?YX} zP4-8fqnm}!JK4PcpmTUL*LfwI><>En>7|z4rz4}!T`CHjwwdMpp`x${kqzm8=axRz z*}r+soY1Fh_HF)g?v}OpdmXKGUrX;(k%8x$io)(iR;1fnzJ8~(d-JPvi>$NX>8Pil zoGV%`b|*4FeP`~Xa^XF*Z`^Hpr&eTsF<p4a>>YPm=KH?6*)q@f$xW7dzBlp~l?iW| zy<;<{_3RmUUFP~;xY_bXEy>(4U3kOn37b2w`yRR3@>(s*{NS$6tG+Apn9ivMnSV?Z zUNPI^Zp&=n8F`CJgqO_D*vx4*J7RO^Mc<A*r?YBK=8U^KO=kbN)AC%+%KYHY&a=K5 zc}!>3&gCc-3#XYi?(9768<Dr@jGC6Y<Ic{Lz8-l^XVi}6IGs^Dl*4pJZC{R3k?@4s z6?a-5t4Wz3+|hZ|S0hiUP`G2Z#hsRiYFy@yJ30^gR@`)%?ptv4$TZ)Kn=aFQIr5lJ zt1+1~?&#d_8*{VezS^3cMW@t$nH}8Tx!3naZqq5XWjRi#)E4D1ol^T`*0{Y>efEyq zEqB#knK^Fn-05p^v*nK3BQwVBo!fnP#4h?Sv}gK_y)Exli}Wu(7uqp>$6l8C-fwoc z%=3P-lVzUwjkrbMgtkoIQOs#Qea2pwx!xCcw!BeI(l>lAv|;*$;?C>dM|QTnR*lj> zSk-yedqo`6In^NjkI#fwOt;wEGTVDb+@dc+OQvTObDB+$DDJ%I-4W+>R@F(Lv8vN# z`j0&=&sDAT4_0=b_0EW6I;(mvM(MLqnqFgN=V|YVxJ74Fwe%e;J5PFh#5J8!Jr?71 zM)gn((;3x$F-o6=CQPr`)ACqVO8;O*=TUEsIHiw59n&rLv^-Sh(s!)rJm_7q(`CAM z!OkPoyfb#XO!MZ5V>+$Mq|aE<x!*fxXUl!nH8G1$ss7SCSl+qU`$cTiDb-~$PN!5C z#W0;x{iN4e-l;x)$L^N9s;~4M%R6^^TkLGPqxwjXvAlD;_YU7h<wAQV-<aF-PNhiw zV!F_d$vft<%=dgVvt^#=lbI~@Ja70dDihi=d51Bl_2e0IUFLdTnA!41B}v^dU1-DP z3C5k*J&(+6d94zqe$cA(s^<znrgJJm>L1gDR!p{-+cMj8hTozRp(T?uj5*CFM;Lcr z^z86+I;-NO&S=$XGWo}xmgg!~>IW@5&w6I~F`ZR8=c80Cl&02b*?HPC!f(+T6)kl~ z%g&RY9)3+{RF3&Lol!aD!*oVvpN~?J(1ghqb6Os&NU0yR=sfDF;ipt6)G^s&PRm0T zE_FwX&V!y6GhL>87R)>{%`;=B%QR08Kc>?vOzMmlo%=mwX13f{S>v<ll*%u)gXW!k zJzw}Xol;rm<8(@8kq^@;l}~Do=AG)3cg$|NtMW?C(Y$k~r^U>cJ1URV7|lDkd+xAZ z^j&aI|Bc#~cgjWb7oQ96=-*MxGT;48Wy?JGCzUMo+;7+|`X;!gf5&GI>;4(FE_2;4 zRJOcPPLel#F1Vq8!sm|b?nf$HUMok*AN<vE)qRB>(>diJ`H#;8SM*!dw#;^)VYldu z;FA7~&m3m`5uZCQx_8()omF;{XZ+P+(*L8T1$0l=LD0Qd8Fox(mCxBIeHKiUYy8=9 z+C9Q<(HUhedB>j}C*3{ln$9R6vvE43e8`6BjPgDkrB8wr`YUQ$9xF@9AN<jA)Lp|) z>7!srzeP>ULuD>`#~&RB-76|xrn?tZ9+~EzQRy<xox_glv@(-C<ByL0?lF}u_m$V! zEIOt9OYY$Jj=k<LY@1FgFSBtvrM$?7>6G#(xyJ7u>is*aTka~ql5_mtvD4k6vgMBQ zBRR(J9oyY^=q@T3+|zv{w&k5tk@&@Q!5!T@Vp-<9zKLv^=lUd)WuEH|y+vh$Te^2_ z=CJOb5$iJ7^+IIJ8>J+1!*sz7-4ix<Tz5SZ+45Q`O8nrij;pRK^q9^m1&M!56I{`4 z5!*7`b%x%e62T?i8JjuGx+6AsTy*Wwb2_W!B+j_2!=(F1Ov`g6EAfLnJI=ah=rNsD zI;W#lESM(NxU=K5YlPmSGfG<Gj*@N;HMO4hjaB|%K79EwiDAZp@7zbOPiJXa&lN8p zAAgT&pG)FgR<jR(nI1koxcTVjrJqchc6IxDU1~FV**?jHv%%8SOfMjrWAgpo*O$oe z*?mJrt<309oz-)%$y|4is@?pc?ek0Ui?PqDhv5-3zNp-}v*yW9L$%hQx@vz*e(9=- zCT#vK#p)}W=<$c`p+xt|V~+RNiwDHcTydpQ>vsNyn-P3v3KB1WyLQi>m@)m!WwncX zE44oAopg8<J1Jyhz`Tv@+AjiEiO)zWz3`pe#8v+#`}@WEf)nHtCUI*<aw%EVHSamb z^y~NEe-cbB{rloKZ#N2D$sFpm<-UT#q1!4vEj5#rY##jn8hv28hDY#3=j}`6k2`Ny zS#fy#5|;LSPyUv){f-Xh8$7j-CCM->XP=^ZZKay2?U%nyS68b2<*|F<%~E2n{_=Ug zP+nf(KKFX*A3}@|FRHCQu-f-m;*r(9MYCFF&%Sq^V}+mT$`eciR}Oj}QW9wS&C4Zy z+UY@BP=~`gmDQd*b~^r)V&v>o)3tP%xF92_Me$U=fIxhLQ2)js43j5`?RvuOqxIqY zZ`Mn<y+18jKHcOI<9&Sr`Gia7?+OaUCro16cVG#}?E4GklN~D#@ZVwD=MwF_;KRl5 z+#%a%JxLPE%gc{fUSM@ig{5?jXT<%}`2p7=B*e5HahP(NZ{I2JbNBEP{ttiuy--r) z{CUyV=aK=Zx%tlZ7yH*GUOGQlA%5Pv7`=J(Z!D4D&sgC5tFu_R%FXEI@%QufFZ!=b zzSMu8WuJ?E#fy~C=>2n^weGvvqEpP?RvLI_!4!Mbs@C#7_61AgRXSas6m=|;RIBuT zU>$O7_LHv{%2(u=Yc?=!y0b)HoB6}vX;0NWc@LDYSmS?bJBRjx*XA4<=S(<r)|qr> zC@r$Qtg?#1W#@ebg}wV#6p~*us+Cq8{LZ~Ze8v}Hi%ZivI`R!(K7Y4BUr=EF^d<Av z|En@RdOc}L^Lg)}t15TyeB0;dP}S&ZBlaTEvr4P#4;$mdm!3igQgzJDx4##>Fn8_} zZsi|!jjx`le9Q<`SAXev_d3T4KC?_m*_=z4FHApY&XJ?DXuoP(z^pIHmJIPq3Og?? zS<PIt-=TP=r|-Yttdq2TPZ@HWn{Qt)I6)>;sFCqUUE>pv$+OtHU%&k;C0N^7x6i?T z!F`2AMw3>?D=u90e#T9)TU`disrMHx0?p+xiE#GTc6WiMba*wa-W)&s!An6*w<>bg zpT9dIe-@q=zWw)j@41sR?!*e;Q`_5pQtqBh*|&3ZX5V;rvsl`DLB|U&$+`7+c<S$P z)!*T$zr(gR=4T=Im+a5|vlZ@3Xg-jeJ6Yb`!g8Z|^}oX6&AYQN-CpAVHfhebMCPsz z2C%*z0)9UWPaC;Cli#k<XrEV;Jn8?ApMQ58>|AU2^Y~lOIN^J<U+9&&pSiNlZ~lfw zix}qH=e7OcA^LBJpxv}z{kI<^ulT>C^5^ljr|t7%{uSQ-^my4*`RzLYcX0lD-1by{ z`+@p94F3y%|33cqlzm>^{~eJ(kFPytpI7^T$H%{qw|(!Qea}8G<X_?JPyMr})Zbb3 z=P}#U<GSzV&G*#bnP+46z5n*z<f8vOZvK57_Pt;Fu6<r{_t$@qpFKJ5`%ZrQj`}+f z{uNgLE-cQzR4sf@ZMXTw+)34w?R*RJi>ig~%x@M8+nS#&7Pc|pxYzToZ$^I6bG5QO zqw2|4<_n93Z_Yk)FU4<0gVG{{?TOoc6Y`bReO9bEA$X`)BhTfN+IsWOV&Utvx8xl; z=^J4#v_tSf@1MIVU)5I0C;mC{$oIh=m9J{~c}-Q5FVEhwv-72|SH9CO!Q->H?Btw1 zd(F)guiPT?jjDt%&R(%I_k2!~Ip^H9MP{dNs-;if!}%ho-Q3eS`e9DX_R4$LDy5(2 z&fea+^K6;f;n_9!j(k@3vr{sUewCA-cggbh%$wiRjEjZO-AqcKZ7lsJrzmfeW%T`= zn$4;ATt2I1<P|+rn`v%XEPQ5m%)KL@w%wXNWvAy;UyXdDO5u~UC+s{qV|K?*&l$5D zcAlI*+vOh1C$)a_!abgkeI@cYRR|xQt#Xg$qguPU;U3S2z8v{R6~g-FFN=ir%x@M6 z>zbeZBzUm*$xV(oZb|t{KPH~;PpD%#>uzGdsZv<Sob!*zL${QCri#h?XUFX9yzjf_ z&XQBUzw!>1Pu@HG#od-uzRT{oobp|Chvk&-r@SWf*)M$O-Ffm(t;~GoZqB>D&+;BU zQ7ba<+|7B%_g>y3v&r{nemIv>uC~kg<Xq4BGasCLQl?guwkch$Ds57_T18rt_2gSK zFPwXF&gadUlrpu_G^cd6O~##bPtKWn;GD}jpSX0PbhUNHnR7W``-G)0vJ$p1_MCfi z_RIz6o|LHNr6pNSzBF^fxg}?PZk$Ou>*EHx1JKl%b1vr#pG#++6syfMemRHpxsO@; zB1>TtV@u=7XJ*cr$@wfdD(`gB><76KX+@U8r)N%?>G{-0Bi+bS_~gt9Gf&Q#*)h{| z#>|G9C#TPJx#w1Wd+zNwci5h9yK?*9owlmmFLR^wPVeT;H@kcLT^@7s?di9b@|*X( z{b;6P+&JgtV;`CHOBTY%X6l@CDOBq;cARtap$|`bkcIG}nLOu?oc7surscHHwlglL zeKwtODNu_@6S5FKF!RsZC#QV=q$Q=Qg{ECH7v4AX%~_XxwU9KURJEWqq13JWW;)FD zyyx>F?Nf?cx$(x?o_Bp-q#2nDs~b<8?Rm%NLE0xX;q6y9tyz}3Rd;n^<k?eM>sB9) zeEU^(ul~c@lkdDs;wSx{WT$_y_T*ddAA3^Hdw<!Za^CyHny{y;+w@n~a=!I`x5jNp z)WfW{)w1i_cFcN`)wepZvUBeBXM4nc&w7(x61Tco@K*PgxFg?`D)c5jSDGU}G1~W> ztCxP#uc)cl*X()r{npg$|MV7BPrTdxA#TZc)9u|8*0Jrr^(1S~>e6-3cHVlPJ!^gL zzGFY5o@b}VdDoo%vi0b7iTLcAv*)tQ;}+Mh{bqXm=7Y5E>a}0DUb-%l{(6q?*_;KN zr=CmuHR<N`E0vscr=QrT@=dikj_J3MrG90t=bY&~_AU9Ux<)^;w)2(ugFPx=RrBMT zc1=7sea^lkXT5#mgMJBJn!crybN2K#l_y_#yTmVgrn*p{vDS0e^qPG~KC8~ve|YD_ zZMQpSO%=EAdz<Am*K|JfzVPSJsW_?mm-FI_o~h2%H~cJgW_rxOL+>TFb)EKzN!oe( znQCfW&`+UL(|z{2d{Rw`GkT_)6esjdH6iZPQ`L!=D{45OWbU}U!J7A6W|p6@ZSI#X z*DlBScNgD!omsT(;g3njr(5h>@=>)%KeDFtk+)EM(~n7qr%UWx@<FvlU$Uli`t*`Y z&gs*0Dm$l5PpRyD;Jsmw$_Ld3{h!q*r%wN|_sA*lReP4?n;gF=H(xOIl<^81=l7B^ z^DaJ>jGNZDo5$+(30t;#sc-a}%O&@o|4?)6x$z|%=8&(FtIz-V#B(TJM9;b0<jh4q z>9cbr*ZOKsyD0X$?_&jz`?2(nNVhje&vclleUeO8nQvG*Yu@E=E^^ABCAJ)IuxXo{ zeCE&&TeiD~@AkC3SFP5+Sj{<gx=&^2l<6*&oKvPh*~{`?)jzK2yU_0GS3up?V*SET zLOZ7)*~{`yHDA9l+Vj0vMfj%OLU*S=S+~T0?!~nCJ#N*vo@7p09y%}VnaSzPKm1-t zbH2+A^ZRUl_QRILmj(R2ZF~zQTH717ciOH!<@IAt%6YFZYgEpAeOPm(Om(aF!q}5@ zr{0J>`Nr$jnwE23Dd9r9Cs}GY#&*t`x@VorIj@-TOS^=wO<l9jrBt;bY|^et=Gu<2 zCtrHqS#zXBb?N>WvTf3L3?6-JJGfma=z92#lT)`ua?YN*Ci3J9FPHX<+l5TEIb%6r zcwJiaq*!&H_RAQ~=U!&vi*^c`Xj^Wcbmn3}cv70`4DFpUolm_^tyxl}Iz@YCOy?7? z6Khh=cpX`za>nbxnkT2dl){;IPC7o-V%?HL)gJB0n9fIDLg7t2CLNwCv2IC$YKyjH zOy~5eC6S!dr{+X<PMew%+4;b0!y1(W)duaK(I=-){jv7QDX&#)mgK9}Xy1(PyyvxI zP0A^+C2Lepc`aC@lCN5E`G67c!OWDIZPPMyEYH5(vi<S{ziw;aJd+!jGaVvNPR?{# z_aslXOnYTC=iTX<Kh~z?srrQ}ZJ)Gb>H*)!Crv&qo49?__NhDOF8QvqNByR4=R40L z|4YwRcBt>P<(z+T-!Y*RpzT!(T1LN4Zh9)O_rK(4;+bFUK_BEDH2!y3o}4%Ng{9}b z$qy_$-+E^GJ5^7zR^M1W>E`4smYj1ZpO~leO{Lh6sanWVz0%fm&g32QmV8xNqaJD7 z`O5Rb9F?ys`F>4RlP*u*VcGf8)63tfYLc0HV(}zXbxGUK7oJz<sC-dbpzc{b>HOr1 zc}qU4%u&B-)A`Kv!ki~(JdOO5o~g`G-)YnN)brGwC7)ELsL!<NeByaxPRbe2BXd;F zcpjMZ<g}-fKU3wT<C87sE%~U@qaJC~`N&hqzo}x<;mH#7mV8iYQJ1vooIbh4l5_gx z9LvsWlT$1^A9!w<qw+zeLH(!o$*Gfn%sq0-bJd(B?^SBlZ(4WW^IS0}<&@`=IVz_- z7tB$4uTr62Su|<)<QsFByi+MrpK0AWdGd+5D(_SZ)GMt!Z+ld^AF`fwcjAjam2#C@ zw<haJw<ms(JUMUT3rWv;6CX%+zV*m*ce0*jt-R5A(#?riBsu3!Jkh68rc&(2WIbu} znkT9pT}*4*ZoFO}oKk3USZ$u1bmyFjd-_z)dBnJ1vJ$#BaZR5~sY-#{B&$j0%8s%p zUwYi>IZ~psR9R8hbN0jyk|$?P?CEnUQOR->vJ$#5af#%~=N>lhmn?<OP0Z<YDOSmF zD@s$DscdL0bY@~q-;pAfRJR~Yp;Hrm`do@sQrwKvRFd3;(o_=MKBcNmRBn_x`Pf6o z{gQ>yv57i;E`=(c%8oK8AA0b(2U!Rmn#j|4<g~}Go|e-d+j?A1du-})DNu=U6S5FG zF!4|ClT#jl+>%mNLftNz3+<curq?B3CB)4rRVBzxC{-oEZIZdro{2V+C+~W^aSJjR z+BNY^?~#)pPuzseg?3Eb(|hEt@?QCee^0z~FR`EWd!n8E!M`Woy8oz2Iq&|ZM&-Qw zhngebl()(+{Ci?<|Bas~-nhT2X*uVfVlVW2qNRM}-;O!`d+JoqxyRUF`XzX+e@&gs zSLFh`NxvqV%RBx(@zVWH&5<w4OZjIUh)-aazwv@qeeIU1O58k-feojWm&j*+7BrKO z{5<hue@C6mS$8LUre70H<bVF@c<ydxf9U7Lv;7&MCe*namCwp)c1=Ggp6-vRTXM!- z%iihd#FPCVbuDMykJY%GaX(bUa>jjMjmjtG3G$VHIv%@A*&q5b@o2wBoyte$4tdKz z9S_~P?45p0JlJ3H(_?yn!Os)Z`ZIodOzY>UV>#{4WY6?t;{N`apB?wz*VHUI<^Id= z(D#XZ`(M<yoN`}Q<8sP<Q4Px}_fK|B-zTce@BH0y*Zq~9)Axxx`z?NU+;M+o$Mk*T z_Wm8YOUjk^NZ-8M@y@Nt{8GB|4(XkDIp+7ix!Exf)GnOYdn0d2nerCtotp)%rDxvt znA>~dX2%=1By*#5<qgskH&49Yd*o)vYqu!#L%Swk?Ol<_a?UNt{8O6p3Tex`9kY99 z<Si*tULu{jS<p;6a`VKCy&ZWjXWg94nRZPyk^Xt7<GGua`JtT?&-P~Iv7B`~m!ndw zoMzUvbK>dVh`c3d+_cP{c1}Fm>yg)T#_d>+%Ne&rIV@+~_T{J)DNm5Dywma6P0IYx zj)_NmHS$ynl{=&@?{qwL<1%;JG4Wt;#Z8aty#+T<OzX|K=`pRBBah{@8<RQHj*0tw zV{UfbcUzOQ<doYlvqRe_?(Kb%+j7cnS&qvow?#QDr`$f7HEo}$F1_=1$6dEqW=`8D z?(DU=*>T71kr~tWiQ9X3+`U#U{XTd1_LujjRY%XiU68+fZ}0is>b%Rv(erM9xRX{L z{Wf>Y_PaaJp3B|5{p!x%bGe(gAH8Sx-0a5fCwIP8MbEh%lb^k}_v^N+w?p!qi=$uV z=I1%@ef!1CeEZCMVO7#Eb93{Oi*L`m?UpaR*Y|Ah!tIUsrajws{<cm2>pi`nx1GIh zlJ8u6d**GUeB)y2XSvh2N8Ss2w(Znyjr`erd_S2PZSURbdnPv#rA>PLwp4!dp4^XS zM{f(|JMVe>!R+vDu6*S^xgX5*x0mkhJ)Ik!ceyBf+HIEn!$r{#avQe)y<7IwZ2#>w zcfy`-+jsj%-tFDK@6EKf`|k8Tl^dAXToipTw_>~P&bRN(cHe%Lw|IB%J2Um|C+~`t zOW(=O-@fzgHEZejIlDK%JU7icdj8FV^xboN&*xO9T{e!Mck{!UH0$WMIa@a0oq6_L z&gRWmXZD`U*|hoSIkR-L8#kYv`DPV8=VnZL_T1jmZC7uGq&FLb`b%lfbKjPjnQxwX zF3d{$WlnBdvhnR%H{H^O=lY(_S-83J+_bc9=Wp7izn;@uyzT5wlXPd}+cR$(r5hVd zKg*fEIr3as+O|_SHPUC#@hvhl+T1(S_e@S=8nf~3={J?qo9DbOG&_D%Dm{5lZlT%H zn?mW%bKVx19lptxt~@8Vz)XL0>CE2KInimCjiRUBWJy146#XElVe{X!WvOQSZ>~8L zmbz`<%^zvEXZz-xX>InM>3b?CFs<1r`d&`OX4{!>^UQYNe3iC%c5a@T`sR~o#muGe z<m7MOx%b*{srT8t*T391?RV7t>jm+<YkSXUSI1rc95wIyhdpV(quyq3S%0_k?78gC z>#tV!p3B~}{^&ll=cYHVKiTu`SJa&AG4a{8y<fLpy&e+Z{5k4Xc7B|5?b|P==Idwf z3;QMYGCMae`SYz=*WKcUYkkjVFI?ZaZ`!l1=datuzpm;1y!GsLlX&OPw`N{9iZ}i& z^(=e(`pA7@&$gbrt`R@G#`lw{(fZy>-!s{Xam=4@O~0-b-(2(dqv`SMQt`<(xgSlB zUKfgYu6g^x^ze1Ac;%Yh52pI-ODlU%XGh0f{uDLsI!pZFPf-uD8`l5bTlUm+|MfL{ z!k%v3cl}4)?P}lmrdsQLD}7I82gWsjin^CwvEH`w?K{)m*I&gguFidDs=of@Ua{{| zce3->?~GmYU1^W_&AlD(T#NKCJy+TxzH=|f{O&h9JLYvi*~u}l`$pW7Z%SLlcNPm; zi_hHaF}M4|&W<;(N%}_5l{Sb^ES`A1`^e6Y*RE0ehpHxC?OqYba?UkK|I;(272=kA zJ7#y!h+FbSX^D7dv7niFWbwp{-5qf*XI-82nW`q5i2vNv@!Zu)|4`+`v)vhSEN5NM z#i)E%O4DnqoOrrBB5uhUS1o;~%84htJ>pu<xE_mfIpcaLhUJXwz8IBHN)yB@_jEjV zmC`>{G4W`(Mx4q=r4Dh+Jsl5Sx%8bXCLZjr*y%C7yI|*uY26t+J*IVY#Ic-qWzuJ= zn7F??W@pEJ*EKOqPPzWlJ5)Y#Z}*GXmQ$|FVq8wSE{b6}<@!mlseGck_|DxOcU@oU zIh9Y`*=@12<BsbiJ*M)B+q-wHy|!EGeb(;PFV{`m9X0=ILHO?2-t$@2VV5^Y&Aa+x zP1^3Lw^>_O-;F$bE^G7ZtC79uvNo+gy3Q=!^v2aEYrgG@nsYTKJUh0xbnDftA>qxN zqh4j@hdIZ-EipA;J#$^yE~%GUxnaqhZ_T>u7A_p?dp2v~>c(}`(zc$zY7_oCrnh+O z*{deu&YN$|ylNC~yjkj5*7Vhp>%!8up1P_LK0C&@$kb?cZ=~;;ti&+p&9|msRSIv8 zd0S|D{Hj!Va!hWa>Cvk~;m$E{3rr7R<qB7h$t^I|UtJp6dpavR?DD3lX;)do4{wTk zkkzpI@7l6d)BRW1tO-lqy6@_bu-nnT`KDT{eItEOWd(*cZ;HB?Rk7MO@@<~!?yIlD z7DwminX0coxmIku)SayS)jNHclq>BKzB#w!olBAWrF5ko!aL`3%<p_NvtwT8lbIaz zI&b(bDO1`aywh0FT6pGMkGY)}W_G-BNm4gTSK1&v(RkwZ&LcBBUb{r8AF`TwwR43Z z%Q=@I^-pO^D}*iQcFgXa;kTqjX^C*Av7ni7r18XyogIEIXI-4snXD$72>+bZ@!Z8q z{gCCvvz-}!EN5NL`KS~trKvSpPCVTi;kV?Bi<Y{R<;0Vn9)2xnT#os;oN+ni!*a%D zpN~qB(gfkkIUSE(q|^^tOg!4D;ipol)FEs+r{kdum%5Y1#Dkp`Gd-qv7R)>`tute$ z$FxokKbF%jOzKP)6Zdz<%<Q=Dvc_l0DVJYrhs-DL?R??ea>`|ykIN~SMLsO2Tt2Ba znNL&~-Z{JDuFESmC-aFrJ1u5*+;MrN#$-Nmd*=?@CEpeI@ZYTMc;{Rsf9bj64*s3B z9P``XRCdg3e^SXYul<JIl5dJz_;-F5u;!mx>oK?eLS@Gr=OlTf=ZYKnCw`uAz5PgK z$7|;(`9r@ZTy0-r$8yd&NdD6^#TERPwH>qDXV@+IqPT=V^Rs{%f8^&07u!4RT+TW> z$us?$V8Z{irsKJ@mHeTf6VA40*s+{-K4+uySustn>F0#g?GbiM&NyqyJN=w+vfab3 z<&5(&8<#WAhiq8RIPbGj`J_03zp|#|v9px?p&t{Dwrkj_d{pe<x2)-S=*%VW^kc%o z_KHf6>FouTC#JP$RC-Kn=dfcr?aU<4^kc&Q_L$0!`_5}@mYj0_C3ooiguU%AY+Ft_ zFSBtu<-Ev-<&^U$xu)+E)cJQ-cieS;CFk^g!p?S!%8onEkK~xXPuSkRLw8BJ;vVjs zu^sQ6io`FaEAHUl8Ot%h^-W~Qyw)d?9P?Ul=q)Kz+`_$cvw$`C%vg`PtrsFY-Z&+R z8>K65;GVd7!u8f8ksYs{qQnpFnsBvsg&xZ}ry%i9X^JbjEn_=ox6aU8QlhwoJ9D#u z8F%F72^U*C^jyw5If*mvnqb2HGp6IYla=_PofFQsX6Uh;bvmb`QmmLJ*0giN>DCCn zC1;$p#GQ6dIN9o<*K)?`n2yUCr$ag{XPoxws1zwq;I546c<dx4erU&pqpccxDus$2 z+?FvN51qKgopww(*jf?kF}<}Q^2D^(j7X1ZtsHtRr=6I@nRZOr-x?Fyao=f;&XQA3 zzr+r0pRl*}g>K6!r)4@Wr<@k)u$*%GB-XTjf;#uk=#INiuf&|TPuSUN5!rFa>5&-I z_6gftcgQaJuCRywCg}doBK}Ly6?U-iwB?xJ{Km3lUh@-6j(N>D<d%F>*uuWESiqWn zrme@^<_ne`Zyb~Ojh-uPV4qk#;d=8C%Z}HMQT&IhCR}Y^A;)sgF^K=uGldoGmbM+U zn`g)^`J%9dJ+oNAj6Je=!o}teIhV7JPW((&6HM5D+H^d3wBkQhIpJ(`h8)XT$8$0& zpB2*hnkpxpZjO*!a>h}M->GuK$z~6^mNSmWWL(ZT9+F`><G4>o<&(k$_DY+M$Bt6` zhbkr<ZPt)e`KZvrZfVo;(2<MZsba#x<_b%X>CFX}C#E%LSb9ur=8$7K?a0K>R54+H zbBtxjeaAI2OHMid;yYA6VQ=#b*_Km|%Vb<mIWCf6Ipz3?uc>^3I{QxRj=PSp_?*fo z>}<BM?6~9jh>xj!!uIAJyi3Xz_AuX+?Re)<#C|DVVF&Y0S&sRQZzMbBH9nE#nAdoN zZ%LWL7UrGC0@lnkWj*FLUXbi~<B-H|l&-LWd7|-z>y1YwJ6=0Pu^+OUaJ6v-AImw1 zAofpb3M-f`Wjkg!&fr^8qOgQH(^$ZaInsE-#l{Xkm$ME|>`Yb@OqhSlbUb&kVn1X# z;cR0DAIn*Xb37`=3TbRjmJ?1lM(`~;<DkXvWI5qvqX%Eh8HZy$E@vDL@vxk6*vF$% zq%eWGQl{gvgB1HAiwQ>?HTYBt6*`zLWjY=@aIrgCOgPwBA?Y!_u|V>~w8jick7<n@ zd@QFOnAn*tChTvFk?gqdu!d*JDTiNdhs-DJZG6Goa>`*DkIN~CMLaC096qr%nNLt> z-YMO2*WneLllg?5jTVv}cN`wEF_}-;-nirM;_vc%{@?i9{?5MW|HbF>JO1zZ%RayU z&Cm9E^-q4X&#S-jZ}B(zE&q3X=C}So<FEVN`U^kX-`FSpH+(L?;s1os{nzV{{A_=1 zANBv>ul}p`EB-N`vk&_J@tORJ{}zASXV=g8xA=?vlK&Z>`OW@EeD1$k-|^4+ti98J z#$Wv=|9|{xe{OH}|KQL5v-KJOn9tgu`=k6>KJ8!Q&;HZ(5&ssSvDf<V__P0Hy~n@i zGxo>+IG?dU^oRM3{k}iSpX4X}ulUpc*k0=Y!5{rc>oxu<f0Xa|Z}F%7p*`1s#~=L% z>nnb`Pp>cdd3;)Z#!vTY^&J10Puny7XZ+E>zdq(?`+fU0e-@vz|Ml<S_x`>0Fa9>4 zvS0Sc`IP;lKg_4>KmBX`-mm_D$M5#L_OJdqe(&E|Z}GGJj{T#5jNkjW*Y9|{xLkhE z?;Cg9-`N#?znCt+<M)oc?DK2i+-#p$`{XA3yxJS@7MICy`MqN^zxD4KcirdKUbxx* z#xCi*VY>W=-xD_XU#~rKv;DPQ)c1qC`mffmc*lIsF6jHmH2D?3E$+6@uAT92af$qr z-x-_v&3;F0?!Q>u@y_|Iozr*5UHvA%f81$*ZfEuV;LiTDwHfc2&)S`Pqg*VX_N{Se z|LNL@cZ<*1X?=Ix*?+Ru<6ZL^yJK&h&)6M$!+geW-y7v3`3b)(?zBI)llp#eNB_}U zjd#k0@*Te|?zBI&<NEHnqyJ!S#ZC9=wFNhiPpi$i={~KN;~n#9JErf9JNoz6#@uYb zZ@1>n;!}3Nz8&1&zqj_q+vZbt%icJjvRm|q`IOzKZ;jjg)qn4}-G0~Z)i=lO{X1(d zZnodCd-RQQd;j*@9d{Rhm)rCG#@_aKwngtRK9}3^eaBw*`PFZBw$H16vXgyY^^JRr zzsYU+zN47m`umK%?sKaz>}-EyoAloBx!i{D6N>w<S0CBg{@OO`{lTjKtJN#+F`u&y zdjIj6+=}lOd)sGM&$zevi`<g$8O8i&-y@3qFIIQlb3SY9^q#S*-{kv`J?+nJt==E3 z>_1zbagX_|?YTS3pXJitHCFbYu8z33_>8UAd&kQDlhq#gn$OrCyW@Pu_Rt;XGq(Hg zD1VZh@V#PB`(s<F_XjKbk5+5kQ~oH|@!eui`$Jo<_l_0)2dgV~x=*hz*m-<fb;eHj zY1JI}m`~d>y=ScG-(MZGv;Ds9nmdb6+5UQWu)Ke7^^3dBr)-zqaXw|c=nnHK+fVNr z%lp;8@7Udb*Y?#r$MXK2)fPM3@7O+i$5`IKy?V#l#pQB)KHr$z{?4Z8`Ned(9iMm1 zWuIU9W@h`m$|p0~=T+V~x42Ah%jX@&{MMgm%ypkzd0}Sz8=Iu(hUsz}K2I?2zg~G{ zX8UWKsOJZ*`ma{5ILCa>Cg}OcG`SU@E#|h*uAFghaf#fL&l$%2W}hRB`!7~@oO3>F z<Mf=-s^8@Ek2&qnZLFRjwCq1ynQ@N!tj)PI%EfYN&l)ZJPgh2qTYSbw>$#(4|H(>^ zbIoULj-7EnV{_;X^BJ3cXOxTNCVZ}#)Be~->iI#7{-c!|=adWOIzC&>X@6+L_1w{- z|6pasO!w)P1v8INtIU|`KCP1D9P?=#rss?n{rf9pX13qAS#xIbDVtx<4x0Dxt$cB| z`IODFGtQ@M7M)=}W%KD-qj|sj=N+@#@7lb2=4jr(v(jQ_`yHD{<`7w^#1iyZF28 zp7%Fu+uvCi-M{!;cE|f2we0iD-&D5GD}PeSKCk@7zQx~Ux4hr+na}$Dj9T}(<rga3 z-&iNzH+(L;;r)cqeb>v6RJOmij=F#FSKrn075kXaSqI(!_)K=idyCrk+2u3#E&d|A z<bB3xKC|}`pZhMBckFXMYwdKO@mHV8`yVy!&#kTQAN<*Owmf4W^I7Y2dz3%Rrrm4& z*>}1;V&CF3)>`)+fA*a$_t@8b#`@SE=QGxa_AsBZ-nU2jlk9}|6*cXTt)=cC{Ly!` zTw|Z|N7;_|7B%e;t-0<y{^&bcUQy{jy}Y3E__XqjO805y9Q&A0TQl8f{L#0+Jf^b! zzV(_ti%(hqx_9t<-`?^Udz(*LFWcjM%6icr=2O<6?lpezQ-8msy8W*8t9y>$`*xOF zRJPx-esquVd*Al*9cvet%kFu7BewmWRnhf}>9RXs?}%leU-~ApeO~F4NcMT9H`XmK zlil)q$7Vk3*E3?>=aycGY=2{wblosrcEjrloBOVp9*Jy!Z54I>;I6)_r7PAkpR)?O z{xMB<#cPY$_SvN~)-5iPUGh3(GoRV(h|PT$OFPy%pS5zj&bX`3<n@o3_UBes*AMRO zJ6oEuj`^(Bxi!kgvT4^EclMnwjaaw%jFr}P$DMsAOFh;#pRqc&#`%oZp*75BtoE%@ zE|Q(_x+13iv6a;IgFE_;mTIh1E|l$fZ4uM{(2DE2<Bq<Ar4^Cx(@P5?k54Pjh;*M; z%CV05v=!5J#vOh8OJgG2?^~@|v-p(NuWJXl_w6lxv9|e?)v`6tr>qvOVLoN`>00CV zKK0i-qTBCUy}IVOy>Dl!MP&OOt4G%uxA$!?-7$CZcbPrUZ`iiKvn)D)@wv>7=R0iK z=NG@RY@b*B#FBkp@r`+lzsYQQzN47W`uPl7_qoLvEZg5$CY?8YF0<kJgyO#I#YZgL zUt30<KUmdwwRpum=5v-o=RZD^S@GP$wtaT-4A5N@OP*&G^O-%5DDJyh+%eDjtfkX= z#;QJ(=Ra)PpIcg;KUmp!wm4%R^I6MtbCf^Jq@8Q5>^of?F>mo1ORe*cm3=3RJ?1r^ zu{<`%`HbbEIm~A)_svoMBs1Z8g-!coOR4h*EBcNWYs^#rDAV!W!lwP9CD(b!ioS!z z6_)PPiwi7|Pb<!_be~qtF^~DQCDVDvioX5DF_!K3E!WIhe9H3Ixr60>dy8MpZ9ZkW zY>x9O%SCgTPg#CC*I3@C{(OgZ`(4Xd=N!xXb{1P$w%@UQbdIsSZ+r2M-o@oIdmi7A zZGUG`bo^qv%#O!9WZCBzzL9L7SNKGdeO}>>zQtuSTORK)=Cgi0L)Lw6;RVU|Hx^09 z4bx>dJf2|OcfIh4WczE2sN)B%`mPqP=wm)-5p?`xn#_vF7P9TL3up8#E|FRCIK!CF z>~Vx~-^Id?KIgL*PRALo`b-}GkZFHzVRihVW#8Gtj6UYG7Uz1Di)GS|HCpzaE{y0~ ze8xiSxT9s?$wH65<}((@dYsQ#9O_{{W3jJCxkzTh;|iJf#}-n@4_fpcE!5~!E|lqb zY$4PB(1Po@qeb7r!U{?E>4gQ7$EOu$NV-oe<mh8QZNYS$(V}mEVT@$^eTy|ci%(hn zI(E>!Z*Sp?-sV#l%X*woSuE;dK4tOgSfhEL`r{qa?RPC+9dk7A+gWHK*?!03(J@Bz zzU_rOY8QW(-gE!P-?n$=Mf)#4m)>!I$6vPj`EP!<&C7rClWku9jk?9(q_^DP@tN29 z{*1qFbMr6!Y<pv#wBPW#^oIKrKKEYFKk~EfwRzP3gTH#O=C7z@K4%`Z|Kl_1756Rv zw$09;QMdSu^pg7-pLxyhM||$RnBP(7eAe7)KjW`nllwpZv^_Vs+JEq8@7er}I_9(H z=W3KcOQ-E?{MmatKca5&8FQ`ujz4=(=6lpNpD{mH<9x>aP!01L^L;hSpQI<;ulUpU z*j#G=!5_Ux^EK*}KT3DpxA@cc(41?(<B#5h`4vCirso&@JT@&q<EPuSe2zNi)8<V3 z8GrQd&yV@pcHewW&Eiw$zxEyc-n%#dMQ!sb^JO*8r_2}CFrPC2w6F1duloHRzuWGb zzuM>cy?1B6#m}}o=8yI<e(&9$zaw{Xx%8gfH}1B*Gb`GDF<pAc?Hza7=I6e-*)}it z$xXI-xi|6_mq~BAy<;=4_3asV-R9<AxY_o`ENQ!8y7Y$I6E^o=&pmRp?X_9d_Jg~6 zuja1EV?JjVwEbh6^orXSciU#?&d6I_BE95x#%5l#+Yy_4FXndSIiEFi+RnJE*W~t( zJ8jR+thOKA*?TrOBaiv4*|{9$V(GMPji5p3h`hyT%(S*U?(998>yg)d#_U**^BJ>4 zIm~Cw_T?xSNl&<4ai{IEnbh`!J9>}iYUC*wN_X70xYPE~jBC5&j^2a06*t|c=N8;N zHZ3>frrWe!jy&emW=z`|cl7Shjk(!&-)v3J;!|e7wjJEwyEpemZu2R#WjW5L%ogP^ zpECQjt#Ny=`t2RJ+wPjZ+UB^ucW17}&9*yckG3&x@7<oeL)PIv;|I9|?-*amIlN>1 zV##oad50~79s7po3}0j%<}n{AX4u9aP|dJ~z2G^+8<_)d7_V3|Sg|WqGi+oxc+QZ( z?{JQBkDS9C<^tP>Ys?9@4Of{1Y#A)rCsZ-y^D%s7@Z&#lmT`uh!%M~qat^bZ6N(wK z`4YY`xbQoiWo(gSc)_^Dvf(`Q2b+d-%nxiD&N5%HVK8AYc*bDFf8Y#bg4}_pj1h7U zGnrS|Fq~qZVZ(5eS)rIAna|-2V~Zt&0sDui3`+bApBUu$4}4^h;&1rKAja?TkwJ)` z;Ufbd|A7w-T>K3m7})p^oMtSsWYA;Z@RVVTjKef$0UHJ#c7Y0p2KEo-4B>nS-ZQL` zahS^d!kS?p^9^f;z03=W7=rm6PBFSzGH9?*C}*f(|L}z29p8a>46pba-Z4DmJ8+Wm zgsj6I#sjhkZZqzPUHn~Y&-EL7+uoTLt-ttOYRB~*d)ek^zuDO~FZ;<(wt3k%;ue3C z+H!qIF|YOY8GGI4W?$IZ_Qo`6z2S4I4c8|W_g>FFva{{AY1I0IRlQfUSHv-&GYwk* z@tM?$>lS<4W@pccTl__8$@Pq4UbE{F#l07^JK~(rnmVm#tm-wn{$o$ub5pDJ2P=Ee zW@p4PpEW%fqx@MaZCxX1&^jV+@flOC^^TRjC$l}`n$MUXi*Y_<dMJkZjOo4@<xf%* zu2<}7du%GT{$NG#(QJ)4<&RPw*Ddz6Jv8N7?^w}$FuP)>+w|;$oyVqSXY6#Fmdz2z zeA<+0J!3`h{_L2YZTC&r#4J8#`fJ_6^4`7KFJhZdnJ$ZQK4rQnhWV7~r*)0xz3SI@ z>~6bj`f8nHdGF3_i=AzEOdqXdEbrZ(y~B5LxzwJ^H|DmzGbvhrF<olM<sEa`=4ZZ{ z*)}ip$xOC+nK%3vmq~58yu+B+`tppqZgVp)%xrsOlC<0~U24PS3C6wGGmp${du<Z6 z{Ge6u)yx%s%;!vkmVZo>T5;K8Zrkk48GegPq?TOHFy=M89AVshF|)(Z`K*c4az?9O zlgmHmv^_VmT7J;7_iSc{AM;t0b3V$&QfbQ?EqhOAM))m0W1_X((X#hsriWki8Ixl^ z&Sy*x`7oa`+2^BNBsJl3#hkXsCQ{1}TJ#>x)bLX-l<K%_F{kaJ3D<H*i{68o6*JwY zXBNynHZ3z_rrWek4nOA8CQQp2EqeE7#>{NHZ?eW`@hOvE%MP0N?#+DR+kDDonUC`+ zlSMwvr%XOAYc%gwzr161+g+1a%N)&ncV=45Y`bIfXc?n<@Ak|cbFWoPz0cge{N=o9 z)lu^=7x?eC?LD7a?RU92YTo4!bJD7#-ezuDe%JEsxy;SWuUht=%iOg5=sdIMCO0lW zne(kGYR=^t|7_dduUoEO4)Jd;j(U}u@8@j$_KS)6@|p9(s-#|K=K3WU-<oyV&0pBo z_iX0E<&E>EJ==2rvW@?1o8He`&R#b0cP_p)^Rkh@ak131%<0P`=Y>7na_X{%|7;uI zPbNmodo6v>WG4DC7vGwGS;@cI=Iuw5<CmrUlWlT8njF0><nL_r_Jhgc%Uu4-Hn|^6 z^p}@{f;`&qa#7T@%Pjtfi=rN6HZ1=;x9q9O{>y9TggxD|@A417+t$ACO|+K#TKb;K z4D@R*in^CsvE0`3?K_j*mtXlUw$6QLqQ3m(T(NSgJDK^*clKVhmU^GDd-2P@Y1UEm zFBZ7(mhC;CQSElwIBMR-4?SttQExN0EWRsw_FTs1#aAVJ&t+^{e6-Ij-Q>o_Cq3V+ zqUKzTanF|RE!}eUVu*XQan!4fd^cy=w<RX#i)Z$QSxLRj$aPCLzBTKjo4c^A@7aun ziyQl<rENKX(Z>C?OmFd)vlmU=osDnJylCWZY%KLGWBTIAzOb|{r!H!^&zA8mGBH}* zE9rYCBhii7_}27`O76`vZwpP1UzBoBmdPzNIeJmZ-C5>sfyv>ET<*#;xdkTri%TVY zPiI8CT{eoEc9F&Xuu;^5jE2R3d&^Qy_Fr7n6PCJV-^Cwpx21jaO|%yKO8TD42y|;U zin^CkvDjAfZJx>Qi?7@kOXud9s4qU*D`qZrCnJCHPTR%bCHI`aQQP*;xM=>x=aM_l z@2F*)pZ=z@ZC?75O163FH|!RFliYHC$7dev^D}DQ=B8h$Y<pvzG~e*K<c9MTKKEQt zKT_HD+Bj<d!CyTcB`a8tN_sG>DH?Tr5O6SRNa=Cz(wu6-!*E&1!$CoTgRSH8s;##f zMHtv02iS#7I-cS==ZFIrljahJAO;Qw#)*s!0t_KS>`a{1M^poKE1qp}s!`0({kGaE zRBc+JP$YA<(20OUZ`#ivJ;-ShsW$7&6Jxg8<XKCa|94zyPy5QYF=Z~lrX7ctmh;jp zXWu+jh(54<zV}jl#`aK_o~1hz!vnuM**sYAS8$%1N70+tLRWQ<O*9Nm|K>jTe_6&2 zk<OE0jGLcMIH@*6*NTx}_`}*C?m}X(KQtd{U=}b;^@=c+w9h)^waUA_Z2F%kyR=_z z%35XQ$lS+adcLmOo%Q*xz6UeTY}wPA;o$JYes;*hqQLfz!M{Hk2YnSk$`<xcCQv-l zP@rbXikt@P_G?T|3p6FqIw|<-1kAoB|3vIdn$MAoX>GTZ`lCcQDon4`I#8rp$#jHq zPv=dZt;x|HTW^L5U3Scud_Cow<Q&F`H6JZkFt~6#i)QBQtDX~C%u%<d@$1^jzSl$6 zPiZhI`mpcKHg3mQvn0`7r-Noi6{d4N3S-Jlds4c&n5EEDAYcE$!2}nj&o$FLtT>Kl zTW)VZzKwmsjOP&-(r=Z&J~wA^Yxsrg=ckpHZ#yvMl#R(Y#&=2;C)IPja{{jA<?dAT zGht_b=(@)Dg}|@Wtq*0A<h;uM@<&f8T6TBCBa;&>nc9<Ob2SoUpB%ZcbAQX^HL9Qf zZWeSdEZF2S|MrVRhg>FZ-ubQh-kLeQr{_c*d0oKXUAp$!oF`EQ)9%hI+cC$taN&h| z@0^9k#)ocJtlW4fCu2>MOI-TqgL@B5V>ukQ@mS7_p6ib+JZ>o6zav&ZZSAz8Z2d5^ z8wbp;uVdcH*LCqto|E5=Q0-p5%N5!eHvZ*kyH?GS#`1(eeq&+J3GwQeh4RnyT(7d* zzc1yAUy&96EHSxc^~~srg?2N(2lgjb*WBRxds4=%WuB>QU#qWPS;uF4`K7(xc4vDN zCdXYl_>A*L1E0tHA6X{pvO8;eBX4S%7D$U&E1giR^!PIG`t^V>i-kUz%H6J6v!|`= zufaX3dU<*AsJ|J!Tl#GFiW+WS^6y_}*WLW6=INb`+vZ)nA#lS-iYqGFM8}!?`i}gL zXx0+DJ5k;*eRKo;t(lh1mFm$y;8ybWoYg+LjpE&ZJQngO{hP|3JMGWyNxvGhWBBiV z{1s|<l`Z}(??UDfolDh|`kowGbHrP5%bTQGlmEt6#h&){KlHn;n{#{QoEHL#im$#3 zE$wN1^m2Knf%@-D^9?*^9no!i7!ok8$J?w#z-Us`#Jj9KrnNUy4<y}x5|v}iFTOjt zs=KzlO6%TJ(_`<{PX=ExmE*sDlzCyVjOX*{PNfa=VxH}um%$LFd0MV`PSB#d{1m&N z(s}c@2E{l|Qu`g!@ufVWK=}XUXYFMSPX*te%6~d_?V7?3B5ltOW=vkDkQ$<t@yBSo z{n1<*NwX7G)1*p_as{}L`Cizc-7t0KCA~R^qOxS(JXp)5Y*sUyO=ymo8*6Uqn#?Vc zT}$H*H7|2Lm)BFVRdMpP`WFuSeb_DD?eAIT-QUUKyw;d$Yf+7uz^onD*mf^`&-~Ww zz0SF?{{ep%+Y34^DRwqdeK?_XgVVOa_(U@u#-lryI|d!T=+wfoicjkB^KGrawE3jM zzS>*dxqeQNXVGT<eUl%5Sih6sb<@)K3hH*wiP~Ix)vS`&yj)+aHm~5+J7%1__3b=I ztw)UU54SnZbE?>SKTMQsUgJiupN>zjmTuPHpYwEuw2g#%;phAZLXvM?y86|&2wvN` zw=J?LL_V==`6}}p?{}TG+R+`Fa;QJGXhWLM#|3W;>lf;^Yp?~|{JClIvt`2L`dJH) zJWeg05f<;R$93N#yq$fk%%2JsZpRs_?wh6*3)z^=`Fol%==hyQLN;zE6LnWyy;!{1 z@_=+?sleUq_X8YlpELw@{lD?UIQf;p&#Ye_%o`_WPkA}f`S>e=BaeH!%q^92)-K(D zP~Y9%Ebi)sC2J#I8$Ny-B)M9uZMxh7zS`pIw0QnUAAj{(NBz09&Cj$&GHVjkmLe|W z{FS;9S1k%VET3_^-JLRb#=pe!%AXH47<49R&2ty~z~tBPS5)O|$tT~9R|_3~Xr%m1 zwSRd<V_K`}z8f1i^lT~UzB_U5{&b5!tNx!^vu<;izRuLW&Zad2*IMuEwx)8Pu5fJ^ z%K4u;BeKDZ?KW3vz&XKHCRq-j0)L;rX0t|8yx?ugrB7L3b_bjOJ-IJwqTc1)ZqBJu zT`PZ8Sz1_YSqWbIk?%ieZPNT~lP;%?=icoqJKG!I7|FfqR!rAV13ifeyldUggeXL3 zIHYH1ojb+Hy(#;&-HR*xgdZ@jzxb>1!!M)ajF3A56?sxgw;6xSEb&jO;rsdX$FJWD z<mP+r+qy4UlJSbvLaDy{dPVck{`~mTz43tJi5U@^_r4}FMY*^Y`QB(Qa+o0H%e5rs zlgSlNmr14hds26*ed@1SQxl&zN7Cx~@sBlLQ|8UQF`-z1Y3@eD&JzxMnoKVqHJr<{ z=o<SkH7i-O-o=-c(@hFad)$*%=RU6=XX3EMx=6`HZ_g2<gfEW@mdv;;`*-<+{*uYn zPyX@gJU-2|P^dWWHJ@nUE;WIfecNBkzUX5Vcs*a(QZ4O>;N=Kkrd_Mbzg(PKRn<M; z?yb)BNxy6Fh<PzcP1g8T`RkL*zjB*nPOILh-CKQed4A!(y!&(B|6A}mbBk8;M}<_0 zwC`~R#(%%b`AIyP$~#}(qBw1}nfdd%H_PJAo{P-ldvWM1&*yV%7DV>VJ8t?Vrs~n@ z^yhbsotGuH?KE3{>TG3nUG9nX2Q1c4>EizJtoFKLZ)bp$sp=*h@3KXGbIx1U?=2`2 zTfA#kPHj2c3bUh)YuI|WzFfD#IIDxh|KhUrIQgs%i97sS4c<MhJoJU@criozF2Qx1 zWf#o)x9#gQ#+xtavwoAz^NGIB7cu8$aCG|$#!JhRAC~-Iymgxg*TsM0(YycIHl{FL zT{1WC=DTJ0zY57tZLJCUxw_s{C}diVN|j>Xgeg8{#ZMeNmYsSQG~YojFKF)S8B9yX z8NX>?3^LgNRPgxrg4Nqh6I9g<-6n5+^5wHa;mNJ*J=}ArFLAB<&r%SV@@7Mfj~}CD z%u`8S4m+lfZr95los~~?j#uus|FBH`-rB`?-nwm@?e~3GY1aPA-IpHc?V6W&*Q9*+ z!_t*^OP<}bVoA8YS0v~1-L*SK59vzoUvY^0R>UWnzThbn)IO>OE}U>6_cl|=%6S)* zKPxyGSQ>AhxL8eTuEok0LFf7>3r^1XRGz!x|HR~jdi{-peI9j%&yQqn6j>^zJ;gOI z^-R{nI~(m8XWiL2LCaZ7++R0B)?oJ$=V?ic<OGT~TL+l`u-V7UoxFO7N(8?o^Yjfy z45lAc9<6aoIKiLFZe92B;I#Iu&iQH*d<za~yqf;xuvp*ypL-Z4D6_}$@8?rKEW$j~ zG1u77*J0;8$H{D6Gdz~Jv9~*^tq|PK)V?5kgPP2~&iQ#9>U(ZYj-MA8zWe-{IZ-@* z*CH+Ta+u;6jxRmwqPJG8E2dh%h}GkXm$Ah}@86}$)3ubR>;87tTgRz9Rr8SdrCY_9 zSi`?f+#{2_-FN5BGkYgTzpTwwZjhLNVru*;eb3!-ZaMLLX8bAI@-1Lfit+20XTtKO z#6ARGcwx8js7h`gd)_rQp|~>_A5D|^p3xQ;l(*SmYx{S<u$;wH%@RF#%dN<>xN$Km zd`slnbN!oF&vt!w%#|zR5Yv^~uTfKO3NIJm^y=@@eflfjTdcS@?PAdNs4L#FuYPR% zUMK1sVQhQr>hls)^=j$P(DM<m{F2KJZWgc6Zohl&hV|uLp1Zv-e7!Wa`{q-*#jpQ1 zlx!@q&*`XIc;)wnFODB$)b?Jzq#ZTWPiJpb>C%72e;J?8UUdD|i~p15jN`U`uU%QL zp0J+%lDYcc^uT=MA3Hy#y*zqs-|BhxdlOk23U!~){VsO3cl(v+TW#B4F0A=t#(DoO z_tmM+uAPoD%~N(&FL;?V-TU1+mANac|9pHAoho~E=XJgXRh(XPEoTHx^>|vc@Zw#M zFf*%<UA$M<ZV58~6<S|*>9X6`uAg4s6TUGuzxu`(v-0@*PrtXZm7HcgU^wBjo0LHz z!+S{%mLP`HmX<%aF0(3MWcq@oF^DT9fh+IQS*|bVj@(|~ZoR~zFURcH;+uaL9?Z{w zq|aY%rphS8^;MYR)wPN2G11KHCmu{!Dp(~LdeZ&G-T0UVlbeOTHm=`#>g2A+TP~cR zJ9UNQg1&vdyW=cRRK;(6A8l6ijB(=WJtu|E{i<P@x9gRcY0C3EuY#VIaTt_d@eMDN z4V*VYIc@LBS*ChFT=v~#`L)>g-I=pf7k2GBxODqEt6g*AR>UohGi9h)mp4Do@0YBT zb7k8rJLgrwf$joVxw+20E?k=9a3%Jm<Sk>t?`(p7uO1({9dL!$_f_{Rvmd@jFE5{c zuqf`YQ`@e6Yb|2mJ#XD(JMZPO<*yw&pEzkO|NOf$pR?lidFh#UI(vIRF414U{Bg_t zP0xQ_zCG`}$oT{O$8UWODQWrgZi1;w)j>|ZQkRRSnL<}wx~|5Bi7sV$vucic>F)D- zFLmyw$}f@le8@}d*TgcdJMlJmjHkYyF<WQ$cAeu_^Y2RE=FX3u`Bm@lmzW*9Rz3Us z?V7Y#tdVTXE44LN!dojo`tvWAWAK}P;tSWWFLKL+7gh!4+gj_CPWyK2-<L1mb2ImT ze_47p@zQG1uY2;BU+FB^_sqz4!@i|{f9>`Pn*LAQ)jK2Tx#HYSulio?<q6p?5FYz* z>9i`Ij<0potqkW%uU<EmDdhd06~{OGKU!P<HRzS)w<_My>ct0w?)$v15{mmI(>f>b z&MwbIc~P%QbzZsI@4kIW&Og4Z`gZ(%hu(Ghc^hvrFWjZ!6#un7LSKL9u7~GZgY>m` zU6+oW{pCl{`IWC(&c}K04{i-g4}5R`oBcK84bcP@0gEZS>h)jsdc{WgE`7(Gb!_Ro z`75Sx<X@{a?{6*p%zV{_W^8`-UUMHUs*70JF3WCu(BdPzm#v|V{-!OT4Z;*u(?2tJ zes;7=`~OmSx%w{Q`R^E(Z{Pl%vrbDQ+x*uIe|<T>a@PvSrFr!~?%Y&i|FFhyZQcc@ zE>^d9IVrK4IckEP*^jJQq^9Lcn;h{qEe>AuXJX_@mwibbE?P&mp8hoKymWQO8R=%R z)irtkOW#&bJK??}B3+nA$Ad#+ZQQqAfz})SocxX*Y1(o&?nNG3%%j}fHs)IUlkXIL zd0Ksjz4`EM`+~IE+H;4lJY8^a(!GvYeT8)1Ru?bbXIr`X>R%rAd%V^wpj2zeWX9Rv z|F29AV++yjWP0G5d#X#oL0dywxl_}!ZR#q9;(v4Qx*bfPCCj#~%6tF0NX98$Q@Jiq zP*C`(s~+ERVb8-08=2Zd53{aMSY0;HBlYlu?A5)y#AeO7b>rXi7n%m=N|;g_Jk4)M zPF-N?*pn5>$d$srG;~SHyaslkTZb3$r7xd*$wsDP+m~AkS}yA<mrEJztzWUtrPJA> z|J)q6hpguX7ch&guR3km;-Y1pB@nyoaVSH3<;*8h3amAC_92b-^(%EIF69W{$u0AE zxwWuwzNh9xMV0T<Rw_CgPdhv5c9t=Z&@%65qT)A&PqiAdM`ui#^|44QN_KVq5{CHC zH~l0(6mER)GII&1LiCRR?fKb>{b9M&MVgndHePb`1m~mFUDq?j<$I-XXij=_YP%r+ zVO^sai*Mx=K34b>xu<M*^=<L9QU!|aZY&L_((B%bPjub;NTl4$b7#U+{$69Y%k>Qz z&#rpxEbQN<?{%+OAy1<HTgAk)v6Y4!9paK)vv_?^zE6nwyS>!qLDhnuiLtvQ1Pg_8 z`MIZF)Cvn}w9;|g9@WAsJlF45QrSwa@9xicKc08u?B%!bj_kN9!@60N=}1ZN-@m1o zz0T^EJo<OT^5fKkjtk|px-QjNPk76gwp9NA))hAYtCp$oFPyht=2t`CQhPOt&DvKc z#r?he=*k2q(SLtrgAE#9r+nP_D~fZn-^8w0sk1}OBz=GUx*lM@>L06Z@0yDn%rcd1 zmT}Z47M2T!-V91SIi<RG(o;F<rKa!Jyp-RZ_V0yHmaWQLt#iB2z17H3SrVe+8gJPV zG-Lg>Bc8#Fv`=L!$U5a$Oqy9)q+;{jt42<a&FK3w;T2)t%1ys#P3|``i}~<%xt#m? zb6?co`9>Ql^8B9el^fBucGiQ5M;0pDJvGWZb4&fB^){Bp@0UfX+zc*uxUyl2$lp4q zC5<x=_^-cnJS1&}>z?=5n*Xo+WRXxTJ9%r)6rPj6C+6hne)5^MUPF5K!_IYifh(7W ziv-p(*lMaDS;#-*<K1SN`Z=50_J>tGecv`C$-&HRQAR$;M&k(Kmb5o+N$WoZT;HLv zAZ?@Z8oLRNOG8C6Y@Zx8zVhwNrOpoxHmimHeVG;i&40q0x@GoZK9?s-ubkB}N%F)^ zCPyu%P$Lg(gTnR2-F-)m=bpKgW5{OKvDA9nB$b&4`KRBzE>6%qQt9cmq`o3WMb7Wh z&WRab0g)kmip(LVQ=MGhZ?`(#I+V~As=FkiRIgQ#+xKyZ|AHrNk~QA0E2oyMVy~CG zT4FJ2j-j2R*|Pi(3JQ+4f$=d@&oob92>#W%NWXkxhOn}4Txx^e6_*7Ity5=POydx` zTCm^!;$v0AzT6+WmmiyFIrcwJl*=)GBHVr5qtj1BG08~!pUEDVO-3>crHslBOxjjs zS0=u({=wu;QzVYcxv?+)vPyH>&dG&Ov=?{yi$tm)ne)?Y)(SbpkC_&F6OSZMS-H6C z;-<Nu4qK~c1$<nSm%4~)Nt{<bi&py760b`W-!1smS$T<9f2q6szAH<v{M+8S;N5w( z_cu-{Pg#`1e4uNAL7=oILzKt|)=641j9JQ0^iMc(i*Rn~C|&le-1gJoUN!@(j}x3a zf}^MZRMFbnY{Oc*rDBG^yI!88Wwe+6QkPTnR>-*8uUr=A%iN=3crC#zzT{m}z2-;% zkm96k(|@U3?KtW*^~nRpD~TJHd~D;8-e+{{>;?wI<W7&{flEZAC%(633vBY2x*eNe zC$KoHoLgI@`mn-{&ILQ(hj;JV8ORWjxzu3!{)rnbYz0E*v#zT+dQ2!lK%(vG66V=| zS#N}XTdX=QIecR6qrPPEm^n|>cpuCV*;i9p-`t_&T)NWup~a!#1t%;Il{G57Sje;S z_Dp6a*LR0+eyTn;d+V086lu@REjdcM-a^xaw1kVC)wFw8o9+4eWCl;q+^@Pbr7uV? zFXXAWl_@{0Ag*4$W7BQ_i8GcO%NJaK;V-##{oPkQ<r@PW6t_!1G23Y&*(xIPid%Nw zsu~L!*UeUU?P6B(L<RKNy{X&HeOxU+_J(enr{CF!Hx6t(={AMW&o}ST%9CCJ$HbP^ z*=%4}kLOJ@;fi{iyV>Sl*@D8v2sNv`%*OROpB{CtPMOiS=JVmhktUxmXbOCHii^K{ z@7|~O<ehtV)_j{*`Cw<N=y}~@gH;8aCY<Mr>CBqQt=N)yF+al1yQSyJsdqd0XRGS1 z&8&Hp|MA$`9c*?dlI_bT@3>u)|K`Glb#na^oH;N3_;Dj;OP;>L?;FmOqu;QmmFxSm zRr^m!jhU*j+f`|S^wW!ZCVt`1IQH~>uX@<NxTa`RkU{mwlP5LqU01$8r{;>oW0MH! z6q9pz*ml+iz0Ld{t1PXzQoUK`tzMyd*H4#}yAv8ccWs(|a$>@y88vVB6^a+U^mul; zaQ-pPdB(F>d}`nced<5ee&^a#%tpId&(EA(ac+*t@{Qg5-}K*&D(}zDFke*rc3WY% z$I0&Gwe63&p8B3En%pklHF@{ERW${l+P?Zfmab=?zwXvw+q^vwoR(?N*0B7w=tcJS zIgg_kghyVl@VM4~x9N!Z?&=jY)YfPUFU*X2lz%0Xx2D)=(ynj4p|N`lzrSoxbaMOj zu-E+B;lSl)|B5Om=bvjmxO}Ht{f#-?GcBJx)g`?yIeP!m^}IFO)~~xwTKaZZ-g#TF zC7MaVdWwqOi4;SDrUMPf?EXC~Hx~%cwq3+pR?hX}YxbO=M#X$fh2*_I>Xtrg$SHAH z#^~&}^1y_JAL5hO><`yh(wrQfKC_2w+DRAgB5U0f&Sx7$S6+UbTUy?83FK%wqqxAy z*RMShC}7)sb7n!)&)4hj%+>jt7ULbGH7ViPm1b3+Gwr+N<4<_pv!C_SWqEY{>U}On ziQgye4mlnaV6LcaYW|PUPWJ6Ih9lP>Th9!+UUB^Xs@>A}QexibX>C+CG5gK^C2f1> z?f~&mPCxIRy_GTV$A;TH9IxL^Wm}@M&Zuqc_r%Sw+ZX3>rpqkrn{h{?Cdy3myv3YU z#hxM?sdY>dfsgX8Oug&-=)@}%!}$~D&N94zX8Bcy+>rP07A&&qE&jv%YeTi)uX{n0 zFB*u;?d{AeJajT+ntj%%9{bFq*=qczrK=7d?oE8p!r~;`nR?>LvYb<GeXm!%S(AUV zZGFUYlNP(0;61l;*`2R_e6qP@>ut;BPGO&(O>R6e;j%i9<&lWxn*X*HT17Po=&zdK z#8uQ6*O+<b>h@zV@6F*!%J<8=J$v%SeWo|hZc<xZRGt5c(}u06Yw=xf8CP+Ow74H3 zPLH?v3%q%EWvbD?cglsDw=5X<vS;l1Ht)x`rxQ2d;9P#e;_Tu{p?6XjZ%;{>v@h%A z3R&ICtCuI8>|=VFdh$I}@9BS8J2pkPUhH`i8>@E6v}|kj>MXrAnR?g1o^&~4*IWIE zwd%HSYgweP?8O}$tc4}7>zT97?+etb-<%a6xI(k{_`k5&O81wiRf^^3?9fUH(b=?M z>%7V(@BQM6Ogh)T_q#uLkIIbZUo6EF3ndQCUt_*^-?BhA_B4Tyf1XUfxNm>t`t_Mt zF8C*}+aLX2_VnVrD(}p+4z`|c%Fq(MZm%3(B%gAnb64$+HEc^1xt)2w=zKh+_K3Cj z<iBf~Ro;&?$^#~5UAK6<{=&Ukf0Fur|F!G7yvjT`<7m^HZLgWygbz&UUU#kb<&)z| z>Sg>hJ8~J=Z1zZ&-&w=EaC@4$Tcnw!XU3dEXHS~{vy;6k`*cU7wT0!*<AvoL56?U1 z_Ajn>uJLszH@TbFGJoCDeI5~Bwnh4d?XRzejFpaGlFOw;o&_^W`9D1HeM_X`;%Aqq zm8M*soiuH|qid|x`sgk5LaeRYzCOFxe|BGBrGpvc7qMQB2yVf4tDPIH{|YbvD7aSt z`aS*WUtUh0EtQ+_^znzXUKXn##|%Y`dga}lSNu8YdQ4DC{loMZUEU{Ncqfb2|7QE# zvpemV*@?T`9o;^D$(_)eKC!krlEu1YlR%uN`<ug$1*M&nwccHPXJL@CjQQh^4c0TS z7@qNvJ3m+ToN2<8!;23`uDAAzFH^6)+;C+LhyA}viB+4cPftC2Pon0M^W6N6n{yxZ z?A#E!Z275!H)kBX&lkS^U7O{vTe==cE}vd;`(m1?Mc%fRElwFud=t-IkZ_-}`Rua5 z7x9lb@0Z!PWWt?{2hFLMH(p*?oY%akRB=83Ch<A5&y=aK&V0LObJNaaY4tPx%YN^; zz30O97|x$3Zc4x3_}G|l#ogO!nRj@Ting_$d6?f@`zQBN=)u#cv&)@jUWTcjUa|Yv zqRU@?I_90O67=s_U-l;D$;8N8io)Nr=X@(vNS$Jub6RN9m#gjS-d_tRH2<<V;ktVI zF_V8~KR&dI7ya5c`%MPdBIVuR-+j5!wmx*Z=|7`~ESovEacQ0Kb}vhB6h6ao;^YgF z9hR1d&z^L#u=3qKV}kFL2@CiAQP8hkwI{%3(`DuQtx^n%&88n5=lv2q-fOX7NycNA zFWcs}{dKk4q`x>);)G)r&yz)=r3YH}EN<CTv)THcQ^~vR&8L^y_OAWc7r5)y6So7Z z+BdcJ^_^v3v<sfRm^xW%sbTMaNB`a46&0@)<>qpER?S}2dwHgNT};#AH`&`y9c(;i z_Rq}TXW!cZcjXlxrVfv<>{QE7td(q=@<c=4F0wLpvU1{!%2y}7gcHupoG{h*i4y~3 z%bFJ9Grs@wlnc+y@e+Jxd1`0E+_kNZmc79iyqkLW7vw&;k+Ox4uX)X?34!b(Cw8n~ zdU?i?`|+jwIF8k9TClNy|Jmg)q&a84)xKcN_|x|CjP4_oGc;y$&zw`R>DEPs^f@t0 z76uE|Z{D$DLTrTc3#Yq!5!yxSDVyYz7pTuUsdG=&tmIDbKg-F18t-QnU2VHCGsnH` zwm_9(+tVWoZ`WP8{LXy2lt^f~Yt76jZo>YdQ}*!gzWwA#gN`n%phOr~q*v>lQYW|9 zZYN$$u@w6x_38gc)`q98NejYvom<8k`<CtSuFaD!?h<3IUd$<$so8NO;)MCLFHfG$ z;d0sWEaXINk#dSincj_c8afOcZ2B6V92d&umht|4e$w9UM0E2^o_G1%dQY|br1(FR z`n`Me1mj8Z<=cDq-0*#(7tSyv$@#^d%V`Ve+<e3H=yIM?x%-}l!BIlxllR}|p1H<< z*{*1HXSezKPu6WWpXu7a`!si8?8HgC>tdWI?LB+a_1?Xd60h!mD;2ii&4`;C$kKkz zw$tX*aj~wa`O{oJd7Zm-IwrjD=E0|HcARl-`xiO6Tc&k&x8m;;;f*^=zfWA8B3WvX zq8e29XGQ6rS*Cv`WmVsvYr3Yp(SO=5=S1fhXWogsl$XtX8*%pV+$l0?(*t+yPIr6V zms93ul<%!J>H74Y$L^KY-@Uu5I&IS`Uiov5c3+=tQ_7x`b-`X#Wc!9gS`PmsCK&%Z z&Sc`ByyfzjwI93pyXK$ob4vJTXFZ9x;H9^Uzi5cZBYTsUs0SNYZ7p{_RbzE3bI-T$ zwbf-}Z}s>JIQ15%>V<%+rnts{{5;RaX&Et3-d`;=zY(jGJjb}%=kfb(MTwi=3UudP zP+xy^!hPj@o?CmLF6V!|#qh|4$z}Ov0Y<`Jby<P>*3;srO_&_>cA=hHilD>XWu*@C z(*m6G*59kmExYOR#`tg>^T!_(PF(+z>C|WR$#mUE^Aks7#X7B8Uae)d>sqvPL*#Ph zD2Mk&t)Clq3VoU%a(nW{9mWr*DTfJ5-TtBd<$Q%!>ray}4sH{Tn|n@K8lKRY``Op+ zsb0=Dm+X?rDa@K@`CqEs4@;iX7jyD?sky+bSCi!@*W8?Y-Dv(26S+^*Umbp@uW5Bs zes?Cv)&m~zR+VMym(BmHx@g^&jV)aK#vLy2`c8aGx^X*Cmv_Mvwz(G$&3bj>+&+b0 zo1{IhRZbtdtIo1+PF7;fZs|pS>wBa2<y`ZMR_<V(XxeZrz25laTIPb6md$fx+~y}7 zGhqo^uKLf-)Y9$cJ~Qqw&rGj<6lYqpdd2Pgj}Pa`mMc1NeG2uGUcSDdNBmZ5Nl$Fr zq)DNgGY?PVdwuF$>)CbsN`cGo?69tQc$r_h*DA?g-K6H`F-}mia%$PfoA-H-2ZWt4 z-p6)TS3#V&TlvRLt53XM#|)>>4LSK@n$4a$aS~VEIL=w@k*!%&Sb8F)#^JGa{cJyr z7xPVbc7FD?D-1pTQ?0#V*GbpqvvXR?E>s-9|FpZb@4Sgt^D)(bRXa9FH*?QU<^H_R zQt;Eo>ACJV-!aAQC{gWt{BC#I&6fBKov-Gn-|5yLoiKY(#iO-{&z(J|tA980q)T2; zQTz178#_}~%FeCXQk<rw!fHJ&aL2ZD(|pgaV%**Rb?eMkR)2r(p4vYB@Vl^+9)U(( z`n7vbzg&{Ndqef{*r#`+{qF63s<QahLBX}<-zD1@>$K!$%xSn++Glm+xMIqbQ&Z0_ zt1OpfsERh))vCX|s>X8F4yVT~|AcDawk6xV+h_QrL|k=o$d!F%ivw1%^@jiP4Q8<3 zc!Tlu1&iA5$(R3?S$1*lJ9*M&`7D`le!s1|E)}jic`{u(`1!0m=S(X#re?o+q(A%n z^y8~~GUtig8cNn)mY&@IqVr^w{kAVhQWUC^jV9ci@kg%n9KVqu|EG@iaupM9>reM> z?mhjFPxj{8Lx*{kChk^_;J9CQxgh(r(4+0@>Oc2RX#Nv-!u7O8Z~h<Fm|aCDKeHTI zy8dqQC6OdMnYVq1Ls&acId)1X9IIJ=X1U_rV`*pBTj@0<Pbsb`SD)N-RAQamo*9o$ ziB4_q@oTLq>iA;uw54scm*A@nEun0JMfSEQGNx`myX-uF(XT%W?px(e@_x@&J>vHH z=-ox-rJf7Dxhhw7b6KwF=GwU;_C7=5`YDCmX1~(=b>_wFbiIALhy5f?>OOuy9`G-% zzUJ@blW8Y1=1LY_zQZlK^pi^0(p<6BQm-fa*IRe(?qd^O>$k5b#XIl*EZMKWexDAg zPWUugr@qpC)=}@cWs!THEYs_4{G<Czh__f({$cFNT=(e}l{-1zmYcM^ia4P-PbEd7 zST03H{@xCur`4~+POQ*yPL{5pZ9dO}?To>R6v4<-Pt*c*PPm?Wvf6~3RkGB0a^P<1 z*{qK~ojsXQQ}k&{kMi!?CwqA|U6W(0oN9Q~?Q@s*>6v@d)b@KH;+Qb;i_(cm{U)D~ zCF>ZRk4z0onDi{}gwMRP<;A)wChzP&ZH?x5wd(T5{h|70?RQ;&ZL;>9bILLH@{Z&2 z3&cCc%k+1?zQ7{?^X)s%-u^#Ve@*%&*eHJbPSBP@rYh@<J72HAI;mXA^TM{_(%u_6 zUFoa^p6B%+U-&&~_p>yUsXZQF&L+fh7jC<;u;Msd`}V~0qcsr<i%ay5q`OP!>l-h9 zVe<9z;jLd2&F88}Mjh{Oy>p~Ss_w#%H~Q`6puSB0?(=u@c<gj%cRBL~cqsD6YBt5l z?X<Cc>2!DH9gdsaea&ZF^!&vp3o9;HdZM9h)zmFmef;dCtC^FEb(pIxORU;otW;lI z?LXUhzV4G}n_JghF)-Qoar@7AY(>}i1|93Zn|YV(Cd*XzC!4IqHuYQC?u=Znt}Xi0 zMDL^dD~~Tf6E{muXp=KJ_RIUxnl|o<pG@LDnt#1Kx$(!w33u%!Hc3X#ReL7P*&F*u z_G8kGSo^w9?7MfwlqHu}EuTKy=I;|Ff61Z?!EJk77F`yv?_T#ry|PyF?(g)Pw`{wu zThmpUV}GoYFiHDZ{^GRC@w>+awc8w9d!`+VnYZT2;Vtt2@0IGkIjJ!}cKb`&7`OTM zUMDlkB%c-~Z+<Bo6V`NWsqg1+pC)T>{c|H{n(%DjuX|Fq?2h@e?}>U9e@aOAym+_e z8W(a`Jy+dc6}PEf@r~2tEq?xWfybTns=S`Ay*a(ieYWrWJFiS?U7uQf{&=qP`LxD& zcRp47d~H#@q&!W$KIm;mmziJu?uQchFBNcW8RfnB($ZGGU0|ENL{nFrp2@Ud*<ZFr zA7}LeDY1X!_wj>~6}!Ij{ih|@X2!mKx9}Q6Z{eTbUz?=Aes*vx+9Lgzc}D&p#mRvO z>{C8nk~fqr{daazo!kkV0Q-0&;jf=n?U(8w5GYh!&wZ0&`aYLOcP35tbe=n}@WCys z-G)E5<OD7Jc;o)6)pz}O9+W9bym)H4Vds8X)qefzyMdRA6&9D*Z?>6pa*3(dlZDf* zL_TfeYwampcu9S6en`@Z7oW2>Yl_-g20xtKc}uT%_rIvQws93EJ_`%0zE4a1v$wg3 zU6uRetD?!<)56Q18(c0A*mU#eI}?5V`xVnKS6*jaaerFRC0p0q!JjWTEj#9_ufI4i zKXt*%iwD!iPHvu*uIj(GbIRkii&x$)ig{)9O6}?Cw5wNU?OC|8@Z{ptzK33UoN((| zP`GpQ)i-CZPF&rztW5mt>|o)C%$oHAVm&hDix(c`y|i%U!aCI>4#A7N6~Cu!&MN== z;lNSH-_4V+K6tdlHaCoGR+Nxw_KlL0tUC?Qb5u@=`T4|YtAzV8gH;z--fjKtn8zh0 zeo$RRz;3~AVHpvbI}5nq=C5O((!JT|UlrTVP10|9eZ#oVXiVoU5;93=_2YVR;og-! zOB<aZe^Oh$urAlgYq_J_Zf^GH%+gZR<!6U}uGXGh>NBCb<D^~Pmi_h(B^fK`>qow2 zv=U#nQ=pT<vg32lWu9N{43VBuY?5zzo6XICp5*^lzPK=OclWQodn>Ca&s|q>_eSqB zH}<#h*Y$PZog2%<ap7RP*w2ssdguK8CY5cmZnS6Fv11|kf|X}iHhf!tjJ2=1=iS|o z$8R&4IPN?>B(APrX?Uz(tM855<4+~r@^_6-c4w7TB^LGUw{G0O`mE9c*3NgS6CLz@ z{0_CXEx&GR{`kFH@aJl^i&p~no5z_Yv99Nubu0GDdA`*@A6`AV@a5NqEAP7g{q$+F z^6tg}$KTc)Be(9>5Z|kQb&|`<h0nfkiL~0IHS_H8sdqDCmRv~Pn(p>@i4Egw>rL#w zZ}m>OvYD9fxBYptJ2fLK=1tLLC3mHUqDn*8c`+<+^{@VZJc-r7{O6_<lkaBKXO#!) z6;&GXZL!~_5?;3WudSwI*z5$asrnbM{F#$=VaJ7&mN!#O^(0GTZ_Yg+&s09QU{3KS zVLqcS)yDmg(*z$FEl>CJKX(2u=byVbbeLl1)f7$Q7yjYQkl%Rc$!R6`PmPWJ(Ro2j z-XCN6C-5LieERH~NR`I@J8dNyr+812_dUzs_t8f=qkyx)`M_r1hi)elj^E|{qj-Q- zucc?#-;QO^+?T5~Zi!@hw<zY$tuHs-Pj(wQoiJLavvZR*W6EZZH+y;S6;7CY<l#wo z8%xjB$<}KZ?kPGMDl2Xfo5{M~D^35)gGHA`UHU5HK3$Jbi~I59+6=eJn<M<EGBZl8 z|1n$cXU)c&V&xw@*IPFAnV3~xa-D4A!rEK==WLqWx-$Q}S3iVK6*l_ysw9JvUCVhH zyUD(f+bhGL^7X$wviITYMVCcfj>nZx`A}go*)w|XwS<2iWoODv#6zxV%@lT(sj6x^ zqOGIFuyNtyy9IWCIu01}2v$pcI4ZgC^}J&m|2Bm+DcCKU{AS@gzfg(9!g(ii8od3C z{fr}D9(%VzLnCDBvE=&R{Ih*boGrV4Jvpwt;!=knXw2qCsrz|-ZQ1Lx%!SQeSG12> zA6{*xm(Wza@S|sp*2R|>e1vzEZ+_jL_<E0n#<zzbGj8mYjJ5o>sPj9&jbh)DiBC@} z3P&v0|0fok+rGl&+oCGLx9jHJoBMF0k3eEuqf7X_9|6olF^@Oy54B(RH>^qFi_D3* z{o;{x)oj^|I<<Fi*O`4qUE<noi(?vZ7lzzByH5U))l;R9+oIpDYhSN@^J?A#WwF0a zrBA<3_2gOmvHw;)fBJQe($YrvcH`cfw{ecwma;qxF8skX<J#;fKapLrH`a(g=7|f5 zUv>BEgQHJeH|~7ep|orJJzf8r$%R{2s$Ac3;{NLGchkkEW$eH5DbI{&ovfDKgaa%` z)$8vt#8lm`6>E2r{K)RQ?%a-dlPvyS<ojs)?pJo`qr8w=Vn0sy-Zg(`CRlOHcIiWx zEB*X@6Gaq*a?3Z*dlR<!^{idHxRdl*Ckm_-(&b;=ePsE@p8c`q%NHytI__4^(7XGe z-_4V$8^qHM3a7HNc*vKX_q;!8@97tLJ1^GUjx9@`tQ6kumLRqNNA*6lm~zhzay>3a zQHmE%82r6!IM3&kqSPxLsjsGHpT28#-Q69jI&sP|+ka-Uo@)KI9r{l;tkYsh_?bG1 zdw1s@*}(LY*%{_8i?=>Gk|%a#gD8VopP`rdud0nFgG;zxJNi5pt~Zq__paP@^18{9 zf)mSJs%6SeXFhRAUbUb7)xD2XZfsd+I3=^f@k`=%g^!0VHE&*IGTHV^+e7X7k8j6g zZ4~vkOb^tSXWAS7wW;BUv)XoD{hX-o>^Onil}~t|Xb0*&{k+uh+Wi&f3RkYG|L0S$ zUdS#Mn{d3SY0eX0Hno?pfB7u#+0kso8{Q{tzjGBc(~`Z0J3S{ZFFdKTXX(a}YqvKq zK6_>9#?Jk2_BRcyzCWF|`s}6#2F|>eGc0;7Pdk)fHQj8p)~VpV5NLRSfthCl@BI~i zz7n--Hy>A9efYX}vZ81B-gg>TRTX9)ad^C$H(bwBsDSg-^|XujVow$<l9|g?xZdt< z%GJhwZNaQAD*g<KNp??c9~@pg^Pb<{e?faY+}b?-7$xr>Vwl1ud}Q9S@OsCZt=*@8 z^u~Ey-|;p-Ol&Xfrt5e2_T0O9+N+8?B_zL*=jx5hqovz+-*AlmDz&_zc_+I7M_G~F z6A{jR+1BR-R&CE|@Q&A;9iZ135WoBNiuB!Ac~hm7f3D`+>D@ZlVM*qmZ{|l@_dWZN z(75pHBC7|@HjkX*>pRPPR^L6T@+hHifm-<XLo%}SWluhibyZfLBKi5m7V-9gxw7S( z6#_E$Jj#z+<S7GggKZ9$uAgncZ0_DxmEH>nIM!4#_4BRxd?YQn<EOw4wfV9qoqGj~ zCme`hq(5<mx855)=~<2{MddDPR_kiQdj3h~8d)tb3z=}Ejq%Ys`98VK%sXGpU%B$f zhn`r?rTIj;gt0<lon3QRl)=rDhS4(?FF00l?#Xwbv?8^|$)6ZDTdOTTZ?iR0`mDIC z^NMLTH}6gpeR5c`T}+}^nE7UBd>PZxQ!78qobZvo!rmYvd%AR*cvSKX);V@>xNC1_ z+^cwWdV{g|9!|-vx+m1C6C0lD`kfOO;=R0cYX0G9i(}G9U!A*`rlc&*n&aiiEO(N7 za@$&#$ag7E=lXnWj_q)EnIt_`CR6L{Tn2-Bm+DhZjBHW|exLT!b6L2>*{!5ad!7F7 z>3cdBF$zq2c7tJhQ<uPn8^`ZI3*G9y>$pZ+_G<^*fBMfZCp;Ff@6NONSkC_P<GW|O zc7IjwTPL1;<_Fgoz6FN;`(@+a?B)41Vb{Bz3H>U}o9!-Zgf<-uuJ6|idGvyR#{mls zi59JZ<9CDh8R^#uU6$y+IK9w+_EEu7)!SU|@!KX&nEogGqzb3h{vW@UH+snUcYfM- zQ^qlV_sfKhyPebBcduF2_u`(}Y_*cbGn+b2Y|K8@eyv;n?Xj+++Yb(<O>OZA4GdbW z>+NxA!Ybw6^*NpgFRfGDyJF3px*XHX*EXE#Uc~&>;u8CXY@JtAKNO@)PJZKhnx(h> z&)Q$N?k+yp`l@luwbg11?maCzWP9Jtdg()**#=n;`Bo}T+_Sl8*XxTV=4C10rHa;^ zn!+aRmR3HqQF7_;jgbaxw1OhN*1gGlxbCj&yB)vfT0cAbdr#x~Bz8?^qF|!OOpnz~ zqWy<&3w^qI|LeB5S#8p1TymeYUYyNp*(PkD{ZpXvvB^%CgubTe$@3ZZvS-*l*8g~B zoBmvxw|rWsvhSpp<~-87ay4B#<jO1AYgY^JoLcVg_*kUAa~@C8Vm=$TX;LSI8RVEH z-(+`PpYGi8B4qvH*-mc9m`v{d>i+tU&v{ldb58SV7Y&nd7j4|0-kT?T^0Cw7ITHl4 zmU|ru{j+Al$7}IxHZ6`S22X@2nAm;XUh(i^>#k+%Vj6cma^`;H^dwwgYo*Rk`RFDW z2A!jAtByFVR;iqr&Hd)x6dtAH67kD8BRw5cTsx*r6<RAQ=WjPBCfa$0)`_#+%XKp5 z+>1R4DjHT!zumV;T<f7}-1<Mdzlu&qzdiJB*`*5-jAER-Hfx(4=%07Y?w?uz?x)W_ zJlVqgTvGSPrH2|F!cJ~SuJONq<*Ae}RkY~?Z?NGyh9kDqE>#(oaL3%?o>~)Q(N?;U zEnwz}442PMFV^0?Xl|CD^Qqn3Y-g@-+`hFZeb-1e&Td!E<=AVw+wj!ow1p`@(_`ie z_hj4r`OWRB+LrSut33E*<FS{<jOST=F3dP`ziy-7<?D})dy@)Tl4ISQyF@O`I2ON9 zdiVKv&yshVJ<YycTK;Of(ssA4yb=HJZBJK?iz(Xrn^o{~jM2%Akl@GqpI3d}5vfrA z^xLOjv&6MlmcDA>U8wx}6=>vY6YGbG>+SBJUB&w)Q(P+l@6lfyta&thh2ETgr&PYa zDqzCwkO|lC3CABluwl!UcU2ry7~4e4S()bFjAeCMe7G}7VD&z$$g|E9cW5mTKB^R+ zC3oS;mqP+Uhpob6{Fzpsj?dq){;uXbtG%Yb_r|3hs<BEkirulU%znR6r>!lwR+e(c zpReJsPDrM=`t>Z0T(Pib_oKWjm3ZmJr-hbZ;i!r;TUiiiSJRs8GS`2$>|v`-of873 zBwk4FFpK24p7#EvRoR;--wycXD|~n3>yw#t$Nk&A`Nra0_wVcuU=y_qNqV83d}Zc@ z;st51{7%R|?)i{mK8N4(-K?D(k`K%fK4bZe?KxX<ea9ooGfviia~dAFRVqe3YhLq2 zZF}v_w@bbrIBLYea9aBKyr7xZQc3SMRvj>IT^3}0^^yL(Pi!%}y6(yDOjFT&YU=iJ z`rcQ6Zs~k}sek6w#kAR(vQ0lHab>HSfSQitpQ-}iyt<VwVwALzIbx=VESs6ao<iRH z7GdR1V%Dci)l!s_cOT#SHR=1UyWx!Tg*Q5nChgm{&aP7M*zW3Bg;uAO1Fy<U9!e}T zpKlYpTRUC7eZp?z=Z<C<LyV-DH@BJd-A|O#Dp}Y3h{5AaoRom?(ucE-ss3}5^^Y`L zs%ql*{mK)Tj(bkkS(^medgK56oqkv4o!a9k>NUBa_*CTYRh+6axP0Ta{?+a<;S_bX z4n;vGX<teAK-M^u!kF!%&t9C`DDEqB)<w<g=&oDV6Dyt^%CmnP!z6phs$oH4*Z$l& z_h#MAc(SHSvHci#0(Y^=F|lVEIXv0xTi!fz(oj^9ypZa;lu>f2QQ7ua6{l|NZC{|I z%(ms?o}gphG0|%Km|}k%Grjh!y5`rn7{$lj(h~ypc~3-md*?9l^W~jczV$~d*VAlU z$y;V#kGJTx>F`XHdHA>g=rvuvi!MTf@<^^P@5oA2K}y)5x#FE3wpYF*3?KGTg& z8&{dn-{UW{JmawnGuO4dtNC}o=ehs1B#KkZs_sDdBIV~szrH=WJ8^xK_66^TV-fY9 zHL9yGt33aaR4&Epm@Hi1d+tqM;dRqXe7%`}9_e3{zOegK;(-@VA-+?3N)+{_&#rgh zk`i?Kn&nHC`>Knc&G6sB&|CjU^>B%ToH^V487h{$#2%egIHSI2dH#{fj(RnLUy^T0 z$BG$cO*`lQ**C;{-WszB-!kW?F58#my?B?t`-R!n`NHw-;(VQo0fxJFsmwIVSsh`y z_pP3{^BNcaw)9o=c5k=&o}v=JJCyJ6J)_buaX|`;%R}Wh<RpEZp(*QhBEiY+@Hal5 z*FQK`nW)rVu-MyuI_kA_j+P#C><_-1d<`Od8TV#?%s=zv+nWqyLC1`mNBMQ1t~KxE zE4mQFzOy5u=Rw!&BioIyugf~SG~@9VzDS8>E30n%eoL)h*q*lF<JI_e=DWAPTPI(( zJkZY4uwG)HMwQo7cbWR@_j#Y>UA*3#QaWqO9*xJ@<`-s%+`VS$ns2xB!`rOQzDuWh zG1ZH2%baW<v*Pz_{lXd*qu$m(zE$PTcjsDdeyiKHbms!4)a41m>Dy%Q@69bc*?xSn zb??%DX?JggM71YI@Z7afOIKeUvhUhT<r@-j^{1C_&Z?_4`t@g1q_X;=Ld!g{a}vvv z*i~kfp1v|UIaDX5<kaNWnr~{WnJ?{GeA>(P+sZ@CT^m+g{P^(j@bfjYMb^GQ@A=%d zHF~5b{jhrSOmREjk6$AGRXn!HyLn|b6H~-J2`9eYv*s(LEZRCZ$gD(V)>FT<kD;3C zGhBH>Be)Z<DfgZ>TK2JT|7rcnHBS#LFY35larFL_Rj0YQrmjBwF5=#ewTBLiU7H>v z5!1UsdUt5W3`bBK?Z)KDn4lnm*c-ggEt`+r{T3@BlPH<=NbgGMmy_)3es#B!Cr{n8 z+WqpqS$E<rzT7gkTe#5s_}%U1HX4<sMh|9r6-kBvy}RMN+H{M}(t4+7+MFp;Grnu; z_Ive`Mw`fE`5~7MaKuQRm^`;{PKL}vtpy+Zo=&#EcaNnrfA{%0Gd27ki`Dn4zN->2 zQWBG`2rRK-<&d{4tt;EH(93o1uM>Vu3tt#@xt{lO+gNjR&BO&t7QL6%IVz9c7byDF z;#2md-zM_KkxN3i%`I;BRNlE;di~XjcN*tR+}~x%o;W^x_8qh3-^^wN>f}p5S+JeA zNbpjwt5^BfNHGD0qaVJRYcrpFAyq2HawK-^4~7}nj8`4Foz}bO;Ieyf%7mH}?0ohu zeR#&jZAzbuyr;8AP(a}9OmJ<W6F%`+kLKbjou5qPe*a!3Q6|3fjfOx%-Ay$H-i5*^ zUzuE0b?_8?k$d^aiTE%4tSjUVE8iT-(+FRCjANdqap0Uy3zd(rvU^h(tfIK$1VeA} zAJfbB&p2ul%nFsfcSfGjPKXLW&}XCgG)?i~7P}W4Yi|3t9<orb$!1Gmt+hte{lWbb zr*D435;K%P<mb;e-EHLlz<$f;Cnu9$ylB@+k)A5BeAoK50tq_;_q7VnIJR=nCeCZ2 zQ&*aMPGzx*>3uLs>af(L)JLB0PNWsH#JNpnU9-W7&-g9#G0BJ1EzfM3q`x~+<8t1Y z2hH^_9K#M3OjiDoxxzw0dw$5oqRkT$ESdf<n=F3vl*tN%Ea68i-?m8~lYDr7du#q_ zF`M^Utv;^~&1)%SYm<K3xqHsE!#?pps|1fpK5Q+T@p8R*;-9Am&Cm6@{~JhHGW~xT z7iQKtQTc=XvXzsj22Qzhuwd~S!5=b>(-m1erJfv?l{lpwlCWcEK<2SMT#tSDjB8VS zWYTVN@tB`_&Z%}nutKNIU{30hI`dO9X}==+xF%?Co^U=PDnjJPY~9NX4z1|*^5}D8 zXp>Iui`P_kf3WsTLn0f$q(s^Wi&l5Djmgbw0Y7+@-5)1TZmo8H7Zj_>403b6M9LRk zz2-m56d#yw`?$Sw5wrigz20B?%x;GqTClNezudp)3#P6XmzaNK={9ffnjBRQrc)w9 zmo&be?RtJQEqF^|Rra^)y@waAkTJ>l^C<t~?ahlp{WB#^Mg7-aCww#6&hyP{_IS6d z*jH7rcjLcF71>W#_02LCHofrUMEu$n>yK|wEqKj-XZhA`w^IFYSIj#po^SH=a1}@O zLZ_oYzRYSqwlap}ru)8)w~t8M^Ixbq9{;pI!+$T+LZd+O?;CcAOF4Z#Z82%>I=;Ql zipo0;PZ}Sa>~&z4m#$Oty8S`%Cl9FHjJ(gZ_r}>-%WI5;OF5FuH$GbuvCm98<VaRS zspw(O-mU-S_Q&j&ezf-H`>$;ieNHVfoUq^3|GCEr7fU;hhLe%Iica1%;X1tXQ;C9n zoRgc2*o6g(4#}JMpD|dX9mpbTDt)MX5x7TMrtGP<R3ssm_oRXB+i9Ci{xIjA5V>*V z=zT%EcUgP6uQvF!RSC5wT{r5ztfzLT!pq_Dy8XfNWzm)!9~N$RzS^d@dgWrFv~u$f zUfbpf*T}w%b=n&Q_MP~0^@oa{=7osY`5op`lFO7UMS8o0P5i!3I_~h^>dWd|ANY83 z7CS0@_Vi6&vp+Cjp)tV!pRC_CW+}(19md@%KMmfxa!fe$NiT!v$$D!>Nv;WZLT7vF zOtV%lobGw2_u%r>$@7jG{S&k0d~!H%ovv^|x=bI}S&Nw!xA&?|Eq!ut`jx`i*Uqco zy#KfHYvN{su$8wOT%At#YP{Pd{;KX^>2`Nv?uUWPm7^Tr)vZvlu1r^2lMV`b!+Ua| zMOxSRMNR5HZhx`!_Pez{(>^Zh+<e#J-LCwzeQ(|gw$JUc2sov&B4fp}!p{AH;*%7o z|G35OoxF0tTl`Ii=`U0sN`P7s#=Y17DaF5$b1D_B{dc3v$Z?I3$-7_KUrmqh%1)o& zdCElYcX#QNUY*!YIf-_X!TYopnES_E<qA|4@10=9`f2+Mcb2*h;;DP?uKKmA>89z_ zzVu}#dsLGP#hI3@_P%m2wWL?~!w0WBUk|vPSkbX4bB>&Xe;xk>bz@71c|CoahaNk+ zZGG@kVKLX9!UH~>$wx{$6@?=wcP<HhU?gZ?WHeE1Ly8iogM*sWlOyk>9No)4zxeQE z;`X~PFLtfziE;fo`z<5O;}h>T^XALES)&(F8aZ`>L%Z<q)h;EGdv|z0ab{7-jVui) z)6u_@`_%W_!LHp$ALnU=9GbA~&yNkWUwJCkn_r6+3isNRY_s;DO~CeRcax?2x8K!^ z*tXr6&$eNOP4*OCRfm$&>91o`ryMHN@ZC}CIrru>M_FDbb%x8Tr>CUP;nADJ(3Ifz zaM6yF8grtVGv_g#(7iKf=F#4}nIddO-0Uf0HBVB+bS)-C&Uv7|Szw`Gn&A!A*ADDE zQ?@SmGnmlG_PfZ6l~HY8-!g&EihP%+Yqcxo38$2`G4uOR`0;SgmlI_juFHen!;OA! z_+8<$eB*@up6T2EN_?NXSAb>X|987~>AlI*;_cL4lJU6Yj_y;5(%+jRcXQ9q)mnP~ znC?HRz4r`m9FnQMxh+R)kwS~<+?{1tPoG=Y_bau0w(-mq7hQw8tBj07vVW959$LyR z<^Q+#{@t9!z9;XdM3_k~D4eigeqW54rF8h;uM11JM4uD7-)Yh^&u!hlH-19qVOz_- zix!!`TpP*eC$BYMt9{{C2B#M)@ozwr)=#1n_;<)vneF>^`s<?0Qym|L@MVD3JCr=> z-tAJoLAa}d!64t{qsjz_723z+Oc<vJ?s%MLe6h>V`_I=8`wGqdWOiEHJV<%g>VMQc zH_~IqoD)qF>l(DX;@_@`@A_FF(mUBH?bPC;j6lIn6Kd8ftM__cj(Gk4)?IPm+tRIv z($>uBJ5pHQb178iz5M&E*(F`i4o<$PAM|5Wf!9<Wn~UNz8B?bC?Ur*+V2=4Ebn?1M z7GtmQ5ATxcm+RJV&q?fiu_i>b=<3?J&dx7fryjOCcI2}74T+`vZ{Jj%@Of;wMt^Dz ztINU*EGA~ZPnS%xJiU9vP5BBv9>(X-bba4_T&BjocjZYpVH3OGryrhpr%^4jMDYmA zKL^{mp2D!Bk`HI*{5%}Eq-+Pn^=tk4xzl9UHaSnesOjh`4$gOKj&3UtEIgTFf8*lD z-6bEc=9w?pel)x7{l-;~HS^!Hh~6~)_R;)wM0Kx|o6bDtkJ}TX#F@M&&!3ZfG2|9# z_E$?s$mHLK-5%kaCiw5(zimOxjW<0{dhfdI@Y!=CR`~?0w|mNwsT%VV&06-osdEf* zJ=t&EQExs`FeFW2<HbLzHY=YT-B_*|xW3H3v*2&XH$7>m{C77q`a*dt<8I{4WK)Xq z57h8C>t;1r98l*ndvZ3*-4{(uxvirPw@#Ixy;bo`lg6>hUbj}X+$lA3QaRmixMqv| zY#Z6tzplq^&|7WXJ!QvhMZbR4IX<nIdTmP&&O7P$^oM8f0^{AW8*=hz#&m=&Omcp~ zDmY23i~F0Gnoiq4iMl^VUahQC);ydVAEfc`-5ULs+az7I9ql4MUTo%it=B2#sCUEK z!?+{7Ojxq<QSNshB~c}1DKF`(Q(bm^c=qW=zjjqXWM$!-_wNeDUpP73(O<hoeMyXR zkY9?8rj2setY=1oUG2FG3wJGl*43STnnh%`jH7y2LPS)#vq7cN$@ywuN@Lzk;9Rg( z&T`SzMZLloqGhxga$Z^blogq1bkB&{vZ*mQ#QxNjoyIATE>~1M)4z6mlm1+rnFpj> zs}>gCieD&wbXiTVSg2H;WKGhiMKk)iXDqYWpL6YX-=*oEpZd&B*KE2}@ml|yb$X?O z@J4l$bsx=NoPMVw?<f1zb8*yKhnyG3`tJtr%PD*NI!t<1ijS4h<2O+q=DWYABrNBb zlK=Tyl;dk??K=4z;yr&<3#YLz6my+X_3_K5>$7*5_*~xcR{v^r$K;#cyItN}&EnR| z(hKUVlgQRTR#ASwv*OlYn@PJ*A6e;e!QfP^f}qomX!Rryag!~(xsM$Cwoc1gOPj;} zu%_c`uJXo3F*BL;eAV;}x2ETq<lB5qnY{gOTKx1g7tC%qbXvW-y=k!(!}Pu*m%W{J zO0%B4pZjok^&7owhP+q20tBU;lGpBkJw3bp{!Xjn(xTf_qbGT~`nabY`FQVUS|`^M zo*S2boVdTzymz;wd$hz`yEBYeOQ#>x|0nc!#<%*t9ZUW)RYjYeKYhe@<88)$2Yd1i zo_dA#m(AXx{M02U$30r+v&lTiJ-2GV=at=UI{wYn?RQP#;y+#AemejBvNQ10%}E!H zYPO{;-L+}C+Jbp+<8sX0AEYwu3j8H$tfs#Ijoa@(sj3<C^?zo}*S{I+o-yZZ`H!d5 zLqt{bi*GzINNZ7i^vX-@`gD)7J>hL3g?Cj86B+e#%ucRkJK6nKlaFhWj{t)j*EAQS z?$z$WUAtY}g)GZ{O6*f<D?8Q`AJpjSwdl#=hpVq-_U>nm2$XRuRb0RQ%<{4Yy02wR z5(Q&Hi-%7tpL}If>F}KSMefHbGL7Q@B92NXPFSAy%PC%J!U_|&kJJAezVY*N`}FUk zkGz%ZA}d)|N5^ET`qp)C;wIkXTsS%AK+j316OY|?&v~M~?}F#eI@Lw@%-QDKyjZ~g zxzp>h?EBewYr<z=ZP@b2blI=&eK&k#W?iyisGnJEZg!f9X^Hv4J1Kts-+9C<W_fvh zVX)s2le<k;DT=|YbSiJb%bSe-i%+Y?xIcDU;^QK-?<NClcbl<rVd<+TTesJBE(e-> z_J<@&X5>7|?=tg?(?7IvanXcJ6>sx9w0Ga^+n3|5sC@EpjncxxzWtU990XPV%@BLy zEs-}R!bQMQ@2#h_CDZ$_eAXX(J~-I<z1haN^u|Hw_{UrFTXMdZx12F^;`q5rzop0O zC(GTwoYTJo&fb4o@<{e)l}k3?i@z+qGk$roe^0Qh&7AXSy~JMKpNH+0UE`*{nX;%? zxKDof{dXNxR(=S`F>94b=X%ca^YCUS%_DDaDo$9`JNr$^6Jb5>%Czz>{_tY~lNR+} zzWQ#th;q8^w2<&!>z*8Zm{mTNLnuWiQ<dY<8D-5|7AGq%c?r14a{fFq(fu7qQ${jt z(#dv<Cy!Fp!@R<mpE!Clwd_#PmO`${sdsKX@(yYA+0y)X^3i|iKBTkRb9gW?xixe* zcXv-#tpBO``SJ79jhn>dJQV9!PH@r-c5JozncZTuGp9xHL%{(?5&il+-5nR2=B+o9 z_%xk4wdTi$3H!fHd3o{k*E03#dpY-U)=jm%Uo&;7V0S{Z<3WppdtW9l?B_9hQ<!*O zP9(@du~o$7gtF5-o21NwiW><B*qC`9otP-hJYV9+feTIZ#J+4OXyR0#5#Yq3(86$t zy}7Bmsr<p7#?QxkBcy({GaWE&NItSAaPqSA)9vbO_bjOS6<`+~U{|C6rZTagTWiSz zCoYYi3F$31N(Bw=%r?>;3+vb}G;!<hcyNeQV@?ATmtu!N6NeH{p23cTY)P2~h1WJj z9&YPpP1O<bcK$y>(EGK}bTww48*>bU)pVQesv<5NKE$OqCBcbPh2x&!p5*7fv(58v zbu8_4RhSmGy(uDU7N5<F1I+wKE<JhEv2-tM*V58XZ)LGYw%l754mxYSIg&DyG7GXV zUFu27EaepNt}auUrZ!D&d1Hi>jMU?BNjc`K@GqA<PHNv5+sC@^CuzJ0pRRJ}8& zk#*mxOD}pa3JV{cKb>Ql&CyF=_I=5H`TF$kN##$wBBG}6Fe!-pv*(B9f$a?UnX1D+ zta%W+uzK0wgRUntSd!un_!JslIPP#?Y_E1z_@^V6{=GVKNlC!l`!KlUs$CiO>C=%* zmpbk`dO9n=Q2w^uRmWFHmuaKP#w{lAg^C|A@(C0_$ljb8dnsndwWr#%ma!V@XV!=Q zOEGEUKDuYgUsr`x#>%OOrWboWJiUS==tt421KqP+r5R?J&e^GueWF>tdnI#5PuZ<E zA|8SQ!p8gF6eez;xI5+CvPsNR{6BvubMw4@rPooO$xv!u_GH5Djr#R6YZvYb2oDgv z0Gi$J{TT8tU+hGy;<J{XgbbT+*H<vGq^Rk4#qxru+J*eC9p>td{gXQLLBr<X7o4Y< zX7x5OO83=SOz2<ip#OV&TwqaZz{Lq)gIJ%GZH-hYzpH$|&t&QztK5&*|8Clyz3P-` zhgNR*q!%ldw+Pqg?7g|SHQz5}%CX$~&2fJm8z(o(JX$SbDA}2|Qh{GTMeC8q(e<jg zEad!if83gpd!Vc6(+(kq^63UHHzI%b<&>?-ddvFh-8n&%G?v4cxDvmy9r)xf{$_Te z`Me}&>v#L4D*_`eO)qmfC(G0?UbpC+^>#%;=Q&G%PUW)`V%1hXHLvH~+ml|_R<ggd z{{)@9{mwK+QmWiKW(DJwsdtkL-d?!X#4sg&-Ny(s+Y1pV;+O01zP*k~PjGtFTjL&P zt;sj<T}rufYNGYNs07E0evgIfZ3A9T-W!)vWY*fFwR3~??vJK+zq_4}Okoo)?>zyU zd`f!oNbk2YlW|A*qKwmAyKgPdTqi5FX~D<q@hj`u=KOi$tsQ@P#qMKi^^NxoPPo{K zSnp%pQIayJ$F1=2;|%l9nN7#y>Q~OI%?MqpP&J(`@>Slo-3As;qB}N5>aWrY^UIYl z+r7j3(OKoFkgN+9mJ7KVF0-|7PuGYLc2k)Y8Dl%^mZBivk4aV8-yU{a3T-%WA@3S{ z$j-@~#pRza-P&|e(ZffP)wb93&s%k0o7$C6V$~MhD|wVMdGlmnwW91E*QBnwY)k6z zfhN$IZr-)>4S)H>?1Yz{%L6ZwRiUB|zq7BKt$r3h{qa$Yf*$vKoCOCQkCmn$&%UuH zF__I)*R#ji(}RK2^TL%K7gT>58JrdF?UvqYR%Z0e<#7<xD|aVZ&WsGtdyZHBERwLi z)m!$RMP!!A&%-?#S#_l<3m#fNToBm!uydJML7tJ|++$k*sw|{AzD|~PeHg`GCw2RW zH1pc%>g9Vl`BuKVc!f{#s=c$ZY*oxk#!QczgB+ckbvU+msB?Xc{5yeF+>HA<hZA=> zuVu@tRpL{x_ODF~I#=MxDKE0aDn;ql%wJyY)gO|Sw#g*Aa4IbB@VI_u&IA=l`SPvr zo;++_KmS-vwBNl5m3x;8(v-wfi&>RAuH0Q?e6#O8(*c)_sg(~oo>?^utTbQC;`rlZ z>LSD5t=F~-1;%Ub6l}lqYhlMK#Uh(sB?@-?tZnwC3I{Haj)=Th;WX#Q-KU4rj_Q1T zsu(=`m~KIrncwl)O*bdnJ__MGcEx|<{&3HG=JWRa_*#%`SNfvlc>L4pWkG&5dl`2u zIetL&nQ-KC-G7f3`@Mf>`lR(h-<_+!PCJP2JN8gsJ}Q1&?2WTk1q(KEKW90)#HzRQ zkLvE(De0=rRo%u3e?&z@&L~z0#N61dek|H}?qQ$(k><@@Jmy}zo`3e>v-P*NWU7BM zTZZFj#}okvhKDB%dv?2-pE5VMpZ9FVf~L*pyh}NfxOyx9)J7#Rni%<{yk9hN;)$h= z$2kAI{jjzAjh>k7AF~x2Zp&8|`>OqpUjEW)+4c#7hD)83pZqvEmC-_OX{OJk_wj!m z+cbN%USBzJb+Wyk%<3lLkQAR(4Z+gn4=+8ep9Ks0NME&H;h|g9;9^_!Hn%!`QIZsM zFW+%Jw>`NNZn9193)IXnHj;XNL}A5?b%lNV9g~j<t(~-8H%8fgs{Nv;g&R{W^R{ul zaNDT3Ya@5I#{YK;VIfI#3U_Rp-MXPw%YU}1b>a3OrLmv3?QZ*YLPP6`M5RYcp`+t- zy_~QUu`8u3Z7$BOm>zP?{;|CglhD`qe4iZqdz6&i<@}95`DE_cc)Ppn+^NoUN4gXJ zf1SuLOXvMM=T67B<nPz->WZ8e;SCCr@Ra=9@%U7pdYN&H#nYKai7rZ+PrqDS{L+0( zx#P}FH#gU$mUEY%=wG|raQ9|j_D3J1PX;eL<Z<fms;tFALf57&XTLE0ZiI@L3uEE> zDI1?0%iEx7XFW}2*P44GYxm?{d#!hMs$Y4s_%;V4mDi^_b)Kd*W=d9CyFJZ1GTnGm zg`<N+qjlh)kH@;x&C8NGci*1U`QkunWUR)q>#3LHAFtf+o_}Czs_^gBHLrAU-d(o7 zIy2-K`^(b;{?R8gM74BNq~gn>JwJs$O*oR+qM~^4@aOEDNBUDlHqSqKH6^IqF<GYG zRmSqimhCxN+ZE*R-IJ*4y8O;?{v~$s;M3u~d(Dq-&n~~OU+BPC)&G*mbVmH=HQ!cQ zGzx{J9I00SbTe-$$JUHxIvgGPx6i%VH>vZUNm}$Tp_7|3J3>EPe56<R`Q&exxj`(a z)fkRTEal#9${@s1YQiTaxr{r~p`k>rZ+G&PAGZX$Yj(Jq9yMV*a9><L#L`0g@ek|L za?Zk+O~=gtWwmlFoO#A-u9elKW36{*W~?tvXn&r!bNShOv7LGr8~aLj?c@~t*fed1 zRqe;=Zw|@6Z@*g_!qSt++#76@&iA|f%Ts<E#YJB_f-QY6RJ>Z>w%zF4tCYpJT}&)o zVs6wfdzrJHZHZZ`)Td7!1(6#MIXvFBKk~ShhXUhSC#PV|^@iR14{e{YyTJ10=~Us1 zrv$h@pVf6Yt5)fiGMj(-Z13xLa}%vY8jhL%o5s5{p|E4aC-dy5$I{lnYx<C^Xmk0* zWlg;Z<?{9STAf=y`&=%*cRz=7$I}k|UhhAtjEq72i@UupRGhfKs=T~kZGYJcZ%`fd zXU4ZAE<^cy(*hU9hUs%zx^l_CcwoHR&@1}auXRr(a`GqgoZKYzBw3YX(WyzwyO-XX zuhS}5-gxiQ4}&+S-f6FUY|PNo`SZh;-*0!^G%%EZ5VWOm*F_iEuI(1bG+H}<erQYX zxir=A(2<%Sh3}6DAD39FKQ%^D?b+eUe8(l0)*lmYWol>Q$|~$Vc|fy&Zj8Z!BXaf` zG2g^rzq{IA9Pz+NFnSr==Unrft>vYaB}KkV7ge>nU6_69?%X&z{^b(_16`TFh`oNL z^ZP}J>u<KINaLLPt9$mIoYZO6yJ^vnZ*Q_@EY?20BH-es9dGm}ao3v6KR!?9Hm4-( z%aGP~<=aQLq;h(`y0}ElL`|Gm9uzcd_&fFQF_dUkGQV6H=4;$m%3P4?cmG$S$8x<J z->2=f+rKYG=r*(amFaim$``J)`&oWQb@C~bu#eYYtp0U1t0yQvp8xL)krVOX-GuFx z64ZsC7clpxoxUK(G2unRBcTa?{TZ!_dv%MwFCOE3QM+8HZQhkdt$cOW(^A)e?Am{n zzkWjEE@K;^)4OjfPM+Y`|3~yu3F{koEd$T+(wGBZa=zCno=#wnsrpp-yI5^~><!;i z^UlubsU5~A52%R#oXF!7G*w0aScUYn7srH+UZow#l%2o(;Eh8vng++66sB-izRCO^ zHe>PffP2s8)xI)mlvO^tfNAoVEwfo!JlxGHr{6Bz?7;NU?L<KM{2Mzj1~z)`+9mqz z#gVSnyOsH#D4*hz6;P;M`Q&)sHUoFJPn)ibt}tA0?Zy7d@rj3l?zY8-#y3yg37fIl zxYuT?A~R=8SK})^340xd9UCH5%x$B~`}+cST1oDivg^x+h68Wi6u8ekHJos9`|^2v zn%?AHlK)ozI>BgB%Gy`&+VrPN?40GLp)f@`*!@)l!^;zD$9+{}8U=;a7sXxJyZY>= zR?9<4Pgc&_xH1I1rZHZ-MOx{2@=mP;`LgwatLK}oQr=(l@$%>2lRA5N44fVv+qgY) zOGfAF#UIm^xTNHNT7OMdxH2{QwAwdTmABEG7soXQL~eU?BFJYu3**OaZ(iJ=uHTTj z&tQJfizx~UxB8}Ch&XZI!YBWrO6|`{*Y#(<(U@>AzHX@r+i&ZoYUOhy-)y*M8uwfJ z(eW++U-OoIWtUv0Kk?2C7w>60VxWOLMXxC_Hf%-LZ3Frx^=GZP6k=7EaI8kK`^e_@ zHrXk>dw1`Br<JO-sH#EqWwccI?wbtL*SQ#`yt+K&X#B(OiqKUD6!jV}NW6VNe>(f8 z$@1TqU74FJ)~a;uB1h5XLdIY*_Dv-pALeN3Uik6mecS50=T_T)X!&7uve(PbN7%?H z$xbOIc?wHs`pgwGtQwA92(*yDch})Pqty8yvuj>&O+6hSyi(f1H74k~j&q5FfqL!j z5UoQS7F8%oN?4a(*}MKM)86B3AreakJ|~`Uj}Dk<nA&jk!o-~1lPYF!iw~y#Oe)`c zQ$A(Jw*wypjBYI`WcX*a-{sT8-p3LzuEq+)zf4eGwPjyH%B!>Xs|}luY)?PuV{)V7 zjsCTXzWbQ;Qh#n@=MZ~-wA=70)1%X=!53SE0>jOIo~rp2wAJxs;DYP@*{@HlhPV4f zNwq7^J^AgOn%>&1X$tZwC-%;Mt0>wnwy1s$mk#G`9(JFT+2*%)Y=}P5;rm$hCI2^* znOl}_yxF!rJ-m^{X>VfFgjZX*UL1ZHeWrbV$%otTHm4Q6`f?&(*C5f!t)@3vAXf6R zwuE)cVR4t`8aMPO%3NLOc2+u_&F0P}0spy7g<pTo^5Qm;`<=aK!gc9m;j;PnbUK}< zI+bL76MwPlosRI*GO6i*Wvy=OK3O;I8gn79bE{qQ!~;g9Q@$r{*8LE1_15htYfd%J zU9hotzmuvB!```<Rvw)5dp2uONotRB@|+AUPSDu)(yg<0aI>ma|J7-6TUT&L^k7qh zX2zdK>!&JkSe{h5$}H?A{z`4)o?YB!IWh;=rL+a4GM<ThzhSkL+hM&>>At3l{IjN0 z+X_I-E9+)<hHYik5lWY`+;}5V_E=!!lT}wGmh!8=SZEDuuB+&mnJ@gkA+nTt8faj@ z)S<zIv2NlHlN%Pt?wjv!zO&WoXI#!URykwF(5;QfcF#U>cd?j~@~L}w)i=H4J9|&} zT;KK$zdj_W^BHldlrrl4^ZRgs-^fS&)u+eP(`_dB{@G!bk`-*D&7;J(<#F!!TQ^Oz zGFpt6ywbfHt>7Oo65HWYE6&I<VS3%0V~pkBcQ{C2ofwwPbUNasi-d{YZ|$;Y+uFV? z+Enyw<5eF|kv^Z=m!ce|W(PNQ`aYJf@08hntZYwSf!!J2`@&M|e|SG$F=5F9rAJa7 z%CAnOUY_+TFp=fkmv`*FxqqI1@GG4D!Z~T8Hh*zuhltebAFm%~|GqYhxvDx=`3c*D zOQEXacAP188!zm5rGFt(Bt~Te*94Xs*ELv8>^_xu@$H*%z0jPyDdLP#3ct^#iFbYP z+-tZeDz*Mcw9UVduNxRVIT<${VU3MQSz0(@f0%sPWRG_{e(#bfOxzyUQSNy3YUD(@ z{TBVZ{o{APU6W;Awmp^8^VFv;k)m?v-b_1{r7iubF#NTHeH@STt4)iTCkvX_gzq|* zRNsEDXySog(qGpxsrX*-Idbq=w&J~6doQxET{@WWn4eKJ(J<D5RU}|S{SubQqb$O2 z-Y(5NJ8uyu56`D%jGC4v&X3n$n8aUb^Y&UH<K@{#>A{*)7Zi5yk1q|6vA;37+_cHB zW_HrCH%h4`O0OIy@JoDQuo8TGsI=Uh@A|bn6IQ8Ty_XtL?7LJ|OltPuy9#D3>g=7( zqO4DIH*49f_%LDVTt}VVo1#y>I4i<6&1F(I%f!X}YY*Dg^oL2FvycgP{JmRmix5j; z=-HW_eXJ`4zbw8UJ!`v>UsIP7XtnOIt6l;utjF~y*UWH}eB7cE+xaNFJm8{N&9(#X z%Q7B+IrhYLqlOmy;)}j-^yT<I<S3-~&9RV7n83)Eb8d!Z^0G4z*qWtt7}WXZSb&Ct znxC!aIm=xg6QIZ{;&wvW$xZ(Pv(Ih^oww&1lQNTP@{e4)u>Tv=#x47~_nrFnrsiYj zg{8jJ59qV6GmCC3b7Vd!++@kP!}bG%;eOFwGcL5Y^u#GVTgu39RK?h5lfhGd{n~*Z z?<*o{IkJCK%(q!^wL6IhKfl?goX?>5)^Xvjt_dnEb!S|hzcIvyoxfXau4Z}Zc;Eu= z_$0#%JTH8|{Jg?y;3=+DyR><_ug9LP-`#jUv|lrr?|j`WZT&iWfwGwBl8wjPF0>!9 zd?tLmGF@ig=RTwPe@wpps&;?*>h8?y!e=XHY&KV~zp;4o?H$i}Pg>oQZGU-6HGaBp zsMO{k)+LJD)}LOcH1E3X((S47A6xw-O!z*DA8BpycHeCtP&J(`Aiwe6?qfRtxIp`T z_k~<)%llb)GsTB_wV6!E@phNI7_+sRJ&*IROwN*TtM%QO?jI0%JH+dR-KULH*edQ; zH2Hlx{Lb7}sHKNZdj5~q51nGenfB&LZT=g3=;PAKtCdnp-%2mcZ@F`&z2Q!l6!U}k zMy}G!<Bt`}&hy!F?d6BwSEsk>Z?D-Y<EUcfu(<i=RoVB&mQ5a08r;~|FTSh!PAGQ8 z@7G7wf;)ET&h9Ijb#Cqi$H&U`Tl<<l3Jsk)LOR2=x{pu387QvY;xRR2tCLOb-nYk; z1fQ%EjlVxvsC;pc&D(8-+c{b1CT)9o=AD$6$eURgbDRZM%j&OkG2Qbxt>o*;{f|$* z)AgUd^<qu+Gat3J7b@Pq|NTrj<n+w5Ne6#7eLujE#-p$}f^EX=4+<yNp8MOn<HGfB zCEMyl4_bP<xKD^Ht-f61bB*ajw2qT_3GeGzefEwAtVbH_?sTNAd8N&vaKch;vRlTV zNAKg8Tv~km+^>{IRuk5hmSzW*E?AVhyrF)1$jQ^I@2bA>**TG&U;WpO#{1O^w(ed( zA#mO?y?<?Y|6Z6Ud-AZvmKLXE>u2p<{;&K_@+i!G<e02nzkc4#npiW(%$jfJ`*nA3 zu<m|+dQqS-x5A%E&P&#Es4nVTKF4)-%#FEb3C&{frYH6VEOqnUaM32JK_J{uR+mwF z`Crjr0sK9?l`aYNt}1YO?XBXzcwNq?DIK>rdHR8RUwihx(};Z<=;#+Wqh;Y9jYX4d z;tOL>)+C+o37spuxA1!JZ0pl%2A~s7{?2&T&T#W}?4!IXlNPgP$UJ%9zW#1OkA9i( zbQbCGzjr?*ewTT&yPCJ}qb{#qt>ueDO3CvNDn5GWuCjl+@ywR{Pqu#l%k1BwVy^Ym z;O*JjIUz^N7qHmA-{BSW>XJp{e%U+`>uZdRlV)vRS)!BW=<Gb--^6t1X66>4k=V ze8~7Dr|ePlEufGwv15yBqKE9)yKhU~U+&P$`yn>}?CPj&dHvIBTvF^mv%iL(W7)7f z;&{fLXzjeSSLOwLS;(njb!NGmvdgQZd24qo&e)?-rgNN$Th&DFcXVl@<kIzpx4vJI zxghQMSgC&fy;lq|R=;oQIEh|J{aW_+UH7+-Mc>L<MLj_~zrUYSEl>b$%&j;T_oC6` z%p}v0t^n;97fTkdTbwdu-kY#uZY`rrn!WoS^X239%_={(utdIaTFfhz{P$|Zl5MPJ zv%<H_6z9&>ovjw|`2ZVepZIczSzazywF)n9wA=}aS^zqapzcUY$*ID)`TA*jXO?qI z{l0MN(-p1V=T=N{zqj;Oh9g4*!)Eb}2?i2wn@r9LyOuMEG#L0r8#w4`K3m7AvuUHK z(2eK*(VoxC`JMB2elEPb<hAY;*6RzGeOFp^<lo~<<*d_>G|n$~Ynk=1u%JFZn`d&b z{o*A-MLcpdJ$q;LZ%8!AmZ=C~mg|_y|6tvQWovEQrkZ3hwcWQAmz>ytjc@vbbDi8y zDSH+~2e}z6zb2@4$?UZ3t`An(w_9a(LwMOz?*Hw$Kk?(T)7MyD@U<~)T&&8z-oMqB z%fntVG3!x@y(Pocg2efu!poY?<kv-ST5wm;MtR$mZ__8;=FFV(>PpetjFsvk;a)K% zlK)OLJS?t?V%rvZf7_1T6Z?0k>84*<J$uQ63kQQgsjBrYlG++yxm-@TZTZYKw;ptD zUCr@NThagT)n_-OmR<9CHD%SQ;{jJ^q$IFi(mEWoBIDo^hr-==GfX`dG_<^Db2OPq zeOJ98x5nhbHs0IoHu)Vkf0LK%XL#-QInRaB-|tW65K%K+RZ_nrG2~r=UL4;}!)bMa zkB>jDzq6(1MO;JQ?ElBJJolC-L^VWw>-a4A`h|v8z{Ici-uw%1eC5hw-_W#C`|;*m zJhlvFPxdw+e-jbdbMjXE+U3EA&c1uY*t(^1!+-vN=c|GY5C5xrXQ{5Q^Ps5guVwM~ zo@={Sm&-Cg{Gw6%rCwV4-LxMqDcnD0IM&(D`f+wYUv%<zNA}L>W!z_C&*sfsJoD&| z)AqifE?@e@we09(t2>qd9AY0|bKhbd@p<BcY`183gGrL&4lPQ@?!+1%ir`-S?`GiF z4JB;T_CIc)Zot#*8K$&y!kwS3)4oXZRn{gxe)VL@^q@bPHlUMJc0J&^VwKl%<=Q%7 z`$gtX3k7ZpPwN#lNccF#=+wEX>C0wpE-#xGD8O9aes}BBt;UPaG~X-s3EB97M|k-` ze;JD_QPrmvKe~DRVo>yI|FNpR`VQ;-pL)Ba4*&k%#=icp8E<aV#;1)QVMPvZ@Aj-) z-!Q>ADL6!Q{x*LHE&hmz#-5K(uU!^?me*ojUGdWI<jeIrN8$s^<BWK&AFbkj@8(w+ zy63_fPseG(Z*q^?Tq?a8{{6<4TZ)-SZ1U&7i_~2EulU5aP$|>Z&(l9|ocCF-?d1AJ z3nz94i+6bNr_6oq@U&!QM8yHqi&i>sZl0a~wLN=w_NJTseXfO`TnctBN1e+HYk4Xs zgc#&q*EoEkIGXGDas4~j)7OW6*;N)}!S?6ylsoHWyZ0S<oD(NJH)4U!yrg|kG;=&` zAHO;ENq2uL<1=<&-&noMPeN>cyH%X`afQ0t@y+_ZJxp*V$8@%YPfhIF4}E*q@_JmX z-o4iFQTm2g-OB6{mMot0nSDFoRF%xvvzmN<8;|J1jp`CJ+SkelNoiK?_vf9dZ!lNp z+g&Z*e92^o$VH!3DyAB=r>d^!?mNs_ruFA3m&d~D*{Wa6=ii#1`uwt0qEf+^#!svl z8XR5DJ^Xj1Pl*3<T`Yt5frZ)J=6d`YXZj>7&Ai_*9bD`9y>V{J0n@EzaeuX+Z%@~n zrQEwH%I})>Q{8^Ie@q2u)MscYcbJ^Kw*Tfr`;<2+9DUyp&FgocEdG+=Z*Q;BstD~R zpYpFvdKtB}qd<9WNZj#Hxp9%2HogA@w{84Av!${({>-OnP2EG!1&{h|{OfY-h1uFE zkItTqN?HH+QqsY&9WyS^zdu25^AvlNXLlt6cOCFC-w<vzr#I`A>ZZW<TfQ58=AZPN z=<&8Z^GD>3S&c<A4D4o~IyZHzs-=_yH`iiDp)D!TzKUgNvaJ5RxWZxPZpMnUuGZQ8 z57Z}aEIobGz;r_4y9?@!f!nzv*&eSGiJW|#eWU9`lRIZc6(d@N59h7TxpMTP?1CM= zUwM~FAOGi2*v{sE`{D+sE066CG;TQeSe~0pc*?#blWG_qvs}sYoGzkJ7W21y<;i#A zozI@PupDpN+-d1&Y=4NK@yv2l_4pHoey4AADd#gxZM-GzyFns<eWylCllPhO4JW(4 z8b<y;GHa8`v48Wvt1tXh8b0~8%oC113EGCGs=IYHwR@j0y0B{6fd$jv?$&%M+g|CZ zwZiP9b<~?Zp4%mASEgrl#4)b7;xyH+JmnI9ct4B3jc@$ji6^6y-FJR?u<h$EmecJY z%r9F`zf>uHP4$e*Z@U}oXUWZ5ExUD_;AykjJ0C5&{orwN@|%7J&SM{D>`kz$53akv zzO3)klu#B8F_y+c&6y%8?jdJF#3y|z?dqC#?8UoQzZ8k;n7-9UtAhSJw+r-4(qwo% zi)ryR$-q*hH$VSRIrwC`y6yS%PV={TGIri}Gn9P$Xl2-&2U7Yw1(Rh>Pd(XKe}3_` z;62AQo!^S(F65~<SaAA5*QYwC^2`<coZJhiF>hekwlnVDa;nwu->a8h+m}_fe-Y=} zXjgtn<aYPZs%1&3Qf11q(`WuEy&?I<gO9yMcgph@&o3T7a$%>x;NLKv8w%2X9(6y9 z+ujSFwqgnq&)M2-@WqJ3#dBJO@&b>*U~iFW_Zc0gG<bYp^gjFbIsQDs##;v}t=Ido zuvcYqXij~;;=GER)XreNJ-tuQ`)q4&>sqsSOZn3BHpf5CrzY4bY&vb{&f0VMM{UgV z+EpuRF3E;Bb_ZVHJv*l1q+L+BwYrtcsy_vuAwPt2FN&7e9q4FMnlXL*<;g|ig>M?O zCRv>nd6qh7LqWzB_bk57?Hg`P`!DdMXP1@zBc}H!#lCile6eEV{$%s_%A%yXlaw`i zzj|<LG98<#*`##v%9`hM=Sp}Bbg{k5<(us$JY$AWltpb^w6@x6CpOdXmRD{cb~`rL zcH#rw;HM4YH!p-~zxw=e^Bcic*X2t$J1M#9du0^-`}O>E8fVkI72VU)ChVD;oZzEZ zyIq&*^fRFYuMF2{{CL!>?)C38x8SW(rYD6OOn-N|u72Jv_f+-Y1P#^V2jAZ4Fc_IK z8Ev1M|6=>&I`-ZkD+#IUE8&OsT;CvYF8y(bpx5G66B#}Ti?`c6Fx@PCe82Cxhl%+T z$8C=KZeI9j%9Lfg3-T{Ki(_C?`mJ72oFOJEr_y7lQr%y*_Eg8Yf)?p!iI};L8dJr% z?yi`U=yftaL#K<me{G@Z4SvV+>ODu5T=(bLWyQHnY|yZ{aOdbOr^|O$>|T`FeOr+c zee~VB!;QxB%^T~kJ3R3bS=V@}SMrd?*Xh<S3CXIxw)1P%7P6k0|JHo{{i9M_R*2{P z)D2prFpWK+!-YMoPP)VH@b_<zJH2k5k5zoIdOl0rjir<N#03uNK3XJ`sj~3=a?RA& zpMqydvPOSYRo`WHkge9QDz@vd`O2JJ-lb2scopyb=yP)W&AMif)$0RFPqHleB7Lz> z^@@gZLciqCgFLGL{F>j%lw})+9<W;C^3e3Wr0(62=S!;(>sPsc+{F3O=}C3l$HL8b z{_tcO?c|8^ao4hUaWXUeCi}sx#m}O5#Y#Paoo4ln@*W>{8HupA?@+EdIpqSguxF^? zt<7>5K3@L$Gh<KcvQ6hsmm9iH-z9I);I{6^rs?OiKL_Tj?7eoR@Q-SEC|8=QoZ8&D z60z75&t6Vo4gOm&=WPA|^M#i~J><UqUlqUL<I2z%Ezt`^C%k4@yMd{Ff8ybFn=ZGD ziyhyw%|omDY_%`Llbwz;avByh?BBQZV`i(m(#mVk8Eq#z1o2Ltcz)HnCEFq#Vvn0_ zRr&pcb$_#zB6md0*=gL{7HpmV{E%4Js(j9ahpRiC=JsB8Jets8<8u7YvAMb)OSrQ% zq_zI8zSp`nJ;Il7hPI|jmsagfeK$+ZpNEfrDh!*&WnU>6dTHTKt=9!BCdD$W5U@Y5 zbigf3qfdT@xx;ln9#*wI0j5u$bR3qelofAT9hX*R%{_1D6;3~kg&r>wPKozAx=pLO zKS4e`qOWs(&z`ysD<fB5V=nwLS8$hNWb<<CxnI@P3Yd?-?UB4PskC$g6W0#@i!=77 z{^gwHm;T_|E30i+kGxsF=-b(b*nKICkL-U`*-1`1zB0(l@=%g%@A6z0mC05yT4v(9 zjtj0x2}S<D5Wy2#siqty5;Nl+r@Y6arz`h9II}AB>`djlZ81tMJHmEtS}{Yc<wC+C z7cr-vHV4IyKq1prmmd1p3GjUGH5O};n;6n`<HF84%&zP6>WXZ7_ly2?XS^RREZXF9 zvq*$}Q<=~@_KC9Z55GARc;*yG#f_ATN3-9HS6)7UlkfJ~Io0k_zcy^PUuzm&Txa-D z{Ce5itYs1z@smT6nOM)+|4wHXJLa|9I6r;*rzZtj?0$<6Cz`(7+Tt0L_O|EX|Bc&K z6Z$JAiH7A$oQg|1!OJ0*|5Nys=&_8`CF#t@q0OF?^qgm`d#1N~#f9TMS2is@R~*W# zcH^69$Hw57CQ4$pfjc7Q1$H-{^)cGnmRXy9jQ<~tnl6u4-Q2L}`}1v2f8e*8e0$sN z=rx5WCcC`3a6<W84V&+6l^e&-l>XDoD*v$LmFSTjF^RHg<?Vl7$et`Tolm9Y-@}Yk zFXVnN$-7~c$KZ2iThcV<iv@Q@XZ%Pic<_CVyinlvFXuMw+t0P5;n=l57eyl{ewKZ4 z^6VKs>y9s5CW@6Vo0{FC9?&(x+R$-BdrY8~Z_VdF?E6o|US2L29ALr{wffNa{|`-C zJLgQ@YIyXnUf%;YU6vP_AD`@cm-szLs3xh{dBT!2-<<ub9(;Y-FaA|_>26g&gRMz( z;)|Ar^B=gDxbd-Skg$VnWcevhui8aIJNEo?c+GQSPqvEqd>)|?Bc9H$azEFdjN3MQ z@!gey-QVBz^GXOcPZgB%Q8?12ShD}#?So2N8ZXEnzq>Q%Kv$N$ehE*)+1Tj0uLbH3 zDW^<L)?3NdTlBO?L)PwSeZ6z^V(;+q;(fQ~Glwpku&~H7_0Mm`b?Z5IX{}o}=a$%u z*5}*S&iNcsoin*C@buK9X_8u+rMCSZ&BgWtFa9>vUz@6Z-ZIZENmVa0@aH3DU9NKv zqqtjUe_Z+hLH!}V=!6FUqGqL<n<Zk}N2^}S@7WwEY<DbMZ$j@casC>Ko`n%^OV2zk zR=T}CpVvU=tAg&Cpe0jSFQ2;DwoJp#?{?Me!(nFvo~~aUc46(}u!6}+tJlxiAaZMp z{i_#Rvv~Y@mbEGFUA?rh`o#Xv{K|d@vwj<`Iko!X<6`Ud+L_Kz|1~vjWBTT#Y^^Ue z@p<1A>6>gmD($)R_cZLby36Pq_Mt*IY+h_LmqF*buQ_sU3vO!k?kEzeEx603Jyj<E zRIE)(qx6a2ht4mWP_#w9F+<k;VPDi=R<`%o%4b^5Q_)@YwRiT#{iWGKvwt(TMekF3 zv7X7<>|wz5tp*kw<ciWiG6r0`)PKuHI^AU2pBEG6+FUV-d(dUsW4c#^`$CxHpS{st zADOyCvM>6-wB<<L`0@1~!Go_>%6lGKb5p@XG$l<lWaG6h=e>N(T{kXS)#GsFz{0?- zUy}@uY*4oGT2eC0;)Ygcslb*Cd&J!}0{@x_w0`t3lFL}=X14!D=fnvMr&zCk`cPXS z;_4Q~I~O=-a0H#~=3EhF=)PySGJ~;D4d09H!T~00<*sfojqX?}vSITX<`if4H-Cdz z*VXa{ozbj_O1-2b*Y)ioo2vDm88v55@4HgG<?jkDCJwW!AG>9ycck2QTF3om#=cjR zeAd0@WNK8rXZc{s^nixrpLMrO=RV>TV5$mGlGJ$gcS}e9^a;zdpMTpq>)V~ROI4mG zX`fwbp5V*!bsJ0Ci~|8v#B^CK?(=Bb>;Cu8XkD81Lp3RT(+b!3p-fi<3x1yLTOquj zU9zHBOL2nGecOe3=}`@ZQ};02J1z|PHOFOB<-I*K7``>#Sbw2qu7%L88JAL4_I6f_ z>epXe5-8$wM2?9)aLwlhA~$P(WNq<c7Gbw&m~e(o@S<0O>SOm;C9bSJ%Zrr{#S1Id zp3_uX@@9%L-$p^UgC9@boBU4Gc=^fxlDMq`|Aq5q#YFzCn^X|@d1uVl%A-~1BO?O0 z-P`f^@_sGBH_T#HQ#Z%E=U;E)xLs80d*q`F_sr_x#{mW!*>X?a)_;ERrRk?h-n`1d zNxq>nlV#Q(m_Kog;d?2=W7aBH1#GHUwI1GhdRg{4>BbcbUA0RZzjmF|h(F)#-R<qb z_ESoY`}&E=)<vHas-EZwa<w?I9(%dw9(&tW&TRSm&1-gts4hM)7jt=L;p!~*ZLu4^ z$t3ALXJ2<<okH`=$sZHv_D6WgOWFNnnrE@~Tc|f{Qo_V!QO>@b9Ff&Z2NqkeS2Rxe zQ_&V?uC;KJWXKeetncUE)g0~ci+8)3tPo)15jC^?Iv<n0Pf6Z{!ueiTu8Of6UT(3y z>~TJ*EM}*(VoHpk>N0KL@bWzgi`!1;^SxU2<Zr^N?n^xnybk%Zrtrvf$nrm_+?ZVR zWckjY-4PGpfB(DPD~~TZT6pKa>bJ9sM4Z33#HpP1ecRz=p1w%TRf1i%i&=bDx~6w% zh_TiRo5)Hj$)yge2X?+>?=PMCa;=qT{Jrak`b(@*tUJo4F3qudckqvZ_@U^<|3B## z6i@jRcP~@&?aZV5VmFmcyw~EU^yza<dyk3P((G5E@m_*Oa+_9kOi(KA{vq)0LvrG= zSw?@nW0<a9dX*NXu6T6c$*zkc8$Y<N`L-scR5Xd_+jd5uq=$FTO~0`ubA`8Qm&CsV zB4%mRv~I{-ba_tHKQ6uO?lJAFD_H)ua=FPQSl#>3l$!XN?_+1g{F)~xCH8)LdQ)mv zXXyOux!RVDUS2b{2~^zr@iYIZp%wRIiN>h5`$31N_qZMLs`Q<Z6<^@JvrFs9BHx3$ zS_^IK7TA_%eC&DbFSDe1_Eh#s=cPNGR2N@7F~=;CdGF7+`);wa7zI8o@o+ONo-Ua^ z@$tFC2PA6W3a`&R@@%hLTX^f%ecF+cLJPGo{`uRdmNZ*RPcb<&^s>0G^WrGYORwJ_ zS!6RS`<mRM=*L>^pN^{qJ#KN9ezs3XvPSaCo_lc`-TVD6+?l^jrc{wff|+TD)#`bB zq-WTFvh!Myd0uSeGRE{fN2*R_2QQ!f@Tqr5HFL?+l+zI(n`EN-=ib|QV8=7d39nT` zE-bsb?B~7Hm;dOSyjm6aWy$}t(@w`GN$O{=3bS`_<~~-trGA<R-?`U2oh0QZUNu=f zeYeXF_R}i7{l(X-*U0{OyX$T1)n(6112jbhR<g`4ua#nsRNE5l^UzuP^!t=`*Zo(2 z++clvM*V>>v)D4*Np=@LUT)4?#pbPl@#R#0w^K~!w?52C)yXzZ+xd>AqJ8g~y=VWo zUODjWi8H5OtRP$A)>)JO?>M*f*3lb#{yMWReSAXj!xQ%(6)9YK@9WD>-x1L`Y})tm z%|DCsSxgx&*B%5J*gCpiOISXSZ>#JIL*wR5n+Y$DH$CpCm3!gFC{iEWrTVCUVn9;x zV!JIm7OSL`F4<K`o;oh7Eq_7u_Pu#p1s}T=zj~hC!_1#5+LNa=i(O}DVW+A9^Qk9p z*Z02RW>pM~tDL6J!ldHqW_srP&zlqDo0^4A%*YD8w&MB+$0=ez6$C~7cfAl>YiPCK zw{Xc7kA!o)zmt_8b#gXb^_ZlTw#w*FH%n1QzTJ+^9~pOv@cBq@v3_>%Uy{!Suj?nS zu3YW0qJQ28qZ2!>Ki#)R_r~@N^-wjg*q^6ekIJfDJybNi@z+&_Eem#inSRwhiEp7m zdA53}h2EAkMUVBC#Z5O^`}x4XS?eG5Z>WE{x<C5YM~`PB$Isd6Z((rpS#xTtH%HL2 z^9g;uzn)k+=&$yRU8L6|E@Gt}q<cW&W$Tih7V!lf3Acsb@41}cY+oz9qiuHaFGIiG zcdjt~XR}p46R&k~iih-~f4BCn+!`aQ^Xpi8&D|OM-ZOc+c)j=@dqC4#s4Z%7n+gAs zn|3uz-@Ja8Wiz#2aQ)W~>4=+(ToYJQ)RUH6W7(4KJ>C86Wu{QBiRM$a4H)t!M>RCP z+LtA06O+75<gAri0Oz!CyhR?l&&1~2yp*}8UCwoGs&#wWO4$kNP0N25{&Q6LrN_9r z@bLoerVH8XZ@CjW-*T1AJeJ*<_elNvA9XfnP1z+0y$%V5X0`GCle#%;1RI%mem*4a z5Fqexr{I;s)4`&_siA^rj$ceK&W=bDI1rRNuk-oLhF=03ShbHZy*_x`S2j-0;hvM| zOW!3IX3h;{I<`pN$K#Y{m3qkb^4A*{ruI$Tywf`5>7PTQ!LKiKYua0^pA_TLnpnVB zc&(ZBB%6+Cn~gXN;{_ppzpn>g9nDhG^zQHTt?g`?c5vOQ(@~+R9j?Zo!!G>)W%9$( z|6bdxN@u4GtACe^I$34e6W?0JF8AHT78CIGjqa7*Zae*qm^GLcr~Xj+{QFS%N}k#q zLDENFym1b2(eKQ%=sn@=7X0Q|+@VFAr_MT6Z`i;SCA|5fZ^&WJ=3lGpbG3dswfrlV z{JOb!&f4WIM}3u~kFP4+_~7{d-7dioZmgZgx3NWI;#B(ym5VG2RHBWSgq~5G7S|o_ zm3pT{GwXs<;34m;vmArJE#-1d*~2UG-C2s;<i;-+hb%_nXZNH2x&&|8azRgABR)TE z`LuSf)TECSbLw{9&<L~&UFaKJ6m@GCdra&tX_4%%CgXoM_m-KhdGRXmfW-&)1<aMt z_8q(Lqgq*$u`50Em9Et0m%8eYN=#4R-1$~yGn3$~1>8Jkp^vYv>z=&r>=)gpEA4Fk zI%@aSr*<8??e<2?Pxt+uOR7ij?_0?IZLa2}z|MMEeb?N`F9&ZkscS#q?wZsVrlGXX zQT4mJrqHu*d)Vq#T=S|MH~g2L;(PP2qP>uLXmV;y_4h|yQ{9^-7w<p0|J7H`FLTdb zDxS!o$dka6@>F4-)vByLe@d*cJ=D7PYLT$A&<5^Xy}PDvnB>UlF!Q3dg{_tN>T7K( z?<VMohRe*?-nQ=ZxBKf=)Vhmp*t9xMtu6h`m8UOvQY-%<pW1PoWnKGb_g-`io3rC_ zuZ;h-*_Fp`Up_fsySZ*b=)YA5eVvw-3e<bD&Mn-vSu!v^<5~Fg&5No}6y0@vc4=K+ zc&Eup*N;c<6k7$YEu0$~CAc(khNj>NrR>DKpPv)FFCSyI*mwM^<m!s$GO6)8A0h*@ z|1F9Bt3C5`$3e+Etxp~ax0f6*Rt@G@J-13&drRP%KM$WxUaD2(+n8}9?Cs>6rLO<X z6~ctnzx~bDT<+-<JD)|N#KdT4aYXXGq^?7ezY<O>Np#IF4zoIW^rV)1oNe=wRoeW| zS8{)y?D1#0$6ABG%F$kx%k*^F6n^r|SIiFF@Y`t3MZtPAgR;~6I(kbjPJFXWUVfVI z@sC>uQ$t>@elpo8E&Yo~YkIlgJK?82%Hgk8#OWD{^zUxrb-$o_t)e~aYh%*+H4zop z?7I~<d~be!QSET`q@$@vB&VD$oFDS&{CZwyC5BU4>&_jHUYI?{qTTn#{md21qgvf6 z%vR4*;<P;I5M8JBQKso6*G7pqTr;l<X8pN%g0+Xk>A}lem-^!V`kzoT&t4b3py|Xv zwMZ$|#pnHddJkJUE@8TVs9-*)(>(Dn&beQA&uTqd)4wLO`qS#8bM@QX4xBL2JbiLg z*PSn^8za)3_jkQY&g7brIN3nX|4NXMl*dZBe$HY(chy;p4cpazn>OyfcgOc+gp{a9 zce-<O@Wi6Or=~laUElKj*OH#^9lJAP4ozHRWEmJ%{Zsa3Qt(|>S81KD36q{V1}8Lr zUNGJEs=({L&)S2dzqA@VcEse)zx$ev@72Fc%LAB>zle(NxAWxP7_(&OV<(R$i+gJh ziAAqFWcuajk4Mr6;+u+;`fd5H{Ft{u@1sZ1pMPfxKiv*FHaSm_BT~PJ>p{!L$!et^ ze)3$r#+@b|G}EY0{*=W5=`Aat7-js?t+U&=n?dc3{1)!(JHI<b9{J$cw)b4;jOAHR zLlb`A@!s_5?WY^t`dt*ahB@@_j8k<#cPiu5TQ%*(JAz(|<r1RyDcwEnCY7sSa`IAJ z;UiVPZv~uZ7fRYp(mEISZ;$mZ)x|wKdU#v6X`R`koO|xkgixW^-k!NW^=||+&j_dd z^u4B#*!@;vb*@h8)R+VQyR#m#cl&;uce%n*T6o*J2tJ3`?CbCEtT*aD^yQpj4-flZ z?aDH@w{tSQd_FME-2CT%e@dsMKz}Tw;)mASvUuZ*QCkvyU%jyX`g-c^>#ZHtH|D-O zH$!U?gH>&~fAVY@nSNozBAHVyUG;|6efkxuw!3ZT$=$v(=T?@kU`8yP!wqe_RexU{ zb>!R~H^X3cVPAMg=ogk%GV@oz{_O3iysLXAFYAi;#f9%SEPuUPM*jAyBRU6*7rfWm z_oR1$%eK#QdS5w=mZ>#7sAl<7WGKexmVDz_`d86L3BR>FI#j3a_FMgzbwZSYmDj%f zV;cLV6%Kkj{qwx5leBTEW80@iF59pDC`ia$rEpnoOObkk^uq4{c2OT(R⁢d~{vA zw@ySl$N1!e-8wSMxeODs3@-)0*pSom?p%=V{4W{5E4!D9$9M{5?%ANpkg<1xfKg9z zVLV%f#B{&QtHNAXZH`_jKj-}P!__MbUz!;tmCf(?^zgWeJp&()kt3IJ`!P3#)_K*Y z2iY`D{A!keW^KN)_QQ{Fu`gfp`@PRJ_t<dVNk_fd<ph)ab0yz|qr6o|6Jn-s{9|&2 z$(=i_N^#PTl`}%8^7ip<3_E9WY>Q6&zUSM-+!R7B69jj%{@;J{#$5gC%)O$j%%MvQ zvUQF}i+rx!B4>9$_<qO}fxT+4m?pRT`(F}V?{=Z{MbCLtJ}-}h%Zu-uO}(>h|DjJC z<6mEp>3M%=Id|Iq!y8^zf9{-la#G$llOH#h@0!y*Q!n=g)3nBQvaZDp{u3s18^kL! z&(>S1w=XF9C^HkE$|uvWmUq5XRedn;KL3|v!VRv8rS8fyZL|Bfb}&>Qu}i4V*%^C* z>+5+{#^Oc&4SzX)ub)%<L!s2*ChNkSw-+KG&wOfrmQRCgu63Nf?L|?}4~yhN*VJ|P zKg`JKKk~R#rm3m?k;sDMRSRxRT&C4LwfI=EdQ;GY(hF(M3p!ezuR7nTI~Vo)HuqH9 zM~`?_nvUH(|0JW@)Y0I!_(Jbo>-*pS@-|I$m+mqBDRR0)HPH0Rvm@)+StYYpPCUJm zMXn*aX`zo?v$>d(7S}<E_ZF+7_NCUc{d$rVrT=Q?RCWEF?UA3J^7)>#kKZX(YP{{x z_37e!Gw#KF*m1==M%Xv`^_r+Jay~Z~1TXNu_CkHmF=gFVvD>&5|Ms<Qf4yav$gg>< zwN}e#2<a(iB);IYSXZaNUGdFZi9;zFCJQB23qF;rejt5#?vZ-wD7m5&y!tXL(vB;e znHk@G__x47<g{nnu?v5Wo>^AnrPjJ__uOUQ4ko;EWZ03EzH5G(Kw96fL)?0%TeK|| zH`L8-$lEqOv;0f1{%XMlVJ)UJZ_)+ryG4T^y2#JJ{&Din@aHSJT)sq~x){y*bf4q% zkh#&3m-zq4uDsMNu)#m3@a+Alq`7C6Pwu^Wb(g50Y}NzQr2Sc@Zh7|-UrQgK@0iT+ zGI9UYHFxHUZBcl;*XeH9gN2GwCZRjDjxPR}W0mHXcFOjaUA^>rt8?q;{Rw&`n&1^N zdkT*>k8SI;7-@6;i{cq)cg$bQ+<(Mb<DZ_==^&xgk|!cpZ7|{8wUZ^jgmwSJ$8nWH zg-h2+-;35T>Su4fy5@rM*`R<`({2=IFzen~dqc0CamB@q4eS>_m`VNpbm>rs_BWZ{ zS1VL+f8X?!d%I8cnN#;BCGDNL+-mb-DRapO5;9M3Pk76E!jETt=FaH*Z}e_z*G`e* z3v5`_<tVVZCUgFW-)Xa!ario4x0t@M^qIEhl2g&YwGM6a`f$z8FRerP@tM9|a}1VV z&^;?Ww^?d;jDK1V$Dx{x^^vME`SG=q%f#c%CK;T#`CY~EC-cg@wo6Z|cU!L6d^uwK z`bS6S38ZhYUvP20{DtUKZ+2|W6JWFQ_;R&rr^`o$_UHB9{6c!YJA2xm&XiAIlDL}1 zq;>t%{;Bq_FRe6Y_BrVrwYu)gA(7n0ToZb~&6(YMi{n>er9iT(`Lk{N@){#QmoW&O zJzChu`C<cS<9uc<y(3|+8zZ#;*`Kp_aB=-%_b?(w<G(jU%=<gmC)+-<^xR+5Vw>Zz zc+U39y?fJ2&Yjdx>Hf6pU*)k7{oEH8*N*mzq#Y2_YU30$J?82VbMpTL28sWHIpRSp zZg0Ce^Te`w``1KHR^D*$<BdrNWdnI$uvP8*t|_G1>(nWc^l#$EkIQ7kSQ{iVpI835 z*xWrW!O(2-<-e`mo1b5fTfemBb?{~zO_5y>>Rduz>(7|bJ^AxmE-S@%r{8CDi}`FR zpLX|#3~zL%#_JD>L2~D&R2<xT(&)1_ho9O7zHk=qiOq}s+cjq^^)GBWKZ!wwTj$sI z_bR*jjP^Gw%ru{|k?m!G?3B<N<y|}*%O8C|&2#Lj>qk$S-P#KS(;0;}G-}nfd``&P zcJ27z?31b=vv$pDU=HdvPw70deNxu0JynW!7Qdr+{adkaJJ+>>m~1npq>qNLKe-+| zA{m$y>-HqnWT9-_sR~z{olTQ-&1El4&t|^*z-q$NusfV74L)32_xTeqonC&qnzOZC zwrt@V;o_A~{%$<GwPI7aMx&?1>rN%VH7-2AcWs=rVAa$Bg`Q&{fAu*<)f9?ed~fp2 z@X5T2uTH(~f11|nKFQtV6ES&(_Ef!Ns^<mVL+^b3!++fFU(;muGLJ5f1xcY{Q{)vb zZzfzle&?pCil^P%vy~a&$~Cjv_0B$ado%s7_p^3Ck6-q$?o?N4R8-D*H+$vJ^XK2o z23T2H8BS8&ba~SC36fh^)bI%IJ^F+D_=9B*KHp8H)Wc0@9^{&Lq{;W!rmG+17gsL$ zCb@T4zGRr&l&y`N6}NA!;L&CGajaa*ee~m*Tbe$hmYLswnLM^+{ZSa}XmZGMLgmV& zwfW!Q9GH4q=Kjviu!=p~o?UqVicz|#y~aZJRmX~?4Go+Uc5AKLkA>{KdqnWN%zj_{ zWxOjc{`=qhTfwQg=i#&MOO@me<DT3+Sdu++d!c;Uitn+%u6<O_DA8`+9(JI|&9mD^ zu2XCWv;CvUsu)pDKFwQ;dD6<aya?A|W&LJsx}rK*$8~mKkY~HR{1dL4iXXurd#^iK zugMNl<&T*EVA@&H(4V{cvgGVpw!C}zUSOH`3cua2G?va=+oM`~>F}e=uEI0AedXF` zMm~Al(Osz}rmxw&Nn%s6)S55bF272<k$iK}jy>F7g0CBdL=L4b3{;D9sMtL}SLExX zAAJpTTPrp&8m>*2kUFvLRa#gaN8|b<*85~uu!n4@4&|xIx~_jree#*M&n+uC9L~I% zRx7^7bnc$H6GI-#KRw3dai(_N1=ke!o!8^k8apO<NWT5)E3jSfhmG;~jj_SgWoBFt zmFVGk+1Yu%I^%m!bKpIRmc4>!^j0@y3&=GKOs~>#JeV9a^~JBHYpZmP6*HVm-p^e; zFJ`m#6~%PL`0vNKywC9Jc9?XVhRbE%-qv2SR8X&}zoG6F_bJEEQ+cH)nDKU9<a=QH zA)?*ZVX^|#MYgC{W?>8T#h%}&6S*Q_=6fYTXbzXR?6P^kFaKWd^eoTbu3PoVTW)=o z!?E(`XO^-z1+uMO@zwO)D%<$H6$j$VgasJ)n0XX&KYyu|<oZuGHMPj(socM+Cnt@< zwt8K*@N)a7?!)l2Vt$m{ez&C|UyhsY>-cly+=P`a&SLjM*tBZeY)^A_X}Oym;{2)< zzS-Z-M7K7DUDU|<{iUnD?q<plw=kb9f7^X2eEPGdkAJ`2nzmO~Y(n^M@jq&RCH0@Q zuDWae_?b>R*R0ki!D{(;yF<5sv3ILnGP^iS@%6%n=id`^Pp@uxwJ=am^p6yWUYYni z_J`*WNX`2peA)8N*}P8`w_+Z8JdR-Ij!M36HUGft$uq8;-K_m%_8B8fH5XAKW#_=J zvM=9e)V`PL-+OcRcISe7OE*c#zwc|B>lA<J^#th-qg?%5xBjP<bMCF0d?7O5>&Cr1 z$!bp;CsaGIJk%@ukTTc&3jZ-#HdXZ}^L_I;Id+#TzErG<{OR<x{H>;u^lOLyu6H}z zSSPbFygVgYY-2WE?EU{q@7}ZY^wgbR8FR_=P{{0izo$vBHayw$Dl7K#iHY$GKUuBS zG*SE8z`<MTFS*Hc!kGxBM#UMavhQ;9osaf^Kiyik<y8=;*4CbP9cz<xxXXNeLVd-A zTvd}Mw6ogI%w$s%ReG4pbGo17m-2@7{n53aIw1>B1^a$!Pva4CTFo@K(Cos;d-oJ- zvzlK&%1@5dopyKc>B!Q)sT#cf9X5Br$uFz;H<M#;-ahBdjt{HFi&gLaKB#*C*UUdn ztHbBCy*ZHIS-$*xoUQn=wdW>VA2)x!;h|e%VoKujpI;k0d1_h%V~gMaKiw|;RQ;gT z_Sy1hay%67&J})fwlV3{F(p5~zj-S{4(+-f^KaR+{5?rOlQr+GI6OB#%#AC~FQD?y zDfLf%>rOK_%J06P=&G#9P$cX1)Zh<qbkh2-xs~f&SPmasDy$NEKJU&oC7o>tOLLOX zt&*_Jbr)LMl*^%*xiqZ3tGZ7iwl6pERLq0h@%L9rGHhov(dS*@?iR81kMsJoag7}R z4||qO>z4A2TQZe@t(4fBiRp_LZBpPhzp%;Y#OfuJGY%e$l+O!Kn_~O<O;s}I>F-iA zxQ(p3jGtdMI)1&Q_vpoPJ@uj-p6H~bCu%pkNj9=@b?#Ub+QV{t0?V8X?YXA}dY2xS znV#d3&>QaadS>|VhKEJFbM9R;`?p){q1vw8LQZYN#9)&p9H#eXOkK|q@vpFBqrhRt z7mFlUO?WKqc{XSEG<D&e$G%*whL@dJ9a50}U%A*aS6uR`!Q+Ql^B-;D4f&wlTy*j7 z_gc};A_vn2zst)`%Lvz}zl^Zl)K$Z?!h*#iynGFxT!_{KHQ@`IOD}aS+4k?bR@=dX zw0D13=`9p<-kE<%(vyEr@}2CDo;M{{>YGjM&prLH<@5SrsT)ylGLmxF7e831u<>1$ zcUAs9#$yu|HMhOyvNoC`!{BV))BGtRHo)9}-^C>yE9T_9x+EC$zwIM8*Nv6RPu`^6 zzqsh=mVeAC!r6PixyV<FCofhN$_l(9DEL!$1M7i%7B;JV()K?*zLr<Pk*$XD;v~h% zUkn;07wQD?^9$E*_x;)um|@4feVI3376-o%$BvXkPG44sPu}{T;ZASv)32w#r3$S* zZ_*IEI^@>EOp(dSYzObk*ESybtNC7DY9fPVnVEn>g6hOCQ%s}YKKbSOJmJso(1ni} z6k3HZzFZ#KKKXsrw(kNw%`aWHxvktIxY<LHV~0oE*K?Bsd#&e}l^x;O$9yOAQ0oc- z$&*^`cOSPNG2f$g!sbhCfNam3pMv%5&USYeRsU3H(31$#ySV;(*Ji!<H%tPy?AzB~ zsga-bb;0Sf7G)VriBhH|e9UV$-unGy*%@_Z%NHdH3F?RD_XtkzY~`MpYWik_SEUYX ztiaU`pN{CgH&=f2@QmBGiS5_)IA1lGT)(6Haorik!ioIWZsB)@9TY2%J1IWVdAT7W z=N0FTTQ3$JEpO<_kqQ+26MWI#^2WPQ0uj$26xVHBkei<_9<QY+cKEHvtbhF9)^065 zuxE9tjn&F@PT|WgYJ78|56W$e`1DGAL73*mrEeV<x^(bPi<t2Fk5EUv#0sn4rJr9d zbz`^nF4L4?sml^=SeWOj%geNfvHb3Rrm5;Ot2Oi!h0ic5q|AH1puc5mkci%&wuOa^ zEDvv3Fkfa-HB-15_HAC={U56PRQ!)TTWHVb`SwF6NAXetDc=MY#&;>KQ{rAlrJ0;= zm?g~bVtv&2eS*X1Lw}VVg<2B&Q%zYPD@gq~p4p%gJX5lBe)pybDJ7YzE*6RT3gS98 z)>SdH_k3_u@Cg&WD6g#X{ceQMT$AR9bvt)%y>sA>NAz~p3x-Ri<9rV;Y<XCivU0LT zu#?-&*$-@;*>_t%5zjPa@>_J~Fo#Oj8_6eoKW)Ax{-ZT#az|`)TX~7Sk6~7V>@qcj z1+@!jPyhSqlEU=O&!rO`Pl)AD_bZS%87uzoyZZv=mA~h1wpJIq9l$Mr?hZ@)+^I*O zIDF-cyZpi7$#1VIOQSE;Iv4Wzn|xIlIiq>k;oOVZE0;~}6ZKaohfVnFC3=8g{_~@Z zBY*r>9A$B~;$(0?ZTZmW+?=I-%;(u$r+iql;N8DT|M%pbe57#Hvv^+hc7~gGpXL-g zm*hYCvp||>&B>PuJ0%;~xm~^+{d}`koWJb0s*86BN8+QBMW;1_v*w)Y7MOnU%DUif z=bToZxE+^t=2xqN)r*5OB;Re<uYLO{^w~w_vkeEn-AQSgG@(C?>1Argl1twArwM-j zz>wqMy;V`St9F%sfl<k{sS$;HYukECmTY)x;FP}6M9APFOMRij7V&dD*G}Ct4}Y?2 znb?s4<J0?DjIy4edBUn=baAP&`Uab~o@Y2t9=SiS<-oxQ&4Hh1bujO*vA@^7cJ9YY zi6Y&-w=>VRs+`e(slKPQ{B~{KR;C|*zoN3DE^IHJ^EDxH@-@?pDUW9?;Gd;g`1CBx zs@601E8B!-hq@)$a;WaSStP3XS#n{<b??IoPh%EXrmvrFaHeXKtV~bwu^SC8VH_M6 z{+k?WmMi=p>AW~8M|>h<tk{3vvg<9JK6OVwi?Pl>z3o(M%{A7I`|p)zyWX3nb9Cc| z*J~0E|2@TZF4mR*lUltGUw!R&`>h;-_qkRdo80>E${o#5t(N!7)RY^=xYf%TJ)CAe z%+;E=-*c1UmX^3P8Bao<FEBkUeC6-|HrK7?Pmg50FIvI1R%&D65x2+?>BZ+~?oLU& zJJ<T{_ZJL*t2T!2&3DSImHf3uG1$Y#|Kb@Y=ILLm&akuOx9Ymr@@|+vdxgZpEoWxu zU+)m|+!Sc4;{N$Ad)f!(<u@lBxm0Q_d$Yhh*Jk33?9={xrG?Z_pZeSE+aFtO#VY#P zb&8D0a>hN|tcs@b9?Z9GS$Ey%V%eIrF7csu%rZjL-x|JL7Volr)@yF2x^(5G`i2|6 zNGk4^6zAEcU^C_8F27kCW=D!|mQA_DeaR<Pp!2lXAERVmhRU^ORk=T^Z33;MxcjSa zs2mY-aj`s5THmzeSD$!`@O{MtcYp5=pH<>8_i4}UPjTuwOrg%F&#mIf>;3-m(sS#E z(En)#zh~Bz=E{ayUsB!r!{OxJNBvxfZk{m;SDJcc3HvG@hxz+%Sm^NcH@y(qR<u;S z`MD+I_tusij)VP%9hbv*Y%q1%cJr*ndcz{gfc1re9VJay*ltwsYS%BaJk%l1vp29{ za%s%{m#ccY1@b&or5jUM^KU<7F@HC|))bx<sfEuK=7@cqlJY&&HENZ1v!a%k?Xn;G zx3*fpHjiW8zV}@oZz11;W6L+rE83Ku`e4Du<(J-Q`_Em{*eBxHP*@OEmdqG1r#4;4 zO`UPx0)}FPqVO!S#-$(1xl_w+3Q{hw_*#~`@caD;<%IUBJ7T!QH7akEJ&$b{-D`5p zQ^aic&V}197Dv5!<k)_4(vL+va&osLMVt!Rbkw$U&JqfI+t1r~GUVGnHalkpyNg$( z)}4HHe{=BBT?|ho=W(7`a@Lfyg5A!@P@q%EfZ^n_RO!<z8znT<=DH+ZSaq<LBkaS> z8G95Aq?WVjvs`)iQ|RPX?aqqNi3J<3&)izZz_@ao5To&$!0myX7b;zCc^Ug-s*-D> z%JRux3x$}U^<A2}PR}Wv!%aun{oV%7(~D-jJ9w>*W#!*vG51sN%47$su|M!`+aRp7 zw(>{L(Rn#<_KO^7TP1ybMpK}{@dFVJb65SEcI(>5H9C7V(>Zo~Y<p*SM)%JA$Da-u zUytc4aNED;!NlkluC=B{JHIWu?%=rL{F}Y;4y?zd>sINMzKEC=y7%701N9Bof_4wg zL#n1t%;m_>cgsFo*|%-kofXV;zsa|6nRD_^TET7QBpcPZDLaxLEZ2Paz(`_#uyHR- zt#XhPUreBdTb=2m_s`3oZ&tk(vHR?)1G8r)9-s2G>fF^L4=*v!vw{W7Hg*4an(F!8 z?A-0k-Wi`yYyP>KbHj&u_lrjc66Y4rzAfUquIKCP%jOIC#T%EM@K+4tC~Ic>v16jZ z-cxl)ruywReW)FFY4h~EQjaH;{d({rMg6_P4fUB^&kwY<KAq2YJ!+p=?8@_sITyEm zs(U(Fg4OQXiX*MEt1pxsZgBgM%j(X*Ft0hMAkm~=PrZdxfm1hfy^V@k_dD^GTl+2> zbZ|QBo)BL6G|t*+&exzTbJ)8dsDv!KaAdh3d*b>+m+W$}-rB!Yju@?Zl4koWY(v^N z=lZ|vA81G}>Dzfg+#!8Jb8c}UAA^;cMv$FV+^qG=ObNFq@BX95clokQn3&wU?g-AB z12$UUY;5Aa%Pa1hS~aO=+WHl6RknXREahs}e<|<PB(Y-q=0zgknT+(9kH$7nc~Tgm zIx(f5&q;r72Fn@uc7IcL#)fsuky*Wy*$vGaCf77PWXN$0+tYbOeMJ(x)11{(`(!(} zzB;u$Ynn-R;u_aq=8B7cwd$V~4QQYCVXv*;`Blqw*XwQg{#gF=o{20X`}AG3o|}G* z)cf(QQ`4rtZL!qN{YtUL8P(j^nAm49cuHx>GaR_&ef53;+w-Ld46ilpKfHNyb?ds* z-Rfy?iUpEFBQ^)`F<+y*sq&Df&G#bFx$hEH<9&P=%oNI=)2y}1x3E;`%cFMtOr?T& z%i9;HOnF?|W5)V*h1rv;^=412w$ICZ+-LgK{FBZf-tJr(0Vm&u{6C^CQrnNEYiT^Y zeDdhMM>&6AL|Es1Ji_@U;#htCuJ)O0Zd(0fOP|ai%9v$f{^I1M=MUn378krb{oG#g z)TwP9`GQ<q_PZJWzohnU+C#;-?nstTJNkufq)TH&=NyiBwdi!KRM%@k?g%&QeLr`8 zXJ0!1ipG}L+s<&Z)hC<Y@MvG^rBQ2QtXC<z*^uW+L($H@TggffZe`c}ioQJ|yZM`G zk|58Yo(kO~+a{I!p30M`U7L7mMfSIMSMJ@NoUph$via0e|BmF&mOs7CZvSfql|29O zeV+9v@SX6tCk#quNhKEHb9gr{ch)_WRH!6eRdFw~OO*NC6B!Bp&pAdJZo#+t%x6um zlg-h5>F`c>{=UN}&+I?e*!F>O$!w*_*{?p_cHg;w^2L?|zFl2QSocnna2ES`;YIO# zXSFx^HL<&Hofq(Z#lP5JmZ9bspStl?p`^F79V3+99XylLR(s5}=1sd|S>KfY68(LW zN_RKR*tsY2$xkjv^**QQ1Dot;M4Rfw+E<^gTOGmbFzMIj!{2{7CcM4B-)E)nwM$cX zcNkrq8s7BNZN@)_2i)vNa?jRru7CdU{Gk+=`mFE`FL$)gxgdRS&TSc{`MV4IGuj1P zb&X1M{x|%-b^G128}mYIGr0A&xv%f?FjWp+ck*qv&b+OQgJ#|2+Wo|>w@m8U>1OWU zt5%u2?#~T*v?+-r*lfA|&q&jQ>qX+6S6-ZPM@@&}!E_^iU5?5A^=o>4cdv0&Uv_ML z+J%K&HR+XS6POsixLR236pRZ>*10p69D2ViY%6!3$WAA_hmy4y?rm5cYIe1>aFX|X zvrfAMS?|`{G`w`2b8W$#1Mg@4RpPs~_|d6XAD17PUohjtbGP-<?8glYy{iseu*k*7 z{}!5dKQnH+QuplzWl2WAp4}2CPoAtW!#Cl~;ofJA8=R)OHoad_&hlOPN!-2axewod zpR`k?zvjkj2fizjR!$XBX&2Y+G%WY>vb2r<sb-h8C5G#HNSspbO!-$9Zj7qcY%|TX zmMmUw_x;XqX`e|ob(5`%QV!Q}@=d;EV&1_$!Sk<X3RhkPNAOI=YUgPAvIdby-%ZOp zPR12wDwWURnDK4}*OcCa+3`+nhu1Ege*2#Fe~Hf@R?a9%dAdyMK*EE)w)dIsKW*Qz z-}bopm&ZH2tGxU;llxz5zT4LmaqRnoIa+OEr-N7g7c#PT{6Bl~<@M7H-6uMJ-4q`d ze6wlY(Fcrc-SPvB@6<dNei_ZOOGBje@)Ny)Z*|k;B^|48Ot)E}y=i;vy9u)$?kaEm zb0S$!{1W@aJ)9{kV>VlIl>HRya1qyKiYP1CsAyxgaYnz~X`{xEOBD{J9cYoBe)wzL zK9P+KTaGW#^jTfGKL3rETJpXR=K=!ze`cIvxYX@(+u0%UNezegnYrZ$>~e1NXT+)Q z=f2qOb~P(bd3Q^NN!rEq#&C~+l@X7H<jO@J9}PbKN;Q1Ple3kA^Jg#ob-kX=<m9?J zwc8a|tH;euUHUBd+ux7VjAfkpBr7cnHeMI(@f10ew#G@~$cr!a8M_mVtHg~(9@tuZ zn>km`)#u!u+jSRiIZZApJt<OPC-u9<swV0BtLCg5CRTr1BjW?Ynw}IhJp9wWm*=AG z>nQczUsh@reD75FwEV*)9iQ~MUAw|suTEWkNucJN!J!i_+gkh|Y{*)j?p>L(N~a_{ z$G_$xNBo2DN%1~!0~4E0$GqPnpxEQJ-^G5zB1`**2{)D5Egp0)*k$Lq`CtBj)?O#0 zck|z{&QeI!Wm5R1@A}X2rraaHo+G<hZ|ynM9A?&YfupV?+?y}`;L%MwpYMrn_V#)6 zaOqzM{%=O<kM4QLF8Q@$!G<ea4@~3eTa?RZAJ*h<`~L8{ygJu8YxeMo-TmxzgS-8O z8C#D3^R!;u9E*?tdu7{<=k77GiSsFozM!{f(ucT}JFlGCZ0C2thT*@Chl#C2;u&A1 z&(o&Aj$HpVV*aw$Tt=?YBK6-VSD*PaF`{XD6wl`mlLP*195<WN#`uPzx3SWH-&?jM zTNMSXWc}ivo?8a>%l>W9ncTgKf8!j@u4Nk=CN!@P+1r+KXkqGxJ{7Lu;G}%_h)?2| zojNwKu5pc;dW~P9vTcgaX@=-KEDOT2ES6mPn`@UNdQ+*3DaF}%s`TNs^7Y~OGfz(` z4BDQ*(NrnkP9?NNRPbYwiu>U;sq01A!Xn)GMYp-QC~PR3b|bc3+E-`k6veq$^2=T= zW9I+2W<qmn^F_Wzzr3zLowMJg@6ZPYhM*-^wuCEQ@V)(sqg-hpLt1>4qS3B`-MNa( zH>zit%x=p*rd9U#bgKEi!w>R!!rH1&p9to>#38dwTYi^)w4Zk9k@i%^!U++KhV#VE z2|H|T>}j2AQlOZ*`1D17-ya>umaO?bl_3I7o-yxSl&|xB+g-DB{nze8(RH>dhxg49 zl3BSj`h@h2+3RP`>|H+Td%$U14MEM4Ag_ikRoA9`H{x0m`2YA4{)ulak8I^N&7E_F zS6fVZ_T58U#7-uCIA*v+DbjVrx92Z|cZXiw#5(h^O=r8L#>vyW?(1!Kzv||!n{0OP z_o+##`HU+Kj{kYuqZ*cU;@vgt=rai?n|{9C>?3$owQEQE$?1hUm3;3WC<t8m+v(7~ zMDPFo1MWw)9e;AaKkq&5nciJjkH+JN?r}f4lf5zZQ^w`w@6EmwJc9WGl#d1c+!`Su zntgVq<OPw;1Dw-OhtH3=e4W2*qTX4fr6MZHY{L2VxpVhcrhE#naujl~4Ad()wc`5H zY5C_Y4sHx{Ot#Fl|Cwd8MDvh>V~p6#8`FPy7o1rax~xEPLMdOEngHuM9{uCrR;T^7 ziE(N=Gykv}pZI;3dE0Zc17_TOa9u?ENSu^|fJIYcwYdA;sqeYGZzlcxe|)=qiqJ}q zdac{e$5&cc^%`jOM$T4gzaw){jAzF2jEzaB?r-LHHw!*6=j4T@SDY@0ZGQROV{PtH zZoA@*=f5#uJkr4M&c%NVbMf*$A0;bUjl*NMH>Szer7?eQab*767AZCD<m|wTDmLbe zNqk>!yIp*?!IRO_RA$NY6+M44(h6DH=cKs){w~uZXzk08=gDWec;0c-vpHJtU9Y{9 zblQ<8#^Jl@rWNZtq4&jmOAkMje=T%k!+C|Zvv)ZA8(6bi-p#c6_$f(6k5B*nz4qio z1`Ix~RnH#3^=URfC!u&zBehUDVM0+JOQP_`8CPB$dVV1%TW`wcDchYw8RlE|-dv|v za>YQjXX<*sLobisVqm%<Rew6OEy*daQ)Kzm@I%W3Z?q{d(ydB={wg)OrrEUh{(_H+ z%Mt=~H@!IIWKz2DjqIUSPnNEbnlkH@j^C@)aH}N&0XHnC?e4wXb@yg@glU;h;O>^0 z9N$E%S!VBMdnNj$)#eEIl<)}UMM?{e7k^{l`+(!``-qt;`Iajpg`8}1cZ)vz*Vg}W zV)vZIGd~<p?h+}RfAY%eq{|<Ue9d^bDMBT0-b2=Dm9nN`yVf?=r*YUS*mH5Pu36ot zy5LD=E4Rs9#(7Q?t{lGLqW|Db$3@KvI!{zCnw5M|)|&Y9^u|B@7c@<?Pl|-=oxbo~ zrT2`Cvirk5R@WQD{U+BdpK5gsl#)6)k#~0Ni+fd(^Iyq66j3b<lnVW~?Lb4BqOtM{ z-Usa=afOH8%S#pYiu^t-?fB{CYL)-Omld|<N8XUzAADY+?k(ri%99H@nYkV-&SN?$ zcKZdx!J6X@x+muwHdJVEcd>Gb&-=rmwk|=bWrCz4+sdH0+0PaW^7pyhT(AgkE%;^k zrS&?u)7zi?+duce_-oQ}Y_Z}6nK%8;xm5~pY?Xp7w@>VxS>x#K%=UP#Dz|)M*-yJ^ zj!(qwcTPF_;=b2%UW0XQ5%sgm`7KOr&K#ULHE+(R@IMpYU2HtDQRw;eM@`OpqE41A zTcjhuEnA|HQ}pgtcZg%sr0eFh-}9?qj;dQ^7RSX{Xlea?zMqxlOCDXpuBL_y$%mUY zGSeSfo_6?tVF~ZW$e&3w4|*2aC~SK+HN$mE+JXhqp^|#7H+E!3)(ao-QB~RPG_mx0 z?a5;QbKM!`2VCBW&QMIUTkfRJkaSB-Vq;y~+<<SDt6ohP(X@}qk2@v)VYjn|?|0p| zT<eOb$nJQ0IODd2t^jk0m{3|!sfLfp``4BiUmtj(DO&g{?S9?utm%g<4&LSnx#_c~ zn)e*{KaNw=7gs%=@nFjCV@Db8aon&={j|y;>DtjZm+qbqnNWLonS$i{#|ulc@|~{i z3^3Bv+q`Lu8F%-z-vTOKi<EX+I=y#MOADS^9<^WKibV0D3CxzNpGDt={C>>0v>~&? z;bF<H$sw7$3|&9&m0-_h5K&`G@9I=Jk-+}Kbz!^krf$IpN|9@(o|vv1_vfa_tHb7M zT#r_tlfPB^E=F+AZgUpC5BDxz;XD;`Jp8Tj;%^xe+n+p%^)h~7yR@qHXx_@hGE2Lh z-`#5QEBH2-<;`W+n}S?+pT2y}{VaS>CwNNf^#3jmDhFn^*l$*0R-C&_uJMaq5_6AA zuh1Gd7R@}(LpfeQ|H;)EnWtJQ>dVZk$i7wBd78=CX3|~b`tMAw2ao*tzUINb*1t#A zSmjJgU^DPbeYm>zYh3KUvk83-xB9P{@UM$I7k_)hg_$zvn^>=ytDW34^Vi}tTnbM` z(geacusY1I;XI~Umo#NnF6UZS4xX~TB|gHA>r1EqS6w3bR;PQ`t#6Nhx_nyhrf#3S zV0m8a|3k~OFQ)n}*mI0khnHDBafi^Cg;TxcR_w95dGv6~O{J-Od4ls7zrI@<7~h^b zWvlO_!inqc_4lh8FI{O>)qKqFapaO8DoVRfuHKkb*yQGMnOp0$b%?Y496vUzt?MQH z+r&O(33&RZvP#|x@8FTzx7mK)F(=FCP3N0WemZbO>%ziIlM_WII3_iz7*9RW=&$hA zxZy`1w=379px6qQddpo5^V!#Y(zvl|#%aYg^=Y5PYz>)hpWbMG^e@Wso56B{Kfark zZr_%h_iWm_=c%)cj$N>y;W+0IXV@zx-v5cb)jL}btG=ErmT~A@Q;f64?%S=QO#Hv@ zN-VZJc=GDYQ}NkXR?mt_nww#?TlJTt^0fUkFD+|*n!8tY!qdwe6bttWMc>Z8+!fRr z+P;9Pdt=27W_QCSQ~q-NKc^&g#AW&F^?|per^s-(bq73h){0n_^8Uf(dahYK^W`Vc z-a8{y<(QSB{H2afd0SN*uHCh-c_nd{L%Nys&J^bjQ>SiRW*^d05z?XG6!lxLKl2*j zVy+&y%|)vhf8T#*$K=vjyGqtgwJ*eG&0u+(VZBgrWtH5oV?8B@TeTlG?q+>)`;*BX zHv`56cXQO<Uvx95QCoV`Z-)1pIHn`^s_M^ov7cu>^WW{y%HaPIQ-k)lJrt?lCiFLS z+S^SoJMKuD{#|jq`L^$eO_A4i=O*-u-u)-_J7}Iy@AsqCtNYc>78$kjq&lxFXLfO! z;~<x2|61jC!>9YnI?t<P6))CZPb%NB{iM#4MPe^zx2`ej-;(U#R`SFkG=CkJ!~}7l ziC@`Rw@9YwwYNr?I;}Ys>lBmD6)GFqbN7*H(C<Zyj@l(Lt;jq%>&&O>P^H<Yv!u9p zChuJm{vk|x?-V|*Lz5y5CS+~i5>%4z)6^WW!pQRf{|Sqh|I_@=mepOi$y;4!p5P6u zIJWhXNs1>v{;xGypt|7Wv3WC{f6MLp+4S$Hbg|KXj_T$Qclnn1T-jQkvnexws+o`= z#|tin_Y3w4EUx*#Xt&?IgChA(p*&d`U-zj`T(jWcuSGTN8mTkx9Tr+4dSiw3q#%wq zVI#*XK?h|sO{-lUX}g8~em~_C)p`0F?;))<n<M%<q8CooiT-%$>V}7V-F6?=?bx;U zrK?oc9+^3*TlU?zzgNV;xvb}V{MV_{tBh+sr&TvZOjyGCxI@5V*`52-UW;#t?NM9g z%(Pm&_>zju9yROj23DGW6BpPX36t$DOZjU5t>BX7G$TFX9S4lhyC0HcO42u~s%~Sk z^7?f_Z#f%}?yVnMTE|ZCaU5rhQWx0rw!B=sKB`Dy>-Qr4&j+it|JmQMnB~!4x~gX( zvwTtPw~dDvO*LC15XiC8^$(L=!?&Bua|PVDEfdVNSmJ#0B!6-GqQljaV#zV*8h37G zTi2`fl09%z#!sW@_VgR4_5A;BS>&%X<07}K+SyeTZ|sa(5V_#;p`fFxQnq~k_f2o7 zHF?f4iQl^S*gK=I%qA`}M*>CmH%fUwIX35HWn13#S4TpP<BXRa6g>8w<ylnrw%&q& z0qbj*Ta-#Np9u|m%KxzE>V%5;`O4R~o3Xw-QgQ6>v=c4K<}Jz`|KHR<^-Qu{oq6W^ zjjW`Epcw(R7Q8E*``&%6zH!L(K;)78e=Hn)A}jmPRZ5+V+>~C!I7Qv>c4Y4BHEY*r zIj&@#(PHJoajd#<&DD1W29+Y#UkzWoOuxcWem<-+{i>QB`vvDkT;_**j?I;Ff9`o* z>t@rLCh@&1O%1hM-d8Q#e=0p`67$E8r6yN@JBBlaZ26jf_Tm2G=bEw^4R?Zes9MW& zeLce%ax2Wjc4|V$(pkrim}?ql$o6g9`fQ4ikW%QApXIH>22Of2x{`RrjhVDX8;hGY zLVOO*$Pi^SpIWIqzo*1cuJ{9=$+Ex+ZybV@EKNM7$Nby#Vd(+80)e9~E7CSKezO&_ z3|sX%v}N%n+2H07`zg|gf~Q$8Ynu3GfykM}?D|6=s-@p1Fh_Fvs>|O<x+!~>jqNw{ z1f9G#f!=?!{w>TC*!B0!1A{&L1NL)q{I0brPv;iUTxfcy^wn05Ee!%Q%yV2O*ea$5 z#qN?iF{N>8qsODDe}3nk&&h2{zU1O=U;b%>n~g%|y4YVIdtPL{`5AG2`DKPrG8U2> z`purYZqJ&&>%#+y(v1?9caC1U*P6??Rq&_T0_C|?hDsNbDk5%O6>E(ywEUe|#Hrr9 zZ?4z#$tS9}x*e@CS`z+u!#@#)!~&&NLau!(R%|khed4Dj{7Yj@eSSQ};_<<dnKR9& z<+Gn_n*8JCMjpNw-!_MQDPEN)%PcLMo<EQOjMRKDZLX$WQ4ME)seh?^tUuwq&H-b+ z>oH|lRcG~UX6#!vJExZE-tW!RCQsX#eCJb5_=_9UHbxiDtiQT<qLg8ndE0EEIBDrU zs}*LQ+5Jbj#;v~N^#)n(ti$K-T|C(yzVsTC^NTt4ZU&*u@lEr~n{QaWb~ChOQfJCr zyIC`3{R@X0HU<g%iiIC{Jj+ja*fc@QpZ7?>ZN27QZlAvYH-BZwlGa>yf3@<%pLaYK ztaSMmU&kFM8+MhC^N(N4Bj1;ehFjhzGOyXtm8QY*dS6dSaNh0UqKf1OdDdS6(+myg zGq5{(ORVYnu*COb&q4RUJ5=<G)b!i<Sh$M$G%iRzbg;j@f3m||?S~vPCslhL-CqRV zuKQ-*d)Hysjq(_7mqlI2lpmh`?P1lFkd<XS=j;tpFUh=DR&&K1=9HQJDp29nXjtWJ z-?s9Yx9H5B2~E?_#7;~7xH{|K0nt5&|9@q?7w)y-Qn%Ojjtzen7f9`s+r7Z^!>>6j zLj0zEw06n7?Dwqs;sY0J6<eEaxi+8kXWuBxUtt~gRjuv!H`WQB+wOWZski-8xn5Ti zD&3$z^}(UO6)z>7e#KroS?y^s`DJ;DmxTR_j{hd-LN?y{z!&?~L;SndtNk5wOD&hj zC+UA^nfhzlVTa3ev_h&>EblB_93FW0Z@L^$hsM%r>pU!Y?r-1ytFPekm)x)X?61Bi z8oWweu)XEGppG18l)*&Z$A?%1@}*P1-b`egvFD0zP27<ky6ze;)wagwa8C^PW&7D^ z^49L=!N!J3y_+um*j;L+9pcfQq@i=ge7T!mg_}pA>av|a(u-O@O5b8Xf8s08bkRq} zzFxkeyS`qtI=sa-;l(|#lH^qfPjA`A<JBPWZRX$m=69Q>CDtl$aST~FXJg^L2_jv5 zLHaxmCpPDFXBTbEy1gaGCiveM#pDi##)91%Oj8852|b*fDfaA8_$7yGc-|Euna z_kN^M*?GQAy)BjZL-oHsA57C^UA%rqC(M?&xg#AIesHniWxocYgL?i26V|@ly#Lf% zrLB|i>N+R8F_$wgeA;q-p7!H6`(-1l`hSScPq%xqO49Y(x3^*L2k*UQ6L)p=QM}18 zL8SNigxhx}{c*isVjTLtx%{u^rz5(DVpXj7RVYk02xil>;rVT?Ab5JhzLwrhVd3Km zdmqf_QhON0zVF%(w|Sq=yme}7*C^7i7WSEPaKaRgNayJr4eHJ^Hm4X|D{=_9BYb?> zh2JuU3!W#NaC}<CwQ$R)OPw8s^VNDkNo=hTc)xXOnpJSl4nxIAF|91wdy8$QwXTFS zs@>O8(ckvS^Zi!a!l%U(KiqWFRjyxFrEw@thig?~)`IpA9S`KUlolpTulgPIdFlKN zv%jrUxhbD!s9!USTy{AkrcB!Grp>cfg^&rMukG&HovfX2lkI+XQFrF1w;OLZzPcQ$ zw?I_xXN=9X<wDEZ`j)PEJALD<E}aKPd^_}{7R=mNX<~7+lK1<<Exj5WZLixToNxZ7 zyK`b!W^Y=$pTH{lJxy7QCd}J@=x5i3+r0rMu}VDeRPH`G_9>xr|E?=ZS2oSrp&>k- zU0yVLalx%=S3W&?r?oMy;z<6C_p%Yu=l66J<k;1C*D&WOnU)E!SaQOu^J<LsozlAd zEY52h%HjiN3vgx4h<!Y3-{Xa~Yd6GhNRC@=?(_EVvSVdmtrR&jM6a*zxi1y=PTk6# zr`vB+tz-Z4bswYl{JSd9q_j<8MWgg1eYIfE^oeWtoy^$juli~G^G6ddyx%KtlC(p+ z{IUCW$Dq51+x&jX1Xrmqoxj`Zjqob7j^y9^=lwmn9!sxEc`f#C28(X3%8$TiugBkC zwg-obGfr%t|1&w?<jT3VRlJLKYg}qNCH+$TM!j!(npU73^I_GPm(NcqUvYXbr~NVV z+?4eiDhrO}hF)=FO#GB)=sn^2sep_8(VY{7r*O7)9^lZ_$gi=P5b9DE@yEfT(IKm= zaysAf{o*|N4jfZoHGG)UcO&!To+&Etin<v5$}V60*|_h$<F~IW)68qG@4R;?;<#bc z(<e5~T)h1}hpu}ub)EbqzI@Fd;f>6#H+LK=IpN^+b4%DSj`i%ud<zBMUX^Hg8zsVg zwJSH}WMGZJ@oB%FZn_)&-Hj)RvEId}Y_ZFe)%q?jSFP=&|4wnbulvr~thfJ}!l?_E zMO8}!KYTgJU-!b;;pR%VlEUX9X(_+@UO69ovSlxiglmJz$L+V@Tn}>;=TJX$sENxY zd!g*Zmbl3cbF0#x^I31!UiFpXb=Q&;3mFps?l|iy8e+$}DsfHI)+bVv>t04U{R!N@ zYdYt;6X|U)?kQ-;@mwvLT^#y7>2c+*CtJ-vcptX^JULf!>7o$bwbE*myXH*1dFI65 zys+;5!J(zv7EFuZOIvDeU|J#4uhFHqx0T~*ZJfhfw}gnjuX(dO;-d_It`u+It-hxF z!Ho+drGKpMhN!JFv=W~5y`o{$HTRRjr|lBInwPHPT&gffAkJ4MDQNSYl9g4WtbY^& zrZ0ASy|qqp*OVWN-|uuNHx?^9+!t?f;xhk<>4$@7&p7BGc2a7=s|fkq4-|Yv4K9dk zv%c^!s^v+^Ok1%<V$;&M4a&ArM*<>wt|fJA@LdV%yUSp+V4B5ShEIh_0z2<bj=h|% z;Iq|``@BZ`7O@%T2LdLrH3zPD3gB7IIrkjvC&yEt;<jZSx!vk~>FuvyD@%*T_pQG0 z`h%(Y<cx2z8xO3Xn!U;DOI1da-j0$i{<+r|eXlb+X1B+zNu)N~cr(k3zE{@sbW@qs z<!f9#{s>w{X&L0ry1HXcL6h3&d!=85eHPlZNfo7(ulcAb|7jj$omk<KS<Q0{IO?hr z4870WN2dC0d%8gR%%&|jUYhr;Fg*R{%$py(CLLYSQ`I<sy3w<n^ABBIdy&J4RYj#v zpvvO--Ao%(+tt<&gSf&jS^Bv=SZrs<+!1tpf$~Y=Q!k>N>@94nF0p=nu%7?A>zaeA zCsrB0{rO7vnDV0uN7z{nde+`FR{XGK{>qqqYr!LvD|8=Qx_zAWOneC!OODzcmmmB$ z)x9(t4+K2l&e2?QDL~eLiMv6ODZ^Qr2yOFrkKI~PU%CG=rHL(YZRW6yQJMLpbxEW6 zmF6?WJ@1|$cr`_7v(d~sj}~7|?3;Y9E|_u8wDvsN4?GUKmJeI9oM!G=ayRwDC3z=x zr^oFxp76*lK2&x3<&HVp9Q_?CW$#z$`#H+SNo1aFdg5U3vF~mcZ_6Y0mm&stP1ak_ zKk0k?L~-SOWjBu{LC4Oj=dql49e6Owvwl(EG_HvmmzmXG_UfFDZqtvC=$F`}`sNLb z_T)ZwPa|(JvzyExQh52yv*)mvm0f3@D{8Bs@Meqh-APMM8BXG^tm*ytp+Vm1!O_JD zjBJV7ZC3whzTETOx8Rqi>O=0UWzK~Lxkj#6HNNlawtjFcF6z7ZoeiM{Y({~1ba-C+ zwXE^tauhmjICVwQ>}^T@XR8!0bk=2kGYqzUQs4W0&y{9RDL2kZ8&vcb%U`xOi21(o z%&9q<FE3l3)ce30oHcLjFMhV`FJHLcOL#Ov$ahku!D`EIixvB~r@nIt{jPeM-$X{n zc4e8LRW#e2n!~LV#V7yVaw$Ky{YIeOS$7tr>{t7PKH2Wh_*V0)iPc;9=)2eMvs9Kd zxF7l)6r}h;+VJkwsY#a(U4CUCcVnVBzm&u6xk0}lRT{a>@V?bIGhmg(#me-wUbV^9 z{aSmLUQV-~vS>z%+_s#qX)@0zguJbq=yt)3wO8WA&v_1;HY`5vS=(b0baB0+r29wV zPxIF@A5Hr_i!Gu<dex%(FGbFL4;upFMEu2MpK!$nba9&6YJ89sk6PUm{N^&}ef6^j zS$ixOik)baa|>u^^!QmWyxM+67Q1}&#RVI(@8y0f*uh?L<FHql;;DnNhwd$YKEZU# zft!&RrUbLdt(|eU*JIzzQ^KwC2Za38pE2LPa_}+daif1zqz}G5Q4zX4J?6r>_BW<k zXa90B$*yIdWoUVIN@&K+b=9?7^$SnzdE%V0q;5std({n7%b0}tH$7XrEkQ3u^vl|} z5vRmXZZ<qKQ|tPklar1fZCj_nme(GAW6hD>-pf+Ud;Ba64U4wy?dJGX=$Vws<-j$u z-8$pVx@jG6CSNXitTb<~+LE0sUE?HOw||+-q2_VhNK8uh$R0kQ$%|_a9anA9{1B-V z+4IrJOC|IBnP>h7!gc0ZcNeP0-k%+{hkvK~n&0YOOFa{ohq#+;oNoBh`TC3%fyb;v z*{l@xj#f9`zIgILAmb}R$tPR~IC2in4so6%JNu!;p%1Nb@1#}=OuMr%-SxqVSI@+k z<+I*doZkMGO=jcf(o$s>uiB(Or=1J;S2=!9<+*u~H+f~sj;1e<7G1o<5Er>sCj7}m zZR=$_8_K?^a=0C3{<?%?QTWbY-Dl@5Su|f37R|QKG*{?uZJD&&%lpXU3OAE0Eb_YF zBp-c|IQMaOH2c+0mo<3x<7HZUW+!pkh@3uS%yC;L;L(L@L!oKkgicCXHSN^ky)%8^ zy8TaAya-~MF*UtndhwI6`@5}Ad=`6U+g`>kHFFoM$D+t+*;{Wq3wEtolE!K4HCyh{ z_Jk0VxEGe9bGdb%O;h`~c~N5Hs%dXHol=t-f0ms5vO1|S_{H2~U9M}7xTnR|>YqJ- z$b<P`9CNQ-l0&G$p6a{Lyn}v4th>N&mEzp?M&F`xs`{gjFR2wCi)Gt)1#m7+_ns{L zfOYFKrnMcbC#H)Vy_q#H&_q?)tl3r3{V($Z-3Gth@I@w9ETw*ZYG-|r+HAUKefZ~g z?peL!QhxDwi%zn2c4_Dy&-{1Ctu46A<yU;+xfSu6O8xl<`qby2j!1YIct|owa9aHh z)w>6ZQ&oNEa9<U@{pQy@_HxOn6?dBQw?v5^4OMRKpFMYFk4>Y4-HJ-BNqY=#ZK_^a zTA~>KFJxiMzQ5e&U6q<ME`I7!vt?|}Gxw?aS!1>NYJ>W!2iEe3u1x*#pGRHh(411` z$XE5fJO!8L$wxBVdh(l8xrcWdwDK+7=Adw)wpH`&O7rZN`3vW;pZ$NlSb~dBw)1kM z*&L?1E=T#gzO?&GEqT7vG@rwNXVdci4jucyNQA^M^83-?R$c0$)b+HZ+1!4y87~{R zw$il|ht@CM{b}}(Zbyb2a>{J~Z{BU*n4#Cd_v5;af#3C4C_DB|eIGOFXxTdN+{;t0 zia%paJyCw;l5XiLhYcae<6q@JDNN>Sve|R;?6w-)r_)ySM14~Cx_N(wA=B;fXV<PO ztl2BUZX#r{#PH~M`6V*~ey`o!sxIGP{hCouTYmYQBEj!#cfKrM`#8POQigBGwv_oZ zyC<4#zqnaCu+4N%(^t0%1$P8OYFB;yAi;Y+?5@_6To$FU6ZUoLGnh}WNcdys$9MW& zrpTl_@9tU|-To)#d!_Yh$pSC;Y<+>{u~VdMy{ytj4=uAZ<@*~Wxkh-0v5Ugu<GTw) z7(Zl973lB(#k4$tHT83*vGs(wpGG>)m5*LL6c#jkbTi+#E^2Dd^Oz|MdAINS#3hxU zU;c(EMP<?j$-9CR-^P7Az4+!yeS?c96>cg^>uq?v&()RFT+@L~ZgyMZ-9L@0+Ow=f zZ_L{{XKV2to=Yha=6U_83;C9FxUH26F}xHrlYLsk|5vZKCv3ixoO?u*QOn@t_S>A? zZA@&hm+m&XC2}jkyML{0To_lfZ6wc5_p&*6G&eX*+%Ru~Q}ioaCS}eiod<s^hI~4m zt#GO2#MX&|#+4P%#RBw~igfX^p71$w(fmqw)TXZ{Zf8v^IlT8qdY@Qz?3t8ZzpC@8 z&E3BhUuBB)KkDU8<CvIv+Rrn4%X<Bi8@1eLC$k#r#uYZiMW_4Lgy^b!3%2SnDvL0@ zT^VrechDrpbJHheWT~rkW~+uci>vxcT(EEd^xNamtUKAF4jb4f)E>O1lOf)Fp6~nr z9+!@v_r%3dmlv#9DUnlpzD@7!hU>ew1vY;ys?GM_r*MAHM?qz2kEyi@H@zpxeyQtK z<vq3R3b(6s*3l}PW&TUQD$P0<qd#G0ZO^-B?54k49$aHpnC8&(_;4ln#Pmx}!QHA= zEoPc)75c@>m8Wd)k$%d3f02jmPMtLK1?Q6Vw|5jI#`@eiTfU_}(2es}l}EOu_oBT! zo^<R^O_|5GmhraSf0pNSD=Iklh8(fVb!%7GjJ=@B>HbrGZ;Zn+&wu|PdSo(1Srw~Y zpYyY(AY*dG|60rADhkcllx@uud{<oBpc%Px61Tu^jrDhUgw11E12ez$@E0n)bI<j8 z&!jMS{_8g{V@xdWihfuZwoyW7ZJ4sdmtc)`JxzRSDJjd{Qw~lzJ#A@|RG4DTb*BC2 zC%yZUUwB#R?Uh;14rw)SS!%_;P7KnUyQHNzZlSvO*_PBNHzwP(bvZpMeW<v`(|2<F z?_}BAU%W!+wscNcf8#AS|NV|^SMdPui_;#sUM%}6?aO0u`muTA)3?prS=}@}?z-6D zu~ccj!mrRa%|b{~@j1_tE6Y-UuG@I<@&=~uJz45eZj=4^Jr+1`=i1<H&Kqx;6rz-z ze^(+wKk{biMC*B1^puN#-IV=&dx>V>qU`HN)?UT_N6yN>-ZkfopHW|*QtFbP^%gCW zWlrv^zP)mp{dCUH*O|uaW<C|3X(qX)YD=hi0@o^!gWjhZnzn`q<|cFqOiP>i-Y`~u zY1uCO3C;~X(^WE;_&f}`>~wtA^u!R!_NkftYZ?!^Ewwqe#eVsu%-|xY_4+^JBX+Ld zR-9X0DXA}!s`=y~M@y>Hs^xz;UM943>So2xVY_3L{b<kL<b21D#=8Q#4MH5J_=^|# zY83oY{lqC+*S1cAJ$jSC@#D*ldL!rkWSadWE=?w+ZJvdxD2K`3b-VVlYs?8y|1Ezu z=1aOtsS)F!m|r))y-IqsJFw1LQ|ES1p;Jf9d7t<%LB}0l<XySSQkwVse`Sa4|HLmr zb7q|k6@KKtJ5b5;XVLxO78y<{fzxFIHL^EYg)AmyZ9d(ro}B%#b6rpQ)!TpXP1!ip zZ-c+p(z1|+a~Dsvi+t|Z8um3+Zf(Q`-vzPCvyPSIhHt;)^Wc7R&Wl&|2R{6oER(|S zD6g_Dggasx|DEG$){F+fj(uV}eZ!k$$LrHC-blu7`I?k0+sIyZ=b_%#w{><`{F~o| zp7j5-KhNim!RcN5=TBKzC&+NuEa0K5@ToGFeXMu)^&JgvE35fp-TN@Xwdc3cwKH#e zv!j~5_p7l!o@@IsdE$do?pNCnCx4tIdVAONsek{JEO-8!v!yTZ(c}dmU$%bD`o*oe zCec!@JbD9*soVNVE}Q<HZpsZ`o4+T|?*48&F@>%7I7?<d@Lhgyl^*}wqO}(o9v>;V zI&b>AJ$s&R$oL|;{GbeP-qND_qX+6fY+Z3aNwN7<#xC|^`?If<;#2z<@>MD4uXz~0 z*#6R#)^pp=$5cFZWjM>2cHWljr=9$tC{NF`FV0N!pU+<BE9;SU$l==212Lw~N&mf8 z^`$&qaoFO~M27id3A$IT8;@LWmd!YDwn{wUK>Ru5i!7Fm(U0U@*DT%Pw{XUKmUkC+ zq&e<i+?`-?`lP}&rnI@vy6WN&&nw^H;C7dJWOcsj)}0IK-=tryP6@mkl>ABW?SyI( z4~4VGPVJhPTkV$c`~yS6uT3*lj_!N)B<Pjw8t<_9n}U6x&8+;s)y%g$WGZlNvqbVu zzfU%=ZBM>ZR<q?O;;{A+VQRS3`K|G8Ox~ex7yJGM6=}yk3C+Kv4(Y~y6yD7;?eZbR zC%3|%zH<HDyl`U_^PEZNTUY+6Z2Z!2L0a^3Mes&psfgQg2A;c|dEKAz{Fd3pe*dck z>&|QjO%|`joogQ)X<PDHVC%e!FL9M+u4}IIPPy=HV%Ew{iaqB(R(rcth;~et>%Px2 zXTp(fbs;e~;%*659@rerY+qjTtmpHQ9anD6zkTY(-_?$5{?>Uu+IpC`=-cwjx!M`6 zD)*#MG%S7jY0j5h=H@+poO@U7V>-}XtCS`B`0%p{3qylMN>}SLiwN`I@zCT>w~&j- z+xqeF{>A#cXR2gM-f0aeJiFF#^^Qp{1xf#Zt8QE_Wby9oi6%GO&GVUU_oyW&XJ62s zUAV!n@9WxKz8*7O6Arv!mp^zzKh*QygRj|7Q@)=6+@O_y=frYj&d8sA52nR7gd|7E zE_FR9DfTFCuIH0~39C0QoHlXx&h=Nyk1@7~9TbfdRTUEtl3=><+Q0Uu$l{Q7o-7$j z`YO-XJcx4Cm6~_=V7PvGxzE3am+}+>`dXC_sbw;Mm;L9o&!AzW)9u9{Zrf~B+Rd_W z>xX|PoF1Y7Cx&O7J$%tqN@-rfx94mUny0wSmxb5w$=>BEzUSlg<PVY@9vzo?b6r?Y zJaU+S-gf?C)3Y7|YF!(qxK~B2D{@vlvuC+L^Qt7yjf_`5q`$gd%5*EO@NaLY(SPp6 z+eK8j2I{=^wXuK4GCwwnc|&G`@aw+v^rh8)iy1bYTPI}w?$v9Cz&{*qTie$1J7_!? z+rCBMQP8@3cTJA&vzB^nx6(ylao0DoA}iIOSyC)7&j{NXSLJ^+GcB@mPD`F6+H29n z$ai(|JvM{&6{&*G$863Xofj@7X0{;q!=c>*8Lwk)vsG1&tgO|4Y<B(YV(0IslV;aP zUifk0PlNrR_NApig6{`*t`S&kXV3g!W#z>BiznLdN&3kC`My5moQc<jlPed8=KP4A zB5VG{)k06I`Jl6Bc4_DRcfAMgPrUAzaFUALuNUILtf|^!Ys>iP0K3N73IG25<4@Py zB7VA8?_cG)e4aN+&!s0Eo^!%wuXff;&c@eg0$++cHgNnaWdE}90<(}&WoW`v$;#?q zb<ZaBR2^bZy3zZ@a<w{Ze1GXqzbq*=+0TEZ`aktQUnI?PM%ccwW|^;)@2;(@Vr&jJ zoC<A{$k@5X>x#?15Ebk9J`)mNocVv|xt#pNWG!E*$p+CU3Z9r1-P$0i?aAxr_;A`D zuQdIg77U$}F28dznDfbyDLkD`CzJCxJLmTV-v!4zPB$Fh<|*aAC1%NiMVqg8f7q3v zW0L<rtyJt`T8XBIw7bw^zk>@t-MjNp(E8n*^-q*@cAPPB$X8HIw7YzK-{K^%sn@Rf z95czYQ(nn=sh#tWg1um+!~eO{_9`V-M3e?x`NAWal=fl!va?%mn>F8Kux(>j@HDxc z6n9Ke<cHv*iySFVIjhT)MRs!9R|ZM#)m;+dtgPd$zi^{PPlx%TmIQgdQ%C(<G^$wh z#M0Nzn#F2-X|6JJT#T!yN%wA-Y8%T~$Aufan~j+Y@AQ<5H_i}`sYu}sYfi2)h>DX? z(Kc(;NvfS2mRceypCCO`%lUGq>5Nu(R*7}V|2)Dj+jGhtpV+WDd$mQx=O2kTI{sC! z4s?C=Yq$5~r#<RZYp?E-J-xc`>-^-zzv3_1%?{=4Jd&8qI4|s^`JJyS`%A9A2)`G+ zmG9`3G{3%}zy~QigtshNzS6ON_JQQTza}akoa!G{VxHu)?TfJMq3W*My1FBdnn9PQ zbL-Dba*(>vp}fN^{QJ5y=82UJN<KUxFC0APJyXBwobqQzT!K~5wFx(;7R>+heu+t- zPowGb@V4*I!y6s=e&}(|s@G8UUAx3JCtF<E@(1gTsSmqde4p-Iwr9$m4S!$WSvP&n zlf~ysYU?kRN3Nc+^Cr)%w+pVV%HW)DF@66faV3@CQy-_vG+&OtxS+H_I`8M}KbEZ7 zI=|nY(f4{~`kd98#plTdU+ryC3f!u9_wMWz-oiHFZ?RO5%~B7efT$<T=@Dvj98X%C z5}T$Tn(TYcQ0(_iw%2ZXW^b-Ox_v12k$Uj*8FKc~@fvbJzVJ70oV`pWLvr%R1&s+w zYdd_C7tdKL>-yB(q@mwc;JL)C4aH`M>yk`&-+Wfux^vU>KP9Tx{0rVR-Oh}7B_ZRY zwXm})%98oW0vYbMeTx#-FwWPE<ldX1P`H6zb8$0&YuAA(KAvt>bNr{QKC{}sYQ2?X z*)cz1C;P-W7Kv2z&aT=B#*bBXEa#WM<E{;wEq*nz@q9$@!Z^0to@$qGj~`zb%UrPf zowE*yh0K|K&aWkI8pgenN|BS<{b)wy`lCI{HG5;^cktVv<eQ&bDO9+it0Q|u=})yi z2X5-!ww1Zd<hV<i<@#RVNQ=4s0Xj3M>I%Qyd*{V+!+x<Tvf<vf3wZt4m(CR|X`U!o z)#})(Gu1EQ)K=A!BW7La)hBcwSf%fvr<(bOapOgMb$!GBXtk<UbF389w+2)#x^yG_ z&iQbS06pJwH|-s%OjF-kPSo6b+M(>n*C&_EisrwvF_S-g!Jtolp}+a9_g}us#x7kl z`$9<1Ns;4@ulIcA=bd@hK4;;rNu@%ZSKl{%zPUR>hWB%-+3cA=ca$Wk6ndJ@2+3LV zOmB&~6Q}9Hd2QEE?r%Bw^^)x042{`>3yP(K3s1e&t@z<_Hc^hzjQ@$bg4WK`0tGA4 zi@pCMGM-2&?%`^i@5;m<l<Q$6kr-z1R7>Q=nz%)soW3)Bo+X^nU=v*L_POfEe0yW9 zV6BT8t+#8oZ>vy9XLOL+Ats!7U93@0fZIlAhfCgqiS^~zPRcSd8HDf||B_?B`S$qK z2EBU+p6c&l5)2ad<B#}lIDa~yZP3(@K9886rFgk`r)18O<jU#M|B=5TP*KFBaGv0E z4d>_g->!IgvWb0vYEqvpW8>-DTf5Czd`_);l=7-`EnD%&C0k7|UfylKYxA+9)9M}E zHAN>(8<u+%8yZeiK6|)e+8zG4lbNJk_38rz1lpf2VNRZQu;<ijhtAT~_Jy;8{<1z; zv#jx!72}5ZD8F}^CG&-(4mB-2|KnuM`}UKGt&)A;{ulplzwqk+yM8&&`YWYd-oAO4 z*UMp;WIj=B6Z;wVGwh~w;)UGTIIEk^;$K&BduhwDe7RkAE}tG}Z<jhMv@&Amj*DHp zA3JYipTFQvMRTjrWm~D!@@N0dTVT?8MKo@U$F01pM%)6PGmLg!eyh`M<dI_kFGJ{$ zLx{uCtCKzZK8ouro7g?5tW>(uc-Np!ZcTMz>lF683lozkM(sZ3+#57y+h*puo(WQi zE9AHmYcC#&U(5Ym;eCpb_>}IKQ`ZW)EjH@Sw9&7x2~=tC$k&}}`nXD?(U>9qZ>+x0 zu~OHC632dcfBWM4J0WyF$HZHAUnt948fO39o$4X^>F~<8z1=LAW3(qmygy+V6Jay4 zU25ri<=AHj>e4R-vWm!F%S#FN{-iK>$@;qrBJ1Cz6+6qxUbeTMWytrYt195k%qds3 zZf<VZj^(&{;M?{eDcdJ3lW17NAR8&&aNd8_$Fozf-hRiY*yOxt{TlQA`;wL|cT`V* ze?8CQi2m6>^VZp1+V(iBe6mN=F_n4yLw0{W(d~Lq_17%DIn6l>r?9PEZN7frxr6$X z4CjYQ#oM|c{jo9k(1MNEK3|=z-Irqgxw<O2ReE~ooq64Niu=0~SJ>FRV80$Mmi};0 z<>zO-+^kn5-rhW7U#4=qSv##EL!D{S`kI5**%t9C)w`XK{8M)QVmB-JaI?|;LpG^r z%@0d^?{LoIihMoS``j{H*$f7aT_UTNemd~k>A_u*74K4t61dEr+0uogo2Os9H91E{ zY|)X`tJJ5;-27&e-PbC;YytCT0mgLy_59M^vz#|FYA%w$tQ%hzy@>N<yS9*pcF3;< zA8#37n|e1Vyw@$4aow7GPpURlryk%6WYZEXe`FBaQMc}q#Qo&t8!ZbMD_fR+yt?`7 z)Yw;H1(BB9lWqz3ns*y{M4vF2fAq?_w%}vk+S6rDxT?Q&EAQxNntrYSU8D2sf*$UK z+gIPM+aDNdQ805);Gf!sg*S~Szn1+Ls_Q3n{l%%R=1(sf<Zj|}c;UN_d-vsk&zN^# zR`E2nz9743;l>-rw>S)(8wwf^el!1}tMPfI$i0AuTV;u|%kAHIY`elOTYkJSp0&5y zrg_K4+ppep?3NYZc46i*hk0}Js}i-0P5yo4dE{t6&n5At$a2G}oNIS46_#F;biml{ z)00W&H@a&IdrF#&SbLjo#APeF4>s@fczi^u$l}2Rp|xA9zWv$r`-%Ir;tWQGHS+B` zQ*;k~6ylDu+z|bhfA#ZzPR$dG9eQJJm{0qqJZ$UaleKiWI<tJnG4*-QHY=igdoDKn z)Y!1HtXNwVTB_8wJ^Gu;vX3%fp4`0ue0JP>eT{?sZ)G~K_{AO$pXG1z`o}s?mo17G zhufK_%Dw4(<im93#<9(lxu<oqz1ZQrc7xBY%TsgxBd0jdYCbu`U%mI{yk>v4ry9M9 zHum+B=a!Ual)L^^o@1VWM^SNOo0Lt=(jt|c&3ARC=zfchwEgjIT5VCncH^E*pU0Lb z7?iH}I`gS~4mfb(Sa0yozBfnZ6hrRLaC(}!`pA^P>r?d_;#c0|k$3r~*v=tuH!0MA zb<u%K?m^z7%&x1}l|BC<&1(MrY5#tOU42&^#aY;*H*g6Gd0hLk<nLMjRTGqU-TrHu zs(LQAD5ac#?P}@WdzTc{+<fc&Gc`0jwsz&RX<3S&3);>&&*?T1kTCE4y)jyzO+Y`S zP}SXbllAfYD-_bRE!LYbggbtE*rma?W@5rD#gG*BV`3|<j5u!H7j*h(cK4(zSD*0i zbdIeWQHP~IeLunHF2nWxc;3qJ?pH_dtLn<1`=R|MWxLqb+0l{p*LTMUdrzymvFOF= zt)lh3&!^vY@sQXl*eUVJ(Rqf5LG{MjZ`QY)$8U+)mi9-~>ErgpleRNt1a3)tl7H>4 zs{Fbg8*jJXk-Bzgg0iqr!F+v_wvT^o+^jc5^YT}ze#%(kcOa~0x$Rv}wdYfW9oKE_ zO5d>GFV2-O!S1Z0Q=6Kmds(*AtJl9ChqM`eH9szDprUQ{(WOH;=t$e2)LoZ9C2iO3 zICA0NEQ#Oy_0BBZUXmKl<-w45`zBl78?iP)rFzdJf|HbA`RVgE{B507^lfr&f#Q?* z;z`kw#>>n6jdmKn=H$D?lK1P$vAmT{ay&s-m~I?@*ZTLa_qGenb{r?z;_8=PyYWx_ zt)xW#{CKH_vk!zivc5g8q(3d8X!7G#KQ>t}eb{rl`F-c?u7wNwXLkuQu&?XhoN;GC z#Jt&Af)4X`PvLSn|5iPd&vMZe?_eqB%CeUY`4NqFz1Qb$Z2M!o>QI@|wCnMj+q75D zI@7)F)WpY%9I2-r1y_rw%dBvF>1Sw}E+p8#LF>c4mwan=L?#yR+2|5~xiv2@zs)|c zuzi9Aw-mp9ga$XW$n{{G7r}xzmIhBd<}z>Nyq=GxJTY??{8{qRtNB`YN`C&IED=G* z-re)M-|?IZZ87<K<L=Y<Rw>PCi}bvvlxi^brrz#*Y*+I`XKvT4I03^v^AjP3!Mn8c z4=8Rs`J?wvL&J}yobP&tf_AaJ*x{PD$1(kUZuRG9yfTlX7ta=)6mTx|>g4SDjZdCT z^Q(~Jubfs@&h1zgbgZ3S#Z^TxURp~2!<j!Dc6Usju-_wm)5^fNRt;k3YikQ8?Cbjb z_I0uJ{?zH~O!%s=G0(d?@tN!m`?8+`M{njm;xG$%EO%b$LB#=<@Xkrv*EPaUhhA;H zThwP?mM_R%@#^M_(@Nn>H+UZkZFC4SfAnL2-8%iJdPg2P6=u$P6#2qavVMN{DM4`) zwU2*NCb9pXwXf{Bq0mJ4!wDQ_f83?xPieXbT&Q?cdFEoTnVm{?@!CeI-2z9i%ge9$ z*R|vEBHNp`$F@(2dpJ4uND!0Umv@uuPrp7Q7gq1SC1AVBwvZ>sHyXP0J3Vk#b$v1I z!-C=ox%o0XlBIRF&b2Um_ClAJ<(O;HG!A}KFLSNkvX7&8S(!b1;jSvbOyJ<%gU-D| zlf-wvP+5Cdb#27&N!@;zZgT8+p^{r!=q6?)et^*+_U*Gk#}4b=yWgyQ=$Id(oZO<+ zE+x5GI8bJ#+p!lXj4dozNXdOUyLP*j<YM#vc2gcUff-ygl2Xojzdo_i&^=u4r{Ia( z@0co91n$Z%SK&8ZF-2e4Vxu%efum*fF+GOD{*c4X^EkGtoS6DffW7>@(mETa$L~KM zUtp6X?r`zp*|pnmT(>pPdnA$0@^sOxw6mWco>EpXvV1q~XUc6oj)F#x6WKiniZ8S( zi0qH~{qa@))$Vr!hKxZj{7jz~b|tIj%VkZu_a^DV0WF;?5^p{)tSMOE7tGbl-?-d+ z`NCP-nUo5*b6&k3@k;WwyIjSE&6*PI9r?2#ZMdfJ$YYCmugwWLj@nBV$2MQMy-s6? z?esUgHzp?qZYk`2&M#l~{D<}-J<B7TN<)gy{F!%2&HNbW)GkNCRaPfK4*pTM=PmD5 zjxebuaZ5fXncr&GnQ}u*V9jUkoA;*0u5jBr^O)tl+y{=oAL-0pV6v^s>|==+(;Wj_ z&Bu55Ds7E8!!O`kr&IL!=KU+@W`;OF-k82`>H3HbXDXzoNbh!F<XFeu>gDvU?bxcR ztHg7!6l(pR<@k8r^F`(#?*!CLYP@jw9oxFH+lohS<yl?(b6m_}BU{v){J3m^Z{_-x z>cSQq_uO05xO!Tn_BZqOjegFD>{d)P$+#nawrhD2f7$78+bbThySbNDXL?%h-o$#V zL$5UG{lo}&$&Liu8@%7Hzw|b>Qvav-H~IgqN!$~;0&f0e+aJodDy>AhkNKgU%f!cS z3hQ`M<j<AuX0X#tVs$jk7HwkrCjN@=-LX7gg?l&GKGJ%t`8G*K+g)s>q?7+PjYXT9 zeyRj7&-kz|)>JAp^Uhb9(gjj-U%a;Q?~eRadRXQ049`j1v#uEO2pim8853o=AmPP9 z(M;VW&d(1sgT$r0m+xy}UZ@^kqY<*}(vMd=Upd~@c_1MAqj2G^c$*hfvqV01vG|pr z<2bd}fce2(StpU5dotvoJeGZ&GtcI*T9}&Nn>yo~^64+Kt<-*R-}jWSHuKKonWvh$ zPN|w@xN@ldRKB_Y>y)1<w~g5zEcbc8fM<naUH2oW`5&W2u6u}>`!?Ty_u=+j?y1hg z;jBC?1s8w#e3U-uT0Oh#B_rFjk|hE<4`T(-tURZs8~=7$k+|y9Rj=~Gr%clpFN@w9 z`sn;Sw%HH5T|BN-{=GV@dLrA*iQ>C^&m6Q|lyk>7)yC50PNk=5rT<@3uZ1C|Q#rP* z6$*AUzZb1K?~R|+bmt>e0=agrT5c<AK6BNl^4p<TmU!Lhw_G$~k4379>YJA`=3kkP zGn;=EYRl_uwGh~mDWOr6C#80G@p0dyPcjRZu6xHiy*);HJA)<vodq}N&5kSF^t{bu z?vBFSTRb=TJeEJNxaR%4Z7=*DOP+V~PvTtqY=-~tV=3q5``Z85CfPldinooHHDCDn za81#M1A@0Fo6geLYdxVk{h!oyTl4oRpV(7(9xInyms368R+h`*&ZSO|FWi@z=Sggv zZX@X0C4KnYB>z(mNAr&NTfKU9Zr+uR&%%`!ZhCV6*R8ouwH$t#K2K&IX|46G);)4P z;*I3bM>qP@_}SL3sP7VzdH<v+@dBf1+ajj$(EPH$0eTZJ>^T1ULUUlB%BRHbGw)w+ zcAw>9X7cRQp2;USc4?b#U2sRpmE*RzokZjAvZNdL_dXF>x4!zM`qY|O-pkB(Gh6y5 zN}rCq<Gt_XS6y>+^^B}50(lDyuNPmO9V4$+xt?3*##*WHEtht@xyi74zgi5#>Xx5B z=T5lv&BTZQM+)~w^Zc#@=4N)Qq*~<_R@lsNP<?dp-CCho*P_I#1Kc0?FkWJ|lU&9g zvv9Qse??-seD|T$KfX_%^u+8u#8oEW_Et4s#rrPbo`-F_9n4s`L^Li)b5x&Hj_!E6 zRbE=WV#$Qf!qI>J{thlmF6Z88Q~BpkLzy*S&cUXLxZfKE)V90#%Y7GrRXACFQNSay zZPT}8UvlEtn07s4k6p;8q#JRcPWSWF{rRzz@qXVG#>4NUUUWX)dY`-8>yE^SLk;5k zvO84&i&Y&EiMQW&fmv?*1!lFK*$dfoK8EY?ODs!1Yu!*h`TzCpU|sUOdLLG;e!;ql zKfLTe!$T&Ir-`dwrfMq7X4fSeu5|GdT+KZFmYvAy*XqeK8q=;9u($o?6?SVfNZio! zlCgBn&t`!O6R&d{EMVWWT6z8cj-xw-qZ1k>Dsz`V&X4Q9y5X7HzLYyNf1GM&@9){Y z`FhK>>sMyopZc2l6Z4U&cXLbBw)CV3Dh3|^`|9$?^0V(x{V?q25)=yl=_p(IxBF#8 zu~~fm@@H#&WNyseTIUODHPvbRUg|c!*R+Xi#m!f{o<>$Kxp`U9r&vWK^UxIIhTkgJ zGGo5oskv~;)8b0gqQlJ%e@@hJMcXpg+pq99ixU0RQ=)piSx2P(Sn7ENy^#K98`-YH zB{DLFhhi3oDyjaO72{BM!|AO7qwA`9E}y1mH*p5Zocd7_tatW;#qrG?8vK_$t0wTi zeal-MSon3mMOg8tqcd5YA1j`B*S}=3;r5%CCpz<dm6Wc1`uhFVscpj7^>UVO3OhP) zV$DJR+y{>5*LhUtTq*n&xKrgwO%TV<6O&ZE#h!L>NwwQ{R|xXUUHQ4^;;JjZ-~F4l zYx2*Or<dmCJaGKkWIW5o#H8-yoqvXUZ_K3D9u|~Zofj!zR_=QD<db81NisVM8LnHr zeR*oza-DzE9CuCT<`e5MO|<JY6j5M#d1%R`rNV;CFGQ@p{3}4Sg{?QyX7Z2fFDa8& zyUhw<$e4QK#*D@571t!^JI-8j%HY`clJu_i-pg%!x6V5nu|-hb=?{bB*XxJ3pK@rI zF`R$ev`Jk3l!fO*cVV?J4PjTUB=)WQ7aMIa=3~#6YMXe{#4~?)x9c0L?PBRCF0!9d z?+#qBvBu!k%$t%{htwtpeswrj%vYEF^yKHS3ww5pXD;zfS?gyj9n0bL(bahWa_xSb znMp6VT(@{T^G)ornrE3dPkz3zO%3^Wtmczu_PdHnCwtH9W$~9;PqxbFIbhsrt@3V% z<~xOeuivB1%j7rI2s7$x=|syX@%}vZ%WC4WPw&kb{C`^g`(>@_?A5QhQ_?9aT>D)> z$&T)?;U~mgHa$B2ZqxoV?4k>fIeZOo;SP4owTlwin-MQsy5d>hnuj%)Jf`(9@6NVp z+!4HQSy2Gvqg5MR&7C{Ay7;t(EbJcg2{x{%Uf_1g!Sb=){3E_H1-Jh_DZKcD?ZL97 zBN`8uCHZhTGBr2tzO`0lBIjC}de<AW<-gh3R9(L$m0ycG9=7N5`|Pe`o#COe^^41< zn6CAS2`zO!`e4zHi5xfLSM28B9qL$`62(^hE9mX2$(rf;m*-7cpm{6NROx8<UE4OF zjK;sMO4XZwvv;i3TrBKeUS|9t?2ci&snXF<MPI*N|Gai?y1jD0<+<L%JGGDA2i-9g z-mzu5TJ@a`(z|#6bMw?(RV1@R`@@|p-7ylDyLX5^dU7OEz?^-16DRA5gvQ+xbH3;r zK05NYFnM!&WsF?<Y}><+*{ZpYa=w|#xz5Vx{i73hF}l?k-yG0hEq?OOLPit22Qxb# zXN3oH>+X2K$NQD%fJQ~jkHT}IMX5~1x<{66<WA^f-+9f!@MmoecjbeN0ing;;$~iL zZ#{Hj$BE5XFALSy-cehp9{%F8(~*bVPVd&;`uK8jOjaiskL9loeV4oMrWQFZmHT({ zVte~6yMm7R-r^IB6M1^mZOSXk<Nt0gYLA%8qF8fK`Ra!SWp_lwUoW$mJY&nOv}fN- zo=z10ZPMB1Y~LGdVPRqUwEyw8mo3NGZOZ@hCYH9pG4cDz^DJ|F@r0tZDRyt}HSXE; z_K@sB{&J~w+x3q3?ncz7%iK`8wV?2(@#jN%man?g>*wpA`?1$9r09*05x6EPlwW*t z0?#aPr>S^CYF>4R=9~rAdutdA_r3hOa1wu@NoQAiM?maO_kKCC8+A{EVjc^fuYJ>g z*XF~5@?~*vUfp{(iJi~Vpov@Np-=MK^l}Xglgk!I7uFW9ZLFGb@;$S^Y+_IT%hexq zZ;Lo5Z%ALk{_@&97rBjVWd&EQWiH(Du+8#>_~|<Z2NdrbJ$bR?-J$*dQnM?jSp~U% zV75LoY1-79jz`C2#q&M}@40lyC!Txi1&OyOE-$@&YmsGjk>$qdwc0Y`T>K%g!dRF) z;wwW09`SJ7F5KAj{Q2z%jdIr{FMaCtR@dmgIj>uNnMIg#a>*R4M(4-N0@szO$u1~l zIv<u_bkVbF0@rQcQ>rR!SMh$?c|d*Z4JRhWHTt(!JT95zw^I7|E2W1SqPa(S9(9yj zEN^<{BJ%#(BZqF+p4thgTJK8rUQ3({Dj(#x?%6G>wX&iiC3fdExri;l7E0d#QgthL zabNJOZ>ie@A5HUfk81r`b7|%lHhF_dUzK_vzf<fe^<Z!Rs-U30PIl$vwQg@s-&OTR zS$#Ea`}jwtdVYoV(z8j<`zGjDq<rr+E@?4mdAcpEHf1UMW$mL&FB`NvD`cFyo!98I zYfDjYbKN}qJva9r+Z(?)$nV#UtGpLDr2qL{eDE-{d_&uQ7xA*+jQ1ZXoL%@hN#`KT zA6}C$je&gne16Oht}A~ug>~&&v8YS(_Uxs>-!4U*D8AflQD-wdfhn=NVfBi34lZei z=Avf%HHT~!BFpa;I8U+Isr32eHLc#~3)ZWiQ3;H^e`0aMy_?#P->r>GYus^6!b{TJ zS@W@4W6&|*vo<ps>}MW`{k^+Tm~lsR{g)k_XFfddTKVC?ES8d$#?2BImRl}PUhWvj zrvK&6l}6^>zE@xD^jbN~W_zks_>NUwLd^9C;~wl<G$m&0rm}-PTk_SN=9~@UDOzBx zsj<B6v!m$y1<Zy$nSUPbT&l>}>w30vt%AqJxkc4qUR+$PuCYAdA!al4&PmViEVS%B zJ@4$iCo=I%9$r(Cnzx|o)k}sMDI1E|%U-)VCbP_&elO)=n<s1e$?12Cs=w_xpZMw< z^O4Clzd|l<6^MVlCEenP{TqMjy6mH0B&t5V`5VYK!LCHA-Zp;kTe~g}D+Sjt+pIN} zb|n2|bUHV&ReBlw^?Qyp&M)e6d!bgkWP&+&?%e`~!l$R!tuG3-I<|t%^2r6Kgw+m0 z)9O`i`Y)ukdor2U+)*{HxfOPKUNLJxh)wX^%=F{M#*C}?E4aqpiLnhY{oCtx;1&O| z&o`?>OIuwhtMT?ce?E;j#m4^ppWO$TxG&AyEGv1QljUdhm+;4uZCqN`>F3|iEZa4& z``nt3cWgfEzqOn{^VZ8MJ*&@eer7sfab|OtS|TlSv8N;MudCvV<o}E8m6fu-PXAV- z#(rM@+?#U`Ryyu-6zJ_VsbA@0@J{jkn{Aud`Tja%EVnKqfc44E%4at}zdEyJx%TU+ zS7%1Bet%Q>Z0gndUFoZgFK?1nIDgLg{Ji>AlULhIoc9+E$=uoY`ON0$-_N{Ty=tAd z&OAxu%=15e=ES{CnDzYm&3C*VpJo|FL>*R(4_I>WGQ%W)s}CQJH1qo#Ff_I`E2>wm zpQV<lP?7w({j9uM{iLX+4<gS5u%0*cO_^~@$5+7pgwNIZTichhPLI8OXqK2&#D|); zCXRWV1oki-<j%`t&r<2-U2a}e?`QvLPwayy6=su5wz0iBCb^VDi=*&qw`>Y;>6~Lf zrYR&mY|~_ty7NW<R^dzL_#o@R>&<+5^VkxiZtJ*SX5V+CbCZU}+dHCO?KXZo^OouP zXvcEBnfImfir<#XYh9PctuLlYr(f|r;c$6+2Iobg12;O|7GKOTk?O@mZT;B8Zrj}; z7R6AUEV)F#?1uGuew!P)$N&27U$Nj2`*~R#fuhe+4>EFvozCCaS31*KkTdnXad%Vp z^~6@CDePTGo3ErU<(|m2`+5Gar|0ITtqz^n8uriW<kp|bpYI$KtzuA3VL0howXM4L z({>gPg(tR;MISU7YcsZpPk7+oepcF8EX0A4t-kiP%tN-E`MWsZTzSZx*ykf@sN5mo zG{-PoM3L>dA$#jV-pNvOCQQ<$bI$cGt<m4%EwIO7`*NO1Qj*Hj6&E%q_Z_(5iBJ>t zAiC*6eqM`_M^;MDz8eRc=gAhc96QIEH{;5O1%fwLJT58g$>(gczseaesbXs67N7IL z@%S;B#*N93cb=1wXEEQDV0Bxzac0tL%>@QvO{G5=JP$m&32}v#RDsp$?JNi7jcRW> zZVgQiVHS?bNMt&%_HSOovoMjOg&%v;7skJN8{9HssnX-hGl#g2Z1+t(QB^hHaqrKs z3wu_VJ1<gM8)rNJZ|km)sa>vY<{cdGx|YY?T4dGRntxvD-V?8b$FHl2#3rvyU&CEI z<7M(>=iFD<BTAK)ymXT(F_z0&Xy$zQ@LY|3pFTWRzrg=>rTgjt<+9uba|&-Wu@*V{ zpBFY!?XcVsy>_+o{!KgPa0oVMe-nG88p_Jg<d_;29&|=;%CBFuQ@<^ds8+evp;W)U zBjK*)<Ja#)rm3pmo5B2h!jbN~seW75?OEctV5Wn#qw2fY`C-?zSTea}Z*1(eTE9!% zWy7kFubrCf_!4)WKFWPOx<mJ<Zd_1;Us37tkQbW{EH&M+a=P#piw4(ozK3HrK3<l1 zVMnHfxsA$-od>+;a@l;T5jb$1ef5lKOXZ9XhHdlm`MLO!{?sWeyuTUG7dF{e_1Vo* zNp<1IE1z#Qzq=r%Vtn<Xt5C92#9xleEvqhB#(()$HOZ65d->Iqvl>?DU%q2iaC2Xd z(_2%%1D}pbA6NhL<KyGyQ_Hq+ee+Ry-YX^d<)rBGkXcJS&xDm4`1=&5czvJJbMd;} zw<99?fk9gQWw%wh99Czm)QL2eB=@P7M+xk7cKNgXrI*;9t;;imVv?m!E9q<f{Pq30 z)m~G(2Qz=BTzhq_&Eo5IkDV$@H(veRA%1;B#+-{50+lSwK3*-pax+h^B0wyq;J)fw zrowX;8&<zKCR^aUDC}fJ?~YBq?76o}E;ve;pYTau{d}28W~!q`Lc}4TORYMw+*@DD zh&$P6aeNfLyfI+kG1Gao#ml~L%r{tJzVpV3jf$WdqED;Y%swm#m$TgX)=9=MY{~v( z+2>WxoYR=QmRU(XL}^*c3YVCZi<<<pGh%Ks#7L(L#U(4BkFD?i^XJ%;uq7faIuH9_ zPLnPSaVS4h!}WfS?foxR^|l$uzNvJnU7UF={Ct?c*w5%vndf|4SI$|bTsa||_e!%* zoxEIyLC9$n&4vE6q*Rw~obX)D{^_NdWW#OmvbOulz1ikD<=C0`nkOf^>+LB_H0M@z zc)U7&wMtt0lyx}^!?reXTnjgTEnRtqZLyR3Pv3R=?_F#)wB~G@HDRU8^pHg%6Rx<{ zN~TJNF7y4F=-S=S{(R4$lAr57=zaHGa7vh`Z;Q*+D5(j-eHB&<H)>6PTGX+kXvrE+ z)gO%CGke}zZFqfPU9(1J!4#7l>w0Hr{S@+LvsCC|WLAHYe|chTpii5DY~?pGo>!+| zufKMaD}8<JwJx(qN8d>X>|53`A%dywPOs{e-?O|{%G}89)6j}mooDjMcxvt(i>c4w z<m{N}u|Djl!BJ_k>FT?4ADNXuUCU<v^^*vj`PW4bvaALH3f;5YHYPPswG8`k$E0Vz zEYG<M7w>W&V9nEdb|_D->a4Q<k3-Gh9x}de?!C>&C~#!+#~xO1HeYe2``*jNrH)tB zWwUaLX!t5!d?m0_`+3@fg>IcEh0}u!p9VF|YI<`&=^-26#-5)hNrsbHk308XnmOB; zGd=Kdv!3VHKko#NH9wkpBa!>K;j|BD*KS{MXG!6W&2k1O`#t+q8e8nlS`18g8>l;& zS7#{ODg6HZFe_^5iWsJaPRZLIbjRf7<>j1Os@uDMUiY7^yC(QbZsNV8sI$E3)Y-FK zA}sf&`9(>+ugH70QgBYg3YQG|V=*@x7??CZi+eN}NE|Ix;Ck#)Brn2X;2B})wCUy2 zs|*+1Uu#CKXfb9uJ2^FhtN6)|lu)bn7g~Jsz8O`i9C+2rv(BdShn{>x=GMfcx6>+@ z7pvqu1arJq`(m@}W7Bk<C-bH}$tx4}wKWOg5#85qv1aYDAHnlwqgZT?y}EVZJ=WYx z-+0Hp%NN?YXIV8?^!}dOw(Z;t{*-;w<zH{$nmKc-+~lyfIhngnR~)onW3F)VS=sr* zHF38;iap=Gi|zlic^f^&oHo0<7Q_|?1{SbINURlmtl?r9@^RASga=};<}=Bk5&12n zd1zlg|J~`$`ywVZSUCMk{qt0Hc|4C3>)EEw9y-f2eJXWDlFz@{7JuxQ@%M!3Pv0#+ zQv2c4^w$35$%nq}(z@U6^jSHIYq#FHnkS5f{YMyDDl58{`LA6k*RJPtJZ*}3%>9~A z3^KQ0F4SP?_^08Kv#!{8aZ~qKGl%KR&;Bi{xWA_Jp7gbbXPej$y)J%Wt;yBMr>?O~ zeoO4VL;g-YPYe$$y3Bij@%VAZzK@5tec<Fi%k*oZ;m*C+<$f~x{bV~`{YvE-!vf_a zdp=BH_|1|e5&Nxk?KB?#(j)bu`;VOUXz^cjI;?(!qvA$|xvTRID$S{!=*`gmUv}ao zcdzBnCl#x#X6^G`z<btac8beP-D=UMnqzjRiVp(PZYchij!a}d-&uEC#7i)8(wFv( zDPMlDL<t(?-dVj__6d8qPiJoLG5-sHXGXLI{Ylw!CoA&Wt@MsMzUTfk!dzK2XKZoI zJ^bbx`;2J}=jY6L5-gIG$ss3hG^H$gv)-;3D=S+!-8|IR-OIYqqD45SlF22St@QP~ z)>XbcerTRg>6Li8An>?;=#|YZryeb8kGrsa$}M+Cot+Hb?WdP8?7noNnqlfcZ|3H; zvqNIC7H03<Q<u1|NZhh4YnQFty*ba^^H=L^4E>kkR}_>sGr}_Yj8Ub}u6doYF(Fr% zf4ycY=@x9HEwq#E_(gposU1_=Gh)^){k9<F%&yo5r!5ssldp!V_BONaeA=Z~`Ef_l zvPW+B%_>z*zI|G{{+z=WMrrwHCk!}J`yL7MOlI06?0#u)gOcj9<M(c79a^^i{mfV2 zFR)GR2}w`Zuj!oKS1do_a)Gn4A<v1&F8<!i*AJPlSaYDLIbh1?-tDt8?54W>UaNN7 zU-e?ms*~S>eYf1t3i`ZKWtP9`&6>7P7dSMyW!3L0Udt$p=iRosM$oKr|LLuak{2gL zmsCr>+n9Vc=~EBO9jC1<O1;(0R;rbqI&L;W!pHvv8FD=S+?dsyIeVMDsLl@g&$(j! zJm$*Iv;0pO7EWhh-z6ejCg1R(j8`K^^he*_dz<$t%eHNqxK-ND?XpG>k9ZvCYX48( zvn?vp!)BSPmL8J3yZ(Sz%KgnL=>^*kXNRqN@V95`Q`!F7tksUsbRPxWsL)WDm{>6* z^7N^<TJB9w-I1)UCo5KX9CLOwh|`*6-N?%GceBa=Rbo8~?Or#VgiGr?g5^IgG5uF> zQlZnJYFx$Qc06_S;)u}6+X5e~?03B_bs@#vXZwuy+6@nWPxmQ!P&Yx?{v3<d#+S-E zIScY{6!$L5l4VuTwqDnfYFX%!b5?g^W0Hsc?FzM5`mc+Bmu0<A+-RP@T$3?m8e`h| zj7L0?b~6}SS2QtC-5kH8>gs2cGgk%vdnEn6#`AoOa}V#N+lL>m65P(aVC$+cyb)?V zf2_32J@ype)c8~H>DtHhB$Yce%0klatM0Eg+l!7dMt%#G7n@UW$&-5JqHK)l_V{H^ zf^Vz;r~CHK`8Q#qo@lW)Gam#0_n75TmK{e9zu|a&M|qC8QKygM^oq%iCKXlx<8uzq z5>NYFUl+3S?D`1{?pZjN`ThEx=c9NlVQZ-DrQNb=oWl3R^tq*&y^I;+N>k^oYCOIy zRLJ&%{~^}g+a)EgM<r{VCbhJR9Bxiw$hDDHvF|9@&mXf{V{XCX>yk&am7F=-@9f*6 zxL43;YBcxsMOi7=tj~Yaxu<sV@Uaa`#q-x$oDlGdyZ>{6-h*SB=?{z!IX|AhxS8KC zEpWolYb`80&M-fhzjt}t?{{ZfcXDt}JJIVJ^1S53&Nt2NVz-|~urXYzE%I5UVmn!T zz3J=qQyQeC5AG2wKm6{OgGx@m{1dCQRgZNIvP`sV<9B=fs=B4j@<#KCsnVIMD_k}_ z+XQ?bW`yT`=*iskduxu^LQ`M%#8-hHEJ9bxehCXy$;Q6hJ-6|7=)1?c>T5qKFDrVG zxvOx4t+vwQ(0RdP8{@?GMl!NZFI%&GS0K-K_I1MCpPQUcM!YNe_=#OM=mCdoz~!W` z5e`fLou1b%{?~SSYPP@9F|RE)UM?Z_{1bQ|*8L7Nd9&K<pTop}oe>%5C;Ro;tzBkt z*P}+QNbwx&6qcv4mP=~*o3F4GFS`||8dj_8IHiq`Rs8#tx9xnPGE<LONuSpjmwuem z%D!%LkwLv{LB;f<RrzP%ua<n~Csp#2qiucMw9DBiJ?*}0Zq7)0{opE#QP}2K<r+Tj z4o*=Kf4!-9x6PIQ?r`r@>EkZZPhE#fH?W@SIrjU5YwI-k@(wZU9haG2sq;qieH0gn z{eCTQ@}%n67YDEN*hbpj*gea4{lm9x50C#~5O8O)nYCea=b;BHKfPe7F|$gXxOl2- z&+)^*7(LT$ywmS*y%6`mM3^mCJ^l2Z)gC@`ErXVS2)Gby^`xx*^Q2`<gsv#x72AF4 z(bnHd`!62b^i?1tuPphV?a3s+kR|DN=F2(QBpy@?esun(;<0TL{)HCae0N`DD$~9b zEJvT6PCWc!^ZDwn^Y-tZczx>puHDD<EcY^JIB!$hTJNy<_Nw1|o>%TonQ3v~=;gMl zy_Z%PifNlJ`7=Q=^~P+G*Wt57H1~*av;7mes?hw?0?}7nM0~f-i7F1sV%&J~`(<fS zzKLJ=zlb`2ck1Ie<)II+DZk2Id_K6r+dSjk>o(4<;xShzrCN9P<(JJjU-9SF8L3FA z`BC17HrCuNXiZO!Y~Sxwd25@_rK@reiuE5YERSDNFmZdE^#A`!U(VQay*S+eEvRkx z+P^8M7&agA|9)HXwtIXgGtY{?&2d|5=2={Of3(tCWGhRTLHWYqRjy|=qj&$wyf|N2 z;k9g0{+*577gpU~IN_0J{MsI||NjnjB)5n^GjB>1(zjJw(pS7)XXWx&J3a|oO<kNh z{pdmui`-DlIYw4%)~uW;@$2o5f`U@r##s#~R`*VqzBRi`mi^$1E$e309_m_fB7WZM zBDdT3CIq%St-G9e#6!3;yyR%;#e>hzZ&ZoYPd^tSfBPu=%e3!>{GTJw&2)5}e^rr1 zPLzG>T}!K{moBR5NpqI1FubGIoZZQ)_+4LiZgn<?r^ph+bGD7SL18{dHM*Oegl0u6 z){6DVax;owQ83B)dTH+FFt#Ih8ud(b-11KyuYdOI<)NyIZ~n4gTuZ;6W!hi5k<(lK zuz>zuokmVm?#0(?O)PmY^{*~Y)tcnXIB~fU`?(F>b6y6zFsKOK=<t#iDc!p9U7$WU zzo!Kwx1Y1n_T3Z8KHRL1PSEfc<=K*@TN0)!>8GkT%b_Vxd*x;&_1tyaYzzD<H#4ey zvg1yfH%Bn<sCVKqo*hD8UVfh0)U?vR;%o4w17(kWUoUw5HvHg`zphHJpQ}GvH&gE8 z30~tS?(=Iu9I@6Ge44M$_2s~(6qyg}bxV^9!Y5@~C2BwMd#cH~;Ngqe&Bb?Don8I9 z_J#fQ@TUjf8>HJ5OzHfb!S&Of<yL02g4O*<#~rqM->#o|UH8vK{9T^gG9?Ym+3!}~ zo;-DF-NIv`Z!bJ|T_HZnG`GoY-J8d2|FbnMixjW=!pSe4{h9UbeBF7$N6e&sG`Aec zVk~r8{z@?9hvI?dArC{AZr-~p^6D-5NqRGrwVlu068t#N^;u&_)xrA>(iN;9H?4?2 zo1JlZM(2ZB|6hAaf4skA*N1&og{L9~<k@Ei>dJjsyva{L+Qg|Kb^aE|&wNr#YR~=) zeg1vd$_r07SO(vk)K+?I?VYPxp>5d`(Wb_%f13PL{P<N*ADSA&?Z2$%Xp`LwxfN@x zWLE9x$kN=nX3Oq%Y3dwW{|_;*cUB1Rh!tBj@3WOuuEw0;@}&=7Zb|Q|x*K4|Z>hwk z+c2|2VfA4x;~NUw4E80>nb2d#ZD6RDT{1Oz_3r!iPmUjZcTmVuQc&&R#DH~@lP+`w zFDre|6v*-M#_Q<zc}fck?c08u>6+Y|TJmI*wwtF|eOHX4jQPj<E#F=}Tc%w8mS5a1 zOyp>Rs9sy3U+r4U-g#Ve*NS>O&z+?2GI`+*om*Z?Dl+O7E47QC7<?@BiODt#Ty<g6 z)1qtIF3C=AGdFC|->a}v>_FXeg}<#!Li!%~KJ8g>@Vrj+-38BHJX-kb$oc=(cHxqa z+}~OB{rLGVF4}tar^>Ql?}OEX-0xgq`?jcP-!CJnIn(+-<?Qas&E6b*q2c&3o7t_s z#sXIh14~x9i7jZ9y(!cfbY;^vmaF13H(iLmwIlxSt4Tej)4sIt{y%B&{k&(<K?iq< zN3|;JTv6KZZN5}buJ`}vS810I_gWlI+Bz?j=Y#j{hxPxm9=^K~{KKR0`Q7%f?|v*- zpRsVhv39D9eb(2Q33)lSo8~<<_*2LpQt#m*6~S(HR)BTtm%mJYI}4^Qn($;nki+Gj zvOnz)6*r}Ht^a7ED);WgmD#*gzCQW8s#`z(=6RuiPZVdanBW(-;KBOE>8m}auDD=( zfHC8cqsQ$R{~}Dvq}`&JL+?lkCe2l`6^w{Y)~`C87+<$efmMCAR8>>9vv2Mpr-+-S zX-g~Q_J1@8dEk|DgL7NVp1kJ?f88{vz3K6IusKYX(LTO-@rf;qy{|6kImxwW;*{*7 zea97&dH;rpd{Q}c*QO`4!H{>B=_C6J21Onx>2+U)w`YFlyJ$Q6iDK5yoA0Fx*0+_m zORn%WId)O=sO<5KV_Ng~C=2esJIzmtJ>Z^v<CkQox{o`ym#DEn>MGdybXxYm`Rf@y z<|b)vo3?uK+gBGFjvvj8?O*CWIW01b=j4Z4!N+d|%$BB}y;}cw=fQ%EwVVD3D6O=W ztZ19|@0CgWhhIP4W7oWvX3-UyzW2)1-_ss0Ub{xod%=SfF1HTXF}^k2@bOG&XM1<Y zJM(OV%<Z)b^THB4uiVYQ_cbSf?Xy_hBiWmOWR|SiA9ZbkYEDzW-F<_&e;V5taf)C6 z@#fA0eQlZM12c+h)?bw`-`_gPT3@E5JNnF-nGYV$Ubth&Hj_zHl}~-pGtk))sq(c# zRb-c6(e%^$cRoJXJrt=}(#nt@d^kScvSs3wfR{!U&wNjo$mec9{XAE*Ws)Cn3$FxU z;uGFC4B}PBHwq25NWE>lSF`Nu-Ng(%1{JYy!o7_4e1BKVroFH~`BT)!9bzd<?YN5T zO)Bg5d~xBk+3v-!x!XpoQ(ZPr;qL)gGrhXz8M({9U4FjOdVzEL2iuTl4U0H~V+>vv z%ThU#_CA-Yd2q^;<y_aqj@f-WYZ{(6U)(LbGI?u!R;9$8H5~a_=1Z5A9IyW3Dt_^n z=>e-3#S1cT2#1F-MoC%ksLk#FbCJ1Sg-6Q4JmS>P$s6^}5|uI@r`jDB>AoJM({uBL z_01#dh4$ZXb8_)0|Gp;3mv8m##3zY_ZE0!@O3AD<J0=w;el5DkoM4{jVR!Pp?umKx z>R4Z%?%zJA{{{CN_r3*h|5Z%bzw6@JmGv1bB^iHZvFyFS{<@Y>_TFPo9S0ngQhxYF zMtphN5W2AI<$DRihMJY@BOZx=e=hakNj~G__urG8QuMVZUYzq!j%P*c*1~nU4LR2o z9d}I(=lAxUv1zt<AIt1lD_WdiPJ3b@Y52=`|02;VMNP+#O}MLQac=6*OEN`?d|fME zI&f|?KL6(2TB(NfXUZNQ*!_j$a+-koYxS9Tl4oCjKdU5U!UXyIbA?_eJz9HEc=r0) z`rm|4HnZ$BTWmh3x?}Nlk9&1jUSCo9{zCqj+`7fP6PsL2-M`P6_vs|7@iVzs*=MQ* z6lQz5_I|bd!W!bXyr4{j;g;*#rEC#Vw(0sxMzLSoB0R6W>wGKkW&b7a!nTfW6PGWD z(7e0-_p4o(?NwK_FZsQ*Cb53?vO})VZ%^1iYq!Ot>&m8u+V}E~uh8%`6JhN%I^8o# zs9iaa|JICZpEVu9X56KR-fXJ>BK0XGnsNPORnOTAH<|3)d&EHLBadiK+PP&)x~%6W zIw?(IIM;CM?V}aEe^sZhNVYu2<L6Y`zQ60vm%}nb1zEF&*IwXu4wx@5yD8Y@@&2@* z8=fzia^Bzhbd}oq*T-T+`a))8iL6~#DDNp|R&_r46Z=D6<#qcee$tiM+%ab{`>7L| zP0P#e%MVZc&((KaYwFIRGjUCG7-q2D4-u+cJ2Q{9*kjkhNemZPc57?()o}z^IEt9n z2yXQ2R_4#CzH|7(9cR0QZznR+0^MFDf0<I9FLOt-t@*~q9m#E;-=11c<6nB%GVQj3 zWUg|*Xq=sfk%w^iJ(r2otX!LW4TX*eFnDfV=5s2D&p%}90wKlK!mqAhJN;H=1xw6^ z8`o|w+L5yRQj6fHy2E#^?9A4fC~9oI!tp&w<bL^$#OF=Z3cO9GathC~ky?02!NFH) z>x?O{IZK1WAIZD?{jz0F!2b8&5^gdH*6n}3!XqGD^@8}^Y5Bj7)*Zc8D(3n~t}J#* zpM~-ln{fMF)kQPcF#M72{_DPU$x2zHfY9hndF%9xt<O(t@1K86TY=;1KMk3!hvwh= z?cN<H*)VhezGJd0=UrZUqPnQrAeP1N$!@jXTNwWB>EO>glrcMF_3NUF#bKKz8qykD zUO(1v(AjpNF4E?NJgZjgx7+$QOIIp-++S9x@8=u%kK^6vI!EKe+7_)(vUBS~Sz6gD z*X~Lv+9xJd)wrpNty|CgUi<I=y&eJ%lZrlXzHzvmdE==)B0(9mU)gj$JRxTICiKSK z<J;=_55KJo_!OWc-<i;SCis_W6?f)?TN+mrdd_UNQJ>lUUO~OS#^C7X7K;fdXKvcF z{OsWk&t9$ac1~IHT33Jbl#?bAnJ3roRjJusq8*>G#Aem1t&EbYYC;QD)8ba!>8LKX zxO?qOso(cQZ`<Vp1kU8;CG2CKX%%MpnrXXoqrrrylPep2xK9TkGd}(D@0-rW7XoWG zHYlY^=y~rdXLL(g%oTDdZieT!SarEynM?Q{Ib5q#`RwUve{PP*XR+P;GP0h|KJ{ct zU6e@4o@+WamzX0i#Mubl&gc4eX5t2M&s5D*HFGy<Zx=AzKW)oy-6e<oQyVT^P^_wZ zc=7dhm6YQ~jS+_?M3_p6Z#ioEMrcMh!|V+CeP_%H7nR4Hd$shSF*B35o5Y*DA6D?K z$_eV;8T|UP&#u79IkNBKFHL;L!TEjLDYIK_)@Oe)<vsEGWfbeQmrGA=<_F$mBH>n? z6Dp=#1-mvDWWC~xGCi2Q;9K=7y#?8o$t7Q{nw*Z5N&V26a?eQbj`ijfQtyr0AAEg! z<GIZa-apU1SGGhmug{myFK(K;Q8_K_Sd*yj#lZ4I7y2#yFa8x!Zck8LTUIXdPI>pj z-!8i&=f~T>tV*88<8}AQf_&{2_cN~?P%D`6Df3(Blo>s9YnI%)sFwf#=7gA80^j>& zFZ_23`D}V#W@4R$s8b`y_Z&{;u;gHg>v^^<rDEF!r}gK@HpW)eoxfNR+ASB-xvb~e z|C66S*eH4(IkK5)yOfH}qz8)XEBTfdSbt>{c{(r1eL@TSde&86;uAEb{f<7j+gdnz zhrdT}*@b8Fe}7v0JqY-~U}HU<b)P_DtK(vkZqJKn&S}?0n+3jAZK^E^zW8irfa>ej zQ?=O2(^jV1bg^Au7XNPx|5}e+E)NY(iJW&TRTdWudmL`Q)sNI$eL7rTdvC<kM6M$} zlO!+a)a*I&=yZVwr(g8JZ;wu|KG~)DS3n}j|B=0mbN+Gd@{Oyv1nc#_IbOKFEiv$> znbYh}TjzV;g-P#D6h7fiY0R&Yyegi%x|T_GrK^IE+QkgRf?%0>b&^YG+a<@I=D(uM zThO?{P`g23ji0K|%<BhNZFb;QX6fnJD8_wc_wze3ljl5PxKmVRk=muA;QUBF^P|c7 zJhhyy{fl3z|2XqTrp<D#ytwzTtuX<mh4<HZY}#@2uV&1TR7sAjNkN9smKDypap94m zXmrfZ>s@xot_9>Qp6lFuKuD!)bI9JRSl7CSUvE6}K0A8y)c)Ob!Aokve+Gr)D!m7% zEO>iJ$FM%+ri8_XncJ*2+Dx{FD6}VEIkZI6-R_7QyX($5lkZkf`FAm|zPms6g88q! z%gK)f&rLj3U2nkgf4|n7R*9FcF)QC4NZn>s`?_uSiEaDycd*6%c_ftcPi$*^f`*oi ziEn^2b5P^++U?J)&t~O{YM7**-MXWtRp={gdr|JZnG4Q8ZE6VED$n6#FV!~J-g_F0 zowapTc;)Hv4+koa@%>&l=|1bROD&UJj@f5!+#0vfYKNE3n#gH8J}mz|i*@_lYkQ9= z8C<r$xMJ=NQ!C}Nwz9W2_Z1iVd}HQ_|L?Ht%f#I$UdHc_-aGZbZqj-04YTh?Mw*s< zQWIT$yW+&O%sF<PH)o%C(>3GPLN}Weixh=7ylzcRbZn?Rbw*$J^deo4=kYHNYIDUa z=Q2M}@cOQMW@)YF1)-<4r&wqCZY}(FS4iY{Qd7WryY*@M7yhm6H0@6)XnUNnd~saw zyeD2+HgS9=Z&#aW2Cl7MCB`VY_hep|-E6}Y-~4ISy{{v5PU{98n4DJ8oZFqZ_uIzx z=SmLn#>-#u+x9G2|EcoKsUOO7uP)KadK|IoXT<F6N!dR+L;tC)3^drjXs(+_-{Tbd zm#3cpSyFD==bf_J$6{)r_WYSflLb>4LNA>$R`Zuv%vUpNRNN5VWBkG3QeL5EI_ocE zbM8C57IJU@Hh$Y>pQk4swfO8J-j7d?nLm26QzT|Kx0}-fJrADtk8=!JGG#WNan04{ z{{QBdiE8z<@9ts`Iv*~0{?TAj^?HM>wTo)DvB{m&Ioz<dQ+D>|jQvNfH(vOETzp4& z&99bOF&h}4o0;dZ9cs|Ocj?^RXa6%)9<X-o+0y2EAeC3?#;WcuFO(uLE!0~oyuiEL z$EAdCvE5<L$9Mlf74wal+bZQyG4p--5v{}n^RC-{`_EIj^e2DumBsqzb%NLWl4bb# z_6V%}X8tt8h^z733eHu0$4jn89+{x&sHot>mlYtME%t@U|79p=$CN4C9#o}dDs`?~ zDB|#;H7H87a&L;xH=DPK3Hv_(S=Y8xN@4Z_)pK{wMCCI^K3sICE{xeBEkW*K!(Fc^ z)_~_8Y43}*HD-n8BypE63+WR&82mp;mv5eqvRwa;+GC43CAR%6{%GQFaAAY0V&+q? zPTq9^W(JeXRVA2wC+xQPvaR-vZ|e4>N4F-*Oe-^v`}nquS^V|IGgh@~3y*AJUTapo zh|^Pj)9;nO=caG)eS7cu)=7^Q7E2rw*`F%9F56JvO8LWommKj$UxQW!zWx<+Lhi5t zTZM|S?c_VUr;dagf9UQ#`q+GKs=$qpX-3`-BJ=G%rahJ19qcs!-LbBU2AR1(ynXli zFJLcR_wajs|AZ&6L|+E{G?~#Kr0QVebH3LhaFN-DP4h0=o%mJv=d-DQOy%CX8z=8f zS^H_jr>Cw4ZOxlw9=O;zJ)0bE#ME%!@>o-DYTExERql;3uYaYz5PB>g+0VINiY-d& zLgMkgifj3LCkXI0xD=kM6l{ypPs)6~ug1ScUN0#&Dro*I^@O&UCW0?lobl`KVLhQ> zvVA|-OYyAA{ffR*u10BZTE5iI^qbV3D{T!wM0uMPw(WahYj#^?UXtO(9xXGjH_9rh zcFs-buk)-i=Q|XA+0BQGWBcp<k%5wr<^;b{;Xd|&_s4p-T44?mp4%U67;@~k9?75b z>r<ib$&%CO@4D7*7LQ_1-<80VzbSFy?$DB`tC4x`UzeU#N?IMj)^c^{Ph)BJBF+z0 z%ANn8Ps>?q&*Sj3YRNWp*Mke!i`|VXT6{}r@6q*I(vFMu<Xg=u9$iXVBVKaGYO?&- zqpx_5xcu18RHS|I<xJ)m9kWAMox8H~n{;OhPt|;8JKJs50`K00R7ZSXRkUm06yq!N zyet>|fAT%4w}9Df*M}FY7{cAZ>a}-0;rlAMWI^+3wo|?LH&qGezU|D+nVfq%Y>lw7 zoyXiv(TM>+&qw{2o_V!HxbMcCt($h6y`D9p|8=*hsBci9LB>{%1uM_GZr^=gaPE$> zWn7cLF5o-Bt;&34|BI`K8(*9|JGZf#PgQc&hb3!@Yz>?Bs^*$WFX6r(ah&%vhl%-4 zz2GnZ4n8z~%;s5X#rEaZ%;(CA)(>XB)49v=EOMU1j}^)Z(~Rf*v9QltD}4Q+<|L<C zf8FC8Ja;>C&O4OlcJD!HyPjHb7fb4?1jZb;C%^sr_Wa`Pojr|T<r)92mDk$d?o>Og z+O}Qsw8oUeHIr_>nf-o7OXTV)9COZV3HBz6{aE#M##e?9n+pWY|17YNsrH}Jb4@SC z-QtH+fK|h4EeWNJ%ne%e_x{$26V%RUV=rGR%y~iahGWW~M(y=%GMkDX|B1evyHfa1 z#eNny&W2itm&*+}%PzKtK1ofuD|R|<;y<}f8>X6Htvnla`y0cQS!ExDO=I7FTJ}WT zV^hXi4&}CwZW2}IH=itL`rq7ni-RjDYT@p}*DF?XtZ(3zF?Up1f9vR+hjUlXx|C%3 zu=vjD?i;r+1^KNr>a&zS>!shve{Q~9Xcq6@v_(g>7I`i|;wo?>-%309{~g0cB3oVR z?*}yXr%m2>Is9zYzYBM-96v1}WfB~bZ`Sc>>7=meJR|;&u=Z<jXXotScXFrLvI(AR z`9GRyO1RuDo>TLu$RXEB|6GY=%%YsfrSA>vvNo<We{}f8T`3-Gw)X$BEm8r6#dCrh zx4CUK|JJl-&pSzmHdTv?$%$&~FE*Wz;wY-#bU0-FXQkwcEgDnvu5U6aKk=_wZ9+m< z${*urm*Y&oxj!tLwefer4Yv2kob*b0cSqfBKIC3*Z_zKYZF^(hk!?DMZ%+ScZ})Y{ zw>RwH!WOOj%Fbytd&QijeqQ-I*WP&FRNg4wq~>*8Aa;E{+Y|lI$<BNDAI_}iKC<!n znd?%wHl}<Q|MdFoiPn}w%{^+C(h=S-+1i)C-gB^Zf$WE6L6LHM&1V+9F8g=<&ED5{ z-p-knXm5Ji`B=4{R_&=~iK$=j&5~jCxc-3Y{EXl<%l<ZbR%gzi7a}q)Dt7CBXMB3F zJuSdZYr{9y*UASog{(Ur9zM-Xv46O9!Vb>c|8I&3FUj|+dUF0w`IMzSy`}#eCth4A zT5*i)XGRt8MjbY(`%Rbb_PkDKW8hig+OtuKb*1S+hBu3>-gIp^7FRTBLoes%gVXJ7 zKB-%u)2@0o?a=yp1=%%=rmx-gBy?)PT>YZs6SloOH|e_J!S?+Nm8P2)@bBooC4Kbo zjOa~Ut2f429Da~KwRL8cR%Ee<?EVQapEW7WQ+xgXq%qUAM9Cecg*Ep3?Wfnx)NW_% zn*D3m>LTS$*X~bf=Y1o#^IuTb4|%t}rS%ox*^2kxm)oiN;d@GMYJ2RNEk+RwT`PKP zo4>x{=jC13BT=jId~M333$C;4PL$oB@kh@1<pmYj#W6R!jcsQY{C$5hE3SF<kJe3l zp0uqH`!d0Is_uykr?le38ZAOBRRuPk`@jBoLIw9>+r?EazQ40OmFi>Il&9U7-Ptik z>N(4l3Ew5wJ6mmxN>68h@v$LtlIdpA>63~$E>E`DDB*Xi=irnJk#BZ|6#NmXUQuPq z^!DPUMeS9L-OC;>F*`Ip>&1gPvi*<l{rhD9*MHO0-y6>-eR{rhvP-i}%S>t6^=BSA zc^Lnd){^X)cI@yq!ON>(K3gChlO1!+{MyGg+?UU?S6KHMz0Nx!sm5Bcv;5}euJs{r zESV=un0rju?Kydk(`U-Ef)A0uk3No@W4)^D`8AQky~`da23WH#^kX=la7dz+PtSAK z)0wu*nl<PBndN6LU>&C0A6U9a?(xN^FD@n=oO_u;FMNBPilk)6Jnia~08f_l!56R3 z<6RS^5md%;^&$VO-ugGD9@(D@q*k=7Y&ns6ptG<*_T{C|f@UoKt2aJL_!DPTzQ#*k zym8})t3Tz|ox3M>A*|YrV@C7^<74~I|Lbl%lGz-4MYJh}W4@{JyLDd*eZ+!pdTm&9 z_G0qEF9P?RS12bgGZ3jvE1cRYb49(w?33vHx&B{SxemOYo!|awC!74qGYQ-4f2&u$ zW}l;1R{2xxi}vi+M*GRu@gfq;%07RbZn?bp9qn*H@p*FoTEC#OJv)~#c&T`(nDuo- zcc<dZ8Q<;v44x{_IiD7!eB<bh$xi+~R(hPm4TTDPQ|4S(4!3^3(IIt)?q3<N89fW; zwKV2Et87{ou=3BNzsET5Kl5aq_c6HZ@S+rB_YdbbT68OXUCh^WI9P3M{7b_qA+MiX zazyQC+$eYX6SFu}+%5ixs!U(!&Dx%shxuAVBM&F2@%?*vd)p?Ti(ZB$|0PdFPWW3> zp&}>xxtd%2#80QMWe;;VU$|73zpbW&vGzl+ds0<@?ZQUJh#KSe#6$1?{4AG!f1oDK z=T)fHgo_K)X214gj89m+HqCRk;=aFEX6;#Y@c-4IHZ`ZzIb{~MyCZ(q#qYQF?@<rA z@w)WTeyg2T+!_@pxHT7k;a}Of_A_%#(Z%P_%?y`FGL$`8=q+BgRP<Yr8ms^P?Nc^y z2&ve;^JzWL(gNMUXDU4>Walq&X!JP1H~rkkJPC_Vix2iMF7WiX-g+G#zUjTmWzV*= zmM3$LZ%>(_{!b~aU}o*zBeJq3XO7(1`se)?Htw`VpTlho)FkYd?R$ESZ?ELH{bwyb z>%Q#Ed03*jd8VV_ZV|T!@8Vtx-FWlIv`F<H_i07dh6&c%dsXt+HT9nJZ)TeI`vTM1 zs&G*&E~9BDZGOog>Jm7*pzOOF>&hJxiz1r?JVmxIeIi)MQ2J_uVo*TIg*30iIj?R! zXp^&<xg)93tfea{=ce@3_k3Zp{_m8SZ(J)@{IOh{`_f*&BNJB?Y+QJ{wPfOL*7SYH zZZLkF@JRC1<HKdUC%T{Px$k|=&%0pqswDn7C9aQlM8(XOsp$w?wnZs$#j1O;PwyrR zo?xqTyZ`La3I4XseY0Qcnl*;(<6M1h?y|h|ewTi{k=?qXNK}kt#{tQR?xU;DI~Dy? z^A(-FBFN@&2+QwJFE2+q>{5I!W!}};uY7wkv&PX(QD*JxA_vcGmyeYahs-y|9Wa@c znars^M}hH5h{Mr^bDzJRKA}QeV#N}_sgb+vY;LrgtzCb3mOz*EjQEE<+vE$si8oD2 zdu%T0A!BIPdU{^a(GPthQ-j>TEt=xcy76qTs$ib(D(Pw7MxR&AlHzaI`6bUHcU{b( z=ga5n+X1g`bxy3*`Kx=<F8`I4=CQwgvt#e(K2t58rv5-9_P`RoVy5{yfB#;n<w*6A ze9=|mEW4*SEpZp0t=i1lE7C6An4;|XmTh8M<62?=)>8So8`&~noP2#(f@96o{Z~XD z8F~j-{Np}xQ>{WcqhBf`WmkadtqpgTLl+zGoNLmU>#J<^Z&SkhZA+K@;@A-{$n~w= zd-de}k5}utyF^2n&mXX{Fnloia`mNOA^kTs1y}z(x4qrs?gFa_b8gS*_~~Zt*JL01 zY-^hQ`QCpM6S>s<LvbHoW!%V?d?fyN$K*J(FHcs;$9##-zA(ji)~1j1zVJWzpZ%zs zVd{fo?M)4qJ9L_y*M3|SCSI7&czE4qO_iqI8xquGz1J<e(88qEw0ci9t5E#ju*=&b z?w{}YR=xS@zb2Dq6ZSp0(k$|Rmc=_|qn9ok6@IrT&t9;5!}&>~?#)x}EqSKqEV%J4 zFhVS)<mG?+sK>MKIEsBK`+NODOh9er)Ry8hzT+Y*-BV)ba9C(&mhI2mQoiZht#?<? zZ(VgNH^@w%J7b2i_M(Ci-WxAByr^FJLuTp{PG1L;?D$j-)|8;WnBxp}!Jf6d(@)iV z9Q)03^2eO~3Jy(Grp7PwGxL9BwI2Dq;Ew8veEIqx?^LChpIzkN{=?OC&FvEpxxDZF znl*D{sCa@APwd(UnLV2XUh1>hT(9`r(SISVedliu4H>z4X+Qk+Zd{((_ib~t>S3+T z&F|V-4{fxYVU=-V#=H6ry1E9RuN^;Uw8K8kyLY2@{du3zveX1qh6O?SD>WZC3hO<! zU2*>Uo)_|F-wKy5VqGDf^wOC@b;5^jvGKxtjiaW#>ixVyh5Pw6O^$a-BGY<pEu`8f zszlvBe?R=q_UHC>TUX31cXSC~^l^8N-V0}gpR2BQojGx<y86@7W0p2?tgF8)y=boS z;cgbUs&f6S8HVe;cR!o6zOgoiJ$zfho+Q1t(=VKweBN<Hvao2UHK_bL{YIql#IZx` zI-0q*2*iG5<~zq9r*~$Tp)%73MK2?luRbrH8ze6bnw7lcgFM%Z77mMslHbzW$=zA3 z#e1&JTpe8`wTq)i<Gs$0@`JTJp);n&W<QgBA;z;T`>WmpUMtOw(hGTbTDLD>`(Ges zt44xUz{NC<&de4??yxu(=E)13+j&mJFF5A2Zk@FML$e1jnjSWPT`)<~zizYjj*Rms zb$vS`zg?OT^0p&bd>XG+qS3EFht(C~B{#HhNCw`1Hg};?=D%GU+tRl5Uln-JT=AFT zn|QAe=Nrb2L5wzG@nr|sXQi6|s+FwG6g(MyM}X}}Zm3)1_oF$@Nld<p#>VQ5&m$bB z@82cece(HUlERZcx2H?#>$~XAnQFOczhJ->okMGV49gu>{^RVFt9!iGSNkE~`HyWq z-c<)DdRz!S(yGBOy(n+D$<_APzq~w`Et=kP*w!fhx`|cQa^svCMUnTuUb&|3TQ>7a zk<$+$^GYs#?XT^bibby&&&NoA72a%TwxU#VyU)Jk>H*#p;vN0kf`0V1F3h;7pU2@9 zb)!W)qeRJk;Y5Lhmzf2V3)VzL|6px8;iWeDvw7SDQSG&d@(k-L6aPwh-?#5vt`d05 zJ7)eZ>8Ykpck^Uo?&MWI<`m<Yel_5xO_=e94vpRYeo}{dPP8Y_jz08<Ph`Rg$<#94 z1D{@7WcXO~_Df{`m|wbZs^s<9{<TeQ|4*;kb#lo`UiCRo{;_V~rexQ+Y|kghygxF2 z$sKkkKZ5^#c>X)FA#g&ALt?A!YF#hIuN%V`M(8}#z4~JDshxrE9aOJvKg_l8^{dS$ zOHLfpIiu7pw?W_a@E+|=#}~az;fXwb((tW>|NmD?T1>qgW~|$L`>;9BZ~dSze`oW$ z&eAGe=&_!4+x;vSK8clV%8P5_yWEaTc5XS=a=BOdueaEry++?=Eh;+xxzJ!P=c86B zwp9LaVbR6!t!_Q$tNh*@(`P0$p~k4(ey8yc)#vL&CQpo3^$1(ra;HUr@l|AJzzZg8 zVfDGCv0bYwdD&*!*skYJFV$7Ja_DNQNZH*t-Ky(j)`l(+*}wPVl3g{Tya$u5BfEXW z*e=dv+V-`PU1P?_M;mU)Rog$DliZuDC%@XL$F{Dih@t;{Xu3#4aMtoQH`?d&|62Fa zQ`#?S#>8!v_eH`rm}0ngH&2MY@_gbdGf&f#UmtDKbo-N+r+ecs=Z`N<49eVEHqRoM z(*z<fOqZ9}*LOR1*Ct@a();_LO8r|G79MvcsL&!L%la30?|R-~yLS^-<wqp{tYy4* z>q1T3X?K&J2UjMn3yJIf-MDnmS|8V?&&0$Jid$BMl^v<L9UzkZG;$GV(A&_MtBnsr zmuBhp2KKxOI{EfTv2mzj;k8!}qk5+t?%z>(RjiFCCp-8MQzOIUf+=2WAF*rv?(NuK zKdWm0k@|{`pYImQe`~c2%&BpV5Dry)>)W@sW`?SBg*_LScy*JO?Ow*31>(PhCwnF; zY`Uq~5YOMt9X>VUTa(<ntYvTcW^D3!)E_)Ew7oDpQLaei`!bczS33HBpTvIZ{(9p7 zSU%j{pyTuQyAz$<a*y5KaCmV|?*jFeS0w_!l!!&0-x&JmarKct!<;(>ZY}SY2EJaL z?Cf}8%0tzKpQH|m><+({F{w`AN8#<nTUjgLFFaYuKGoMiVz>G6u=$D3Y3}>)2J*)< zI6aQaI(S|`e(`nBOFQ24YNQA$3f3NGE@(4rw4VBT%EA{r)=Rg3zJK0YuxiDvg>Ex! z8NZ4(-tHF?=PvnoZ_h!t<lBo^7{9zaz5P=1k?G;n$~*-Z+<j+0adzLc&nuUueEY3% zCZ_aq{Cma?GbeLNZkT@K^Jc}cm|L@h`#*%cPt%$HJbA&I<bN(@e+;kHo>}YQ64y0z zny_)+YaNc%3;oRE5B9$2<uOZSa<MydDRXcC;yJrJD<;aM2E<pY`Yu>*8ruHnW!~JJ zlY35X(D<roawtKAB{tt!&oXC2bHCp!_2%^t*tgl~9KE&p`Q`r9x=g)7*HaVOE*2T{ z{aqGvZ0;0|^i@x0#lOf4+PQ!7xyw!Km_Id%3UU<}KJes?S$xGTa`oARzn?I?T-o+` zGuK=FBJOUzh5o%<u9F=KpYKsOoxDYR-RgU_F-bN~iOUZuF7H|vq4%dPfptsSZ%+N3 zXu)Fd<GUqZFXH}CaZJS~YPVRv$DF4@4V!qD=NRgUO!D02k@9cZ=GTq;?YTQV`0BVX z<lg*vuhx{Qppr%Gfp3j$qQ~OfTjpJo)mi;w`QI$b*HPS@e@@?Ujkp!b*LIbAsqBoI zoBygy==k!dF@HDv-{k#U;G_6a)q7>%GHs$YQxE*HJH7h8j>3kIT@t-HpK=(V#y0g` zoZR0hG<omDH11x;4uLm51;rod$7*-?Zsat2VzKK?xJUQ*+uW9)+*?_0X|L$K6u{5# z9c|yI`$IJ&;^Xozj*FYmb{NZN9eTw*!B^s*7~f`J<x9VI{i&|lv2~)@#2su2eVrW( z-YkBzApFtFi`ff(t>?5jh9BLz^rM!iSD1~iMDC;L4XZX5t~dGMci8w;kL3<;?gplU zj(uT9zjscFO;~?2XyY{YM-gih85A?qb7h@WgD<?&u#xGI_Foz8T6N&5?X+p3`VH?N zHl2z7zW2*j<wdf!KFv4gwsU$Wtq3l-C-61Tep%ESlm2@rK3lxh*<b&A-x<jh@zc4b zzdl=gYVy>C*TvC)HpeCTZf=^osb;UdXWnTIM+f_epMG8X=`G9q7MY#Tjz4zf#Ij%I zd-nw$dECu=XJSFYl?|;--YyfL98_ES_h4nryxp5}nUYRquK(LNX`ZAyS2ItcY^6|? z=KT;pt(*P3rs&0<YrE1Cp8sQ2#Mzk>pI$LyR6D`4FF)1EHhSgrO>b29WIttRyvxm? z{!E?EfVuV0UM9EAkEi+MH-A0nb6-&I+pKRB4{Taf`1EDy0fEU|cFq0Urgo+wM8Vi^ zorH$Mj9q;Rd#;5~J90Sj<1XfxB{ob?CjCCk(IL6@`3gUA9nPN<A1>!Q_Dxkz(bSfe zQ8i@e7LS{oI!>;+S+qfLagKe?&hVX#<vAyQ%xowz^@z?~bYpv@nBv~V)Y7?v+3bwj zN?J<u-53Noiz_+y=1HH>R}t9qLREcX!i40>dO<Dr=XYtpseUaOCN$%L-R?b;mxXTU zUB@4{r8)RURJQaqjs=k&Qw8=+x)ZH>k=ZIK;-{DMzg5>%-#%{IzB=*r&icnT0c$el zPAmB{OzrRZHib99o0&y~0R)z%Y>j$iw8BVWCIbTq^D}TVB$lRR<_8qzC+p?q<>VEI zhOja)-&muYp|Mr}?}9U{85vYhu*5Z;SR@@N5OB%o5@W#OD@!u`*c{gcWm&MAtTcQa z60_>Sl>!#0b&ry!SoQpxS#%>R>Hlki-?}sM55E3jm1DT=TGZm%CYG;sKCEhp%I-AT z^j&SXfD?zJ{!tk}X>I9(0D=1p6q+0vb)6jlG&Om&F?lh!N{WcwvH88EfvM`*&h{^7 zr2FTUSI#S~m{<I0?(-`zB+X}4{u8XW`F_gg^9h^R$K=jie%Z3MkkwH-XGw^EcGz}? z6~2ky%B?Z1Vo3`ex1{m2^V%A`_4KlA7q=)lVGtF~R@ug@zd<4Dvd>2zlSe<<j~2h5 zc|EQ3xomg(+^**_OF#FVo>}qj<W=kQFW*S6sQI_?{9eWo>+^dSK9Bvi#+&`a^O#>> z<}7nNzvIh`o9e1n$7X8JYxEI1Z~vnB{SjmR^Pk_<T;o0P<-?rSrSpQ9q|dpqnV&(2 z(MQm>jA7f#^aTp%?VaXTJI||hnOE*VuQXs@amc*Fol#TM=f_NWz9sFcWX7AH>T7c= z-z_!OJHKbkwWpQSuU)Zued*S$=P^;qpXV&wYIc6dlovPEr+$k0!t><e&*Lw4e*bbt z`{N$tk87+y&RP6%&b5kTH<!%Xafj(ZPNLDxWqaJ8?$Np*xl=FW@YUKTvzUvfJM*-U zKe1dF@_Hq2H^UmnoXF#>nHz4dORUt-a2Cjay=MWhqs_YaO=c_~cP;s_$>m{Y<DuC_ zayP5v&oO_Fo$-3zn<Fi6Pc*zewXZCBPg&CatM~S>Ki^|<|K!ed-no9fz3alB_pD1h z-m}hadC$6O&#abwpL8zx*ST*Gcg>nD!?7@?BWj*ZFt2~!$})BHFEL%;L*{IMWG!}G zcB!u068$+r5=uehzM7rOL*GZvO@F*w`uf5H4;v1>oY8n&k$Ji@o8GG(O7A5t-=8S= zSjT<Kp>+NNUe6Nyb%#&=tjP1c^?0Sw`~?b3H&|{|upAIO!1lz>(a}_!VfJJ`=`fAm z{_VWlGs?n_Zkf>N%=%f(sDNW~l!Sk_<R)GfizY6;l!S-3CI?3tyzP0ji%aK71FM)@ z_F>EAAMZ3*J*%~Szs2VDCY$Fw<^@Zh-x0MqjWxlk@||ntvz?XqW(LhG{dTsP&#&Js zcE`M%Pj<vjy>hG~tLS0HvdNDt#Qd|a+N^adyL#;0ol7(QOG=Bfi@y0~-CR=2vF_D@ zNNW>mhS_e;6S!nU5*}{ZYUJ53>rnggPV>hzn?LQ@{b|kNPjhZp`6cgAnE$~;`T114 zvZq(eRRm>sKHfFySh4oJZ%#LI!WYYNKG}J^N&M@X$(v?>+%tO-bM>{rla;p5S1pM> zpUEAwwdz>x?^R4&ZJ$hd>?QsE<b{VEiUx1b?D=$Ov+2Cb;(6t1*EXL!Re5gd)#Ju` ztyB6kpYC*jJTu+V=Dm;B`CTiY&skdK_qsoQ&Q_zX^C~rethnIFs-?AI!jnT>h6xLA zO;#xs59?s$US#@v#{xbnvoi-;#Vty1d^p6leCb1p^A0-m>V0g!2iSZLv3Vb6^E$=m zxu4B)gL&RI%k}3KS{&WX-<QU0z_#*j1)I>PKgLgXx<8p&{&mmcs%yHH=TdE#N1eBr zcUk8Aj#+#PH(K+Kl<silv$t*cv{5bMZV)_snNK?Gz%(;H$@(iZ_FR8rqki9Z=eiTM zO=b(WEx-2IR{r_lAABcD9i^KJ%=?T#-JAG%Le2CiR&u7=4N((*v#_3Bvv%1L^|u=m zTeI!CDsLqo=2~Q0J)y*NC;tvU!#xZv;$b_!+1lQ7;!k?e*rsrYjn|<dku@jN*2USj zqmkWFHmRbK-Ik$=Tbt#8*aG$=)dI}?O;rU;q_?g!KJ`_@w)|VgibzJD2Oz@)SXjiv zR)~EUxvS2>Db)q$avaLN0A?(>$sqDzhl0Y64Tmz$2AtdM&t;RK$kE2DU-CkLncpv3 zdH=k^$f`FCC)TFRgil?bxI^1w!*7<{4L8*`X6)qWG5l~~%VxpXGmk&3y#6fGeOKi< zUfbm^uE}Dvo*z^3KVFepmQ|8nq%!Xc2g5Xm6uB5pm(7e4Gi^S<vw8o<=Jg4y^E($m z-}2?do5_dRcpdFbwHr2`thLG!aBSm|@nUf1@jCyqJza)l((%i;rpPS~J^xaOkJJ2X z&uSmD+oqgbZByHM4fiNC@kvz_ygjV;Uf?jdv<b`J1&yr|Av?Z3?b|+~k$L9SM-{)C z)0hLES>&#LQz&Y+!k|g4Afb^})W`aDCRbC3g2mM}42MkYbJwOHX?$>qOD2XR%CR-; zyqu7&zt)C;B$kgtXB1fUIGCEawLdI44pw6WQgZ3hJYmKStOC0;%ouDn);Y0@Ml>j9 zu!@CnL^-nNWKMr6`NGWh|DDQr4=bNNv^j6BeSVkz`JF1~cgy&FT5~$K^4!x6Hp?x2 zo=HwWoO<5Q(%`)2b>o1p-Sg@%1;5xieOl$SrRJ|Hr^iX1-!<iEv7T+T&GMHoA4yK1 zr$4XulEHb4HBTg8gnarF{CH>k*E5GB=aomC-&OqDa`{tB)6Gwk92h1t&aGhE<!v8P zx32T~d`sJP^DY(l^R4fm{^iW!(z3`hkMq`=R=T@%&s*tPZj71r{7$CIbCq(p%6m@> zCdy2aS@&tpY2TBsCwpz57ljlB6(ya|<ZC{e`*g#c^ccSOlY37*v^a0KQ}5+8k+a2F zs;v|2D%rd~PBn4sIytXC$U1Yz7n`bgOOt{OHRq(qRi!U6x|IEOkGFn^)wFx7(qp@n zcqfI~KEGt_s-<GPa^B@HArtvL&#z36saogJxn%j3&Hk@gkF%CPlWgy0_{wl7WM1Pr zWqZ3iKaKPD0ej{5N}u1WY@@J8^Sn(@>hh%ay*BSBya;<S&*t?xElaVT#&0aQPxbTM zZL>VNAif}fUZDgh-<dPFHcU}ClAv2P=`K^lwWnLQUOuzgpUbi1;Fit$=Z!C<KmD10 zr1<<%<MYQpzhgXa#oFLCuQ+txWxew|bkAE0oZEcvg=P37%cUQCK7V}EY}~|s%Asgp zqn-MBd++mmS!M*D-?QR*ESIDPBS*!xr4t(0%`5hu7rg$t&UtHrvzz($e4fM2_=M>M zTfhmn6Niljraqs~d)}7E=5vM3dyxkX>9K6{iX-P;Hau@Que@wtDSO4ajTL@t=M}p2 zFt9$IKVRLR^TI)Xmb#2TDi4l7XD|8m`Rn5^lb<dBcKFNZN6(L)@0B+?@9^{IbFr4^ z_gLpuTFoox$~dsO@B5s`RepzU4p-c}Sb2^0f#vc?mP@OSwK{kGVR<UYajn=<e}#CX zT*Tt?*Gp{$UHExk_r7S%s(Ls(?#31F#4k=VwlaJ5&pT+^{J+!i;m+m*#{9=W`!VuV zTw}C-?qah%ZeFa-`)4+<T|Ufl{y4|a_VFPFmnz4Z?N20Mu%5T)xN)%9pXt0!z^lsT z4023GHvBe*a-Glb&Pt!lHm_J|-ermNJ7o6A*l3=2;M#HUbAQ|OIM#WU+7<V7A4Got zJcs+^oUY2Wk9)d5ui-veeC|kb)MH8Ue*%ZNPwiH*mUt6#!#BaaX>R}Ay!0*1$IJZB zTbrHV#cT6?4!DX8IPQ0x@09TbrmPQtE*~oHXF9)UHrKrJ2NTj`-&?XjvSj_d=20d4 zwaROa4=lGovgBPouh6XFP17d7lg0r|X&?R^Zhn4``@9Xyga?)E|1PL9Ecd>&u|n_T z9_HINuPr{z@picJ%#t_V=JBEu>k_|tjUp48pUaESt9Ge)b~ot59_^}YZ|4>Jp4Ytc zx%;{7%=EkL^NP7R?%FK(omUtZzH7mp%cmAjh*nf@iQz2rI-#q$d`kC3<BxkDe_HeU zKylxh;;JW-?#joN&7VqoFj`dn`+2Zfzb##kYhJl$#Wg|O=XT4UPdQfH{XBL?`ds#T z#nJPE*QUo@oe&e?w{c#hkU;Zud9iub&K1vQD!4ib2B|G^U)lX!_`J3Lc`GrS=RyUi z=LM^r-yvnY$c9<-0NZ(cyNY+E70>2AsBCXZzk8whU38V-;(6gV?-$G~)vY+E`JkxM zZ}Ysukn?ss6dWr-;gj;=&*X<Y+Zp)I@0oDwvmT>c#WmB1mhBDvFOM3(bN;yJcZ$tx z2b=Rdqn}y&e(IV0iBZm$xwUd${VC(~wgRtahTp5aH?w$N>9p6D>{*q5=jG1t*z~fp ze6`K%Lrb5}iFjmbdeY|c5#hp$9Sio%-pu{+jhC^t$nHz$&Q008IeNGH?#aj3rQC|u zvNkyHcjTPRW?|nqm)>;DYuu-G-d=rPbwW>i+>Wn%gzar!&#-y!pmToZmCrXmztgV1 z*6MlQ^5aX()K5LHn>HV2O_i0E6^Z4D<%*4PO<$tDsCkie^ouPoPLw!Vsm~R<D>_$d z?giru=1+gNA1Qu+)R_Ox$34Q6=aojBx4dww_|_MlZ+m_pvw3}J@$)$zk1UT?9V^^> z@nKUDpZ~k=Nx~D8C(b@4`*gySMNg&`#qHGJxp2-5?a9A4Jh}FyXI`V7=6QRydDX`A zDj)PcpS$AAn#)z^8e`9|Jn=>5+n(P?K!NxCkl1<6S?PDSKUHhzK9xCFM6=W@dP&I2 zjwx!MOP3^deEIFQUr#g8O6l*JCDxbveWSkcusr&?{7A9?F=PGXpZiX1)_ZNa{p*}* zw#~Nh?F`OaEqxv{<B{dxb2g7#YI1{O0*>80sQPe5fmT7Ng@T3h4$U39JB%#McPPnl z%82x_zvD9h!t>?f&*v|9vOk_#{<u>8N#yn?mgP?^RjZFRrq3&1FehF1Qt`VZ#adr` zn47py+3oniq4#3<XPzZr{#^cYC;H==%~khUE3e5`o(rt>+iCOI!T7xOhqIf{9WH+N z(z3Ve*w+(wJCt^OIB7hADdWSR%gxW_c+T&M?s!^R-jaUzt#H-3TKRdG3y&GU^ZvN! zcbd)XL;UA=-hOHs`>AJk)whF78-CY;dTHETo98vInOC1;^F7UMUgg56&+o1|xmoXc z@wsEgT8|~g%WU5tTb@3*^ZA{eW5rf^wvSiMt1Sqtn^(csRP|5uuFZF!)#-6xKkN~% zx^{EJ^E;`Z=FD@MSGctE`Q5Xh)@+VCztj4erRi0h$EWnq+gU8Pak$Uq(*0}Zug*)& z7k7)?>*;Dgbfed%?E0n5mu@mUXHLA+<C!(p?_t7&V<O6&cP}3=+AN_lvE)b)Q@PrA zPOeF_ba(m(T!>Kpz2tzRGrNSzmI;ile6|-JFiRYpSDbi0Q{!9DZryps5w9%wDlN;< zyA;!7a$M=`#VDJzA5Wa!^W?^o9a={NGiQCto|o6L`e35ecTTQFwvCK!JTegpj4V1U zCNw(lczWYfPRe<Q_<8jQzMQJkm=-tl`MjCw_hvqqos}LtYj$bF*|Up_3g#70pLnd& z&z0Z8^1Ma#bw1N7Hm9vN|2zEWRVU6(m(%*XN4VbRb(+V#;^6ZuXQs=%dI)OSnFoKI z<J@htTytKb#k|@A&JDaXJZ~x<Fgh^pY2|Xa<xeBcohN^;Iycv2|GZ!W)*I|M_!7ho ztc&L_V7f7T?P=q4&z|07H)rT>c)i14fH8+Dr+Ajl_nZoz1kr@*%ikF0f0|?6`#eOa z>exb$d8IEVKED%avs`0Jx{O{kw-ZMbmtle;b32c0%!Lch3L;4tlGz*9&TEXZ`M;v_ z-N}k)Yb)>Vt+=+e^4wkd^O|y>dXhi)G=F^4Ed1%sWT#Vy*hDQ-1e&<5b_h5NoME`j zH$js%<q*TK+02Z08I6`VoDp}(`^Ox@eqs${$}Wbi&vF91oGU_@L;4sOiOywr31-r| z$S^gjA;aZ9<3&4$wTxWMF4DXBI;OEqVdLNt@O@#aASI_D;h@!!Q8bU~;v0sY3|@lI zEfyHD1#~iXusyePNMw)t=)C)L@V+0K{(mmqlX>BO`n>0(=R6-jul@h0=I<Oo`{$R= zZ`|{}c*Fe9FQ2n~{H*=_@157rWj+?y{n$D6eVyO4<xf5Z*Zq|_Q);jOIk@ldlsNnU zpYEJL`)5jQotLh?diAf*o8}jt_MiFmd0gLb$IpLeq}83#x6hdS`%lcz^E2<YSEWUs zS9!g#gzt*-tC{Il%cjd&J-4@AI4^QpdfCf`MfYAWw5^J(O0fNMtkSl`{k`}5)$O-_ ztZV=EBGzE<>#NRd*S%h7Q#E}}`PMHNRz0sWuL|=#ANO^|yl+KcbSBMHy)@fuo%`jB zn<H$km$*;f<<I}hR>Ve%Z=Ua=%}y0tq}U(Tc9yUGpeJ%~^1s8@!tawF-c{eDy!Uvu zaDMmmmhX!{SMVR)eJK0T?u+F%x1{1Mo5JfJTs#$XeDTtUQ<W-~3iXG5lj%R!7C!00 zR8JeJnagcvNyWW9qCK%<i{bH)`&!yvKYU=n*L$bkeRWaXth*b_c4W3sEz>VrAiMVD zJDE+^<!>^){%u}tcyq2(+44SF-ILY3Z*|?hdMj3CcU0%+GE>prv$yVcFWb8OeVLCn z_qNRuWm}iLE>kS~mYu%-O_s;`w^>2f#^=xVY+ik`V%O@cH)d9psm+PiJyvKP)fKCI z>*~#m9(U5sZ-2V$yKwQs3!zG~va-2H8cQlmLaj9JKL6w1?(V*H_QKtBzL#uKjlJm> zKJDVgzH^r^I=t{Q+qKEZ-T0SZxb&r|#wDrTv2$OSm@39<I)`t%aIw-)eVMiI3qQeK zI{u}JyEeDH%eho4yWCnzcBY@tcf;=&19$SBKk=6*;3ew{9_C#$m@9vHepY_Qe!f2L zit)uSXAJgQzh`{d`LOq6$(;6oH|`qj*52k^Tyxxc`cmsfn+s)g-OuTrj8wLqb+y<p zGu%p*_2U<%g6Z7lOCJ=;_nqGP@#NGKttS@l^gnmHSSu)M_LiEg>V_iDj<RPC7B8QN z|F<mulHqZ0w_AnExy@l^?)PWrmzYXipITgGvi!M^<jco4s>QR~A72ukUp)WHsbCXF zsTmFDN*4&dd7k($x#{J?vU}W*7h0U#>{=Fj-}RzqY<Sa&nU&|<ekp6RO-x$y#burM ze3he$K{ZqAP87eKx@3mtQMZ?^HopBTN4+(_O|UyrsL88s+qgu%Z%Ww{JIx!xbC}&v zADU}d@SIoX?1{z#6Wu$KEakTjT<rS8?jGG_UH`yOTjuR6TN$o9M-Saq+9CM-q0fUn zp*wpIS=P3%UV7lJ>JD#~aN$DPb!ANDQy;t&h*|s6GKQDsT-L#xT03T4436>TOy6BF zjceVrhZZrpoauWD%DC2rmB`PzUikf3ZBtX#8-^_Th8!^kZPtz#4#stF{_OZ!`EmXV zxw&z(=XHP2`0(z-jSo?C!kcf+EG>IBE4KG&x&JP$C6gBm7tZaB=~Ne2zy9S;=N7)D z1wqS}ZxPyI#J{eld2y@7JH`#H2AMy24k#VyDV)DRfgyrP=3H$}fumGL{P%;bnG0?* zTu74<U-D3lq5O#Ph0>~jA3xmDu6ia29y2;+^W1Ok^H@If%4<(I**q_bJ)g<9y7Ji5 zf?4VFV{R5pedC#uwBh;uFEUl{mS)VWd^xr1-qP*IHtWs(ILF&x@_c61v5I3$)qB$C zPdQ`EXI#l<wRv9sih0#h=WYIc-4p$3jrGoX#c}6f&P<m%SM}}S&7AqkO<7?K+ic!H zaN;pYacBhfgoPY=HZ1K`n^)gs^Zml=bh+!F*S(1}e^qgAW~HC=hV&RN1A(=g4e9em z5)^LT5`JW)agfL9LDR*kPlsbaM1Jb|EM`=2!1-nA=Py%=Z{6Z_XVn&9<kB{Ho8$9& zPG6Q^wDkEoY#Ih{*R;RXb70IkJx}%GtVgL1O=3L_EQT`<p1F4D@qynR46JGe2f3QU z7@7ojB(SPk=<4cJUz=EYuC&tcxXoiHL!J$S2W&4%-n=YgrMOFW*Jm&FMHSZ)Wp-|y z)Bk)<xYo3``16_)N)~IFkF$P%ENT9`X#N6Tiw>4Itml-zb8;0m%ssH?G?NaCfq-(# zjRuC(4Ij>IUU!CBht+9Q`rYZzW%gAaE6i+|>hR*JCF|!N?!!kG9B$*0u{g59Nr20c z<JE^IexsZUN5*fATaOg4Gx;><P^HvkhKbR9N4^AK`{5sa{>5I^dlxH}%3SVGR4lQ) zbgFcxp}(H_rb@l=;3Ye~9%b^K@0i>EzV5{dx$BxwPpx?Fv3!b4mDRn2y?K4B)oO}Z zHgK*`de?lK^?+D|j+NeW7567Qm0wO+o+=y@C1$B7`*KOj#N|r__{{fN@|7!<Rz(H! z98g-&=b`A#E^2i^poB%#VvE3$CW$Ew4AU4=uW$C}QW03|sa44>X_2t>Y438CDVMK# zsAw#3V7h!RO>tghn)iA8#~sh*y3^;+nUlW2^t^rMyz0VvmEq@iM@|4W$7HS-S3Q;7 z{^+K9Z55l7yUl+G+wW;MpOb9f?|U36{%y|XPjjqnA3NCmevsyM-obd?bNOY@?=|uq zP<miKukgjC&Hn4&NM?jR`}tgLUUk~M%FXiUcMF`~rFGs?`~1rr#(ghus=LkxHxBkx zygOL&>|w<{+vA(py|$eG)bj6#9`7tBE2deEf6uJ@W6`VFCq27p`K&wjPvWLZyFR%l z)6V_&iIc_oyC)Z(Sm=5D=aYY5?!I_xWx{bmhvxzh-vu9@2@~cE+UM6Dllg93wlnWY zvGcrd^O&w{7smL%wiNQe`=G+u==jIH7Xb@jmpn-`oyR<BQKWymOTq4${g2D+O4JMI zYN)7(*_KZ^_sS-;@v^4u^3#i?i(|w6P8COIPMH&)=XI^vZQi1Ijj4}=6nCw8o#tG$ zS?+wU=fQc4VvqD4KD+GAm6~uj^=DQ>mg489dhECH{rJ#g{+2eqW2JsO#m_S?R0>is zd82uOQ%0cUal?W?XS)9Q{9R-5j{R(D_{HpxTgq47i~Q0u@A1;gQ`NoOAA}yP>U;IF z_CeWptGxC@o)=C1?=vso-TS!2#meTo=+hTA&lPQ7+k8Ehc(QhBw5Bj0r@8ROZw$uD z@-giaF&C2A70y+>J6iGVV#PgIThQogg3a=z^A>_{ZmPE`%wNFkSUj&W#OD8+ig!CJ zp6#u;x3S{d){1k2mB*g0n^#*DJnyp7d54vgp3g6NwR3uq?dMC<=XZ-7->fIPc3$zy zjK`L~N0ra-2yyxJW^z#Fu};r<jjL?_M^wIxt$dbPd9O42{4V43#d&I9=FBUbSGZYm z-7L`qXD9E@pJ#pFMsz7l0&l^+O1)M-X%m)Q2S?Btw?yMvvs2F`SDgLK|LWOJ@n<vD zpH-Sai%frJ>Hf@;ccu;V(~5tcRqxK0*?eAS^S&r#Ua6((`JHp7KHu_6Qar2jUa$7N zQk{9lCi8;D&s)fyx3gf3U_LYN$upbhZldRHMb6u-cz*ZIk<ETBpXOM9nsaWB&11J! z>GMTunwS!}4Hh>a65ukn*62vpIP+nFLf=A%mZlx7tP{DplEg()78o$IuF%+cW-~um z976(U!8XT(r;R6QJpakATK$gG_VclY&t>PP$Ig8|C*!&0(W-Mxz2{xNa?)6@_S2im zLbi_s)XqC7-~7yf>&edVPiL|}t2A$`1Ql?Nm41h99$(m$K7Zp2F$VS7%QY|LFdVVe z<A`#+z~N(UJw07Ps`t6P*7?2RmCt(Z=9QO!T66p3oZTPi^qI~pRGj<#ZpWj@?|SDg zLrxZ3mDxW2_v9w~^g|qO*~hid+iCVVY)L!$SU2TCK-5#OmCsKsEQ$HNg~e#|wxD+I zCXWkD;ynkVzMQMPcCqr@)k?ooo5ux$bCxfcmYtU_8>r{^?p!e+_tK&u>+_ldGJG-p z>34W9C9@Y4-(h-C6v4R7#Q6ATzvIPyCyJ}S_T2vXX0j8vqy@{(idEc6n{%ZuGFD!@ zd*W-wIZn@do8`_0cJm6=_^y1LVKc8bXvep$tK=J**+s)RxGps?&R?J)W>oNC!a{aw z6Bfk-MhSlk<P~;oaN=mP%K1<zGpB*o&dx$~jRVWFxnD0-uuGU_aLkIlUGYS9h9gIS zfJ&3t2?dbUl^q;W3e5r?*JP&Nb7JFre5tm{jO8v<f{&G+hjTzXuhfR6vGVUXI66<@ z)>{$KqyREe(IWRO!+AT+1q@9WXWjSTkksE_-r(5GEo+pcp=<Z>+gH|z6AmnVk_jgo z4)M0}*=0B~bD4|BFdTf#q@Ck%@GOV4$&LjF+00{JO}=OKV*x9#OrJuFxJyIht+$(u zkA#{ED8JbKcs~2;eKveuYZv5Su@|!Yb;)8!8e?sC40B}dQn{`Pm&#uy@~qnz!e4x6 zL4JR|<&j_ODrOvEs*RLk+r3YBT@&N4T|ZdlE_^@gp0G&2r`b;Ag88vhl^5EN=U+@; z{Jy6-S?5Lcqx+Zp_o?w2EqLFV@330GtKBd7*Rl$Y#jDqMwOhS%&9`{L{nh_?M7#k1 z)Ca%xYT5SeQ#{wq^Ck5cx4?_rB|>vvXg_+;Uv*KM>6evkdDA}Sf2u2AdOu3${w4KS zn{mneiwDiVF51ra#np;^%`Y|EoX>$TSAQ{JtF`~r?X~dz<^8g8x2{#L2-UCJ?GSD4 zzHruywb#F$3typDb=e`>M%>BtVl>OGXO*0*I`7$C2!G+VLamC~@%}>ng|lApvetI~ z(+ustcgVE1+wRy3@x412yq#UIw#qf%F@yWL{Pe7gvzc!lt7Ko*dCyBa_vxQWE5-M+ zZk_x2eFx92OF!L}*=ue8Jl?o8cV>IU*G1+$TTDMogb45byT!IxY*ptyvkPKp+XH;R zs5NfO%xhYG%z^LO&nZ&(EoMAozASP4u-13a$1>u*`$T(7WpqBB&Dir;Vaf;JWI6fz z3l^{DPs_SETR`ga5BIPog}v=gwo=})C9A~ueq8YJioNR9Mcc)sg8%Lg(yi)VSlC~m zwaPW$Cu7ee`FU9vqxq#a{}6w=qOiMJY2VUgdVHIHxS7-y7QAxH<azw);`2p?J<T3Y z7QN{)EKZMw{_p8AvHMuC;>$&eqelh5E;5%l3H!+Jz4b*eNAJRaYgdZxHC_1elH-!B zi?`daSR8R&-tY2wS#wCaSF)h^#C<D{Df4-8-ZoJyG-L^#9U0f9m{t5HwX1N2@5bpG z@3u%jOXsl8ZTh)0<XYzE)BXa6w{$)So#t44cGL98Ra<0tsX7H^YL{3q`EudgOL11q zrDtunJH>4Y{nh$tQSPPplf`l;*3F%wb4%{8*~-gdM^5vX+%nr6GVAiRm#LF9mgja% z_wc?or|?gs`)#59nO<wmQf0J51#^S`-U(cmVSN0(prHTlrPCEpE#9V47ur#NtEpmV zh*qZYvBIF#tl(GaeL}9YE$VuEw*Gv4jmz_D+QixURa<sGc{;~B*J-Eb;Z<j~^-uQ5 z-TG3sGs*q7$o>p3o7|$GCrzBTYCcny&|K_mVt#N+Q0~5;yvpmhWIo^K!MQm1V!p^l zzuWx!js{yxe{G$(<gC<j506_*3TsN1oL!N;_>#x$fa7vm9cKA|js!+!PCi=Lx6~~6 z&mP7_zN_b(y=pgGT^BVs<MQ$RiI-ev+t#i3*kIOv$4_(it(=e5k5~IDZVy^^>&UK` zZmWD%&2LXIz2#Fh`D~xr+&w+UuQE2D^tMpxHJf-RX7XZRHU0ZHGB2MxE1}$PHh<5Q z;IK^LV}^W2x%(<!s4hDz%D;2lEg!3Af=kZ|95-39W#yx7HZi$+vfmF}QtNmu8-D9g z!J5UZ&o1xQ*s`Va(GT~TOV0`&x6|r0n_4HPxwlHBkY~vnUt#|JXSSUDGU1KSY+wHU zQ!;~(IO}KJDyiaFcJJ0(@5|3R+7pjy$tvxACULQ3#}m=BQ^h{+dAGvcr`t*WpiO4s zQBT?MD4U{B9TUak=jbRZWnMgSQdrAs&SxR6F0s-*B}RQ28;^S{CQTFzo>RkhQK@&j zh07L==TG^RbQe|nO0{pPcqYo}-R-YFF{J0-v6W`K<Ju->O@6H^p)b2>hp6&gE0tX* zRb1OW_2>6QM4kD3YVjIpne9nuN>)6c<`dCw9k=<_*Gr4~C&z4y>M1tjoFjI4kIJeU z-rZ(?eSw)5kDgrJHFe96XDMBUuH6N3Zj#5hbUe9w`oxt*?b9vSMfrSQ6=JxrH_eLY zW0u<rXT$B1GYfZ}vpB)z6~3Z5I5l>$o?K)3F0a@auH=%?kLSHhE|wnMuOAh={NLJ@ zmqlMb5Haw-zN9(YX|di*86D+AFE*WXH1~|XRT;76%G0^+DlR3R&lRr(Pp_2w#1UE& zT2*oN>e5;D<@<KU6bEp=xuiO~*;j40-ffu}L9SBG=L+H*U8kq=?c==obY{E1U+khv ziO@x-P57%@w0F(<yrCm_a;RLIYv!d>>0vIuaX)?By47a?>Dr(@>&UOGH(pphH`^o~ z`|{2=R`=^B+owK#5%qkVk=3qSJI|i!TUVZEeR#3<yxSMPyy$vnW^TXh*-l=*31+Q% z-NH-GF1-EZ!K!tId9OcRc|7ZXx9Y{kv*!D(K5pUuJmo9L^A$3}zFe|<6DPg+c<$mV zEnlU%ZmBCxDobun@mzXRz<$!&SAnN9-7Kq~6h+PLUVL14`Nf|X7SFKn4(d<*rzc{& z=;!e>nMFr4L*8`Co&S?G@5_s4FXoEa-m+Z9?A4#&_sB5tOT_aGzV4+b7hTS|Bka4$ z;%s;3GIy!}Qzyz*?{RzN-tQ7;y(`LAtCF|v?#0EEFV9&~b*5-md-dYu8!tb*VdcBc z;%pc1<;8uMyJD1V*(!aH$X-&OaDCRge!0ne(n_kobUZQTpYGo89;dy_%l4SX+1Kx0 zTs)EOV!ryg+WNgaysJ_^&Fb#Hy7*eQ?z%~Go8yubOTTzLH4zN0vMG$7w^DAVT+_mf z$(IisJnCiJYPW3T<psUx-(4}~ee^@8C*!KZg#PrbNo?gcW{Z+Oyh_`Z5pevXV%V>k zk8%r@bXQgWeX#JL(e~a47ZWGkUaTm~wNus6?q$J=wR{?~LObtHTy}W%b(54SeBF0G zDdd-EJTB94Y1hk>Q+)m5W!W2#cHiy#B_$q@t~pQO3%z5N`?d0st-PC-EZ5#g7cM1E zxvu=Un{VZwCt8;lw%-<Wu`((8t+Mp6@^;%7B`cn6?^ttL@!I<K+sh7WtzWvcRElM{ z?~6;BA6{>@Dfeqmk2{>a<nW?s@uaAnA|s!pFV@Or?Rh%AbA{pb`)U@wyJhZcJ~dIZ zKKFRh$LaIK{7laMn)$>vS<QZZh(zz~KSzQVWxjbb!)NV9i!=TPiBis%&t_eYIOV@^ z>9LTy#is;%SO2+Wk(KjQy?e>Ah`L=Xyn46S?YnVl#_NdADaRuFvI{cTJe622bNR+= z3-8{G5^tW1%{-QPJnEEQ@A1Cr4>GMEi?hsJzP9JSs#$Kb%z4ebTWSkq++Cx^?U&kY z)%|q&MAtT#I=_`VxA=Z~F4f7_ej?#B_u{mp=k?-lF<EZ=v}|pEvbFrJPe0}cHf8L7 zEZ((ZZQpzin=MB_2D_SORX={twPBlCU$0GObSaPMwP~+@c>G-Y_KM-OTZ?jg=Z7BH zvb1oI>NT-f5}tcsoaLxJ`0wOOvAt_An7^4nIqTwW_bcIV9tZ{B*JWqCF#WV3!{YVR zn|)2bJpDC2)&2gu=8U#4XNx|Z`@UrTb!YMWQ}@O5=kk1Q{q(_a?+e|x+E1-ks8vZj z+}CV(2#(ic&%DxokMF|uQ?*H7_7+|6-1|b8wRZDA%h2w7X|*%=v|ZhP(pgQTcCJlL zVDrA{|9JslRf`1H#C@6eI{JyD(l0s7h{bVV)Ef4A)OkDHSMgu{D@m4TwfNqZ3)c77 zE3b0RR|$_-;J;rJ_=~HOBYE}v3GEiIT=UId?0zEOmvu3k^;gti)~hc0yY`vwV_v?` zg3qhfl($Z7S;X}R`45+tez+Mn@!WjHtK3WWD~NZpy!1bDbhUwJeWdtmjhFtf7l|s| zZN6_V>c0KMXWl7n?RssTi%mRA0)4h#oZmA)*GK+utK}=zBbVZ5c9(4XWn8?`?`X*1 zM%h}Ste5;}-4}gYwO?+3$tk73KYy$ZX3G@$b7<#9)gLdH#R;x`DBd3Y|AD#t-YvI( z%T)QB+Haa|x!7LUed8&?zkh#R4V?6X|HS*gAdmSE%+F0QJGg#M(VC6d3WZF2m&;6F zsUcPVHza7eRR2%SWq-1&OJkOpT;6tk<BX_9Yp<SHHeI#0Yko>l?Mc3>jTg*M?N_(? zl_l#FtY4+<5U<?tV0!WP_9gif+I=g3ZT{%K(dqBSA4`2+s@AxL=6C#ylWA4d`+EJ6 za=(F3y`0@Lh1cs}D0AxZ&ij!#!RznS9iB^)zPOcT&Uqrn*}MAR#g$@vYh8PDWq1m& zTAa;|>M{u{o-J;6sp4#IyhpD4pLH59w|IX0e7MK#{GLCn#P{|Z-7@)nN!zDcqfTbo z47T7umanF>h1OgPJ!rDy(Po{*v(5dATA}GbR<f~N@~YryUlD0BE9B-DE<54i+h4fs zq?IqdIDh20UeqksdQOeGE$6eYNMH4^m?iq|g>uZAMVI3*rI%`L%Tkt^8g$jwLTYK8 zG;j0b;5-v9_mu`;pO=^%3o5qwwJ2`Gyo37>nOwTxwK(I9%HK%Y*w0JDf8<`dy}10t zX20otzj(a&hW$<4dE$^;eYAM=j~C}p9F?~JWnCo5KJnj_7@MN2^4DBd6es=Lr5D86 z{bl=;q)Cc@J%8qCTzRE`+I8Io&-#45zM0N{4_bPOn7!0LcU0H!*W}`f-Ij~?Te~kl zq{XWgs}sD$-oX8|)B1mxYIHWe68w`AEcCMf`1?6s_0H0dcdnha|LQH5kL#Xsn#(NH z{vaoHwJt9&ezsZvJ?2FRb+@17xTrAw_5wQ-i?V2*Wo%3Dh_(8fc$D4dk6GlXzy0R{ zf8I$u*SdG|?aXuXyrg&~w`aAP=Ny*6^%bRuqkiR7oe!F3=iZTQe&_1)%hl7g#MbBC zSnV(Po-^<xr+MKT+k#84eYzd}Rta9*!tvyZ)I{HId%s5qFD7;NXS)gLy6v43l{N9` zX=!__CB>UgtT?E-ec}Oc-f4Hum?vj!IGt<rp_6U#9X6K383Lzr{f-&ga_wz$y!2pp zw1o0ZzU)1dX1>_)I!$DgZ+mFo-8nA|PWx)+S#2r${mi#LJnvyn>58XY*-tKW*4dtW zLQq!jZjRHkmm6M%^-kg29+zuVno;_hGrYUlPs{Prqn_~Px^t`jx%B4lOLv`qZ13^b z>E9~Pb8k9!pgO7MCHEe8wi(+FR4>S=6_RDRYV%Od?Q7<ji>vPm=P8A_KTz%tJK(!P zY;VKt#H){_?Oqj}$@Nc(+EY~Ib2L>q$<p(fXLp#N+r+IqN;h$bb!+(TyK!mZ+{sCz z`k517sPO2XZHQLal43I78?c2XFU|9!@Q#(KuE7tUg@@ZenC7`v{mu!Uw+*+|uFfkE zPXF@qVX~NMt(dJ#@O#ef=Y3~;@L!+sa{l*-&X+uU+*hrse!nwtS>^kaiOb60-@K@N z@nqJ#g5M{k=6u|6GCcc%t?$)&1<dQEay}LK?Nz^H5K;cX)-Q2y<M#<GzO_XA-;ZmE z^52oT@!5fDuaN3Py!~6>*{ZKPn`L<Nq(pGk8mpI!eY#!z7AanQG@;+~h>C8OWoOcv zOON`(m6K+R&7E^bd5Np>diTXa-JbfH8Cxw%g4#Hi9u-tCcgZX~o!xWMvpXg(wjp!l ziznh2y}C{Pq$It!bbS4kWphz!%5=ABTYfy*>T*>5&W9OnRs5k_f4FJAyl`@D&oaK+ zJ3dLvTou(XhtwSE{ql<Kj@?SJJ-cGpv1RWOTe8|!ZoTUfHQg{v&aX?43ag(y#;+^4 zca5T3=EWB({TDsDv*R2mlv$nmWxDHC!0TzQGuW=~c^0~UhS<zKWtEo}U4PB3uiLaY z>gT0JH?*fI=ql}%>fL(di&m<4x6k_ESz9<BZ9RHo=~038$zk2{aiJ-h8_#-bCF)L_ zdq*gH;~CF&>&1@G*~4^AY3AgJOIuz%Rgn(#?M_j@-H{)~^0_F(<l>^P>8|sl=2UsA z_;n}6iK%W~@zu!dP1eP;CpA-a+xEsWUVe1r^|Y{VzxCQ~YPww&sfJ~lg)g^uMJzh1 zC%^I9mLE@-%<l5sqVcteV}jU9zp51y_YH#DQ}VPOzrC1nGPfi8+JdRqkGN^**6lr{ za7k(QWKYp<fAc`^?lAS$AvwDrYS*r+;GfjRck_-J%jp*%&gKT>&Ez{ACoTQZMB;f` zhxfIG*Rw-BjAYmC;4OY?^5W|)S)Qwjb8auWkvZX1u5*Famrqi&t!3+W_G<XGr>x(4 z@Ur5J+g<lcZam#;nrHRo)2vxlAKxx|S#UZ#cFp8}EBv}Q`Tmvt8?80(-xRyk!MlG2 zf1D|(`M38+j+e=+^{<rs4E*Xf?fg2wx_^<JJi)KtS$^#iiNBt;M}ju~O8)icrE9%H zpU_61zpX!xXHEV$&8|Ceafz_q<W=6ket(GMwD^1V$IKTmB>I}JS}(B|cR!kwdEv-^ zCiiBgzA(p2^4*KGwEmsQQ|s?L7`V~6c2<Rdf7N@FnNJt@n)qh@)KH#t@yX=&*f5vL zfp*4;r!s<0r5n3Q`uhFck$Nt3(y8>&q%O6+c2Sy_mR!r{7V|Z-oECI>$>hhHioSZ5 zYg<Dvrd*q^w(9k)+q*JKa*LzIR;|m*dmOZQ_3YbQB1)$g&lXqA6}oH2{`<wLXJ!(% zMSt_-zU=tAyYQm&wEbs8`jeK6dVZBClM3vWJLo69$)xI!mG23+{&>I1$yb$cW)`lh z`cfn{XZMxIJ(mwnsM7hIFZtEu+5Sfhj~nh6jb7w#W<Nv7_L*hY<CX3<?rWEs?EE4> zGiVmeM`>5n$VXd@0(|Y}hM6t)6k0xUr_n5#!dnu;zWqV_1-+M_6j>f>c>YVoWBs6I z?lQ|YH>TR&v(V+7Bv-#D?2$*mU0gNSrR0g%CpCPj_$n1NS#J6swZ}#;1z&HK*yi3J z;HMmT++^jW&AK*KHAS~LxUW8*TF*C4&Hj(V8Es#!zw%3Fm00nfU3+m!$K`VqUS2$w z>5=j!;%WcnE6OwGpPu#N;u-m4p8dIfw+#Kics$qO%U*hN;pJ6pOlE%3p8E3QEA^mj z$ye=LeELK73l#hG2iFT&FLRe$F1u4<mPw)1j2Uv}bJC79y)-<Y8SYvo^XXPc_0`1_ zuFom@V)1l~TK8gi&E<x7`E5^GyghA{dFg1Tal{vn#~A{fUHkR>xK<`r_58}|S?w<V zpJTFHziwaFRRde6TD5D-+{Nmz&6NxP6L4m|T<9MyhmCT<F(RveBo`a+{?hSiIoHCf zl3g85KK&l^3q3DCzWlL&lU%>u>!6R{pM_og;_>L=^$xa~H9AUGravA(z8cmXzW(it ztPLl!oi>?dJlU!kC1vwTN^m{f#64xK*AgaO-*8AO<kKyojcgm^PD^DMoXn02lM?wP z)u+wNSE+ma=p}`T*GoE-q|W@}a9QoR>an1&)EcWgt(hhkr9Ow;njP&Ht(4fatJ9Y^ zs`gKy;l7DkR!erpIxjkCV?K96w$+?nsm4(+FT4nK-}}RD(aV5WX1;qLUG}|l@!{P5 zTrIc!_|AQqd{LY)rJp^Rtx|i`=F5X6?`O38hy9vVDe?5`_BV<A8}{YNiT&KQrS+4+ zYOmWG^CK-YwVxVGUiG@IIsfL5LtB=9x^ODuw$^;plb5cYIxbV{eOp^Tx25P-(oc=Y z(wEI%Cx&Y*TYK&J@-^Fh?2JDy$(?*YEn{oxr^0Tp+v@W}Lv?ev+eHOt&3>}|=#*_< zeM`G;CH?JMaH;I%$B>}g%KLQ|Y;i4;3FX>q`bpZ!GueFm#6;7&;@i`!E=tE;+VN)F zrm9@?Ggs3)zc;M--g29>_K^Py(fXg}NjlkHriZ&jS@^>~R!1Ba{udgU^ZT^x^3$$c z7f&ia@xW<9n^MV)$Y}qrd0pSbK19uVo}|uqS3Sc#`r}=0*`C))Pr3}A**Wf1I$#iG z-Br2H-7Shm!Y}&u%#T|*3|hAJ1<orBnpa!(hT)jH#x0wpfs%74=;Unk6khRGa`_x~ z!vg_tBef@H#}|HB;5_;B0%o3tYnM)WKIdBTtgk)V(=X&Oyb`|h?TYgGow_P9+g2Sd zwbT(mFM9Io>N#_t&oRCD<<68VT^^^kGv_|Pa%9owr0Z8zEGM5VR-GEnFvWjfn6icC z`5i*s+qM**TWxz>^}LPM`Q4W1cbT8Jv^=jlCp|_++$dw^fu`wPIx8%=0wy>La5Awv zCe5ofo>v}le%FfUcV;}Fa>jTacV_bUN4o{P`rho)Kfl$Z(%-)_Y0su~xt`dopU-)T zJG*~fGkN0Tk8_wOd)Y2e%`js~k+^fkxbJjkc1myhd=VdO<?}Y53vAx!**rgEvpg>C zn%lF2L{^CfY1hQkZeCzy<6AcOt4pmjyM#r@HI@ecs0#-cM)|0L<~ms}{@n5Im8Goz zVwpt6qtPc0B)UdD(tODjEme7_RW#|q!dt~(s<<UBdakZvaMLx;mfk7Dsm^eyUyqqb z?}&oa%e>C?1>TQ-E`POC{p%Uw>U+7B*RF1Oe&^+r%ISyw=apJ+cpmfawdL7Fv-3M- z%09oDEM4t)d|qSFnWsP1ZF}ccznqmGSG9az`OBqM*OmsJ-}z<D$2rT?uNdpuX4?E- z5^~-`=Beb1oKJs}AMbqrWM=!(dF4LyN|#?R&O2Rv>zQQxLYv<YUR~MD@AsvO?bN3~ z!r$)vu6mYPd2epzwUw3UmR9;LwRwI??R@5{uRY$=ZGJDwm{<5{Lb`(7d3&pQ)sFKj z9p;r=&nvZ@SL`$I@|tv+Yn%DsZ86=*w#}sI<ksz-m26y}|6G2y^Z2uw+n-e~e->H( z+%o;S<=W3Zn{zAg_1eBZ0$SpxIWzr^g!Ei+G)VW>G`B6d5;Y~|6R%WLO;d}*Eo<*j z@+Rl1lcs!5)7|GhIp+JLoszScha6w%@tyO~G&8YEzhH@pXV&EIsp8R(=)Pqn;ONW_ zTG@4od&SnN9u<39d1SICWXBh8VQ?1k>aK3-IMBr9=oq2M;b@VY`={z$r|t5D^E(XJ z9Opa0Qhjb_;`x=6pQ|TZu77^yl8*n*CtIGJ(Ovm-s?$NkgEuwIZf`poc-uJ5^SG}6 z#hBj#XA6}zbos8&X>JoLdEv+=?$uqrq$+XFy(`rrd*sCStoppBY|-Soz3=m0922sV zx&HJ-r;DZR`LA!lQ%Opxip|{1=6?N9amtBJ+$DQjAZP|@=~SUpTh-_8Vdl|bDZQ~^ z;g%T;i`G6dR&Z?Q*2;LXQFC79w<Dj=&H1!O`y*(5wcf%?wq0)L?RDl=D$XnSId3Hi z+DTxyQ}TSk`JF4Ce|aJ4{^+Lq)sH+W<u?DXn4GtjJHKc7^SN(7uG#H=-ZJ=PanzH8 zD|RuiN^8)&bpG!~`3h&7;*%3^rTJ)mS6bfkdBLHV>=Squq@NtW_hV&#)mNYM?ELKc zNAr%HW4d@#X4mQ|yIm}WwM{23@wAxDUS9o%VO_wav)go&8V_@uzF3gh%y#A56~nKm zqfZ=IIO*g}*Lm8j&#YNn@@Y%eMzO82^D1-Zm1oT>%?2-uS3hr|Htn>r|C!I{`oOc4 zsZ%p&dO3uiYdo`LzUBXo9=6_J;!d`Nb6Kuuy7}={@B50-&c5)6F~>hodYoIi?)2r| z())`q%~V)t++AdPu6S#gdTf=~VMYddcVqhtISgk6zCUb@u`#yZBrA1Se6H@~%?U-K zUQru^s@c*HKggOA&2U9k;l+YPv8O+u^KvCgi@2C+tZ`K2<6>N&{4}sRY<-9e@2!ft zLKCH~?9y;m$(nHTsM?}{W?#Lm)(WYTqC(G%<p<Tp+xKJ&oN|>*oXxg4<_e?NPKgx1 z=3qUh!pjO%yRDtfc*AziaF)rsaLQG!Qfg0;q4u833e&nHym*UZ&m6jvaQ&!(0B>IG z7pBcIe|}w9Fs(akp469J70+Cn<HfIbs7bNxp0UiOIZ^y#OO}+#?ucfWW)HoljV`=u zmQgNG9*JLEc~C+8<UFY_g;U(7Ykc!)jjBICl#q}d|8Z97gZOA2`TNa~{N9I*ly zvA<V${z!Alx^TkXxIjv$Xk$COk)za^!YSTIA6z=Vc*VaLH71KL+FN9?tZFRy(&fAR z!^G8bZ=Nm>TyfR>{9z$a-Ay}nJy#wz-L6@i5qLINep-}F@$I0!p}Rr~C-F_y3!F12 zxG?k4li7t{)BV+-PEqkYv@*hLy0YIf#f@`pjH65=pQ`k&Qd>G_kE4@i=CTs2m#uq> zHswsonsn;qs+DRt_jnm*2Ay3!W3t-CJ$V<iE}hAaIO;n~r&?Bd^(>jsUamo=lFvjv zCM(&!6SyRQ(Op!uJHz<6|B_{9rGM@?iT&(o|6^tOXq)A&!z*3&x39c$NxSCD!)1{_ z=3enuo^wh3w6lELEynkaiM=K#9=(tZIO48S=+^8h{<*<P{JqUqj<RTOVcojB-yXSi z$8A5@kY#u>*L_ixOOf=Xk1HG%<xh7+Nxk{Fv0a0?pW~`RSHE1*mbQMiSFz2JTXsH9 zm%8Y2o1gvQj!fedg_CbD^HsFpY_QeinVFi9Zqd%()^4%cccwABc86~dyqGcZM6TzO zD48PZYnE3RO}IU6j%gH6(QF|t+jW(?(pUF%NiL5&9P)DF+3cBBtEJ*C^P1PnI7?>v zJe__d=&|PdP*sEAWX<(K5-yK5)+brGBx|gX{?K{MKko2`o@0J-hCRo8<5nh_q<ymb zy7)Z*_S}yb)jMt<tNOCDWVS@Vo%0>Bu#cSEg?)`5*c!VnlskA_w;+n?{X@ld86S?g znlBDyf0!&e_1=fTc8;a-6&cS~wtf)capQl;w8HpT^`~m~HEXA@UJxh!^uYw}-1<H0 zZkgPV<vTVUbNIJ+g~a`H0=m=giaEJ-r)*!^kX3Z{bx)!1<gz$9$!e3#Cn{n;pFI(n z@oz?*g3B+RUA<=}*xBAOQ}dYbzP;7)lAFhTx9y#lhmM_?rIa=8&cUoV&&;g7Ud{1* zu_Ckh$a#&jTPlSyOZ{g1+aG_iCGyd73A3!rM>fu}Q0scEFYY;8v+tyjsD1w#(c=X^ zV*A6iSMA&K@=>~I<ZS)Apvp|)W9L`u<sSQ?xwO@5npygvmP@70*<IqBkDXVyJ@jsl z+48>KAvvdhra$4}x$}kLO7`x~lwIGREEbDg?71{tD$uvCqIK2uCm##_y3{)7<QQ%K z#r*MRm(JXWkNaMi6u2B+(tdq?(96e@y7GJL!?g5%@wguK|MmHU<dlo)>V0}nKVR{` z+^lRp%cM#)NT2gb@;oVDKTFTn#laso9_d)-xqiC+8Izq~H?dEbyL;zW<z=fM^GjX| zo_jl2*Y?!TwZ@Cwt<AUVTs~+h`Z)UKQg^HE6>DzsRkFR>TGrPnpSzGRZDrtJ#h+(| z7daY;A9PsL?=4!N;xWH-#^;&r#=L#8a{@okVDqebmU^;gO4+Z|zrG#}**N!n*Q+n; zWq~Iyr-vT;mHheohS<Mre<nxhS#S9={n`A7SMSf1_gZOh<nA6)bBOoLC7lY1bl>;~ zw!yi(nBH$J+?2_^XStV7mS{|g)3Wdna$?J8_}y=I@_8i|^Tz7&7N&cTomnoc{-|K_ zd4BJ+$g<M+hJkN6w+H*)zZbkXO8S`s{|E2;4;1IF*cSSZVa2~ie;)00<EvWnqwfcs z%H2c9rOKjO=X14XZ9ab9STy}BpWkA`<)4r1d0(}(SoOqt`G<1BW)nWUMGBV_E~m#Q z#h$L}Z!hq<F3NwlgOS;@B)5?9%J02_A2+>T_VU%E$5VA<SIXrH_FKgi8FEeL+h~`a zc<rUY$@H9{l8Pr9Q*B>eI1#8~=+o^ZKT#yJ@P&oj1iqUxn{Ql9oSiIeZ&g#ghRLHn zu`gWWWx$z@JaV#qmUe|#6=x<(SXmt@j%o5}uk4Etk=NytT`sV*gyUKA1V!CCi?YDh zEh|dyI300U@|JI(Qo7>VR<$%Mm(RC^4P@_Cp7of)_jXU2i%)xLoU_p~XRGz92cxZ8 zK1=m?9n=<(Z|(8aHLDE?{&?fb)#DNUxp^;5zV3LkOjOY}?e3)$7avcI7Er88DVpq6 zd3Eum+bcJD^n2v3bX$8}{^>-y$#<d}UrapiyVmf8_QXl<{Q=w6+^lWA_Re{9<?&Tt zov(dvag*=73_Rtn^|W`ohli}KTP1JMzZVzJ<aWu~UfW^2@yg?wvx95CL_8C7UAfp@ zYP+{ecz?|Lyc1PlK6SS&KECNT|1+VY)yFqpH*={vQ>0zG*nMNPs)FsLyQ?%dR=wH9 z>s0oY<Jni<NpkP+{L*OtvZHkOB9_aKr^YWeTIp_RzVVd4ZQoB{t;1hBo_=@kd|Y~F z#<QtDC$BEPo-3|n%U79NywqK5efBY}^}cRO>;2p$*83b=HQP)1>e(e#AJ@KlCHUr= z_ue0E-)20X?%i*lCpIy%s^+6tdd;rPN2^_>)|bCJY97_EYWMo$;nR`*ZrkH*<@VlE zJYMCp%Ti_6&Pd;y&0BvwTfdCs`LoFq&zDX7@$}inX=2%TRD=%RiBW9Z>AC3Iq8W=b zqweggR9fS@?z+v_N4KNj{EGCgQQo~}#?xi&8^yNfarI^v9`hAk+&x=&MbwkR+f!DF zmEUnYy2@2{d+5fCNqx819Lc!&YTIgQ-LSi5s_xw(+jC!L6uvTBC+yxGw0+^rjYqR1 zE`2%iXsfQN?YfHIY~Oy3xYtRSA9r5gwB_Z+BiT{+zIZ$`HL|ent9a`@M{aH$v+{Cx z!S$_{mmgos4uAHA<B_RhY1N+(Qj%7-YJ1Jtp1+*<!qj4UK)>_)qn7PeB2~V8l~<Q^ zURQt8*I(u)<!fbW=^ADF^4ZodnXF4MAM+Y{OjfqLrjRx1$Z>5^cg=-%L6V6jlBJVa zXFho>QsgyRH7+mEH1p|_UZZ0tjVxy!DK_#r`m}p;U|fl3NfB#h>7<itnE^)wEvCBF z`}MVM__F+|vBLf9=`IIWciXMG7<hEE;xv!xT?eJ-`)&;9{bUh!tnP*Wxj><^mHW-z zy(0wwp0u2GXjVqR@rzPsEB70@&(#U4Pjz>lVOf>5#@^)r6w%lIXZ2I}n%sEwKJa7v zbK^yu*tW;?OkC!uC!V<=bHnMQD~x!nc4{>HG^dCshUDy?n;3hz%KzfYMMt%^-<@%B z(WKiwSE>)a)w#_7Bgb`Xz^+>*pZPh$Ca1^k^-%GhR{2&b*TnPL)m14UCvr~H3!k&6 zc<E^o^|ui(KOH$~mD)MoJvw$<#mh#Y$<c9Pp+0?k=dAkSGI{x7gYA_P(OW7WtBMu+ zbO-x&3C`T&@$?DLN007Ub>ANMD4kDQhkU!k^;@;v@_%?dHrih3cuArAyj@7nl7nLN zRbxxJ=F46^y|nPdO;P>a=KZTyd44GN-5dEU``7%eFX>+{cF)c`n4Xd~?_WzFSE$b0 zrt>u=U)#S-Ua@0Ed_hR3N4-j4-%5!!Ge1Oz?Y-pkV-5R+wudjo`D>dO%a?r-=xdwl zrrW$&VO2QyLt~xEYeIjl?I_{1Gro24{*?K}d0*0>F5;H?8@uyIlY6~~UewnY`X^nb zBmNrhtWb@)6hFB;qU8(wQ{#oN7Vp=vf1B`i`tuCwV$WtL_q#3rzh)P2^bW2s={qHG zF@El1W1r>!-pI7Rdewi%eWA|ZskJgqug<@IF=^$$eSKCh9imP3oj7i-vlRBaFzxJq zWwYGdH8qaW2LJs+y6?qhNI#oDJ?r9amRntx+<9AMKSytC-j?!Dai-(#P4%Wl(dPfF z3NpFBU09xbBQ?PH3)_{jH<GJ5?^#?Zdn2=N%iT{Cydtk!oNyIP2}xEoKVI`S{LyAr z!&@cApS@Qu*6qA+tkPq4`;Llo{Fal?mWf|-ot>RmrkTAZ^11k$B^TA^-e14M?D?N6 zN7HGA23~t#<Z|@-{`noGTlM&Y#nJsnR~KzpF=6|--ml}B4|{ZtzLd3W$-<2n_pi^g z-!%K?;)8<j$DK5J#bRZIqcSfXb~RR<%GR$p?W*<Poj-Z{BNy-Au-IqGFX7)FVT(Ub z=$4hz|2chG)R%1wKVICg=PspetMY4xiC-0mbMn&qv`r@eJ`}j`eX*9KcmBVPE5-I| zF3g`>U)J*LmgS5m3*XOe_L2Yf$a2M_mHG3ULp7whWmyg_`gp-n*+fcMc23da!ixuW zEr0E^j8OB4w_v}k@KXADV&LR`MRJo?Tq-~3yu#l*-ip7n#`N2V53Az7Y-`z<`tPpf ztL$eBgu4FCvkQ~uJ@rHKx%=`5*M0X|{%Zaxd~PCJY|XNelTtMwCBrqYDfIOFBuM%E z%AeuRt0fy-b@@U2`BPeR*c@xZj(y20cxf)U{Gh=6nJc4tjcUI!uROSHv7*+5e`$Y? z1Xq4x|2mm3v_3>{)rwc^PaPGF{A;;$hv<_1>h_=iWC;G*vv`TUjr+}*m;Ps5EuZ!O zJ0_$0_|^L}uGXTDXaBg8G`HXD!{lS>dDYF!P4*Svo+F)`w^zq`xv!Ra{FThjr+g*c za_jb{7~aYdK7D%AVzYyB+axl#cs^S?BVcx1U6;n^EjOR03wJI(YrWmgOFh?L=Dfo8 zTStncPi16(v0mD9@!H|_B5ST%oXeGzivHUiq+4~^Pipecv%G1SBF^Qe7fe1@oafx! zZems(Ek5nijdQt022+le<TW=>HpwfVEw0pi@y;|>&t$Xhr6(^g>y9^ed3)%r^jD=) zfjlnDSNX@!%8IIgZJX_UVo}{gwLb5qjvt~z_a^Wj`?2E7)%c!%Pq$ynMS-4u|JLdG zy?n9$gzKsTuVy##lP6yKpG_}*HRs>88n@#julmnkTo|={zuEkohD(1*Ti;4qwO?0$ zf56w{PnBn^`nRiwr}*mp>5Ege<gyg*Y}t54aYts$p9}N*W{2lWt@&)#&U5);N3@Z# zY}ejxOIJ8<ygldD*H;_Pd1~8P<rJMZ*_9b^&Q~DGN~G|2Dogf;v#*78YL`{`3w5kB z)3efiS@n3nkWg&lpWTzLhMurDjo5YQM?3qP?4J7M*i;#j!X?_>?aqq9*Tux$8zN$3 z|7Z)(dhzR#yqIxpFCSCl<*zRm2pYuhvdj=|$`m?vTwUkt*R#2C6|1!8+}@`b+8^sz zo!nog^LyhBLEB|N_s;OGnp3=`Z|mhJotM|m@Tr<oJf&~$r6m_LgSL52*6?fI6g2Bg zK@{(1ugO03ljdGrJT<;=>#K{ew<uRH^;~p$jb5+XY`@LsRXs&f9TK)>e+%5c%=q$o zl~;4n`nx}}CLGK5iZS7MW~R2dn{Vl!JHIYI?76Kb<k6g$=bBL(@#xyIPO;53HB7r+ zdOcPUD)755#lE;9I@a~iYUZ}mQmYP@yq8vw7EFG*OuMsL_kc$1e7>N@)Lqkdbg-;@ zne`|^XoBB$VfLjB6}uMgkWiX(@$2FJ`X61x1^(}9@YtnitHL#VS6hVzQ_Q8QFXzwv z;BtLgyS0N_Z1A6C=Au&3U+L^$URjkGa6Y*-^@YOZoy)Q>I*KlsvQCaKZ0^fXXAdff zZht9s?x1qnuAbrvUKLkTZ#o)##m=gHaZt>(^Qp14Qt;$x{<SlTW<B|}=49aHSoz`@ z&A+aD-AWg3;g6fW%6z4xko(LjQZ-h$LO!~e86TI@`M7(9#NNsUy3?f>&U)d?lDm5k zr&`AAr}C3#Z%dI+yfE#xd{@>*X|CMe|4u4gGCS>PquRGEs_yia?t38_ufOVhZhg_q zmb?F-XK44mk_+EX+sj{Fv|ZUm?Nh$r))%=hSv6k;&UY*>oH#vg-;!f)e2XL+P3%5h z;>%oYIB9m#s^H``^KFjU^w#c4VY(=O_MoWduT_>3OI+`pviDzkar&8ps7&o`nJ1rK zzTkegVCI@*1$o-di%r%QN6%Shwsnt;G1pefZ=YDEn>F7_x_L=$!foxaT)CZ+?Q60w zpYXMK+;6ru?wV%rtyw>OA1*$-W_rmnn_RD*oTiU5jZdAG49xATlyr02y7JjFi6Xbz znR#uRD{u9Dwl=$}HYa}L?nSSs$E(d=u07+v+TwMIeeY#TMT>jbSH7O{*v2#V<ex1? zvv*DV`)AU!*OTYx?{c#AV_Kd)Wqx?hoOMBUNjsflm&;7v=(Q`zQg63^?CC!&%~!Xc zGMpZJ>FTLM@t$?zbzFBPVmHc6-xwLYy>9Wkj&(8nO?H*M{dMw?_jMin=n17)KV5G9 zd+F-y=iSkZR?nQDxMx?LY<(%~@0BcTvTqdjZdjLeJi>WbpKQ9~rP9;>YgVs|smqJB zjNL7hop|x;>5VhRVo&x>pYfvV+433Pi#%6eKJjL*TK1f_)D@mm%L@ffB}=2i11AS8 zPyKk|NzdgicLaP-S@^#3ne63PylL4<mF13!msKWRUbH3i(}~QW4<?yUw&-RrIjOXK z?+!oTV-~rmC#tRYYfhYK;`wBYgx)NfqNo|a7kMf!@7(A)i>GK-&*>{F6D}V+GV4!a z)P#*{wR6-`yeHfGNhj?zIr-Q`soZ6<f#2gpSD*A<t|~EI`Pf80a@Lu`Tl~7dk1Tjk z^sD91S;pis*~~9maEa&Y%MlUgzF~9CR9z>BEUyi`_~c4vf?lUu{G4m7OD?HSuP<8H zr?>l0@u!0?FP%QPR6Tam-JVrDJg3L=xwXC&IwRPy?6d*<`UB>%U6wam{H7=KRkb?D z*8M%LwCaV>8wIJ|S5t2sv|iSwcT}#;<I>X`&f=Q8?tHqyHc#)QO-gX#RK3+Udz`N< zom%g&)pz;n)YlAN(<As8)noHymnbgtUZ=j`o=I%kU3S0ek$hG^UzMzU`XJon%G1g9 zevi8J7RvYpFFviY{_5|A-kSU~3rZ}XKI~qsx6)?M$EPnZy}r+T!E3q)Uz2F*%qMyi zE-#(L9=TR;qg<nUte#~=vd{F${jC+du2e}a_f`{kyzz3=$%7lZx_8NZ%0DtouTv&O z`RdcFhs{OxOCz5o2KVXp+O#xYc{;J(qGeafC;sC>(=FwcR^D))ZuPGz-*3A0KIRWD z({1z^1y`PyV_)hZ9{Xzt)2EW3k9S(dGW~r1aQEfZ3C&3!u|a>84X>qMJ!l#n8x_l} zxNFPDfR;YJe4Cz$S5*7jEe|ZzYyERN(Yb{4aiY*-y<{0Sg)Y6+m{yV4b3a%n?P~eO z5mzEvdcpmuQ|zT3dRs21_SU;4@0wy|@ob^D=>K`!N_IX{kY1%1S;MRlJY84L>g|h5 zN1Y|TV)s?7P<FZa^oqT#Z){h^iWZmYe)Ai9_1x#M>+VwdDDG79vqb2`i%mxk%8Lq@ zW<J_c;5S|UpX$VyLPy=jbawsuu>Qz&Jx7_G;HytBSu!-eFgkMB%w|_gm4&j$bl?Ar z4vEAr`?1~GWx5mp)_J>Rthm(X>UHjG>eB1hYvGTrs^Cm3$t+P7DXsjX>QLJG#h=r6 zx~F{dmW)Z~?1f`zt@+&F9Xh$}9;1Zsy`QR=7kk?L@8ifcI&Uu(w=3k=@xv=UP5C!^ zne2RKxWU<XlO^}pbs3w^wktGcTsrH^u=u38ep9>J!#SK^-ApB)-w%vf=4mM(+oCs1 z=Xbf%mrE%#>*I1vGN0*mTzoR~zLC7|qMz+49+NZwT~){~I=5d=dDfFp1)ZI0yJOhY z=Be$qao(#lOU0UfsoH*<B|?im4a}P?uB6N`XIye|$&7lBv{^ErKOXH?+y8GN$4(Q@ zZ~kl*i%)K7t~ev=+x2(a&x=oP>{oG_b?1{m*Plx&GwbbiI@WpoJIlDa#P^dxf8_Gj zlcuLF+La{hl2}_3`{`2O<aLqePdQ$$D&1W=xg@pdL#OHGttb8E%2&OfFx}@_Sh&*u zkONAw>-nB>+L!1S1-3{oT|IGj@*%<4{uq<QWiMYniJzq%Tl{A+bJojMkL5+IW6NcD z5?8%E^*EtRGq&1hjnlH#{oQU^yZ%{3uuQoudcsj7HMY4XNAS=Kudn{yCl+O2wKr0Z zT`R}M`7-~+Q6=|Zk9S$Hx|CbIQgZM1lD`>p=4w*kb!{=-M-`Q+A(s^Ag$vn5nG{a) z@aop}`?g}o^R(BKk8%e1etc<Ww$<a&QoiM4FZVn-xcI1q{QWN(hNs*uk|u~vonvzA zV$wBt^G6fJ66d&_dL?io_sWW8juz_+JS=6G{g72EFX4E4jbrs;_3g$jB{QBsxj2Qd zJubf|M{3V!Eq9l8&pfTjOAq^_S6Is`{j~jcY2oF!)7W0ttWn!{*I2f1@3Eym?Us4R zC!||FDU5Dg>@2d~CET|;GS2w&5@+e{77t1|9-Hw?E_W8#?__D!Qy3j`?eT@z;zBO% zf#%%}FFzd972n8Ly(jCg32)inFgEqlh_cz83)#-zxhAs2e$(QFmM`C*W=Kf(PL-)K zTKus;UTc2fePj07#}=7w-xCrzEo1U={fVDeo|WD2?6J{oectMpt)5S&oqBQg;goO{ zmC1ZF^YVMrt#UqAu?d?>l+Eri<$YAy`+1q8wfXc{N4IZ2XnFkV5%VIScJ;i2J@K-$ zDvnBBOq_LlnL!ud)jMJ=%U=|n&2{?F#drITn~Ga|US4(4{*oVGqozvA9@?qPHG}V9 z+~%PCsbUNL#5^x8>bWkMG+k`^oI6cd7frdoyzArJb(sQZv(sPwy>};Trd+Sx=cJ2Y zemvZwo>mp|tLKeRzj9ygikF`c8%|gu=V+s{`r_kDhxLPO+bUix)ygzDp0098k@wS% zjF#1o^7BOv?M*5kXK;V^XtwQJw(>`I@$Va3B1@w8#?QX}ec5rL{2-5Z@9oMS>atpQ zpD8>q`SEaiN6M=YM}1WyRvtFmKKFpH?5v%=Y+@H5&b*yvQQGm$Ow&^K)XtkC%bcaw z$2>BTc%~*?cX7dt+p`{s@z(A1<=&i8a3(i$yLWR$+~lI2COe*O)hPFF&WUS&wDh3% zdSAuM3A3&rI%aa>tJI7cY}@00@5+(7@<~ccShuLQL}>ZO>B%-z-I5LL_b<u(^JK>w zk;@jR3dMsSYuiVAn2LQi_VK;=<F)#=S;vx&r=2p(O_aI3(&*N<A19ak+*UZA=(TB^ zecyVKt!F<PvxeLjwci^eoV&D6N%Q=cUMo3guCKDYC6_MBpWYnq^((7VV$qpbzn?13 zX85x96H85S?M9hDhc10Td01NHMRlq8nis2|D0QrNzc0^zwI;E4wVc?3_r2Z=X1&Or zbNShn*?J3ZP5HdKb<3q`FTSl>d3NP|tB@@#AB%HN{^wW2w)m0xU*Eq`rfcj4=V#7+ z$$!*cBCU^YZeNt5?U(Y$X?%<S72LTJXjt`npXIuStVP?S<7LmY{wQ0O@$pn{SXA#+ zzuke0Ty?kaR7f}3`9&+)wL2_t^Tb82I@?39S}*aGRiE2aIqT2IOI-8xX2*pIo-Wz> zW#h>cSC{rocU#h}w>)lkSc&J?T_GR))pq-(ZGExn)znOv?%2HOg^RrxPIh`$qWMU5 za#!y5V(;q<_B>w^_v!R;YyDYgs!Sg)^IkID>)IB6ySR;ySjuI)Ex($Vg|z<t7;4yi z)LHcFtVFrCnelRc4~_my)jUgYK0D{xBbG}R=W-LWCN7TH&~3K2Zqh;j+_ayO%aUZ{ zAByK@{fu1pgel|Vv+L&#z2%Aw588-4z7+8$y;mfpe9gxj=QX^a@;hAKcFs{;?2Mzd z*^z^KqK`AIA16$e%59YiQChz7s-v~1l=e^Y#Yx8JoR!00$xq$=b;1eV>Wt8jFP@a1 z=u&$=r{q+Y(OK<?pxH6@ogORICdXyleC2qWCLY{xpBJWhQF+4bn3!E*wmO@GWwk74 z9r0}Uw5x7BS+e7qsoD;o_RP5Arkz`6e2L<}v($CT^_m2IUAevAn%B54zTObhlfSe> zZvLG;MoZjHwwoTQnp153+_}FrFKud~?XjIxgPXqocxL9ebMf&Nw}nzC$!)*$Ph;wr zjHhBAnTy?xwtI$E&H1z{P2>|-%ASv_QjQc}=3L`0yT0Pw7LF%roR@sN1I!~k0?k7+ zG7C>;%No8`n>1H$YMl1riYlF|x4ePfrE#+#FLbq9f7LLn@LYD;gs7Zi>7cz!ru7HK zn4ByLIvO(Fz%NSEbmx=gIns|UeJ2;jG3~r;^JDdu>ZLX^MU65l$=hYFB+lK#7@b@D zXZbzx+-*PAS@iERe~q))AFVgNKI;3){i|L-vRH9_QzcJQ#O)1>4`kVLew~%^kC$_+ ziP)$7))R|A_S6TMpVOay`)T~O)=&MfwC=Khjg#kJe8cShhpS<4)K^?LvJ`r?Rr{ZA zr|T`L+8&{`Tdsc0=kQ9F-XEG55^bpeK0z~gF<;isgj;5R-z1*<Dej)*v*hxRWBZLv zdIN2IxaOLu?fP+L+4`CFK2F-TyZ@EOuBs2snX}KI-9JW!y;Emiv*DW=?a?N;;&%Q} z+;j2UD}~7d9???l8#_F2b^Xv#UG8i3|3OPa@8*A<o%vFaf0(l^uc#0)%8V(Qc*OQI zf4A3to&P)XuI|@d*s(9{|B@JCcHbCP_Ffl@-sS%qIp=@b``O^A=;!x76-(DoZFkDM z;wZ5FWkRR-lZ1(Liv!wJt!Fs9MQgHmhHPamGSGf<(d^hkUBz5S86D&Mf3k(8GJBpp zki6)dysEiqla#%!OV%av^M{3le)Uyqgf4wQwcWv~#^7VgdOp5LEB3@QnZKVt;I)3b zU_!yF+zHLzPO`bFGJAyBGIy6u(0P(2eD1Jv;<RJV|CS1ro3#BhXEwI|Q}Fap*~eF} zpWdHj5G;NyGvNK-4{|O3Yi1vQaJB4>qS);x?kg^p9k*9J{pddXM338x`;C1}|JOZG z$`#sck|_2!ZD-)EF9oYprT+FE^u85R#hF@H@$#6@ugXI#g_lpoRDEqYe2b@0JH<-Y zI<oYtm6qtKm#3aQ>X(b1UDI|%#ky;Won_XsJD<!AkJd@0-8j04>-luvZx>9@>Gya& z5AU6myn6QX*K_ZS?{EpX*`I6BW!Bo)o*<gb_m^vBfZb#zGt(bW7e!3$m)CNeDRZXj zm4!vx>W$aWt8G8A-{!^<{s8^{V@~{$4^}jn)R=HSdm^+#ZT6fsjf+p(s5d5TOuYYx z>Fd2E{}<f5{rI7Xp!aQ={~c?(>U|zf+$Lvt>44(BrmFYdw->}2pWUw@@j5m5sCVL& zW6t8<3G23n<()eincI1%D0X49an8+yvWg+M*LHg??A_+Zm(^-_%S-kRSNj&(!ik)I zv&;VNJg6e4^-v?%=x*D?wPv&HX2uxjcKz@?a`K|)=F|O$E@c+KnV;13*Tx|5y5)Yo z4FT7!_8YC5d*Aei%XORm_6C<StqLw>TI5VQrvFcs=W+U?OFNF<Z;Ab*xS{{@wFmOc zmp&|%lD_lU#B|w=`cBJd$9JXP+EW}gIr^H~yvs8ZbaFlSY-5Vg{QYGX7thkSSF(M6 zct)?f?v$`$n|s{kL-Dy2_k<lTnmg@K+1$26(|&#q$vOL{;@yQz@98g01SD3!@4Vi& zVbVU0IN@DpbsMW6^Y4zZpSAmm{o^I;ufKm-_t(|@Y@kB;*^BbxUdf9uSKLwW?f1Lg z82-m^#!-Eyc++czvu4XK-)eaJkGqd?z0l+{>yPwhZhqrlba;!b74Pf5Oz)DYYpR#i z=3U-$WQ(X(F6X*S-;QK!e3-ILBhI*S?H1jSQCvPt*LGg_d!Uw^yN8V_d+XkhvxLen zZF{v<^~{pBSF_~{!lTz*PfO6voxVq`b?+^;%3ii}m%>hF2V8r-Mg4c_EY8(Ww+NY? z^*^3w`L^Z8vcjv57T!|RD>76Td#=4M;wAf~c;)paR&~x?mYPi>S)X2}ir;*kJa^+d z%gX+X;nx@KN%!!p53}<wer^-exbu(S-OP(;vei4pPTyf$6nEz9WX^lPUWzU^oc37P zBe(4Dm87tj=U*GkW-pl*^F?Xj3;&ZxElXF~YaVwEU1hIv+@(UQ<riy^Vbx@Z#YyKK zOhJ2zV?Unv*!n_uMP~B<gb?rSq6vO`r@v7Y{Z|mhxA57AfNqN=i9dEYt+uW?rsQ?} zgJiFoZ_D|Zn3qa5#}>zF)wY~3a>!Jv5et3qUiSOm7C|{9$;(S_cx!z=aeiU+QeU;> zK6+ElX8$>}EBIDPk&o)qv+BpA)TTVvH$HV<b=j2pG3CCqgZuXSXdO>Kqjfw|+<$g> z-P{lDW*h%}Nr?I>yYR}hYv&i4+ctiGap9u+kBUXNte+@~Xg?QWxMXq6-YCq9bN<_m zL+N3!_Fh(-m~8s&YN6ET6NNJ}pGcf>De5`q^GUnabIZyn+mG>P3%`EOv%zfopDBg= zZ=Lxid3d$&+W7`~GiRq9TDvbs+~4Bq!OPQ+oHlm<cFu9dtgjpTUOs&xxM`iaTp8o# zsmBf*C+>2y)nT4g8u4VI%t!BTMLQ|O!&X;*>2yxw+uV0-rL9#-aSv1d%MEX~Dv3V6 zt+B*gT77m;Y1NyLL8o3kUOFwbKYqK%*GJL*rtYg}FP$cLIPdI~c-yR<uEA+9H=fR& zIq|gil&X)@ZyUQ+^?a0aO(`;Nz47>2N0qC*dEk}q{2fQ-*Izwi{$`o0_4-Q2ODUH< z*LHcT$}bF&S$xt;J^Dt5(Cd^w{g=k-UcZ8Wd9*Goyy>rAAT`;tuIQ<W+-Ko8XT|lh zWS-67Wh;KeR&Ob%d}!$-?njOsD{p<WSnqyYjD4rpHV^j0GqV4Qa(0{S`}LgZvV{eE z_YRI%drRaN&Da$z$F}mvsS2*I_m(m*j9a|Vk*{uJL0)5(?(}AhH<OJQtj_IcZneI( z>xcTHq}e4GypO8Qu6LPYqW7u4^~d7E-sZv$mz@LNul;=C2<zt!$CiHj;2v^ca=+tV z^CPBLs#|TQBt724l$5)>hV^TdMtsARZTkOOSKQE&6%kG{wfn^X#%H!eUDjN8-L|`B zC1zVCo|=iIcZ)5&)AqRL;-ks8{Umg)cD|i*QR!N)>!MjTMM0~03WH9qSmwQKy5lK+ z_1(8jG@qpkO?vZWvaxT`-Y<rqGB%y|R8r|y+q<Xj#zmDIClym?J^6IW?WoG!$toLW zxfFfswkb05j(nUFp;;=lhNUpbd*&CzZk{3|m!mJ<N?-h66tJS_qQ;zV&-;OwH~bNH zF8<ZN>|VRYj>T~zrw*>`_r9E9<MUCC-SJ9yo$MK>z(3i|%l<!8oRDv65u)GqSV6M) z<bKJki_H1H<nCcF|K-fT@yfD!4}Y9Bx?LE|yK+&wW1Q`ojz2~lU288{zB=gkweop} z;N;cmuKcfOB-mR2;_;j{&-L%F8CQQyRlH&>z29lcmp7*iCr92iyz%DD`jFmBo6U~< zXib@S(DK?U|Ejd-7TIOb%UdF^uDIxLw0zEE?F-I}J^7FNtzCC=2X9ZzEC0PN##Jw+ zD>)b6dl~=p=aYr;&z?r?Jzu<;=j}`Jo}kN9{zNTQ{kb<N--PSY4$i+HS5I9OXt~~1 zWp3SN-&I}9^Pi@7-rhO0E$zwnY@TeX>!;Nxue`WozTE}I{SjeObBkWLcJ1_B?y|Tv z?%B%kkAJ;6)fbWI_=WxXi^-8{XJnWTc{IDuH=UXK_vufbGj7eAc2<eCIh*2t6@UIO zu=ZE<r$Cp;W_`I^jEOR?frV4gKbTSRYxk#{(x<Q9zc&BSp3Cvq)AN>?R6N-%UFP2G z+?Te|>euU{EvFy7=s$f?_x0h`s~r{Qb246vpRqXllZnJv=_4!lE1Nfm#O!YLsm-d) zV3T=u`L$xi-mTFLzy9oESQWW@YRa!OpEj-5dMW(o@zlk3M{VwGy7GKRd#Y#cw8|S# zo#&^?^)X&dzv*nWAZUKNT-U`{#%DH8P^)dSR14bt>rau)l9m3O+S6aHy?a2QwyH8> zSzOVHld7NCPd-@^6xdx9w|Mi?!W;e?myWwz&Yv50=&<%zy@$qHizfeTu?wqpo^NZo zw$49h<FN$$%$UqSr82H-W`39{B>6Y<@2$kk&nC=|HGd;+)StI%r(dqp-ako2mRv`@ z!)5r7*SySntT<CIw%A4__+!NNmED?W%ww0@F(=P@8TELxzG%NuTw1SjoStUymyXhC zQ?bg<(DiTC8rJFlWLTBCdv46GYfo&wzTV1HkGQ^MPy33v&95T3?6NK&Ti+?@wf%^r zkoieR#ofzt=QZo=%xt#Rnbz&OFyyup`^G~ux!E#XgoH9*mu%$hxtun)KHq8mv8ext zj#%^-{}FBuwP9ggderqZzi-FV_0#I3)%xdWWSHE1X3BLw<MMHT<A<S-RsL^_F?TP& zW9nYJ<HhM`8vT;KZkC?TZqxPrc4@Tx85L#;A3wiD^KNs$`3Zj8#~(j&TP{kskK1L~ zT6OL5b53hp=Az44YEQye+<A3#!tD*q=f(b2Nm_p1YW`Nk>0dIR8;h!i&9AWYKDapD z*?nt=T$NkN$Ih=8j2A9;`{r`qMrP_J)vC0TkL|7(jh8GAUN(K6X02MP+T-bGbB^iv zNcP5?dRDQO=9o_Y6>GfsS8nn0^w9nGT9fBR%JLiff9ZL%d>ZHC^n|*!l?hd9&mw&P zUfOJUJabj}`4ckjp(eK4pNm&a`g-P!;Vj8n_odPT&tLg7B~j>W&e`)4&jQZh_|uX& z>&493^CR7>zCD|fCHDNs>5_|^E$w$^g`a;RQyr>rySlh$naE{hoBe*-Rnwj?Z(p@6 zaN&H{v>vz4x-7$7lc+D7dor)CXrG@N(ku5@#`#M69Bu}eSH<TJzY{*k8{qqeP0F<E zO978gR>;f5h_x@x-Fjbsf7x=$RCIfz<=$P-N~GnA{Nj(C)im<E|1ynt(n|fWLA*Dw zEdN-<E4e8Caq6sz-v3W{iziR7n>by6)!cvA{Dr3a&40Z-c<trmpNd&3ujp5wY;}3n zUwN}tt>iLK?u)dWAMe-{FUnM_+k3(9Pu;?)KU5dr+~FEzlqq#pS8VMoGfv*u;-`Zy zPxzD98}EDhQ_&HQYg^V$S8-dvEVc4wNTJEEhY>BFivlI=+h-_C^?nTI;Cf=dde5a5 zQ*P^DIepef<EF2TN|)R7Jwg*pfBBfN_E>Ir<+PddjDHiR8z0lJRnkwrV)Iwa-dE%6 z;XNwKlYb?}RsEbhJ0nA-?(l^rd7EdM<i0B7e0xIwy2iCFYp1KOkV>^;3(PgyRdOce zYDULn)nJ#!0rrhE{CZFQkUWx;RdVdSeCdinrTv}(iu=7TXl;)Q(B2-aI@N9EoixY8 zceXS)?TlpqTlAUl=am<8r&pX1?!8ngtMu@T`<*3$M@xKP&ghM}-q*KthG(qsugK=I z=N4U>i!%%BsxID<aW+gh;XV6W%-H?%sXak+Lw316HZyu2c0K0KwP$B8Z57^r)9|wK zn#UFeRm;A9KJn+mW)1u0K4P|uKM9}edA;NGnb+4_UM@~gw!b{Xy6W1~<ulLw$e(kT z_p8<W|7n3GU)jPy%Z%l5U)-AZ_2;j6HkI$wg0~e6tA4I;X3My8MmoTE*5u~m3=jV0 zuWBzk%4AHcSIW?no3%oG@82(5>zG4M*RSfl*KlD)XT8(CXY+Y2Yd5wBymHNzVR4TB z<j+#OYIlI|m$qz?^T7eWU#2xLYy6w-xF}Pz&i{h`M~PLP_hK$+OsjXfy2w2Ca?u{p z#&Df47ym3?CAN3vf{UA!L34~TmsVUkt|4{V*GQ$~U;p&<NhY_RESOf6ne?wW_SAl@ zfWH##$tI?^9?8qxx_VeS*!8;8pAw;E*>Zi?6HM2h+t_R78~r(|)b8c1-rL*eMC|(Z z$jof&>U8(M)n{h?iAsDqbLwMFW8MAg8NqyqelGdt$(~!2;ye4}1lG4N6hrrFOlpq# zx@bGkm(BA)X8;LE>3;0zm|J(lS<^&={q>y}S}W9ek2;&bnEHNI=RKn@=l`s}l(prU zJO7up@0zm~Z8!A3d}vRORZnxk*GnBXK2G;z{x}GK<X;{2<)owb@=yL;zaGt>U1eXk zp+oKIf;m<FB_G&wkLHU{c|AX1#=M^uoT0^E)|}XQd5YcVnzqAAFC#An8gE{CU;J73 zyw;yLQ>$J?&YEw0M#y&Z=VH%UZtZnKp(?S$g)yaJFK>0+zI5W{<Q<x(lfJHxJEqY4 zD<v-PV%DsyYbOPIU)~efrLoaO>Zw?d$i@DulWk^?!h1p&`yN-kps?TD$0X}{=!!U< z`9}@6|2q9CQb77p%h`+fr!9^#Ti5f$r#txQi?u;7Z(aI0dBwWMADn4UrEd?P*Efpw zwkl)3X1e6@##hU--Ryg_T=xfmz1C5;vTv8!7vobaGArwx6is>0`%9&DExY;iW{TJ4 z7c$l><9%lr>!dBpm^Ej<&!Jgt&nr&PShm+PFZh~?*?yIb+&b?)nX_hvuROIZGc)dJ zfyvu5*)#f<&8(C)&No^6ru3BO^}IV~*Op{k<OwHV+A6cX)%Rso@9kqQFK=DRO<Ypq z`^wBPd>7l}ZKh$n#2%ZOM(s*_w9Ps;*10fx?&Q_knt6*aFG_c-bDQ`|a?<?dwBC8D zKQ+sirF-=~EqQr!(qsLp-sd;<oy?j!&(N~XxcF0as@~T(C(mmMyPRL}XNl3&Dz&G} zuY{dnCKDWaX|vXG^R)23y=z`bPMh!VBV~L0mt@$r&FdbUfAu=QNyd9+an-l4!7ER_ zl)Q0%iPZA+MEi+nR?h4Ednf7Ji<{HtXH<OYIep&v>!p2vZg0A}MS;IJ!$@x00$tlB z6I=dGt`7_QQY|AB_&!#qq;b<v<_Z(3vH;Fir5n6vyM@}AI5B_LKgKXi?FqZa<t+mI zYj1pcY@-sSUuEqif689#>b}#C{Pl%AG7B!6l^>Y3Z&thX3;oJ}z3dBTy~t&(71`eq zI{(E2W~sMU>t|_PJt!_!`zyR<+tc~oSr>1!&N}v3X@%O_qs}6kzp~}nI34AWH%_?9 zZoQ(Rt}A*0L+?CG<_kLPPOJHrT`<x69}(hRU1Y$w_PBF^Z`7s3Qt7{P<o>M?-&?z2 z{$r_ik3?6fRh|B7TLa4HEEyta#RGi5s5QGy{lguon^hXXv-Y&TsEItgqiLqT+&Ycy zS(lvS=AX<C@cnYFabMr|52DK*OfQ<Sw_oYLCwF1R_3EoG`5Go&U-?_w-2DGAJN^Fk zeNogGx5j06e}K-~>bPLCsNOg4+5FJ0FMOG6Rn9Zcsk`nh@@2kXOV*<8;wDzl;svX| zu3zc7*u>81YWF=e6Rk)0L$_{OQ=j<CHD5SGs;_#%tQTvYFL(XR4DG(x@XNEWIs7ks z>90sRKgZ89AU|klOgS?-!1s$;vs?F{<W*vOcV1X=dB37XM%0b{qBa?`uGy~;@(uoY zy7k$^_^|cspP0?KeErh}mRjNWphB^6-vxh=-<sUE|KknqzL#`i#l(8=tBbbFn^--H zpOAGi+BYj|>V2&(iu}`Gbu4p?)o~R2`ZUJIFz=;%<&5WXd~y?C)K^rpmlhZES(RnC zWt1F0FZXqk&cCAzBY%dwb?^ESqQCZ~{N(dLuZO;El(8w?)a+96OF=GgVKkr7O7YSn zfzy-SDr=^!nr`-N{*Cr4TeSZlyO0s1QfT#m$12x+w-^3D&0AgVPdEyFjXQQwJl^WY zV)w-{d`YRMw;m@6zj}0kc2#D|zt)RgHY|bqYmYgretG@p_Ns4Ae6uVrvKL=?Va#{V z;c-mJD)F~P0p3Tlt{it=re<>Xw7mhpZ}%sDp0~%HRd2P|r`ws<n)A2T96u<OUKrpO z`u{~jpKR|cOOGXSB_|K+emQo~Nb2T6V-p4biLaI(t*CJFx$IywW!L0p`E!pST#lA$ zX<TQiu_R99&4Wo*7uPh0-!Yl>=%L`O8~a7#d@p~T;C#8~pDy?$75~rqtD?Sad!0O& zt?*YI|NJZ6_q;CH7fP(^yccvKW2QXlC^xoVxu4$`MtxB;$}D;Hm}kn7{qo7SyFXq! zqH}!hHJ{_}XH>2Kw<5aaR{QIRTU2_MyzjdFAwjt|a!wk%`pemmw%Gjeh!<Uccc)dI zZu#;5rG<YI%#Xh>o%tsvZ}R>#XMdfIS-byDm+aZ#kFvkpCz(`!nYA>o@J(6S%czOp z4SH2~i9LDJ9_V>}onPIGh&{XJ#_dvjV(XLnYC^Z%vl8D=GiP;8tZ7>&_tSrlwbb*S zyk}Z2Up<kYzG_0eyO`YSXWNs{|0&m*wf~%{74J*AxywQfIUj{`cYZk)ny>ol^o|7k zi8GeFekjaY;lHdu=9TS3wQHFR`r|8RG0Pu)vxVKRsk2;)MgFi)30q#@RMjeG^P^rO zYa3_BoD#m<I6K-)<8NcMM(Py4gSjG`j_q|n@^fkVd&$7%_G<e}er10;y;vqI;FzyM zh18tF&F-347F;<ipE8dv^UfE?dzl-K`N}E(;w~)A(0P^r^6`v`EB5otA8jzyb9%V( z-@3TXjYoeef0{M5W81;QCSR{TQ0|cW>uV{;H1*FEX1Q9iMM)E0Y*zi~R$p${r*JWT z_F|nk6J)IZ2L8<9opj9Y-%5tp4+PmA_genCRk`C)TV+J)>OY$quZq7iUGd#$Z)=rd zNBx3(zn?9fz58kW<kwH;Uk$x4cHVKX_)9&8m!}^u6yE*JMd0|YCR<js*YSek(K7e1 zW(WVt7FvHxthUAI{GZ)i`=!`_UO(iXEcyS?h0IUqPOGI}bhlFJ(d&)#pJ2Glrph(2 z%0koSlqKiVHJ%pwYmb$%+*|xeC!1r>@}o2J4!9e}&oeuCSSPcJ@qH)L+*vIAS9fG` z?O}J{s(!~wsJE%xWobLx^0@6&TzP}`woUcm4P%{Cyh7y>Z`WZT|D&sF7#JAZSU4Dh zrA<^i6T2*zD%6QE$n<SendRPGXraKza)i}O=&*sF;MEXD2C3i`MIKMQBAxW}8PEUH zc+S2%Z;Gzulgw(_qm}s#H~&stzx&Mci2eIi`GOfsnF}KmQ#DisVqMo={`t4`i*q5{ z@lStF-w|JO`jH)L)`AI4FS@<=F5;=ycM~&_-}l;0`MIk4ql3FnZxMYI^n0>E)9tFI z*Z=H0xM#(>^<S>_xG!3`zVB~+V<We{*seksjjL?I1$|e&1NW9FWvOm@wDDrif{(72 zZ&x07e>*QgM=z{u@xe=f&#LSGKga(`f#XHE)pY5WjaMw|56(KCe|+!S#yqp5MN{9& ze0gvpVAhlp=cUVf_^e<2Uvm2F=UI<d7sy=S^CBeFJX~5UR>)}Uo_{~Oj`H1<l#ue1 zkIB!>N_Q*#{rFI_*@KG4E2~=~8MmBHX_QtJ5O;gz;36`Y;d&_336)um_rBjv3J;RM zd~(j0Yqyk=QdMFP*4@6Ik(_NXaqi#W0sG50S)QyuX>)giQuwNQGe6rE>&*^Zq8fCC zY190u=%jd8VHW!jPuKI=XeDf2;G!nB>K4Zri@dr*zPXJnip3vEB#7~T)0T}nxn{xk z_7nx?UGkQ14<7g3H9svU?p}iEtMFIPy03o-?Ou0b{TZi|y&FA_*4YL+6zcBsyA$7& zG^zIF$xJsU=1G(CqNFd{o9N9dye;l^^6l1xi?8ZzD$2d;ma<gMg0btyb(ZSG)i)P% zv+Mm5T9KLfaZShUDPf0=rd(<|eS4Rj2m2oZ|5dd&v-6JR?9tw(+}oqrDkJL~;~^;W zQG_qr<Gb-s)sXqB0h7yme3@5A_IU+{?>)3hDSPW9UP)ViVU~nHMMu`i1umJ)zjFHD zm{ygE=JyKEq*+%#yv4|o@LT+dbM`?-$;(e2CJA<FTW?t;a5U-1ul%N`$qFZI4xP#P zcWU*c(34Z6k}KCMg?P8!S@n7GQ#~aK(@)==|GK_Du*7Zk&&6^I;c}N38-KD~Ae|Q4 zT($e=srDH2_dg}>e9`OcW?6Z+`eUI}_W!$O+gvSYpDBF)e_8W^2GI}CHV5r-4_@~C z&>|)8zS-Pz<x5@mRn^a0F#q$S<i_Bet7WHc$WOWEu5QHExM2N!RfY8ba^H_0c=2K1 z(>vQYyvj*j?q)2Ur^`~ZkxMYRr|Zq;=AA!G{5{OKs$bOA6$)6pr>2wd=0)qJm!p0D z&N&myUDCQhT!*dG=-%HoXN`99E{k?756r0Hvv|c57@%{E?`Fn}P1YUf|NST`vi7KY z+xA=Gi)TZZXlm1kyURB{{r1m(lIjT-nTU=VX%2$N4((Yl^nI1`-48d`1hnz5m?xaU z;GBIad&|Ma;@>N>Qd<QCX07Jdt&q4l>+h{ctM2}>@7SxSa%=6=r7KJG)Qon1y4-F% zyY|gq0jr-vX-8t2q~<ZLo%KuFhkx<U^YS^NvT||FlXnKqP_X~_*I4uAZY%yvBI3-4 zR!4|suX*}&VeaBB3o?Z+op`rV{iCwkpEVcQig-?>?kE@ZYT$~!A!w=jG&6l`K(C^b z_pPTHJFQE9rN4^5pW5So!BBhOOeQV=>dOWBZgZ7P{+7CYJydXIK}maT*`CGs{p7>E zUmdG1j;Wa_c+s-$hMet;R_^+^>wJ^9T=*zp`6OOC?pDetjl)~+^I9?Nd$Z;8+4~_I zz8=21Z_nS~1$QTTdx?GLH89N6(Z9Om@zXR{<9GLa>jJ0li_ZNJm2`#sTUxxwSHJhZ z+~vkq*PC53`5$i%<o{|W^DOn*x{CR|`M0wZg3qbF_0juk^ig!TlUkHr!Di-|b5AYP zw*2na-WFJB8Wv@dQ*<SLh5h~8)vSd=cFP+SA9T(7!y~voZbwr6<5?xXe?s1!WDj4_ zH}(1-q4K2M{WE@NrnvXT?c5$NxnyyPNb*|$=!sLU>XU!XJ#y0c-bSJHsw<ydHbk^M z$}5Vk_PBE8d%Lo}&!QrM`WCT5#p_J}(@(s<5g5AlCa=Q6AKB)+mx@H!^0%glFWQ&V z7W&I&p}~|>6W`vNIJ>e^XRoTZar51>Wv8~!&zYq6dPbyv-oNbyKUN#xN)C?ve5g-Q z-e2b5tN$hU`*{7cD-t<u{UuW4&*^V*u}%~^xM&r}aUT)G+sm}Xe=&T1w<09%@%3O~ z-@xT=i<fSz7wtAQf3;zw&bq0RFD!g-d-I8E?y;8lnsk2myh+V|QMEIy#569<dj64J zt(fVC@z4JMmCF4(NiG2gp2yz#VQ=%~zu5VN?)RGx-mKc)w$kd6a?2(DfR^)zzDS-b z4HA2$sD9<7LG6vsPabPd+FhI<s?+0obmort-{*)N*<l?&B}?ur<BR;}x?L)fyV9J$ zu%Bf*ull`IsXS)k!p4|@98v%1hX?ATo%|BKZXNh*_gSX(vxc1ApTlorQ(0b?CRYV- z%~SCIc*3XiMPZ<W?FO&52N&A%txsJO%zWI*s57tn^MkoBqi39N`*%Ej>Q?*ZF57jB z&oeE!nXtF8PE+q&Pio<gWtvR|=N_J0{EFqG-wmONmIwZ&qG9d7cZD538vA&D@gDv5 zzs0U~qOYtae(YknWcBfLf6?1>DYserCddfHFJC)L_fxUo)r#yz&-28^H#mDGJp9Vu z{cGc2d%pjoGEMJ2OsyxFA9(7N_Uzj8rE&@O>ksH8xvco)%Ohqz=Rwp%;r#~$xA>MU zU^#U~ciFK+PHBH;pL${BeQhPP<8LjNgA;s3)RleOi`6&DEV1De2`kTtFm8^}?VKd8 z6>;m{HBMDCU%`w2E-HMU7%Kd+K)ZIak+F^X8P^xf0*`&U#2@9TY~z1R{bu`I)#Igp zHkO;2#5K4)e!gV${`1tMO7=yc<66dRn@>4i_vURV5;|UF&}V+}M9r*)NAz<zjT1_L z#%|Ho42;%$ZQxU~{)dBgR{D(xr@TWsv*yl<|9UIT$$87_x$kWDEi}C>l=Ifb;&xw? z|KW~3PwHl#;!ymrXkshNdi-kPuXl<zXC6K`*9eUf-R*GbhvrAlDJy(`H~eNkeA}2U z==Dyk-2We@-&#GP)7WE$r_3ztJ-S<Rj|O;b-tVGek-2fvm+x7k%UCboYr5>PwQuvP z%gQmA4X-t_ec?Aa_T^yL>L>vQ_TvrjR5ve(*wJErd#mrVPQMe&So@#)1-uTkoy(ta z$!zz^+r5$EN7fttKl!4oy3ESbZF{eVzQ=bJk@O3zUi7s1J`v3di4|Pyz-c&ZTJYtC z(PwI1ue)SF?6KX#aba$D+};XyHO<xK%eQ#1KK8>+gG0=BJ9B~4^XqeCQqP=8wdDy| zcAG8BD87H;gA*U;Icxnt)m5<m$<vF$vXOt@JbF_*TTiRz+eJH$rj>esF0SG8YVdge zTt4;hRBnTgt8*AMBu?MS7P{;%8MyC5Ae(P=mqX=_f~<GFZk%&mUokJy4i531a_Ik} zZ49^9>h<0HtCb>Z&Bmx^;+C9IxNfnLlB!1c3ID(Uu6+nNp}kTj-6Z0%%cl!zrm?Fp ziJsivyl6Gobk*Pgy%V-}Pml?5N)+NLyDcBbXjPRa@l0Xor2_|bU8hAYnPkk$^Y+-= ze?n<<&dh0P6%68iF0kp#<2|w7mv?UNvQSoalvMlq;@YN#7Lvcutn)DnIy<Q(;+@bu zp4>I}k8eBd;b0Q6^1FnndvHRLe-r2Tl-a-Kv(}u~-)**=!8hsV^|+-ACZxx)24AZC z=^*Q(WNn|KU^Km<K}y3YG^6R-?@W^k>l#mJ^51#NGjA_@G#A@>z4hNGZ~VL6P3c$Z zk@w~QJVQ7Vt>$s`ud&<r!b;=7Qcsu09)=dBbW!W>vr3Y8jlOCz6-{jVU(x-sEzR)5 zk1WRNH*JsIU$sQURX_8lnOfK3U&5ix@0POGIx*;_S)DwPt2CLXuezsd_knrR0!=%< zoQrihcrZ0YV0YBo#ydK<?(bJ~+0Zr3;9rIRru}O=CayX1k$W~@h;{$=nCgZ)=KQPE zxgShO{`}W-zv$7=!j0MA%@V>5?Gje%US?pm-@TUm<dOC+5hs1Wn^(4|O)FJYk@0-` za@K(^p}y9%imEDOj|QFiUq`3vR?B&v6w16%+!c{&c$nw#iP@90Y?q`m*8JGGd2fjS z>37-uVyUrHzWDMS-mY=~UGXo8=X175Y|>QXi&B2;wY+!Jf3BHKb>SwHHnj-Is`bBo zb5mx+-f1%n_`lqj@7uZ4Ip!ks%B#t{zVFd%YTc9BKAmwczh&R7Ddv`nzb-r6XEfS) zwQq|3`2f4G4<1idcs%2nZu=VH;IMgyLX0^=hQ`@JDSOjWMY~s@+RDf6V)Z42b&|}p z*RQ9#Hl4kh#=mXKikn<J_|=x5x-oxJZo=FDZ?u)3IN2x|o|4($$9>oF_EU4gQY%Z= zd38@F{1rU!BY5-d%&w$MX;YnB`#ws`z308*>@It8qKT*Kxfg%71!`Q}{~%&9x6_vv zp3u`5*H1p+?7yYCp+{(eyNL3Rs#EP;=1(R!ZP1OpRI96?ctz1P_S?6Q9~SAmhl#cY zoLn{SzzrD%8NY2CGapQGPQUPE->0U>+}FLXoIO#o>s&31`M>OB*wU-iNf$)F}Bd zzn{s(ai-mxFATv(^3wZGnLoN5anUL_XsYiK^;vaoa&LHDjPHDZ^o@OQuj>IP1(uDR z;uc~YrJHyTc}P}py|~V1)5=?>lE`KyG3AC0=OL+MS(Z;8?>&DwIM45>L5qy%%gEzX zYUWNpu<oihbCsXV(UlD>JA%V`XaCdD3)nV~U8nKXG5h~kMO*nU2)VT}yzhUu|3G|N z@9X<(6)W~|S^VOvIPR7&$d|G~LDbXil0~1S*3u01`*qWFwr$eR7yY$%h07`aHJm#H z{w-BH+|Bf6!Rl{I0$2WMO*VO_mUJUkAwy}ywA6aVnyh=3{<E(cuM#+<qrp?a$T?$v z$mZ_tm;XzAxK_R6?>b@jm|tzDt&aI`yvLn-<kedf_bR<Wjeq>-&ncZ;eC_!Q@esxS z7{6`vrUWUNTz<VaVFpKl#pD#NSFYaYmnbl0E3YbbTGVIBe(R8ThE4Uhr5w8Kf?Gl? zrfs{g-s866lfZ+16;YNTd9Tls-w#~m^~|*Q{*Y&r)^%=0)VYmTYE5Tk6><WY{gO;_ z3tlF5G8=r?Vf^sC<K{sDsRC|+Rwns#Ps`Mwo_p_fpy{r#Mz7Xw?>UP?o^7>!q|TJk z9(t8$83+H;X@wdJGOQsBSB2|8c<B{n%h~T>^?2#Gg5$fkD3r?=>8}!av*X5XIYt46 zS6_8{y8D>?YAwqSrYX#S71iI>&$#O*-;01Fmi;}?>RM$(S1g`%iD^+&cmcoTR?qc& z{QOj_#U%dynB>7{{bNbBvB#;et9AFB6G{knj#}KGeER39+>}Ba8$orw9f!oamRod9 zX}Yv`#=`evCXL^+-_32Y`O@>vf@_&RtJcK*f_JP<@62~;=;=AXZ*KCrnY}k83J#pT zRKh=FN=UQHOG!1JUx`WV6Lu@TR}}r!G;=qXUHHE{H?GJ#EPrOc)X0jZxhihw^vB24 z1g2%K?y6c)e0++~b5DU?dELLBi+n!HxVN++M{SDJ6@HtqRZ`WHewx1eX!_gkd!(w> zWzK+edS?$GZPQ-CSN5}9Vh?NBRjW_;lf5r0skbulq`Y6wa`3&A?PaYE7Tp)jnBo&V zLL=|o?_3+o@!n}kMYL9oR?$EEPL4<1t9T}!<4e2hyj%K*Om$3*CHM3_oiE%sG8X>N zJ95Ku4*x?9t+X4a4%enU@Gy?r(7dO;v-N*yz_N*M7wpql#^234(s!Zy^7Hoah%F2} zodPC3dv@r{AAh)HTGRU7MQR7CCjH4wS{}!BrOWUA@`Lt=4iq{B2mkoWGL?O~5wk^D zdF<vq&$*6|tPcNpAD&sNZCM-jMk($5b?3isF&&AeE4u%!J=_$zU0Wk=*35t6FYY-` z-~MXdtLcFj2i#l^sQt65<y`rFk#VO^wWE&sgG<+=J$~G8eDwHgfvZ(lglETxb+bJR z=RRDhy>{9(v3YFu=PfKR-i?!6$(Cmvvwy$C%e3(Nqp`oM6Siy*yX@gB+7)?z#=E~C z)Z+K=`@Q{Z^h^FThqTW<6bZhrr|0wWT(&`>C$FA~k9h0CBjR@s3C*0|kyGU!|7Nmk zeU;&w>OGTU`lK`$96MxtYVSGKL%Y@d!mr)?Q6;kZNbN!M@4IJT&|oQBxM%Lfx7U<R zjJ0{|jQ?&{kk0gVJAN``D`WJ*4|yf;6GCkMiL7nOI8|CbdCSG)Z!P$lwy&OMP{`8g zzvI-rv-LGqmgjbrU6@yCxn%FQobN1``xrA<NbvV0GX5z0cwKUNT<iS14WIsMh>Nj! z$3J>!a!7lXnYdNNEtc|ZJ2e+oNrrd!>ynxj?{C?5uQ1YFW83iqD-&MtJbilSOq&!5 zzm#1ipW-*D${(5@u~f^gyz$0GhJ%kL_qCp~nb&mjd8%3A${h?w&KWH?LYFdxF4Rem z{jnmicaqkPS0~ijo?jOgw!0iZ^W?j4R(q>=yC%Q3E_zh=xUSgw$;n9*X1o$p*D;Mf z?vQ<^Y#-~YUBdsi#clA{D}H4g-lDcalgIe_(|0>Ox%cY5$}42m>DaNVZ4UF&`+V~C zQd=J6WbS?cIEE{`v`6rj<H^VkUHW^AZoAG=n5*$;MYmAzZH=dL3TCT+F`i**aNqxp zrBJHT((l^RZ#yHGd{xlt>2G&B;;`>#R-Bi=K~8|2MsmcypZXt-KYBc2EN*Y@cGzCc zs>z@iCGw1s_x3ZU8y7a#Ui#QPPep*+<kqC=0vxJVZYx@Mgm?7osw=)1&snq8hQG%7 z1V`4UkWY?tmxO;iz%u>)kF_@Y8SmV-+N-&NU310P#<kf$pQkC_l}j)Al5qUrlQ;Dj zFB`ACD!eq%@6zL47SiYPYrafj@}K*Bm;e6DK~_THleg$A=_u)|gv{RMx%ujxrwd9a zNITaDzO+l?Gc4{o&0Fy|N_Gji)|WlsKQ&&J6S7cKSMZXWePS<%*w2MmW3Pog=)baM zm8E+7=dvKhA3F@^FK9YktZ-=NhPe6-EN{aeFkOquc)W^Xf%w#m##722D+JGcdO9cR zWmfR9U4e%_l<2zEFH~w;wukFtszJ-vUdb&!{OfbyZ`&!N;G+@7>EoK4f1q<oODQMQ zV~%5@Ob=Cfr`?!*<iesQHfwWjnN4&|)OR%YGOBIM{;}(AS7wq_laPA?Z`FjI`3#@r z4w{F_dx^f+`gHEP2%oR>8|{~?&2<%oR1Np<<$Qe9cBZ#^M`zVv!4rj1&PqC4tQ7Yi zxNt<~-g_?Lqswpn-L<!`{kHPXo3mv;mgw1>u)S2)Xs=iNYxhr{R;N>slZ=<OC8(9I zi(h<4<8Xp>Uy33J>rO*m|EJ&hPHl)}-0H}+JNJw7H1_Cp!-%wypA*cEAI`d<^W)^^ z14o+MA}p*MejB>HIj}%Zw4Lub)4u;7?pp+hOHS)xoK$`6z><xMZ7JNQkNe&<I9+?{ z@<XUv<DS3ceXnip7rav03n%YAT6igD-}2U6md^)u{{H`R|HkEjq%Rp(&w{j@uDoe1 zuu?rd>x`+CMe&VHr+2;lrv#-Nj#&90`nqycv*VtK6Sa@snj4j^EqgRerkVUXb#L{G zjcd*Cefl3U{lzVn@ZB|jFXeR&r3%#U=<RwRzVyNZC6gt>=f60XXlC#gYNt=w9NwsD zanLPawax9Cm9vFxX+SGC#|NF$s;B-4c$O^to~rG4IaD-dr{%(fhwgeA#Z0>V=llt? z0}nhM`Dc~Mv=_WEsT7z!Z|d#!X|2aNK7Uy9kom(MlNj5$z~@{ay&vA0w)x<3QL$yV zOE(@Ci@a$hBiDGyEWyk9cxh((1nDJzdqgZ7b|s%^@UhTTK0To*^3O}<*h&j^RUP-2 z3q2cu_AWnkDMdiIfh#vw;HKlHd7N(l88f{d<3rkouHIbot82A%YxS(C-vZBNk3F3r zb;!c=x9;EkGf(2p-MPbNKAyd%?BU~>LysoZ=rYzm(VnPyWG4%MA;U8fXU{U<*|SQW zru6V9SkIkRcy5yMtX{|E=jYDIO@HAO{gKm3qMpmqan3?}&b{d}stf#|f8*Mjs&=jK z^xeewChfJQ2A$>ic^5WrzZ~#7P)>SF*(A{&n`HOpgjMd|a!~33V?^`P5AMyXANQ*6 z*<E}f_~;>Zo+AtQUU0O_k_{@|+m%|r#jM(&cg<?%oE6qD4FYp7y|dhMg-@@yA@I7& zZH8SAi=I8}pZR1%xSq|2nCUY*%;ncCeX;Mi#=oYWDwm&CFh(TqX?T;h^@v@Gzx^b+ z45{MPnL$@WA~On;*dFDG>^3>wz_F}dd)cw<<m^Lhmj7LG{=w}h>a$-R4Tuw8*xF(~ zlWl^|;R`K|AHw*Lsy-@g+nXYjEwjh;h_ADdrbLBfhVg-1{|JVx<b}Q6A70-5?Dsu4 zw3*47_jk<NOEMBG*O(j@NZfTaLbU3G*TZ*(5}CV`?tMG9BUfhYr?PZei%;d9cTSe| zes*1X$#!O3kZ$@CgPTjdlg@AL3i+8EY`IRJ_fhxBs)9>QTuR&i_f{z0F8_K)(E4}b zJ*!Z=Cr_s=^gk^1U`lS@jLnVQyzA>Z_)|7-)>gQx->9D+{xNlLb?l`WK6lss*Vijw z(SNYw#r0pMEx%Z0N+krQzk9GkZhdO$ZdZ#-4<=a$FsSd^+Vol>`pSdni+%*XT5#@& z^~Dbdf~PjkyyJMu@G;lYS%Ogx0UMJUnDrvJe-SB2Kf1bjVau6WdYUD7Ii^gIysW|) zcWT|e)TPyX-i63@cgd{pNj|0d;G$sj*YXoPS!HG!9Ef3JV^4X1`LxgNpbN2L9OZFN zF|Umm<b9S+-n8n&x$0<(z3I>T;xax}F&)1k|G*=vXVLU8HBXK^xH`MXY~^y?Uof{I z_|3ug=L>t;xV9`};t-SFuk_ri&4WGRiwE07ql-(s&2F8pVe@h*eb#p3y~)RSNu@_r zgG@OheUAuOPMnqP62iJWT5;m512d8&LmJbi7WAZTy|z^J)9Uw@4sm84LZS=X+x-m8 zOgxHrYjV$7v{~%%W9JherzBbAdTK;1Heaz~Mzclnap|s;&o<eYeGQ+tv@ZJU8ms9) zcP{(o`FYWd&i`IH;wC09MdYtNy52MS%gIX)mGhgm1kM(({>FaC$)(3~s`t($^^AxG zRwptR#r>7|e@$e`$(O=Y*K$o%df1tFcA|$*3k#>~$^}}AUaGMo6JG^fj4yo=Azt;R zv9(wAjjqJ(uadVuiJUa7<=N?S=hFX6i9Vu#9!@=ywD&~Rt7CtZBx^4Pws|V`&utL+ zJZX=We%9~DYor@4PF=Y73^!BnyH`t2YhS5tnf7uOM`_U7JFV5*_jyI$sd=(t|FO*) z#<Bjl7A)MRrckx*#%-B1{+s(;iv@46w*9^JW?IOx62l+vfA?5#``Hv@?{nyHie=N` z7SWyQUi_O5Gl!M-RK0g%v2VQp`;5-b&>y1vwn*@vOZMFye`?j$H4ArsT4n!jU$p$~ z-c48jyMKw^8tN4DCU;A4=0dlU2pdmh-S4@7AFZ08KeNHK!$~giv4V)oy9I3}?`l{4 zPRyu{iL=aKe3AKuiOV8g_hQ!c^DJ5N^0{eA{#6s4dluF4?u!(?*J=6VQtQ$=j#nnV z`tomT`zp~rSy^AaSa&bGyUAehCEKOU(^K4=D}9cw`QFlI^QS^Sbw&hpDDU6SuM#Gg zcSrmX&aYB^T<8-n*}TfY=l!i&C70_H4$M33<l@=3ST9pjE%4`y+@=OypCiipt%9=_ z%&N-2@KMmBcV7D;e(_Gu@8KVNgShXVT=H~AZ>r;NC-%b0weDW5r`a~<1#_MgeBTz( zk`mB-fmLz2{$riPb5&Tw?s~`>_1f#!WHw6ei!QN=_r0#N(jooYvlGjnZhyKw>=C0? zNB@HY7KYhE9Fis$n>RdIYOqlLu#n-ytwkpa{fwTT;=9&$Xno<d^y#xj8RMeZuFc%D z>(4c=%GH}UocgTwWUE2#y!1m=f}hS@w^`jOyTIMIRVLgrQP(T()8{8*XQd~V2u`hA zpR!9LjAyREMz*|Jrv(b#_w1>^YIs3gcFA$(s?QmYygpTaEDP&*yLMPO2<~yuiODrh z3bbK(p}TN{*@~VHHUo_`hAGR%-^Oz<Y`Ln)zjSlZ?+ZIwxL7?o9UJO{cKx2{kUP1+ zlS3)p<HDjAj;2hZV|Lrpa;km`HSL)qesE1y^iGDZ`%aTwmRJfZn@!B;=_pbO-qrS9 z*zwYS)7!enLf3rz_nhpQ?|bL@waBUlrkgB`2P)ZH5|s~os4BV&DmGei+zec)qGM#( z!#L@f?$bMw9Ufi24jxJiZYDIDI5paKq=-L$%#j~-?9_)g_k%NX%I>o)Uj3x%T37dv z7b$J_<#!FQL|oQTw5t~^375-KvbfT-w`0K~38jDl1=o^3j^j$q!ji_!VqMd=iysm= z+MrykR25=XvvTDUF*n^zL79v;juK8KgH~-PubO#|kCw{`X6$KP`|Hp0>*^^o*W53J zR!-=7z-7G4vZ?7=zk^_-$mN~NGVO1CQqJ&1XhiMin)8&4C4=RlOXj3KTsFrg-Dapr zxn1Z|zt(s}dB(Q_srS(u|2Y<1Ua)=MpMoOo?QJ67pX3wW7c4W%xOJLCP0DNgw^dgJ zyTn4BgQELX)8GDR{qX4bV`r8_Pyey3?l0q&@pHT&EvD3CmFXZ^#kXtnx<Ugrv4x8# zC*2VU^Pi(XkCEjTL%{AO$6PuDlQX{NF`Bwu*wrv4LVIRvPa$t$Lr+b%`Pqq`Cr&ik zD!D1mSaM{7(i*{N!7Um}s~np-IDEP;=XJj8NC*-xK6vYau#(+tC6Uuf7j?Z_LU|fm z$`76pNK}+tsaxz~z;|o*#fOWyCANhNIksB~g==csJ)N4O@JV5z;1L~nWu3`9PuN>H z>oxv)?5aQMb>^?@trS(4yN>Q^r*v0;d;VmaPF~T`sWCy^=9TlE-1oiQ{kR}{iOQOU zyGG}A+x~7A=G!jxZvA$%HQoX_?=&?+8-;&ua1QT%{n6<0`K_1uXD?`5aA~HX@>Nz= zwJnULdNY5UO^fci=)@iM!d-qreb5*21)lN0zO2}#lvLspprak$bm7LfgJ(Acz7wA0 zIdkGY0kfY1oE_25cb?XGo)cdauNWKFZ5Z|I-UGu$KAvvpE_)v^uRrE#|K-c2f8F~I zhiXs!wrTl0FRwK@f=8xXN-CTxZ@#myt+MrpuqG>OPF%gAz?K)idfLaL`Xy`}VxAcr za{Qe+b>5e2+F#9o^~J7ryUTs=q3x#ycPeM<^FIo;pLC#hl1S5}O!bfN)uQ$8i?4~8 zTDLbgw5RG!1YgM0yZR3nZU29r`z!yiLqfY6MD9+i6q@fdDKe^elKR9cLXxj0Cr=1b zZDpCf<Z09-{Y~4{_kIua-}^q-hi}1s@%Zc86ORVI|LJY-%6xNq)%AnD&+4D-{BbK; zXcx=$Cn_B&nt?w&LWEh{ju+1V+<NWZ!*e=aPkLvy-ut?xeed@Y{ypC(f7_Cf`sK&} zf0KXddmZOLEO2}ChC9xoN^A4PW(2Ys&*?m4e8*VP=h;K)4Ngn7_Uf&bn5ZnURWN0< z!&aGpzmHXCpEy5R&26XM6`jMAjCP%#6Vc&1$9kFM#mk3-tJ`m^n9=^tR4$6&Z%I(` zW968e{tDl%G8Z{bkL1mG!SUn!g{4wwmKd*{v1f<>E0g^zI|56-TVIoT)Zt`wYtcEm z>Tl+!lQ!^Ho!GXwogv-JAa0}PGK0!l`I`*2OJpufsxNdfzwu+vx}(RQ#Lj+uzcczx z?#a~$!(|Tj)?ey5__p%V;fKehCkX#&%(Zd;GdZbhLazLx=QT0C@#|`i+noIL?sBq> z(%Ol=({|-N<mCCg;Qf2Sn#xIzMz()?dDb1AFt`4K#lclUHe%&{IZ1qJ+a~&@9dT3; z2+2)e5!WiPyn$!0!Hl>qL3ddLqT+--Kcv6Qdw4>2XNtw&waTBC#-;7Qmp3^^I(eD? z@pm`8-*KJsYkV6o$1|%T-evh2jiYOtl0KYx-K3f-wt(kc($wf>Hx@Mem0akkTX){` zrG5lw{Z(n(RsY>HEf|*Ru9VPzbIWSw#9ti;*(aDWtKNLRoM$83O8>vVX60?^I)Bim zSSgB;NkZSnK%{KOo!NY+%On<WPhmdBd1%+CT|4fX)Nb9kN@dlNV>ug?CrK4<Oa6Iz z;tF@Gm&>NIy?uG+*|EL8OV4j<TDtD>h0otRd(-}`?luqpopVZ~(ZAHy=_6~@#Hs4F zjx96FoHwrNjWA;t6xA_#`0h;2#?CP1H6p7f*c>~xHt5&s=W%7-s}+J$xpcQC?o`cH zbGu}%F!`qS!KHa;l&<cGT(oTZ3Q3s@57ucr_$*G<zp`=8B=(6-%QlxiGE&iLWK#Dp zIl`IP#%g8JETwXJ-Ji(k`XUReR86k-%B=g9te#g9oAp9*TcoH*MPB|{dBNhf3M<!Z zIh|dgs(<F>9wzpglUiy*v>TqSULCWrIg>B+ht@>~zq(4tgtgp)E6?cc>{G6rdtxsu zvvH=+A||%kdv^<(=UrQn@@mVhjLl9@Ct6GleRE;y$}8M`ZgD+=!4=P3c<d&o2}DeG z&k9PP^GrkMhNn$$tMX*^v<^`#Yo+Em6=Rk=M>dB#&3?JczkVX4R?fq#E!XeNU1FiX z;K3QL**gyTBy3_6kL0g<q~B{RkfRZsZNIzt_>b>7haSH>wBp1L9%+|a^*y4!uep_u zY?1Ct%{{V7vL=o9s7HqS5{*d?+#5a?{dmRSV-T@k%ISgK*4%{1Oznd2MbGqYTnc7b z*{0uIy6n+Kuezq!-*^g*&UR(TUfg{4&z41dcHe2ZdM&Z)U3s0eo>uvHb4Sq~lIN== z)8A?}>~Cb9CeEkWF5<Q4d4ZAU!)Ki<H+pHBT;1Nea1S%byFI!T+%pRd~+gr*!{ zzdSmzeOXPRLqJ}L`hB^sd=a6fzn<z`dJ``{6PU^^Ht9|9zkh9tv*i~onK-}jP+X)U z>w*~Ph_xFv{9f>^dn+jT`N*bbmf#;X?XrFfY*P&Wzx&a&)?va4cHw0YtBS2He2zAp znB)8@VfzP{z=cP4o}X-|k~JwJEWxed@T#IMm;O9y=RQ*q*LimK&%BKc-fwa_#V0$T zpZ@0Co!PFw2Lw-Yi}^hMR90ruvx4Qwz7t}lyINQsKKTg#4S%@WZbBvhTUqA!3dvg~ z91@;ooao=^R&tM7fA-@b`=1tz?58H26w&kWzrrkdz)I?G;k^Vm^Jzyu^yhwDrNUV1 z+59t=$>SoME&qP;t|s<-MUT~LvhQ{$-TSrkx0(HqeQ&w$*X+5Ie_!w8`TYBrN?GH- zGqc>0UGSc*f#LMKbFZDmJd#YfBm6FkFWMh%{QG5H?;$1G`z|rD$Jo_9&rgaGSd{Td zOZm9VFXsC<kJ}Z5`u=49zwM{?>#E8J$M-yY{#*Ol{lxo)PYrngIKI7d^~Fa2{2QuO za^HS(?{Z%)`;Wu+#Y3HSFB)EMtU7Wrv45?Wi)&1NaohQ;Qy-sJiA<2%`h1#m%|6D? zdG&Ywn18!(2uU#e`?UIhPUXZ0^`HI*s{TJJSMzmJ%3qd>m0Gt}wkSypXIih_bUCe! z+0jn@bFrLfmEOGQtxGjEV?P<|ZpfClEciR+-IAqqW@`9OdJxK2bFrf^@864UNqgh7 zl_h>=8Q;F0BU#_<=U7>3`9LzFck0V+3H5h)WsfiU%NNRI_xrLTv#7#0r2_F&<(v$+ z{jVH2Ykcr-?!8(G7mb^S^HrT*@_YU0tJV6d*!ey%lYiC`?$`#Q{tWNN50Y;)W%4V2 zF4AybaWQO>9lw0S3564hce(>^x3K6x<v7cBqWaVeft+6xN}nhuY_R9rs~A>#$jWSw zOS*Haq0Rz#Z}tlp=WJyEYHfG3NoLKO;~Qpw+f{t@<Lybuy$%FEJ+9Z$KgGHt;NZu5 zat+*lh0jDo=RZ9d7LXbntFgOe@$9Nsm$$rSO{)t1cQ7*O;X{=aDX->B-?@Eqc70mk zyVG))_^-=XW>4a=Rmz_4dHHYtj(0qkFTK|7nY3o}J>Avy&+^0{>oeBBULWYO!MT{N z?jidUVF3x>KJLX=JK~Q2%Y4+rX`cW7%tgbi^Q70M-St>odOqt?$)&i>pXM+8dh(jb zhq!+ya$5cRN&{vIUTu8vZ<W5(6yg78YM&;nWb6rZ6POkHcYDRxlFz5LmhsH&i8T-w z-NU)+Xl(6=e_dr~&urxU@XulH8qNnbUu;f#G(0);cJ^+Wa~p0yd>eDSL2%)ht;Y@S zDc-zS+8Vy3+-A2%LuF@5qVt8t(xO%U?=G#_x4@a%G;77;ULjo*_TR5(>o?Bk+TXy; zE%3oSZ%_TZ#}(pQyz{h-ZQ|AUoS#&4;N!<R@9LE5%KG;fu9;=UUbD<TC1m@8v#|wv zmI5{BJ~aM2!5gp6A`^91;I^;n)zg+diMdPych0Jqr0t!!|3u}pwSOmE{c|;_-P(w` zjpyOAIZv!@uGWZXg!P1Wx&G65<Sl8itc7jS<y8Ck|AeAi4lZ=a<68Rdb7SVLR;yDh zR@<+dm3q(bf0TTwFVD=+uloOH>58}V6s(hV&D_ZprNPR|&=q}dPFgAZ-{+mH{;#O7 z%>GcFe(*+aT7lJGA+={!-fwa{UoV_=?JG~&tvOe%)o*Csnc$=OTJ>Xt`-=@1+KU1? zou}`c+{L<k>xX%~9H%Tx7jLKyz4l;E={c7FD|OF2S8_Y%9Tc1RTB0|`^OyK5ubDzG z{MlQlFeykf2p!Drew@Bv`ja=y|E20*y$;;p%KPXGbAUH9iwFY)0|&#hl&w);>g4X2 zBr`HdY+_^JXW(Q=EKSMG4=Bn{)=Nn(%PS5IVP#;xu|_weXD<5;S=X}nYdK;B{-`FD z@4feR)9XKP((k`p^={wwhc_oLku|==Bh10)?{_1vdhfUB_n$Y%hn(YlI!9T^$&x+b zoTZ}T!WJQ>Mg~ugmbkgEZWpdv_58O$?YW<G-*MdytzGro=l&@^S?hg;>w+IXmH+$0 z-TvqI=UVZ9x6A+iy83+RpQrKv|E}KuHRh=O|I_t<zPQ(a|88G>?p*YrotxzU|FB&7 z?{%?#?brDDzc2Ui{bj!Y@7-(m-;3?PSG=3JN`C(@|MmZ#r2ja%c;~iMzvt&FLL@w2 z-1=fv_jhB=ZlmSPRthSdRDG#gw5CFpzgtFowQkX$!|p}7r;ePvf9mP2=|6vq%|B%u z7k2r|)@_@#;_tq7E$J=k{-QTAZ*rXA%bqV$RyDc7%Cf5+mYSP}ggy#)dHFQttUHTZ z<A?Q}F?y?is(5aiC3|Axs+sD?gG~Q-uSnZ@<LB%}v!4FjC-*SOQ19*KD?7R4mK{Fv zsV;Jc)`~sf4)wEq`t8pYe&W+S1<z^UcUYvjM%Mn-mXUS!uGv^ExwGDU+5VX5{`vi1 ziXQEkxZGK9eM!5cUVoYJ|5lInD*s}9)c?&@FScA6UcR{RPjVL1*CX!(guQG(vdb3r zhlT_Sd;UHfP`z;xQ?84CXop&T^Q<S^Z!A>Gnz#PlwSZ9P<2ed0zq)^%FS!!L{z<*4 zf0EAbciUIwFZtqKQnAW6d3xT|4AHERi0HuGUauaMJ+e@_P*~^uCQ8%V!hO=42ggrL zob~bcqN=G$?dh(vTDA3UcZ8>2oogLlzW$w>_n*^~7MdCSS(3c2IiF+I_wtaqmG{g} z@|NxiNPXD9!sX>h=0JyZ|9OYfmuQ^7cDbosZ^D(AFYg3jeN?q_O`eAK`jxNzdVjCi zoc%)oPw$JVuksGv*t<$PPEdXMu4oG_E$2|au2}(7lOO#InCiSpE5veT>G@|?VddFt zR=t;6@Ay3}Y}Mh_i>_VW6k4yycWG<3>vgT&)sauTSBUGb7G)QG`*Wkh*3!hQR_&|S z+~QuSwfdTFNb1#9&Y@vzbwXr=>Lpg`t$e!3XzM1Udf%nyrd|6E&i|nl`ego*mkW&D z_x)E`H|@oezk4mdm!?krVO;32k@rl!_MBI*R{vSebzgk<b<>cOccqWEMqdxOyLQp0 zRZU;i3PrD)UcK_*eCG7&ue9SQ<>_}FdMz#GS>xHzR%NC0HSKl4;;z4|c66;s-+g^w zL}+|`Y-FpwsI}RzyWjg4h1Qk+^Lled;@VI5MOOM#D}(;oYKkxUv-{BhrCPT>f1iKq zsn_R%yZv=dk#BE3je7cV#*h82>bIUpO@A8bE6u$&W8T(JFSNFc?K-)(H)?0<ipkM^ z;!mzQ?!B`sV?s24Z&9?v<U3*?m1M8EWe2^riJKJ7t$%uLZ}^jG5B;A^d+h)8+QIoJ z*LK@akLLd$n#cV@Z@cRsR@rF_d2=mpT{`Ay-E@w-qAx`JZRX>L{h7fBK5x-n)TUNd zxaiZ5)00vju75GlDM;?WM2A?NOo!P&O##U|p$?h<G97&XR0U%HY<Kwb;j)9ygLvkR z9rLH2+WK^>=2Dw~)e2ERwmWS3XwNk9;eF<bkL{T*9{kVaBK}`n!1m932b~B1S&{_* zZx7Kse1D3H9=on5-@k1tJAdq+^yJ}t?;u8dEl<}!haZ<X6h3{rad)Xg^o`5EI17!Z z7Rk9QA3e0uV`HIBkc6KL*YT4cg#}tcF?)nH<^0^e7}J%Oc9b8!b>oIK)5Wdn%100F z^w{_@Zi&I1evv-ziH{y6E!nX{L{m=B-HY+PyO&`2p<4!TxKsQ7E`0OAL1VXt#3?zx z)*{As=batOYhMbjJC@&h)2^fX!~Y{E_@=yjy5s6HXAa#j8KQyS7hW4?El%&b)wEB3 zG0)zlDV)DLWwP}bA6DLZ?P=wgJlAOM+9+EsCAq>&?Z28@6Za(~@6b2s`M}zDz2@LS zkN5(^Q{px~(~fVx7@jBj>!hxG{mxQ@2DN2HhCAvfmWVSiy?a<;r*6WAJ7R`QLj7FA zL`@DHXIa#`ajlEFmtlYA!kok^joUK>Pw^N#&rA-}u%01%O7B_AruLnVfo8{8l@9-K zcrrtvgHMfZQMYH~i^L)YF#}bB?isJ92YMfVs};)?w5_0booW2J$CEua7FY-6)JSRa z%y;EF{(R!22YyR-?2y)!d)JzJYlqw^9&@LekDCL^3XG@3)<~R^v*UWol-F7$D8GGK zLxfAukMoaSX|12um|uCFEA8R-lOK%sDf(r*%6)$EB`jiw|8?)yj4wg)8#bH~&(ZnL z+9ta1z{X4BGHX7uim$Fccvvc4BVnz+$VR_u3CWEn9hJ#@^&@UP;5IY+#dKIL{=tnm z+<f;wv5M#aZfe)v7jPgfUL$F?PUFMU%!JCE@2uR`H3yIR#V6c&$}O|I_TWLe_=1hs z#ckevZe{xR+ewf;g;R!mY2)+43*tI5pID{aYa1VS=oiR*Wo18JbMR<k{D%T3x$_5= zq-sQyKGe3Ci06CkZa-QSePDJ`^pQznBJ+N8x7t{-t!BA5H)%q?>#CT=3$`p<p^+_c z-O6v<s~OcwCLODp<1b8&h)R19vid;0p5Uhn^~?<gR+$?LmtCElB>O=62us;!-v!q$ z73{iiwJhp~(YjkdwALBfELgdH5!d~CpEV_`TxNX9(~jR%xJ@PW(Hx!it4z`#*~)iV zeyZ`@ROt9ECzMt1*FMMBdKWFkvyy9h^?ut;t%zTfEVp*Wr^kgcAJ4`XUKM{;uvRp5 zmDGMWb$6L3g+cR=^LwnVl$*Qad)B=7F?tu$O}2WsT9s8sYwcMwHQ6=#Rb`O0RQBsv zE+@5b2bE@gO?~*;tTE@Y-%Eo{&4t^0cQ~`mdhuZ6+dq#v_Lj^E&HZb2f-nB=wfi%t z6s_r;(7IJ{)2WSmPG;BKPv{+Q<1ozW5zs!qjH9zqQZp&XtxIBl?ol3Pk-+$<^w1MK zpP8>bo%LB`ay0kTqU^;^d6HTy)8mhA-csqh?yWa><9%VlEfKX{tM?oAzc%60(!H}l zI7IW=3jf-Jhtl^YJT8t;*zuTKro8sxLEHF(kFEL@JKl4%*1Y6)tx@&f@UhXP!}3+5 ze#DPk;yE^7Sli^*E<JE@v+3I-1;Ovmd|Y_P>PH7#=~9U+_towm)y@3=VdEXK51n_! z3TLl7dur3EQ@?E9T|BCg${pXbsrUE7Gaphlifcqq@yWGp>Rx}_#w|OyaH?I7^Hq`4 z*W^Twgoi!8zB24;<)3|bqE1Xs-}>V0zuelBhZ-iOBqw+#NrX(YV4JSO?tJT1@{J8o zrak0eu_o)HYVOhp3#RMuc=487?$-||#@gD29d#u$S+nbXGS%13@~XW0ht0BMbz1iq zk?Ci{B8%3pc)f2@N@Bg|rosg(hB7*yGVNZInh*bT%*px8+V*zefsKd7W!`*Y72jQR z@NizdMnb>7$i{HFjr%@{%1m4~eU(ATw>PIN+;Xg{f9U0M{g}64%fC4{*VV2I-5Md( zwOMypZIHEL_py2U39BBgbv-;^q<O`c2*$k`WjdNk3bC2OM%;Uq-4vg@3vRxso+MIG zCt=vOPjGR;=d2?Zzg&B`%Xa%Nk7++5%;A%*&ctbWcKXTX?M3_AcihR!(w-;&`9fe7 zo67l{?>jVCX9xQxJC}-Y)N%iRZPC_7zu?E$lNRNNcAvbGQJA$lBYB~5ZcfQU-oyNv zj~@iy+EL+hW%CywX66<1R9&w;klMQNO9L~rvfJNJnMZPXx+K1yJ}#InA!GPzI=|8} zLzSc}`qP!3C1f0tIhf~i=IDlwolUXJo)=#EHD|}Amj|7n&$>1J!-QwOyKJhqEL__< z&m`#ek)yUJ#C3SSu}VAFG$yXlFW~vi%6_=^;8BkF4<Bafix^xI?~+jU-f+=d>c|$e zTjDxBA6cc7Ya0{i=oj>SXJr?zIe641{)53uajqF}xLtiFsv3Nm#wJ+h`<0dZa?QbG zCGiO}9&*bV*B(5m6JKC>TioW%$5y6iznla=r*O*DE^%y$`&@hQ5L5hykGu2@biT0m zx$b-LfH%Hi;|+0}J(~T`7p%Xt>xKGuxnHMq8JVAK>u4-u7p(Qk|6cO$<gQI_hFZI( zhKHGUB`|qsESQp`dij!BQej}Y<Lku_*Lp8%6%?85ZP?GbFefod<Ms@ZQ#_NMXC`}T zSkI6;rMIkgQ~S$>F$a1A^pes7Sf$sqrWO86Z{5^-b74-hpN8=n-qgOzg>Mq-G<HV_ zoRW*<f664*RwUTnq-D^<bLJ6KrScSA9lliQ{5GS*lLDSaNS)%F*1D<t>B2XOt{UPS zjHYx)h=w#Kha`xFUw9R;ZG+mB+%>$ZZKVs(Y_yvqo5PVRF715t@UjIO?2DN%9-ZOv z#lTE}TSC0UwdXs(;^Q5uCGyiZwLUdj{i8@uTIuMaV;&nfY6eM|xpN(lp7`j&TaUtx zszEk6y;GRBxq1oSK78v&gdkHP@7oR$ZfoVE$JTiy-jLOlsa86A@SaEEM*Se0H~mwX z_*}dM*$>|`Si{q}V-BBdSL@-4Nsm327|8UB^d(Px^q^wN4hum|IX^cq#^p*&JIW8; zDp<qSxMP!_dsnOR#H7b}JrXUXHD#VF9X(h*@zJB2B^5garoBuk)z}>&d`j+GTM^@H zr=1;Ni}JD-Y$%Xe>+niSD|>h7Ly1<CFFRxp*Jg{}y?1=8u=(l-2d&+<h?;+M5H6{) z{xrq^{zoa+f_*wCSgOALW!n2JJNW*Ej)^4&ic=DcvkfCBg#-)hoW7T(+o$FGZ_>NB z*Y*`#Zkw`%D`#n_mgknT+hIoGN!E9ho-)_(eBLMYqHC_|O1|A0HJqLySxQHxc`N_u z<?8$qzjfn>^DTovg1N6go?bNPn3h$N+~N$+$J%0U!N0w{<bsoCwXQTgdu+>$V+OX% z3eR+8@}(U!5#O9NtNo_o+6GI*wH-SHkLL7rPLP=JIcZkwPs6jvnr0mPQ04PDLgKQF zPFJShy^c&dvEy5q?)7B4>U3%yGca>X%F*l16r1MSC-Ye8=)oTzg@%ejHa<O5nABXo z1SdBqU%zyv(q>tth2!ZD4yPaQ-I4XMCT44);yR<4p4BqbAFXOWU3m5A@4~Bxr|yV4 zaCJx2k*yK3xpR6P3;EU`58ZgFcXd*vRQS;*<8@u7=`o_G&+pI*n_n2J<Men{ME9}K zjVirYCq4|}>AL(gGe$J~{SK|L2Zf<)4nJNM(R(~}qgwy!q*S@^qrYs{b%j>Nh-QC{ zkhQ$m>1fDk-?2LBt6ca|DZ6!DQ)_n5&=Ol;7^;)_cvZxSW1$=8^sY_{lny_7Y1*?3 zlU_Y2Srg&DW_$9!zKD|Jp&LK-txozW8-7&EW?ffnMNFxo$+{{Dv2bn)x$tfY!PSeq zjW=5Ds@x;?xm35OXSJEz(a^Sw8<i_7%**PyETk`YS*W)cTwgZ5waqXo^X9UR`=pFt z6!<Dl_;%f0JoD<8pqZ@EdASBVQy&zaet2Rnb9i2CMbYbp3foylj_%Q$_I$rq$jp+D zF7Gb3$^U(G_1OO()5AYzOwdr8Eauh8oGW*F>*{s7;?r|~$E@2sW!IyL4mk%FpA>(e z%bK}j<+>HC99KKM;fngmcC@7WYr2Ko_rpdz-ad_fP`|ME>3&bq;!E|Dqq*&;Moa(K z+RkG?IlAw^-gdr!zIm~K_;-H&@V+SeK>dka!T*!_vKQN~Op^K;UzGi@{`A`3|H|8W z{)gvT{=2_;OX-DXxwMPf59aNAuyDG*$c5YDT`!#d9bMPkJ4x$>KV5WQesPSv{q^R! z$&0!7vWr%I7Y}e>e_rG3;@uHP{)_G0eWG*T<dg1qp9VMWR~KK|#k$X8?-!}aeKUm8 zefQ1K(q3f0cj?0NMM*d1JCdgQ^PgU#aqZ+nooS~Q>V%zMs3Ue}q0Y543w5TQU8oaw zZlR9Y`Gq>y&M(xNcEM3Jr-$#1*<L2@Czq@ZG%tIva#*%Kq|s;nN~W3jf?19BuHZ_k z3Kl)_WtG;1m$9KMxp*!!O-!y*u$m#*p_j(Cs6DbV;=mgRonQLbpH!c>dDgb6wQ}t| zj<}y)s%1+$Qp=WfEG=8o@w9A7hidtfj@0rc9ZSoXbUZCzBJw1=cT&)iOB>A0rnNeJ z`TEIY;|8UmoHacnZJ`qnZL|uKS<@*Zo~?ZJ@GcL{gxVz{8x_~^9zGWE?1soGKC|{s z-P@gR9=^RW=D@T7y`*gIU4OLWPM)3^?5lk8{L4wd>@Ng#vWeL$YOg*PU)HgA^1W-X zX5I<jc*f>s#jesPNr}~GS|`;nIX!bmcdx9WxEIGHz4ymrB+8D(Xn1<;nzOXL%V_zj z#k=-4h-Z8@k`w<NW9hr^%daZ&vr<pR=2cv&uhxy<eDQYn&sl3@Vw^siO7Gn}ZT-y` zZ@1Q*b<1DR|D);k{<y{4ueU#Wm{YQV_weK`4=cLN_!O!(O!3gr{^4vWK2h)4qN<CR zjn+?iru6gJ<*Dn>rnD`z7vJlpcQ9tk@>|swKE(l*jP6gibu2z8{n)0Dby4f%62GN0 zj&}xZD=?apJBKT^t=wtm;}Q*P3*l3G_jptJ)0-FN%;9!v`_44+agBo24uK9mJ+?*d z-i;9lo;v6}s@>lcCG|Vp>E@x(T_0*K`?Dt|J*--CV~3z7kGu=l@v|O<9}<`B_@NPG zbBF8nmXC!R#x+8x?$m24rLxa&+thvD>E_|*3u6w<4bXd}*Q<X@W@`9h`O8-YrQ_`v zU6zm4`SNPz9;tfQj|{PwMNFpbZ(zH%r^oNntaS(8+bP|jcz^PHW?dUyJ+CTPy?B+2 z+C@K=I^!mu7We$p^+!AY!9Q*lkc0wAf(b0)@uh3ea($7D-uqa$e&l(XbnLZm<BYez zel+#&+jro?d2x$hznhvF_dQ5jpkE>JgqxM;TdPvr2_}hos<ADfoK*#y6K3e&ka)+< zqg>PY*fhSt;F5Su&nH&?#kC6?4EF^biHKx4Ztfvo_``zJg~jfkc#h8p*0#=l2MkY& z%lLd_6%VdCcsQieg8lxICs%K9{8z4We$oHItx8$0r@*UdMZsV5hZi~iM;CFrSu8Go znJ8sjvtA=%*Bvp##a}P)T<(>BJ~MCf(La&LW7-d>DV=z?^~dKE-Rs0&eqBCMT=hSf zzL%nIq{iRys=uCJ%I4i`X_MTyB<e?A%+`<Hg;O6^2Xaoa)A4#CH`O7tcT-EalD?Oc zSX{kh<*t3MB0pano;{R*#6<eP<}$-SX<DTTX|GFO9avNG>OjuLa{p;l9;g-e9eOay zcbV`RPbr-<zEU}7x|g$bJWyWO^+9=E7lX>WE`cSca~~(1u=k(topa*9a;#YBkDtfA zPO^5t5S-|vSFu<nG-;zv!i<;?%lMDT=6HH;+87cyEmSn(*>ASD)B6{ApJdgpneVk` ziFD?Sm<^}Q%a3NouQ)vGTFI`aKe>@-?=PM#^`xNue_~|q($;MysxIPntXr2z)$2a0 zurj>AEcfi^En7H)1=%ind24MstPpjcHOi9B+}l+`$lFyy>XcdARKCZ{m3Z>CnHn9q z&wX5X>ENQ87Qs!sj8?}~{n;0<UupL=_|T-FzKMb78d?JdH=Q!Ha$4#hqq<ZkS^0>8 zp3|B;^%YODL4<bS{|_g^T^9J}Yo+@Alb_%)bxC`psQ!XZGyTVwR-8sZ7kF>?sucQ^ zA$n_(@MX6&wJEkr#=_Sw*{Js17gwJyBz)~+<gz0zU-u;>H|uvOToQL-dC9F*^MzH= z`d1T&Os%6!?>+@5x5$QG`3ZXOcy6l*l()Uzr?AK}Uchj+e!-hbzYYEf<yzFuG~=st z?dc7gw9m$M?$HphT{FDmMUp+9y}2Cn%lgT?N8v{~r{4ViFXUJG6Ct0aVy5>F=gs^d za6oRSZjwH4dyw7XlwFIwe)0r6-8^<BK&*RafY|Dp0b-|T28g|u{CO>Qj?0-W?@$i6 zD&DPIy?h)?9{#NNQh56`<agE|-iKL+KY#3GOS+`_RPVy&p!h}VOZU0>d)9W&oA67> zR`#oEm5~;|k{WM(Lc|+xnfzZ(&8qtzB(2o1h<L`$s`I^7DeOY2^fT)<ncs8xKJKrX z@b$h=e^8Ts{egc6)PE#%ye#gJo8D3{*m$=wxP0dQxVvj7{)<S|-MfAI%=fV?D+;9} zUZxvGM2MyZui@LQd9CH9NcQ2JuF^!aqrVJhCpFg#NK4l?CQjB5$eJLcq3gjlMM#BJ z-{Xl!!fJhyjlRqL_B2d?abQZwL-o`>A+54YmvqGot6%#s%y-kvebvLg)4P99`xDH! zvUKuHvHYn<x?xL<b+2vxUH0zgmHE|E+<v?&yMM}d?yuGSl_$1qKG*tjnLqR9jdz_I zfywfBe|dQsyga$)xk7Yu{SyJb!zpSd6E+np6mf_r8d+PNxNRX}+2U<5(@<6=U#3f@ z;z2>@y{#(77T31__Io$`?7tgJuKWw#lzpkbSc!k@*0~l}K8J>uhJHQhy0tOx-;^Nx zvP<0yBaR(^SaOU#HFW!`qo>Lao&RqvT6yE}m0riV<AGUDo}9B3iuX4^=}VsIe52P$ z-sZ#y3pvY{yA`TM3sQbuP<(T2^+aaX6YYG7=BG>^C_H=|^2DKDNLhBBJKq!!d8-TZ zCq3Gwjf7QXHb<xEpRk`k@t4{zH|4$3-=@km<ykohJI*lr#CTMx$jd^j{LBACZdP(R z>etHu`D|!sbBL8QPi^XK<$u}d(5Wi_v#B+DU&84>2{R);b?SGtPS@`Uovz=ZI$gix z>oon2qto;|rcTp2c+56a;QLbj8b_T@TsUP@YsAJMI}MVKUecZ$@#uMdM|5C({DzC? z#U+0IW;)IgU*L68I_c!2<ySgXyXOZfPt&RM>W$dMqpLdYhoouJ*+=PDI!wFg2P$9F zsdMX%*bEjlIwd|QNDaiBX_9o-Xxo$sqjggvjP^~5Fj_bz!f4}^2qVvZGp5!vw&r%- znRN1OvG+2UovOji6OD3SIH)``w4I`Rh9gzl*m-7Rm`3p#zEt*Nr<+Ib1bj2lpTa#u z{*<c@$0~=J=Bk@_u!yy7;@ILGdB@Z_@{Wab<Q;40$UAn<k#`)OZ*m7IJyE{+bfHe( zQb$n@=>;ib*H5ep*w$43#Ay4HFMTo3rcJpw@${WZm#m9sMsKp45}U)3$}jD_v%z;^ z%#mjcxTa(W8g+NScpI>7gX)yr9NyHn+=XYFO}J-9Z&IET`-Uf#e|OU&nKyhc;?+zS z58rdpNr+bv+j!sJMVIv<yVK1>2MyL9=z8uS@$nC*_B{K<qo38+RQziZJwJcLqo2ZI zHuZ;~lGf9V6xS`(NOg*F2|U%ZqifL=&O%97P2opYT|sI`T1A%nbZEbHT2iq?LX*{g z;rZ~c9WvM29{v^RIPJMC{#V!2=f1zX-l{A7;yV1*<6)iEg7p@4ehb&{*deJoZ~nrU z+N}0dFNb&S5WUv+`)Aj_Imgu}COs@$a-;6l;`IhKVwy7Z-MgBtCq8<Vx1^%(+r|(X z``;0#*3GZgNj-nwZd%bn5FyB~@q7O|BM!l`jvXtVf3VN}wCziKiR-B+lmBjfB0O`e z_GEv)ZLEKnF8{OIf9K&mt|^CkrZ{h5>HVv?qJH(_r@67g0k6(Qgq?c0&7_{KUS4bO z_n-n#PfhnjOQx*PoaU>x)Mm++-w{Dx{ga9<tEMgC`m)G0b;6WOyHnP=$glF7GUd|r zB;}RAo}ONlI&;aT^(t2v+8^D?`?Vr+jp1SYC|%doFC7YhE{9gOL<xF#wwfRH`(iZp z9gF+o5)MIi*Og*nI<ijp`2CKUc-eHAR2`Mi{9<H#>BZL4B^S9Ld35i6GPS(@gX;P{ z_CNG1m!>`5%hCC<)^1tk4uQ)#F+G_))03o*Kb`UH!QUCr9(nnEE-+mtx@Zn}<@FtM zp*;`XT8;$#S6CLgL((#+%5_CRk?V?pEY}qQNv<mbqFh&~OxDuqR=PCFQ{>T+qmwm` z%<NVV><AY3UnKBcZ=Q>yUz|;+)118(Lai3HA62*t|LyBq-Rg3y#iBX>_^TObW(hnu zm}jc!mt>ReH0Nl=wHAxsk83y!<sL^0KXN&?S?$QoH{#)YUFNk|O#f)ZS!l$5Y!ct3 zOrb|5$1bZJ`PsAFv*V`qJT=8<?<;1t%sBWsQz(f~UNHQwOH#{><BvN9lhpdv-8y#K z%#%21sK$1*#?EYAl!SPAZcqPe9^pq?$B*oIl@JtDYG}ExYKBla_YA4<?itfOLTAb~ z``tT#h9iXA`0=XV$ik_KWid}@2#3p_>0Pb&>}V+e=f_!$+>f#Z*Q*DGiXB@p`}m?A zSr2=6WF;5Iq*fSDT(wDMndk<SWuhB<#8P@M?)us>r+W9VmD;DD1|Gh8_gh&~SpJfy zHw~kDe{SEq_la=oRvv-xEyum(g(v(u9yDEEWWq1|t<xj5|KCykKI6}}29tj-7FYkg zSg#fL_hWzRuT#d3zr5V5gq7s)JU$tr#{GAq+w)UhT3aSM#fr*JbgG?trsieL&4AY9 zFZnHB?B<=@65xF9t>=Q5E7t1YIPsHd^8I%!7OvhtRo^1xEw|n+)y+vStc@4lnrmC4 z;mKOXeP`RWt}3?~9vMrfXXHxPe|a4Kv3O_9?df%2E{7ZLcVC_V%xdcO>7{S|`2QY} zonU5Kc_-+WZq21v(L0yVzWsT}dN#3rA<R|0D_MB>uQWW)PF<RFC+wD_UFa=KyWm^0 zHS4zQ-m!Q~^^VnB#0&g0^&fFh-K*K(?q&V==EOzHvs}`@hcZ`Xu4FN*TEXb7Z@R#K zV{oX~nFn6hhXt0-P2O<nUBZM*e(Qrm%lMh6d}I2h{nqu1_l?u>tCsif*tFlPq%2{3 zflA@k)^9d`s~cO5vl1T1nkHDRW|Mh7W$LEFkj!+8Wm}440&X4k3d|Jmc3T>|Mt*A9 z#wj7Uj;;zy6%YSb?PdM<>xM<jFHY@S$M%JNg_lFU`6`w#+ZTE{)a$HTCGuBt=c#iA zftk;DEZJhcW6hS`74ezh3tThxAFR3*cf|D9;(|>5`lWrP+YR4$&NwLA_SC<|{=01E zhx=;NubZ7pb_qT=i-(_k^86o;?{=&8+uS=@w6VbCwCkF#O)(OF={pPdOuwigeb{=2 zt&7R5hX)N!4j(=^frnrGvQgHn#I!bd#?8hyT{9Mc>^Syp8V^6Wwdq|s>Gk<3J0l|I zJWYChR!6)|HBwLJw2@Rwa(o;6;Y&RHeN!dn_@1R#?1-4~@ZrO+JpD{!X%!+HHJ)CK zh@QT~<5R@Mhlz<^t8UM(d}3&O`skq^9r29|P8KE3nEmunp~hlIv#`_(gEi+qJcyY6 z@L@u1TYERBq@0{uYK4e_;o-#{E2a7~#4P4KRAf^2-F`f+kvmdPOfPNcjT`fx9@?OI zx-~i2tvN^L^oInCc@Ga3Djhz2a3v4FIJ>cp&76}TG#=!%xeGp@|0C|*?S<_TH`Med z+U(0oe<b#9Yqj2Y?y7mmCwy~b=Z>GzpYV9!&Blk?o0*R@Co3OWv~+K0xAp#s#XROk z?8-fNj%K^POWZP1+~CxSZi$soE^7Rbx@mt=;{8IK_Kkve7v3m@%}ZmKwmr+o!#`Es z(ri<;q;%%vbsH!0?Ct$s{Kd40{cq15*Lr1f?FeQ4gbfqL4Oi3(JPW^KGyna(<BbOI zrn7PXp3K&^*OU49yd4LHj^CJT5^-ayiNPBWDT{0N7Y)C+<Y$OzXBAG!)$DFvtI2)% zwkG%SXio0XwBl1qiOHu973ifttT11b@O)F=w1|H`Zv>1j4jHUCTwZ+t6Ytfz*=wC@ zzC1DfZ)m%<;Q7V)Gk^E=Jv01n$h^(qd`qs6X<{2^+pNyle*<k(cjPaSiI-Z@yq@RE zQD)|^7fuJHZ!9nj*q9Mm=AK-7m4Ef_KL-7sYB`CMxTd#PpAVjUf}uOLYgOpdgVT=- zF>l=vQu#tiRB#h#^o-0yW_+^^4huS;&CxLqXgkSv>f^FTD~ap{ddDPJw0~raIv~O< zs`z&C{gbuEj@i4enVE!@uFbAtb(Z_vddGa$;d5W!K04T6XZ<~APUlOXn946je_EJ2 znVwdEc5iMsPz{NUb$hvX`IIv5bUEI6R;q6*ztsD1>hJ_GONU=+Puj7HP3HQQ0|!e} zb93K>-je*L@iyt{;w{!UR&3e*BP#Rzh3AG-_crYFsuuh^>-OoFI(IVaYJNUF+dsRU z<>u#zD|<F`MpOjz=I8`6w=K;&U>L<K!xOaa&@uH>VFq`?Zduxe-jc0Zwq^H>r8?IS zGcDcQaDD1GB{92ipLeED`es#F_3D$vLPfE-;0Z-L&wKE0G*}h+b8G4pH``BwQ)8!m z^w@QHscrw&tc1j=Qa2)2vhiqNX-M8FWf8G#&Dvf;FYChvOXnufxb!Yz#ie&idoI08 zoO>zmK-AK`9j0gBJ}nWOru9}V&HO#brsp3Q>=lam5yhKh6T;jk_sYn^F8uw&1^YK` zOFFYP{HCN`*sY^iR&B9<vDju`_dT!O?bW9qixjwL>Oac+{Y7KtQkF2oIyRd{YGQ0! z9y{-(PiTvf_;bR%NcQoO{R`uKzGsJ;7oK19sx7tOocU3o=7Fx`^Uo^sJvsY5XqV)p zW6`&-tTpUEEtT{z=l7LZONGiiH7?CMH52lZIB)J)sM-0DnfKw2m5O|JR|LfQO-(-( zI5=}jd|WY0<YVHmBOUM7uRq@F9+VhR8#=vfmC?_7o^wm})H3ysPl(Z)qO!ZEDP@hZ z$#kpbc6!G*r2RHE;aYp<enQ3Ozf1PYD2MzGEuHu~wDe&1s=uptO-WACE9KoA5~`*b z*Lw9(M(fpM60K7cb9TkYt<tJrsnuWTwY&AB*X~|UFYCiDOXn_Le(BwV?k~N5w_@*v z-?FWlxi7U#Vyj8lrSP!Mh#gbpv=~o5)|TD$Vd=99GrcU1!>qgyE!HdY-McIx{@moq zVNqVq2id%uj~uH+I(K^YEc)GlL_gr!sikh2mR>uVIz>~tqANZ`d^q6N`uW9l<3jGg zy?2f=D5pzgJds)4bLXIR=fjzg%=VdWo%e7dXYm&U**gbqFW=Lvn)&V9h1)IMFOm<r zeldNp%tmbXh6qiGs;P2Q*BKUZXZPMYW~H1Sk^e+S+UKhHYe`|T)l$OGBGL}=O`E-; zTU6~$;zUmI4X0YVBi0^TdYmmu=e$`W_vFyMQjct&N$hOh>6Vu~Z=&%T^P)ahw|5Up zgFol^7$&x@R6ApMwnf&*JW<@(=f+_lVZDTDoZ^Nj8;(ZAWgHT-3p@Kj@m%ukiP07b zPt5qFEDtLxKet#jOO-h<c{iu=8-v8YxoU3`rgQGTk$Xt)+l&InYTq3lwf#pIM<3eQ zUehk$Y5#<KVNAM=mvPn9ps>i$yK8ye<t}e5lJOOfNZRV#n`$wmPbg`_?$-WW8Q*n} z*Ztl1H0geH?xj*LIj?IEA~yeGTf_NUbWM!i#x)0vbh8h29oejTQ0w$+X-%Oj-H%6l z7;GzrKR-K_R_&3H7^)=E>mWDV^vI!Tsieobyoom!Dau5f9ywT7x-@r97;~Fx)`5*- zyfSNonZ-|EIdGWGR3l-rl*mR;&Lexue0g<Z!oP8zP2FyK<j``dq{qg*i9ceTE#75x zH1B@p)jf5mR7dMhsgBZ}QXQ!~rFQ)A;I+9E)XcPQm80N%6ZWS?Yvu$qbF0t#-pNwA zXSt%-x$KT!ZmB~BfzB3snH|liUwPfOSjon-{7S=PS<`}oDBhSkfz15xS2;4KUtQ2K zwR1Tqqd@Z0+NW|!YhnVwarRC<*uT27)le!ac}<%^&t-wWk5Z2koF4s*^2p%{W^Pl= zI$#*WE5j4cEUtXzz~StyfCC<;8cA0ke@y2QI$_S7w8iI&BKKqyk2z=WS*1KY9a9|g zZu-}$e4U|t*aC0$@I_YKc$2kw`Ljh!9M9%05sHwQQE^1P(WWY+qjh&DyOiL@jm95; z7%C~fx-8K5R_akg^drN3j~t$W?QIM9OBH+xW@fLwdcalQRA6<Dsm6loQX&_FIgiY| zvnpqSMSt{^TBqUzQM?;BxH-F2EL7xKZPJpww9O)7;kqpx)2=i;)HQu@V+|Xh*~-R_ zq$>_ChFJjzs!TPKVv7Uxj-M(r-LPR}<$R9`#|wEk7Wg>lytyRMrYxlt7AN)S!Gv9x z%<hFUw;5+0FjW3%rOooFZsonhN3F9SJe(pWQV_)3WwDSYX^l(>^Tu1Dpio>Xm6W)s z?Z%7+iad`^TatU)EM_cSx25-Q)`1QFycXY9H8$I3DO@|0_2A(ODG`HU-mV$bDqgBK z)~r@{J6$8YX@f)ak()KK?K(Ok3ySuyakWZG+{o6s>E0DZZtq*m#g>MOik7B+z1q9} z$;Ob=I%h5$Nf&SJN$i_ec;=cB`{ONVj;iQ>HrQGFhn3mz=b9w1UroAu?bkZ?m%Ip9 z@_li0&XmimuS$KnG(qi-|DN|kE_S>#@7aC*uyEIH`S4H^w(Ng){n~}joZo$?i|yMy zcVb@Xk8|I8zlaL#-8^?r#cdI1r8}1urG+mG2K=xp?`$(Tb4j3YrqrW^HOoBpmSunY zXyEJ2HDi^ctB>cNj!7-QstzfCoMUd}zP>p7!uvwoT&MpfZ{F^IyY?W1X~v-g$AkN2 zh2J-38{9fE+hFI-sSo#StUKt$n|Wx_@n-wG93FpUi+XQ7=uJC%EOyq09XAjD{eH%@ zBG!4<2A9$^A4E%dr%6=`Pg~s=bJcaq&t+{UiH^JOHw&7o{4LqEapA;}tUrIg_%(%I zNSM8xP3+k+?Et1qpNj(A%_c{V&HU1IOx^6+iqq*c*0RZLzH;E8k7<FSGp~(Lcr(+o zm5zeJmtQ+GT3w$~y7FDy<<9FL>=vE>S+ihEbcK87_Cl}Bbc>Z+isuB}$hIy&R_HqY z`la@)V@n@9$wx@6W-~jsg7I*M=>v(W9ts~DXDr=ya+d6A)BQfLw6mo@S*%hN>sumz zn(<`va=yqL3!TljWp`~VjBu9V%j7uj$Xi(8;2e{4MS%ae$&!Y<Qb9-7#e9~lU%Btf z<J%&;_lEe}?hAE3ax3&=`J^WwH}Xa9Sn6zMcS%GwY>t%Dv^i2r*XBqmiOrRIl(fIC zV#i`d);rfGDA}D{nzv0qbZX~=Z_ZP19`c<Usq;D{txcM1Ge_h4)n~-ktvqA4Zq*r{ z_siAUX8u>ss*B{+i3wtszI@5wrRG3E*k1PImZk+C=1J|aSkES>r_uOgX?Iq_!!D^C zKSFpV?1Gv5&R;!nFxIr7(4W_)X5zj>hxV*CzuXu%qkOA{>}9vg@ZypaPX4l+K1NzO z@dj_YcOj%HabjD6&J_Xn_1PWWtWu8>_qB-__&ayanBCX%cgh<7+wArCL+@^VnfmMX zruB#S|M;XUR#xT_mGj0UQexY39huu!EZY<BU%GN5<i4-W|Ho!pyIcLIlu2)&TGr-2 zwXE;_i|Y1;cNeMMtx!tcv|+3Bsg%c)j|Zz6W?hbx*?!n#MGDugua(lO8w%WcbMAyP zw^e5y_?WmU_ltYY^+~yl_dnV_dyVPe-h0bWZo3%&?XG8a=Q{6gXSr^d*+(v9i1-o2 zn^Uut4b%breSc+7gKpQ}Svj+Hx&GKhW=D&Bjl89@u6K*)8UK^pZYJKAQ_~h<;I_S` z)z~{P>%awXUW=;B)2);bxNMw0IX8LHf!imeE^klkQ#&s$+*&i~+oK(mbELU%UsDHJ zv|~a}2}6!dICGnD)`3E!%6mIBzNKx-jS=}#xary)z5gk<cW68byVd<TZd0yJ&h3>c ztxO8n1hX9EAA~Z2imH!!n{xX!w&ic?;!9n!#Mf+}$F{SAt#|xqTkP}Mc9!#YnHk6y zi{)%)_kx+*K4&@HH}ku4eCcV`n*!6%MQ^(HW#?k{OyR@rFJ+Gw_-#AOd%Mgqav?*< z0x#a2m@wvFZ5xFOVm!B<U7T$c>FO`?!|_(i<HSw5^E95g-RfR^T)4An?}ps)$)a2h z93EE>94j>yIJ%1QIQz+MqAnZvP72lOU|PT2H|dsF->D{zCt0_;7l&`kZQYP-4${%8 zf2E<0$(8fP_kbx4buy}f^A~AsbKlhE8u(!9mXmJpQ*L*a6fW6o@k`})7|(4pWspZ^ ztYkAwTgiAh$MnGr=l!Z8jDfG7cY1WcyHgu1CjB=^tnHsB_wlDLOGNK(eZDR9rtX>G zTe)Y#Z%HoGcq_AB{oFL)iPxHsRBq6`v}=k5znN>4_+_;=?##&<VM%No7kgwUF6wqp zNSr8j;r&ew#=rY|g)Y3mtMbZu`Rlq(xh4C~sb~ADznOc4C+V_ewf@_qg;qDWy_lox z8Kz;C>3#LUF(cE2h;?i-+LLk@d%TX@lq-@s*ZbNmrM1Q<w*@XK)p)C#H|yj!*NNA% zPjb0V46`@ga50`&f^7xk@dGKhH7@O%oFnZT)jetJGLP3e5Y4kK?s;$1?b^bosx9Ig z)qM59F#*#AiB)Vehrw2KgS1)AUt{VTC4Nb*t@Whb0*}|HkG#onpLp#<O6wwz>=vmT zFIKbhC|_+z4gnb%>jQF6<;kevC8e5gj~b}IJ^In$<~EH>Qghb?h-CH#GD|04ZKzx8 z%V}{;{kGf0Yb#UMYF?T(6=cQx<$ER4mhH8uD)X2k?HVOKNwiC8ZD&?O@+2t(pD^Y= zR<JKsBeT1<TvN&Rop|l)7V*P>woH$(P`xeY8r3Z9=+2>I!?S<GYzDJ^VaywqLYe#4 zzffPM<S_BtR**Hf=76k`zO2^vHB;Dqf6DDe9<NV>yzzRURL9dcDFc}$@qe@Uj%?Dp zY1Xx69oT)3KpJ;V{FW3k_uHcid&_%^JYMH*S{AsZ)SdUpr#N1TUuyb|8kb&OSCdn` z`1Y-rQre>3x7qvEb<OgY=_G|t-qc~8u5_vEUCv+O^NSWq-)2~P_n5=dxrrT@&KYV5 zem3sfV&^r7-EHEvO-CvdL^AJsRU7K~Ru{(j&(Rfgjj|Prm0{wpz2d;~2%PVae3=T0 z!^gtqrfw6j%?1VYSI1l3iK(}`lS7lHY}B}PYdR>La|4;B^H(z-j?Ox;(ekF*E)jjz zY~SmTUTiseY{iz&#NbW2C6;wFZ1OLD-Juz|@I}*|6>Mf^D;j6)xYCfE35rKv4^TXA z1zBLK^!Dfnw_DyUMJGRtxkhDA21Sc$RzmVtDT6gZ%zaZq(PF9sik3KkkgkK7`faS4 z`h9}nwAcj-;Ow1J9WUZ}C9bVxJbn?BsHA3qqQZF6)@k!gy{kL9?cW~#7_})ka7n33 z<U);0R%_VI_*ORVSiO?*cq3T-bdY*!P}<Foy`?hEKU4Vl4NwGT*KcwPT(UKkH|I?# zbDM3}fsNLn$ZJytC9X-J$dj7=Evdr#?NLKLP&&Ld6%;;iLztzluQVj?mMX~c-qx$M zb|ToP<{(eBE!!)RwtTOP!t^=ni#@XIK<1l<^5*yiG7BoMW<0(G?4HRW_gtO~%1e2- zx*tZ}@{SP$hmSBgd^ocblJ`h;Ozo8_;PG{dD&hX2A((klHlgBF(&MdDS|6@<S>k&6 z|2&r^qG$gl3ke39{Ok|Ab+k7mbNT(9AvceHnQ9R|M``WePQT|OnQOgTLgy&0HO&-0 zd~8eS<FH3wPXd>iDqTFPC~$W<n_1gR#={Y&4<aUkQii<xIzzvS*FJ(gZZ*x!P{wn% zg`FoTi{JAJ)9BhFwwm$pq-8<|Izh~Rt)Pf21qT<OIw(Ia+~RpB?vh%c|K!|mrM1_= z9%0Q&NS-BSa3+|!Z|BKv7Y%;v+%%I)pFL^ovc_%dxk)$XniYQWKciqHm0dhZw2SR_ zj_C$NZ(fPCRgA}bKmj#tf=s?hW-d5k)Mo0p<!0&|{!|0y*ZJyUQxyfc4<G5WWHFnz zqA?<1CF60UU$HMa0+yJXf?{Hu8pu`Cws_vzcv-D46P%nrfs<1!c-%qBDU7-AD##b6 zmY_&C1N$O6Q~2<|FMTq>lR&;O1r>$YqD%!A&Xu~LzeJPqcpJ!BQuEg+xkj;HQj=!C ztk$NRDSZ4PI5j1MVtcD6Z%$4av*3)?jNj|u+A=M=V%T{`Rw~z8s61IJ$2wT7Emo8J z_=_X5)>4_#w^qJ+v@85pZcgwm*AFYU6#ukd6X=^Uq1S6m$FUpxTyirz-ug#M@Ga4i zQ5M$iI(B`Hi|?X`rhb{r<1IpNzFf{1TK4Pg;R@f33|Y@D9d6e;U2-!M=K4fRd|RfI z^mckipvfvV)hr?2RVTf6cQj2cYg_5Hd&Vju-9?P0Iv16s{9g-gUHY)Z=IP-ArPPNO zGcy8BrY%#?65?Gd>9xCerq^zcWmC%fZgz@YY)UOyl(!`=a(;%OZuqrjIy}pRsylB! z|5(v^F)Gz>k=n(e)ODBglILAIXAqzHJYqtIpl&dm$}6|kQ_6$Iq*n{;E;2o}ZVLbL zQ!d?$L{Gi$oaMqjrPXV9udA2!VQDYx<B!T5*(`28HP83Dzkb!p<h^oH7Wegja4&df z(Epk(>tS2tt%~ywl6g`Z$J^OnJy5P~&WX9;+}2%nqR^9HHs+eM`1{uj+qJ7A4usn3 zJennD_O#HOU$<t>Tl-&&Z{20fO6+gEbt8KL&u^Y7$@}-6GPAqr+;+Q4BTT32#RFs8 zl8-y(s&*`HXO+2nSgFjkeW`vx=fw&KeqEpZ%WM8S%{adD*<R@&?)MTadM~q`Dmdm~ zdCxR}J^d@MP`00Kip83C8TT(24*J=a6#DSn%3MFp<o6;`P<?Aa&#~gFjKm4s{%4rX z%UQi#%ujMfZ#hrMkxI5xh0E4%JEgSmx^tUzl}1vXZApO(f2_<^Xa3_~HZ(l0ia64_ z?Vx;;SA>3{!O5=I<(DRN$;~PI6>)6xL3ybwSISndTQI*M??s$n|7pE#VZz+vCP$7{ z@+MaJJ6oLF%J;8K*)L_^|43OO!5+Sw72DUcb<T9yE`B`IbWwYD`c?KN?K#g&JYx3l zV(vP3;qB4FxZW3*H|oAJzO20ADZ8oAsa-<v#i>UY>+W^SMao`Q<n}H(am=+e@{7jn z6?yuGzN-Xv%lThVXmz$yaofk&`O~G{<;@beEpC53+I8++QWWHSA#ixP)se#J-i*Yb z8~GxC1hnVG1kD$TD!zB+?a_~oQWvv6wDCpmSm$iE?xn!tP}xU27P)O{*}W!DeC^YF z*A=DTzver!!F9X%@zk$zTi#hMbrutQIiWZ7)us&3Z@cpJ`_`WNIW=wlR;i@K-nJVN z%M^LKO<NxOranzE`zRv2X+uc+kx3yp1&vNu?99{e6U}n5-5Auav*wawAg^pv#Nu^| zUyntZE~>1V`>VmEfBkhuY3UM=HM2`Qk|#@DwAmNguCr&Uv)R3CiiRE~9m%oLS3{lW zZuG6R4UEsZE+B1f(vrBmt>8_N(5v>lQcBmlq?E38N-16IkUF$6(DOx@S&Xxe&ou$* z$tEp{i`oi&0)%#T_g)v^er$5&SOjmP#9~F6#Zz1I5*C{rIpo2+@#CyEgPscleTiO9 z-`9b9?6cZ#%vh($V{Forylm2i-0W#>5i`~*nmx<lI2^<KaK>_#lH;@1D~gF_bo72b zwb6I`>egAc7Is;p6LziBKb4!C`nB8S)%lk5jgMVgp364ro3@q(ezTUnbUbs#s+FrU zwro&b6FTX}jTMSKvrSr(i+5ZT`Tt^{e01v5LYqIO$DUm|^y))9hp0*a$%pEDMQ<D` z5Z-2ZrbV(%DoahN_k%d|?BKSkY+8An*<K~=Y}_>?dx6|Di44Yeo-G}kr`~bYpDya) zjFwn)=%Rk&Ig><g<B;0esLbbvcO8!MEVe1>?0R{#MVH4UQCd7iJfT5y+sdjfEx9%( z0d4cz!V13_1hCJS?t7Cozp<*~x&yaF?gG~{eD$2h3pVyY;peXBG-iC7{;;jhzC1GN zVf)jKj*X|DZhu^8_NVlq(4_f?&pn=WDeqy?kEat`V(%zkJ#dJ{bc10auY}r4#^WBQ z1qR-c(Hql(ZXNv;m?<v2|I)jJm>-vY3wL+_^s49Qp7M<;F8o$^#Em;~e(4XFT*^z# z{@kZfEtkK#v18`d1IN-#1-46@KB(~Lm6;QK?b<P`rE?SaUOKliI<tI(cV_v<;LPtE zoHO+kc3+A+GVMe6*@b(*c+bc-IdaI6Rdf1pnF|7jqUPH3?N-h{zW2j**2iVs@~!c| zy>5KIv6xM)Y;|LAY}SDd&b$`eRyQ_(J$38Wj>TJyYnE*(u35FkddJ!=yMMTV_G(;; zJ5acEZ^vHnDEKz5w_@8&z@y-OQV|vl+04GJWISwW`oLlp8(*JBcJ^c4rE?QEU(ySE z<h8rG*K2oouGj7zUp3#d&I!ELZ6RCdsj+2x9cOXH=8wXEgr4pEFxyj5bNcU?3j&61 zhxB(&>!^3$D_XbF+yJB~|Hsu80#i=MAG#x4H+d_|le6E;c0Ks8dR4gTkwa5ItX`!# z>3FT|rVp*pDjuaRSLAta>T>3()T0Nx+IGB{ZI}9Rd2n^62V;C@U)Yi9FNGi1TWyX1 zp?LU!%x~2*mtS&T`Ol(t&$E3}r~aHr6~B&!{_4@Zm$5D0`OkC%ansxWw@w?H$=+16 zGww2c=pUj|U@2xlZCBe9{lt9>H$?6Dno+#EmtQojaNgy=O7^AGj=L_sdx+g@cW<(n z_2Iy!bCY{6y<1Rjl{2YK{QH!Da{D~^e}3rBQNJ7>_QQ2s@Wbs_gH-yntx_JYdRAd( zmceoOw$!1G!Ok*wE(wUw&+d4^%B%UHmRIvp)sLH7CtT35lK$rxTKlDJ+ZLI%CzGE} zX3I&e`%x6u`gun36R~GfJ9{hL&KcZ1VJS9aReo|8r?HP|Vqd1(n}l7QyJsXGl1rOa zz_{5bLPwBy#y;NsLt<i5Ra1kM)TDN{+PUQ|UO&<Ji*Zrkzh$p(N2DC$$)90xJW06h z!!pj@5lM&Srp+o~Jngfi<Ed6mm5#!(R}8}23<9pMRy_CERC&9>r4zY5Mn!EG-SqMV zm%X|zk#dNq*>^^A2dA|})*-#NnH$>gsl^;95Y|g-VeH;$b*)9W$M}m@?^SkLpF4-H zyX8GzIMMiv!L;)iFNf4hKlYuG*u+`<#VC>eyOqR-ZhfB{hiirP9(W7uJzC9oP}#<w zbH<s2f94#Ie)#yuvnz*g=QcZQuZXxjdqeA9HJz|&YG*dwZ?W{7y`j0it?Xt*<^rB* z-YLnm8?A2SEzm2ISkXS0E$V<Cvu@J%d0h){EBol=`00MhS^m~bYDfI*>RHF$CS1N} z);7c7aDebLiL@0vdgItmUAXOF`AcF&^FFp$i{>|0y~tm{%42XriA^iR!11}^9S7Z> zfRa>?&pXyx^eS#EINXw3lW>T~+;_%fXW_CB6CXX|-OziTXKk89<^q|;JXa28Fqax0 zbFjT*c4cS7YPP5&rnXC4YMHlw*tkzSb3<L?A+dL}H}vZJ&UmOT{H!8j#g1Noo+%Hz z8jEVO7Vy~_2W+e`4d5^5+0w9^E$YbLZRelXE!^|(r+nG_OFxRf=WYGkYrkgwtC+yg zHT$Z4-+G6Bz42wqwS_C!`B|*FWErtkIp>=75BG?_OKMJs6?us5_dEIL?=|g+fER44 zpTFOpu_C}ZH+a|C>@R%(1n*t4WI4M2s`U@|ir=0;9Xu^U%Cq0xTYF4v{i{jGSE@f1 zp0Z?Xb+d(9nP%CB4}D4Or|&0jPhyu|E^2+_A7Aw(>np!Qt)|^Mxc!&4Ovsyrhij7B zgQoInlx_I94#fJVS(ae2_(tPT>o>k{E(9cpmaaaN?4Hy<>FWK5OOn{RZ;M(_xmDn_ zvAK6`m#kN=#kw1fiL;a1gQWY^%2#|}y~TKEbN<(Ii#0b69uqstw^U0u@Qp%KD413C zX=BXoPvNgV-H3SaE%t1>inO=4(BWT`j%?hfVtFHEQcRAXr`)}D?<Z7;c-%dmCG~km zv~;GJ+A$O9$w^X&pUgOBsJhH@hScR4pYBY#W!IIyTVy`n>U&pf)u$UV(+whSOfb0- zG26sI$4km$n;I|QH+5dUZR)&o+pgM9uGTUtdGB_!Sv@`@Eyd#6ofk>z#f#f}^=;;5 z`@0FotX;>Q!d>ii^Vphzv>CFeWQv_{9^4a9W@tafR%gZUwRhHsmb|;PchRco<j}nu zyEj^|yt?)7X)Uc)Qc}D2-&pGHntR>K@Z`hE>h-GMV@~Z{xzO&z8l7w%Ve=ombw6w> zSkD?~wlAYm{P1(#507^Ie4_t+U;4LA9}Bk$A6}cI-q*iruFRctWknw|w@q$8ziBSl z4};q)JFLGfQFwPHAn@ARS8;)1>PkIYbKYyb+k3V!wf5*|i7PLycjlX=e+}gg?UlIW zS$XG|+Co#4BoB2%N7LiHp{5e6>~aqCueLT%no+giEpYBbsgTHCL938%e$y4%%yF+( z7Oj1@Vx`}g7*V%XQW@>C<{Kt2dYrTWvD@mXjOkW+J->E19`6mmdVQMg_f+?%iGTZE zFTU4rwQfCIXP@)}=dBX|wn%mMy<Y#y!urLIx>anOHaxCcZPoWv_PY1g!ZlY4ZLc2Z zwOZG{O4Fz8&hu4$$xHiw?^;}B|7r)jl#10mZP`^vRYH#&-YooXt!356D*N5l-IclW zM&b7m=c&JC%fqia#l6~L{pvBB?Dv4HB6`+&n_ks?2s-W?RBAC@);zG;<+$&Kg;71> zr83iH&HYM`eq8YQ*fPD9LH!rS=D&(K`}xPJ%lt+6dpDo^7@f+x^Ud2Yt9QPC9cxv- zFw(5wR=05ThMkw!Kdqbaa@z4|-A|i8<aPha*>hRRzD-&8(_@RPO7`sP(NCT~a_RnK zBa=Tdp7D6plkg+&rp+;v-W^qBVcqYl`)Tur4X$-&arqPF4>yVZEIgAxaXyEB^podF zUfq9e&SXxEXWSh9B>c#lX>-il=IUC?oK8;b{-d)d+jFCgb=0G03Dw?rVsf%OXSc7_ zE!0jZIxe<D^kdobl$4nztKWs)jfm^rded*K#D^^>S7t{#E88A^`Nex@{1xk~VO^mQ z9{I>~uQsa9S!43+ifL%rl;qZn%lCa+`m0Fi{g=OY%D32+Sik=NW&QIy&)0&{9lEc- z^u`?Dp=?`qD*3)K*XHJSf9*4RRV((`ehHb${bH$+_{HBd-#^_aYaAXHa#~<fwQugx z&!JN#=5iT3`R#wc=fz8rH(}TIuG_XR@Lra={o=-{SMPqfW_)sYHOtECYp*hDLnBr1 z)u~C|X}Q85w9RI+&D7Ajv!zx&(em_iI+2{btiP4_yvq9IR)4wT&2x`L+21~SY)g)M z+sm7NGQpeY%A7e<R%EDs`{d!CoaIbnY1X0}H9oJo5i!3~p)kBo`S!^}k8;$d^V6&i zbxxK&ikS8GNkZhd$=y$H`pJc*Sc`5jy1lX^bY^;MV(hcVBfjOO+a|Y~&RklUbLvZ> zf%WC%M_<phlM_q%C1PNId3i_Wc@=TFxvx*}3M*Z|YQ>AV!1%z>`1t7lqq3!XkLJJs z<aYcC@3NiV&ZXP+OhY54L@~Ja%{_hO&-M!~x;pP??9o&yl)K$?=TMmP_KinRNZvCm z>f7FP=U|$0`NoqcY;#OLF{MdW3O@F|o1HvAv)r(@*SLr~x%bX73FUN&)F(2^y>|{~ zFMDSA#x$|dR_#r~cFx^5QV+?M%_?BD_Sw<FD?3>*u0=ZTWmw$Z8Seji__+@o+vM<^ z{*WL6T9ULRx#*N<MaZ@5WuhNHKk$*~Znjc;p3>?sw|VnioinG(HW?~z_A+Bj`(?m$ z;>$yci7y`~I4<k&{yEc5?pf+D5d-tf%R4M(C%?Y*%uavW)sXO{wr^pIf8tiHU4JII zYFc+|W~83kvb3EBJ|~MFMofJAC?RQDcekf(z1?@8GfQ_ZTBD~wT`GTxoboge=dBSp zZ2zvkbNOsQsa);V15WdohaSB!e{$VLPhXuomj$HDO<NxJwH4H?Q{=O|EFjKra^$du zZ#9?1YDL$c!1BJ{d#_@T_-1cui;!5VXy%s1aX4E_scmbU#f#;NdTp5<?F*%X4hZmS zCT-YNEK#+xTyWug1>TJY0ksBw^RgZ+XqVbial@0-re-Z0Yt2eF*E``&w`}a1g(7~i ztP;B<-x3$X7yYaIg11!C<4tWHH(V>{UY2j&xBlkwgQ2{Ig}%-<G1n(B<z;vXid$(} zA3r8r`n)5sIJnlpFw5?WfV6xj$6;OGhd&~mW#(KF5N9_%a#)d9^TCETkwTZdmOS%U zTf6tnTKuZHGq5<O)}XI0>%jwN(}Iu7eg%Es;KIulv5L)A#$#{Ar^f#u3N|LOZFq9_ zweQihr$6P#d5bvTT{Qc8km-gEK9M|k_o!ubw024*C2wjohza0vR+0%e`E4;{m7?CV z%#QY#Qb7lJcr}xz?JCaE34gED_ArNcqk+3~&Y7zMZIx1o4C9?$R8}eK#a$QRzkWsC zk>~Jgsh}gGM~`M-Je$36mxLkD{cw37p2MeKH5aZ4na{+&`pSW$S*9O0xbbpDtY&jP z6T(#Zxys*=J)-2Z)$$n`98U^;oONU_2uRP*<T$)s>QG^{vrNq*MZI+y9qm_78M~RU zKP(k=MDgiP5%cw%UnLz`VY$L?hJ@*F(<8_1AnOX!O^+P3<Si_8bGDgtZ30tYmWN>e z3hVZVv7i7pb_NCTveo>@3f*dJtt{5E>D>!t=3jngLBrpyfFqqD>pJ=W%)b7!q<6R3 z#q%B3H|EG?vB)s`t!~ghV6F9lvp~!Czko)G_~Vy5+6-iZWqa&ql?U<4%n6WP?Xgtm znn0WLs^*LBANy7{Hpg})U+ibSePg{M&u<a-$@_(C0(fO)0%T7w3M$LyI4pSe;0xgu z)mIN3l)JhyN9$=@n?X#tY>(ZW%3xlXie*+}i-Yc67icqH)f`y%&eZh5j#X@Y>sD|* z4EX~dNhvk>wd}d7>4P0B*!c8Ta22lj;VX4$Ltv@Fubk(RrUf6?Nr@ChhIV)#&A%Yf zR=TSBqPcbXipJ)-pc(y8MLE&`+u98FEVYuT-B3JR%HqZ<HrAX#QKe<#+=u4}3QH@S zxUBIvZApG&+{JYvWovAx@vJq!R)5z>=vg&EDfFjyX!4Uk-<Gx++_^5$rypXvcxL{V zRcR)l_by{&wFwY$+W#u^VKJ{@<CL}z2d_ZGpTYCLUjfa2YCLcbRTK+-Gp(&dbVr-P zoj_6N&#!Y$1wPJ|68W%kmB4H*vxvf9oi`+wDDp6is=NI=@yx)qz#xQ|OJb2$OW@I8 znH|0Rqz+wh59RT_#(d?#K|@oG2d|gUKmFH<=ZJlZcKxCx+a5l#FomCwRNo%hsCamB z$LlZ0Q>*x;wa;!%h)6Aa5RqF}u;JL72T5yh9z1L{nfa-fteJJhj)Yg5mIv0QRTSJg z@j>HJZE*f&@rUPUzt(*BIE}rpIE|fM{4AfI-b`7W7&FTY)31M@A*=Jo^y`#4rhVxZ zH!LPTJXm0KxcPBNTYH35N`*+_(GtIxvp@Ylv-jYJ`)>{u9(eQM!GfCyk1B3%ZdX=g z|26He#OCJKtNxNzUVc0BQz~vmOnG>4gTdkE$6tB)*}08ux^^U3E&ozpwtAkCmBAVl z>w+}~))o<YWd$40ym^qg@?>M3>;vD;&8@Y|<_Ymtt~vW*!v@vE&MfC7<&+xEeRyzV z&clZZ!ENq>(|yzD)=4I=-??An+YDKYve~jnlJ2~DkhJ{f!NYu$ng1#scG=wAnz(FU z(Tg?TPk-1@@ZWQDd#|13@q`z1A0E7@efY5Bd7gfyb+gV{{gpZzP+V`wV`yE#V`gn3 zky2J*c;U^1#D<fNb*zuIgN<JvH`r$~*Kqo|Un#-yyGpL9r&ipMnD_9Yf#Tuj<eIkj z=0Zt1*E#0p?Gel~tfwSSyV;n$?B>CPJe!-lU#7A1Up~Xf>Qi;>MZgi4$;^MtPI?3j zei2q%Kl9hE#jlt3YqouQvFqjBhlz{B+u9?}q+MxV?0(Ep#^#KLo1pxRb<)4?P7{3g zFX={B*#n7`t}QPfUU1ml+|8KA&j0r;AL|!mYZ1ePC3i3ApSxpjI=Qam8Fz5J_={aL z6RhGt&Ak4DhhO@!k&VQ$w2F?G)`y+ftTeh6bfkLO>$bYw=ks>%mv}cz)}m_GIZ4}v zv$M(y43EBfkhtll;~Dd`cBNx8*Gd1HyI}RQv`gn%V-3HSPFy#e-`GZ{X3E3F$6;;k zhmT9j$;?lwu-Gy8Vc-$V%caiyCw|$tbH9Y%Y*`DvnX)o5#@0J_<duCWIQ%By06WM; z>2=aSog3y$^(oZzeK2;8pX@BBZfv9T=KO~ZAN|_c55MH$?=zN^<GXkEgT|v-4|h$p zZ~U=y=Y9#lnX(pqGh}6COsscUq?COqxbY_7fZ%3lL3dxiPvRA4`NYo6{B>*5>$H}K z{~Y9$+)jPiU}$u>IWeS-{rC$Wes*DFo30&+yYgOeKCOQCFUcam?7@zNvVwxkZyqFd zJk(3REPQHVT02wQOxZ4pyrKo^bK+-dd7ih}Zxm~87Hb}CWTWGA_QQsc2R39W^S7-x zwy}^)tFU-6F|c1rZ`L~LDz0A#?!+&em&V?=?^*Dpgszuzj{c7BGrK<++<FsmKw`7A zV6rdY7n!a5Zyr2k6&!E8EALrqMZ}Ex4-=CM+t?4k;o<L7m6YSV2FhP2OYUBneC;bJ z#uLgO+{h>^Ft`R5%6+C>aU-uxBcbo+!j7H(s{gxm-#MIK`PeP*@r;SaXPP>T&zKd7 z7x&&d?8dx&!@r)1w=3?RkX$BddE7?1+~D|$*f|DY<Xt!1{xExIeDB9~SEt_f%>7o# zW%$c%>y7KjK8gJ{%E{ZPe>__ETj_=cNB8M1+kWHv;U2NyVjt4FYr7*Z1;t0Vl<R)m zx?%UxeP;J^H|ig@*8TR>;#yKXyL<GT*GcK!wYG1vHm;A@vGvCGj@i0jQ!8WgH?D8Z z_NWWpv@`!rvRZghAd98?K9)~*ljeU`V7K2p<;BkBljZAGyy~svwLIb$R=#oAHof(& z?uShqx3b3ZL`OY1`q6As{kK&gHf_|o-I<uVP5Ahu9Ch~m6ziQ4Q{FyFOxzaC_<8f( zt{F3bY0uxaPCxAWv~vB^kN1WwDsj-MS)dkrx4?Mngczl*5%Yi5#4@~KU%k<h-_>XG zuWJd;$&t0m!LqU6N~Zd~p0a25(Sq<t!NK*vg^LdAWk!VNGC#|TnBG=(=fcKgY&BDU zNdz94x4vrWqGhYr?339Zw3gTA)hVrc$4h0`tgm96ZKcXy!f@1css59zkG3uQFsXUP zJ@sGCA=k=QuR41Cm-B>Xiz7$<PX1iAG3;B}=bv6ZzxSTsa^?E5d1Akf-hcvqo!D=y z8#j~U#jT^>XfJvu_FJrA#nFAPbMjWM@8G|exp94Ku<p05g`1A<<57=#bGmTP(S1sG z85`GkE9-vyTCn5jKGvM<jroG?(XX^0H8X=E$$slT<@-l;>$6{R$2}3a`$^q?vdo{2 zyIouD@9w*480qk;Zqjj~&CRV#SLSCdk^K}g{b6EaZCiWqTS+;d`OD-4mf2e5rB_(| z&^Ubf=vp3re);qYkq?P&?t<mP6XQ-fvsgWQC$RajZLwmK-w&n3hmZZ`;cwG7wz1fE z>O;W?g~NxBUYC@UlS``*xuCrGc-M=}eU~KT%;lYU-o{DFiB-+!e)uB0tYE{XHxH7g z-aL3XYqK+B_8C6cHNLgYYEK{3TQBuLzPxB>*Q`&oX1#m*Wi}`K{NC4M>(oo6uM1fn zzS?rGP<5eoh5f?aJLX)lz0*-*c=_o^N2l!tFC(AG>A&p#GTTR9jaSUB>)VT%YrZ`H zTJ+kgwlF_E_WYygrG$syHp>?KAK|H-;}NMd$0sspj#uOnE1T4Z7E?A^SWMouqryD( zV}V(!=7X41K}V`jy50C;@={4{`e)7VR&LGi-fm6q!)K?oCZ8~$B*xwxEGFI@B*xDi zJdKHMiH_@+|89!}dFHEfi#KnLFH$$O_`OWW?AH<<p1r|heQ}!I&F6ztcfOeU>Ei|E zRLuv8S?iVd`OV|(yX+}G?|Ac+qldyZyL<J6#oB&ravvAh>~5~s?C##J+1+k^lFQ0s zj!DM@oy-pfCYc%!A}$3S`Is@K^Z%&}%0&uy58YUD@Yswcjfo|f4j9^G78vMd78w4! z>9><_qT>~oy_4<q7x-WLV5Fw9Dyol>v%QF0cru&x<VkF8Cq0>uPg!#C;EE*&|NigU z`+WPIV>93Wed>F-Iz8>aWWVa|O*+xj)~s3QwC{dJlg%Er$`#J1CfR+APdsP&d`>Zx zNZ%Lw;@xG2S1Z}~PMdz_*8X1h)>Ex)1qOCguS(6m8kc##|HWLfx`QntVc8eWQ&))2 zm?5U3wv?59^5v#(&CG*`uWWgc92K?V_!lqfOHSR_W=stGB4%G&yY<(vxW8(uFLx*E z*L|Ly_J7`_TicIKzQ5$$cjc;O#vi!ZmYrJ2_deMD3MY?;Y@oWKhOR}#9I==+zT9%x zma@vf4xS#eTz_Tqt<TC<OIzbND5`GBJ#y^-rTJodT)yT%xp&Cb7g{KD$NWCae)iwt z30EKZzG9m05qtLZl6MbGR_@;6`ARNt@~(dCC2|k+R<`eOe<k=X_^3kR)J>}`-!QJ^ zwoxhVbYHUWo9vZL*_eeX;?p&69(MKmRyb`E^PGh#rc;AA%{pKIIsfdxr7Ei*e)*ZN zCF{_?Y_^cB6aTW=!I|vQL7C#w!I}KGgEHlB3;*3|(YMcM?nieU-=*~j`~G{SY+yS- zDWZ3w^<?z`=NIcjZXJ9Va_i{4kXwiEh1@#6FNN*##m(`jJ}Sp8FZ#fJO~p8(+s<>h zlx6zTOG2B2GuexSGR2F7Gx<LUWy*gJep#jC(Zl?4!cGI#6@tZqpC&zG++6Jy$jf;5 z-)sBXf1`r}4?kV|q;=KBPrh1zxzp72_wdC|mJD`&Iw>S7DO2lhLZsH)q)M%~iLP32 zlT(c@e^mIXH&fBnZ6C<7t5R4@o!03sj~4wdbJxye8SAlSmxPjoGT9dgXNo@#%H)3> zoGC9H^pat+*2{|XhQ?FFe4jQ4o!zSS*7DhM*2c=I%2(tTH*~Jta^y+Kt%EB<ZXLZ6 za_jJxkXy&UgjgNu@nZY(X?4TROvi+C>`S9GIa$A)*T}kbMnmYXaaXgW<k6!KdLAWu zxP3G<S<E6aEzpVQyoR9L5`Cwngj<V_{hui5n&9O&@y5hJnVj<)dfQS(_@j-x`gJ8$ z4?OD;N(y%C++bKM$XB&QH;aF>;$n_9=QV_08+A2rl{|WMR?nlvJhzV<troLHObv8e zb4EijY>ECM*YjIk-zH8=7imnDbmi5RJbG|a&!eP5w~re%7XOHt5y+(Dk$%B>{gwxd z%cD3hsOz@8m@TIC<@W*;(d}M7M_GOT>ME{o`+ob<B_&mHgWfAw*EL;Hb(^%SS#Zg_ zB#V{R5^k?n=})R^P+TIHWU$g*!bP-M>XydtS1Xx4V;9)3^!}n;%Fevv@+w&g)!j2( zPRgkTZJNcQVR@j!i_frcl5)@A+{|5}o1#{({hxa|AU0;@(n~_^!I|vsL7C$0!I}K* zL7DQuPj6Rvv?Xi%jCn<WI&QA~qJLxhnFQWvY8#_gzV%(I`fbUjtfkYucHfxlRefWo z*Y6t>&m`;#ik?;Ur$ZH_c-ol+T``d2T%V<?+m>JA+qUeI-nJ!|<jj^|vWr`K>FbX8 zeXm89U-f$H=oO&7wf&@bS9sRxpsp;A86R(7@>;uQ#nf9buFR}EvM1!1xQ$m_g{+v$ z#;BFDo=a8bmS5tVx8#!Eyk(c<<}JNsH&5MIcKsEx<udk3fm(mL<5VtZE&aA>(!;P- zYtOHL5VmXWeeb2Jamz39-CJ@=@7}UYa`%>Avb(qZlJ13tM*Lf}w*8%{dAxtdWUtkK zf3bJ+Tu*QoTD|T5%1!ICmfo&=9&*cZddMx;>mj!eFAcGgKOXc_%py+nW7yGs8}y$3 z5X=sK8DF3jd1&j5sYh1n&+%ER>bLY#R`q<Z-4>I*sx4-F{kE9yb^URT)?FrXtyst9 z(T|h70%{*;d=h%RZ=>4M7yCBux@6wf^-8)nIQ^I4>Y$hL1(uNlr4ciatjdq^T&lWm z*(JVpOE2lITYgC{Z^<RQyk(bk3$!Z*3*#dv7X9gXy7G(uj=4Uo_Z6wn{I^rCy#BZT z*?;RR>J20R>%Oc=H(VL`U*OIs`M241zaGB-cz<<nPW<owZ?8(ema^XYJ9jQu$^X4| zD#x9;B@QGuHp)zsIFiWTZ24x9bh|Cj(+&NJGJFPe4lBA9SR6WW|MuySMeM~JqAvG5 z+HfF)Z*{JAyKK+X4Y#foMZ7JvToYy`!)Gw@uwvYwzJr2!hHtMp`m1fb#d9|;Y4zNT zvTED9<9u?fUYre(ua%HmpzrMbB{`$z-~Q|O*W@jFW&bgk`ETZ{cKN6Y2fp%u{amA# zb)bX)>*TyhrAH0@YkeMYRvImI=3CA$Z1p5qTe!llT}11N!7qcA&UV|48pTUIRyy%5 z<u5KdF+EJ}Ll@u66%(9a9FCFwv~?=yo`uf4w4NmVGVpJ=Nls;Ae>q_umt}{W)gwh| zAq$^v3bE$QCz~IwvP^Pjaz9zIE9uuH_M=~m&a|=gP5xN2mPdiVOZ#aH@5-YBlK$bI z^`92(aPzx4;XtO*ymkF`PaOC4l^<whIXCHJjp%yu%76ur=WbSP{G77K;pl5;IgwWt z;yE4<lqB^-K2}X)ceT2wDlN3b=U8{ho_q4gqMr)|Dle_;S=;dXsI*>Y<bz}EAJ;bY z#2iQr3jeJVG~L4M6`$Y4UERq`-aWKf`FqDlb}#;dxmTQHl)rL>|922+KH~doOO|L+ zE7#&_^3y$I9|^6rw(xwVr#ER=JNJ@zk8M`6Te!bcJU6MT#W=*!;)btibCkuLWrtRX z9@f<A>9!4t{=8xOq}n%&pD?g%SRQfo>f7+S`F~cMTIBuYEAo6hzFP;2?(knB#Lsc{ z;NknK{>!ia_&v8@Bl3Zvs<+L;6n1~#$o*UY^-N-&7rZHJ=ae@OmTJB>pB*2#=|HyE zv<l~weD`K;s{8#_R+W3t@{~@#{pu;~vc8e^XV(d<a+fSi>C~I@X2ELB*ZIqM7p63R zouad`aguC~N$TI!b-q({Hq4x)x-DQ+^V(%=_TSsmJBf8$@TMbtUS%6DPx-37a<@ig zg1M^qn#C#X)xMGY^>+17V*M7p=?J4&S;2?zH}9?5eNNFSG~3i^s)f@EzCNktlXvZx zU!1b_)S{G5;VEwt`ZZts=r2fV)SRMYICYY&k8$eV`^$qj9pLerHpBBIU)sz~@7{0L zj7;ED^**ySh5fTn<oEBD#}+7-*2lY^6w=nbd5|mk+TU7BRc@WdDV<BFyh-TPeEo0V zj7h9wft!vvd6jKAFr~D9zW>Qp`-3-SHBWi-V29@Ge|0^RuC8mD6ncGO3VXY6<oEB+ zQ`T%tnX=}WwW{@v{4?ivRnAuoY5eJS{#tLf&_b(-j4k%=-@n(o>3z9>>_D8?v>VPR zvrJP@?b`o-QA*?7DQk}9dX;UswWH{+s<`C!71d9YoTf%Nt>Bv`wd!5pX^zkY%Y|NR z6hhdwc|*&OhPG&Jm=&OUO<+~?*0xo<AEr2o?nsz2^Ic0i=hcIj&R1hUs4QF+YOv62 zj#>!&bKcPM{oO5E1)Tw^dcv!kwcA$hz8C5wy2EXS&~?tM2YavB9z2!5@ojdAx#gF} zxm-4hD~{N<S-tqPu=kbTmt$3H-g(N-Ojflryy9rCef9C#y4RIocN8}*6nZc5>cQTr zuj=j|oTYttf4uSWRYwhq*F*_NuYC9YuB6t5=7mDr*Y3RZ_+Ya3)yIcq+qmwet~gTL zW>t}}wCYa9k$}c%o~ae50@%;53F+59FRAsRX`#@1iB;bk<zMVii&@tD_0|8b@8`d6 z+qocrdGdSt2=Co(W)^>||B5fx6$|7k{=>h={kY}PV}gZq%q`_)mm62>NglsB|MA7b za~q!*J+k;-Y-4qf`P$=Sg=HIm7CyERzhMz4^E|W9{dncEsy`Oz6wU1GWS%QYt9Lx! zbK>I*i}R8G62~o1JyY*_9Fz3erEreArJU^ZkMC!y#pks3S9JFkO}3t_^Q}wxPUy*` z^%f_OX{J5T>vKQ8^K4bu-bWI%=O?Y-apsujw=TY%IZ5kZ90ZAafaDK7TYf`(*M`Eb zy^DljYkuoG3^G>>q`qSM<BNs&HqA>~Z*c;oXx+xbuDy>!K~6kr`ZMYC&9i4kk6tTo zww@gPM$~%e>Y}c_kF2DZ-_Xu`T2V4*UCgxZ-k+xB507rE>EA3e`;*)|m!s2a+PZ^s zPQ0(|?z=S6I&h<;`~zL9Q<3jnj=J6H?GDO0eAm)@Bj@|ax>lzu_4JRj{h6@+g+_Uh zKbOg||I6z|wYRKatN*|)YwN6KS?T{5))~%Sr2FsQu7!cJ`_+#tZusr|S5x}b#jv-( z-Uht=Ywu$>Bd~GNjIXjXVmJOJuF9CSW6saqO0mYZ(>@6ne%o#klCV*?S?Sz=AwF)M zt*L${PwOM+xhCw?ZFhQJ&+5z?cFRcP@t^gNHXO)`ewGsa@A{KSmTR|)G)n(nHw;PG ztJ~~1=RdEESVYR{IhUvW_pKCbJiATC%Ibe?(09R0Aq$FM9bCdv`uI=zqYby-|35d$ za=Ye#*-tu5?{j~am;SqN7_wlwZgbq6{|jZrBoa^0xjyCp!%DHn#BD;~O8%|C`RV=3 zKk-{HTKo=|&?>lWq!(uOeyvRVf!xy%B+BnvmuVDSpR_&c_}=3IjlZYWgzkFVEYt3A z{r<(7-PUg-yw5$hyL~n&{;1yVxeJ+W>)fBOzLa=BswnQ|`n@`q{kyaCtwmlWpN!tP z`CIA=2Yc^x+Ob>f`<xRRRErDUWi#5Dnsq9*%Pt?}5tT?f8NPI~-KHs(OZ)q_nRM*v z?%V9#_;K2_H^(jK9|&mdoL2MG*K+=p#{x5QPR?0hY<HB8by?IWu_KoAj|DUuPOD+{ zwVXfkvA~SXlQ9d5?N0NtrbT}eJ7zima6seEX*I3Bmh-1S7MPKLGG;~bz5{%$Vo{&O zj#|z?7tk0ut;W^YQhw%Rfg2eoW0n-}yU)k^E%nnfu^;wVw&aK3-!}K(-o)r-?P@Ed z4yG-;DH57(z{l<Lc*BtxKI<8W6U8@MzDX1-juncQc$Hx69GjE0qP>^r>&C`~jMt1! zj##z1MPx7ib$#wk9?=<zx~G2dm-Rf}Aa&eA$FS=#pIh;bqY`}85~pLPA1P_GnsF*X zJXqpYqKb2^Pf|uhCl9Y-<3hn>|NZKvw>#CZ___OEs*|ptokHV*!~B!{w}v?|KG$`5 zFsIdH&Q<~Tbe$tdGr2!*=svgQh^Lgr4mW1;@XQAfxp-@0mN_<fn{X6PWE0d|Qm3DF z{lc=^nEbV0ev7c${Ar%cW%I*%Q)Bvys4aCz61F~iy1^x!&-%roMDe+nZx#x-*Oojf zXyB9K`#4W~8prz?MpJj3m(dB0f4JdRZDGVC-genN&o*=)m-+Ug>#$v1k;MUSzV?c9 z4>``yFe)wRx4fbGK(n27p25c0JE<$$t$Dr{&R)ovXJ~Rnxy|jx$H13<KkCiR{;bKL zA(r`OZSK8o+gx8Wh5gq&ue{-d|F6SS*DP<){i)V?_NQ8>?@u*$;m^K$ZBJ&~@SHXO zDKRad%fRTqNK(i?%|kElL`{sCF744P^7xZ)mi)~8Ni!zo7a3UIUm~XVQ;k3Pv#;H< zr?Z)S&YQQ+n3&&XV7)y|Cg(iwl4G+hf2wW0eA;$)x9v_j{@um4c4beiuH3S_E}Rs- zPW{j|`>A=+Q8v7+U;bzuS28e2&&dvOzH}j`|G<Wr{v#h^`kMuJ%84r%+sgfVURCqu z^jDS_vtM&wRKG5~uskl*gXaYI;$sWrcFHw6?v(44+$qO?x!6{3+0&{k0#japG*rDV zoKUn*{m7CS|CY|m(1!^%aT2CKdQN@i@Ht^UwY#uVZ0q*3n}0}5PUkeRIxmz|Fi-W+ zgnqB}zGAxee?Q#c`t|MA|50Ke{`HHge0bKs^m;q*l38Dlo2h-cCKmK)E3aimy0hM{ znjA;DUAHFm=b4Et*v;GeBGFl}Dk>nEu{OtJ!TP03q(U|GHW)SbZkr&qH}}LrG3la> zr`l}RY*Uoa&e1p!CC#<*T$|FG|2s<T72UkDFYcO~w{?}ke-Gi%ww2o^DzMsknZ9Ka zs`w))>%VCJQy;!x(H-54VviCRwEn2bJL%Z)TTJLtW2;Mrhv3$?nh!c#Ic%18xld-g zcXPslYHyp)bg@NcGsGS}YHh8k$W*k8i}Yx?E++J-x7DTMci^V^qU^{2U&}OQ_~zGJ z<f(Syb^lW7&uZEW7Rz#d+2S_!MM5fHRm9BhcVW*K&Yt@7MOG@~u87Evb75i&C-<&A zSg?KVma7>%{wsSgeEIOhGFh%W8{DSeNKfVa7B%yhW5mpM?yzT%*G*;Jk({cS7aiFW z&60g3^QxQtl4qSN!aFikg}$%#`5G=AY+7;s6wAAanMZQ9iwj;qzNEX%=yLzcm3mV5 zW%M6Z{cKZeWnEXh<o~hRyy69uo-(ON=oQX+%GbB?&e6bW)fR_}<l7_V9x$AC?)j~J z{OY^E{XQSPVxAsaEqg{rZ_lm0XHs__v6*H)<9v}gcjUW7!PB)mX_XDCI{X`_JQWNp zI{NwnSNNW+@J&73>&sM5@BE;rS6qAiuqxm09VZ?#uv^MJGHF+yqqKg{!{ud$`U$L+ zPgC_ayz2k;o-4AF(Yd1eK)^i(!ya=BiF491Jr8*0+&;0%FRpNIaF*ZLCb0M?hv2ad zs=6Cz@h&*luyK!Lf<XQRiSyDjJ`Z^H)IPECPp)Y0Ke<QofXF?8B(3}ogI?WC_Us!B zSr#+SNwcJFNH5qp?Wx?gjdzY$O=G`t;FG}S2)V?9vab@wXSpI~#j=|kHmcraO=?}~ zc!iI(?B>EFe%2)$4)ew4Y<K3r{pP~)Fl(L-NBNv{wjJi+Ub9qv<Bh5XukOx%6Ogc2 z)+=X&GyChe7miw4f7x)2uXfGG!wjq68XVEG4!OKz>lYcFO>!%$-f&rmY~F6VD(XhW z%=VjM&yxG5vd&0PReTm5*|PIV$hyetzsWDviWuV$G0nDL_Nzuxd_((Frq7XjhEt#N zE!%kKXvwtd83&8xjU(j}#7;Y(NvmwJjQQxb<_TZ;-*Uavtj{*aBypXV)k)ZybwVdx zyJXsGQLEEex#m92YCZRf<MfV4r5mPN$CQ3}sv{n8u(f<+?_s%Z#W#-g@v*-+{!qZ$ zQYLZw-&0aw7H1wmxpU7M*1n0|Q`O=Q#NAWa&}r_G^OTow+XpuB*_F-hy?YKGFS{p@ zz@8uQ^?-DU?*>)f!YQCQxx2?Pfj@u3jbqX>a~|;O>3w43-(K0=Z@owHK<qt%M{@Zc z1@m<?+1){LQgJ|<MQ&4iLE((2a(o-_9Cw_?ZgJp~!0$-8#Q1N|%4%i@Db`wlnkdU< z^Dp`3N*yM#g06*3)890z&bzU&d3o83M>A!sD)QRx;%+21OfQpoG*#AZM`~*?>zr-Q zjmFnLT{gd<ZyfSqiS33Xk=7*z=lEh{Hahc%zrAqW)S9Q@E}!$A4Tm|*|3_3^*v|9y zy~D56&d>4_9UjQAerf)mp1I)F!^M}}PiQ%O@A)h*ys7Jd;mhoenmZd7FVQP#xuVK9 zc~`UZmCVq&L7SS5r@VP&qFQa?deY7>sHj1BicFHcs=LLv!ex82BLBPdyWE%?yW>bm zcu|jjigb0)W5qSD*=Nq=rD=t@9%!<kB^gxvUSXpD)z^FiPuKmv%^M`X!|#<)wddV~ zg|D^<{nosB(A2A}uyK-Y%#sxO=^B;?QoZ;JTPG>UsJ>bs_+Ne9f^#l^O~YQjw+XE8 z_5Etzy<)%6)vxBp)$A*;`tLuoFjQS;>R-`Sot!@ZEQ||6A{hU^4M<pGy6T9EcCo?5 zQ!zdpjQAg~J#*Ybo7>>pDW{%|n>ZHd`jmDHhN&6c3Cq~kT(ZTvk?-0Hp~Gv=91PGd zHav35hG(0ReDfNe0}k5Uh6he5mHe{!SA02jnZ4|@gs1a)E*|Y#vm(AADz!^b?9a+C zzK0^N+*i5cST3-tdv3i~S=8EN@;BqWPwHKpvFY5=BCoQIXDk+*@vDW1H}izn-_O@s zxJx2=#jn{l#hh1<+BjdmfAO}*3OzH4RsX)-EpRF|JQVQt`ztn~Ro$L#tM(h3PY#GZ zV-)gt?kU%nS4k@uzRLI4QwtII{x>CN$r1klFR$)-Rcj(3@nQLs$ws094&~f}FVYl+ zVs(!=igOoUyy;|9vPnRmKiI!7)T4oKTC&rg?J~j-FCI^M=<#KF^UA+pldWdlim=}( z(79af(Sw<-J1X)N<>Deb`lpKtJ($|sQjx1Dc<(~%;|21u7FphZrtvNNcIf?!1ifFE z-d=W=m-_L-x0zvYhQK0qUZpP{wrlTy`4-^&b7N%f>ECth+#fi;DrLJAeBgA=<O6qW zCLdW{Gr9TtPc`xUPrh<;&t})`Ic?7R;*;k(O_%F6!7X|7pIq%aviFY8%71rkzvryV z{(i#T^UE1?%NJAgi!NH<U-HX+-%0o9HNg$re?Api6kNB2L$)~k=)uKzHm_`4;{5LB zl^LJxwx{o^nDhGM2bJrOA7`#pKd?E*zvKDNc^vvd@7ZV0Yhyb1ERyBilR2LI<x4EC zD;H>7S1vTT{`i5zy5mRx#q_t=?v#^1US!L7?rD|Nobz8@c1#Et6<YrJ(2BwrN$cDn z1g&#_<hSnl!M8E}-O)Sc_|1!L?ed;fF~yw!+OlKX>&^nx^;$A>PH``0o3Fi7uJLr` z@}fec^N$|7%sYDgqm6gN-pXkL?w@r8`~JMFH~C$^#r3{zX7Io52VR){zxXEp>;CAi ztA9Ff3;%zp#Xl%0w)>jIf6qVHvrY%qX7_l{uG6`a5aA|dDlu<j3U6DQ%5t`3qe;=t z7bQ=wKDeUi$@PR3H?Ay$N0Tp2+ofT8ZTs5%_Kow8)Q9|#e|vk&ujM)S_cVOXbWDhi znh-Ht=nCulpDO=~cdIUBTy5X^|5<@$?Da?Ug;w*NJ$kB0ZtAr~3*F||R)-1Qm^SeW z=k{r*_o$dAoK|1DH!-r)f7i@_*c`8v@cG4#TDk_-Q>TGPG%K{$mOWazrTyp0EvMcd zD%$ya%e`%{c7L=MitIl6!{2)CiKxf+0!dw2#&c}#{t26V^M>YsnJ&5N--$m{aw7hU z^Ont8bZbd^fBBc(&Zljw?62BuuHN;FU(DxR+tqsCgru-5v;U~hpK|Z?#iXaNzwSC= zRu{DE_7t~|@(Hig6Rj3s*7141aDVH|_5b-d8T_4Y!gl%Qm8)A1w#oP`pQ!gk(d3e= z)dV-IZsv?7#W$|E$@u(L`S<&t>y?JcPQI{L7RH+=bxY2FA$@J_qwO0X$<GOCeQ9X; zPn7fVzAeFxZ{uIx&glAg;Zb|rH(k>U@>8llggfySoSpKuy)!SMsKK`WYV@5hnR0>H zMbViG1z*-zt<P&>;*02Tkhhv~L`=%!h99%Ib;g54bzY}8iyd43iWG!I@-B01aIC+& z|6odk)q>CIQ{)z0PET9#WBru9O6LUr&)xKI{>;=Bj*Q7VE(r{^Vx5I11qSoj^wcgK zIP4<TGQ*{rBU$sosza|Fn;GtEK3J)|>w<y<JJ*YOwv*VHlvf0ODgC)mIcmLH)_#xB zY5$Z}55L@OA>qa>?wt7`k%KoRdP!qew+V;g1U5mpK!^Vam&`K}c;PxVY=!r0)zGMg zdTaYi3_9<b6kKR$)BAPlfU~_+%L}(=j#$nIFScEszaqwc``Sp63%S{5ye!WIQWrTg z?$vSm8f!J<NQ{)l40mSn&zTPrxp-@M7CJU8G~qDpVH4aH=&*flqlv%^_o<$Dw#h|x z98k8J;Sw)pal@Nge0Ro!L}^~9JIftgUW;7l?l^8DP~jAtxMcm`C9NED7Bzd?ENyH~ zHz_FWW0UK<sBolE%EiL*Oh*-$t?w(wa{`Sqds%F~nHy)ibY=t`DBu>H;ln=J!k?L4 zJmbM5C0?7DMUDNZO*jnZvMDaRsBkER>&4ZZDpDLiOHQf8&F$ST(8yV0&?#wBV9>;- z=XUA9VGXGt628q0$r%DkI=o6fiyao6+c=Mn@tWY;5dFZi$yE(!Th@J-VE2rDAW)d( zAAZb8ibF?T*;7WXl-=Fq?oq9v?;9pusnt>X%Fyj;dqgOxf5VguUzhA`y22VJ#46}N zb9;tD!R#KDjSW|1*Qk|>XM5Z|92N9^W8)R(9F?yEvpsAN`8r)U7kM{f*MZnY3QVz1 zwwVeSX7{KR_Fs{;Q7;wO_qcmlH0XQb)GN#-s$T`}dfGbm@1It7Sk<;x{&7U5x^F<^ z-T5!v_v>tFsFe}Bar($Ki$ekI?UJt^2{_xzq-1n3^Mvk>c&*B5EB*FiLBry*HQ!f$ zx6~<kFZ@?!2d{X+)Td03|D9=>zT@=Vy9Z_YcJDZw$iLm<&11E8<~t7xI*Mib3eNUD z-W~ZZ>0<Gp8TQfMrt@B=Z;VMW6OT(WX_xJJv>}SI;KpGNzTXn(61O%zD(H}u=`*<8 z_civKrHt5&Z2eI6d6y#BrIqRJIby?SJ>yuSIJd=`v|7H^C+8o|;ysYKRph~jD8U;W z?<vb}FK68wY?UbQ_~>s?c=gKTyO-tNxvA<`lwMxYby&}@_{L#LzTXz7A2M9Glv!k4 zo+!BM&rP%R7m0hVoms1HIKNhUe?GC1(I=;K9#83o?uB|*hF6^B+uB~7zQFN!&2*Ko zladwMS=l0QFF3|(vwd6QS=P9S?5J0%#}nSY;fpJB-(7Jck-y*K&11uMW}Alv9m{3< zKAh?MD)Re4-&d8NU5A<0zipNCpS1VwR5AZa)^C@tQ$J~b=ceqQryIJ<Wxjowci7IV zAd>sS#KVeu1qYSqY}YgUy0ScC_e8tTW!hIapD}A=yOFi@SNkzt9?=^)dy~S$ziRQV z*3}S>P7-#OU6Zh)o0sS7hItDWuNjye%F@2NUD?bi<iV1y7LTHZ)h%=i=5*>7b}i() zW_0DKRog1x<y&K4-4>rNDfLLmSvh7)(B74{X=kV2yCt_ZsP_GD4rkV~NN%fr-1}x9 zX8JtyhETiYs|Nzku`($u+L?L2Zk)f6@tdK^k+?Ru8_7$nL@lQ-WC~v6D!E><y(l6< zM>smk$XV7WX+?J@&sT%Sg^I_FO%83ae_g&@Ys=Ys^Ze-hH}-8@x9zF@hi~g&u3XP~ zZF^qa<xO(=|Geb>Z2l*u9Cw-h|D6b{ijvFzZM|RZx2<29d4Ji)f9>CXHU40{f6*mj zSF6XF4Fc@O`?cfut3CBz@qgh}|NSez6?}-c7PUAMaJ77&ZfsrmwWHlR_cnz(-!A*Q z_STl)qOt!M&CfD?z;C}br?a^Jn*S4(h}eY<%j*qY8r7F+e7qqdYh&bcH9>yXq64|X zQ+6bG@x7B=r1M~(Cf6NP*Uq!^r4}`-x4l@=x?XeD)peR)drVx}*YjM|eXv!NYmcF8 z=k{eU9?Vs&V%=lz+Q?UL>eAV_?8Sn~n!8@)bjj_Sv8dnp*-hp-W-jq()t6~}IIu!F zerw|8mk$<b?y^YelFOU9sDJe`i3eSZY^*V6u8rKwGzu?@$i^5Q3E1i%9MUZqJmp4y z7oVI|Qpf@2V3CTPE}`o_7Y}~*_wEmwdi0UN#i#neB=@z*@bk^xeD%v>DH*GoiyYj8 zr@Tn$;=7m5K1ZHgZ{Dm$?c0~Vc-*VWR*~D0b)Yh}i%H)%@KLL#RYhKx-n^NM+RK-{ zSiHONt&#)3b4YW9f3V1l)GndDz84+kgG(-67qR_f>?;4)*YE&?a|rWehhPzjl&-AL z`CWW%GZu9(T=rt&Y|UR5xgwi{A1qT`b?{rK<|@9VE}?#(z*4K=l8*;OZ10%6%76DU zJP_*~!u&%vI7E1nrq>-KSN3$@i${5bzZBdRsf{r{$`H;Q$onANC-A{0O|KXuS9WpV zi$__5zZBdPx$2VK#W+n`*M;|iyKmrw4$W1a$3(9FJ0x;-+aZywd51)<svQ!!dhejX z)g>FQi?Eu_T682YxMag=k=QpTuKd<M7mt?(^K3XPaMfk=RT0*0GZsa~%v{v$yX?iI z8Jep)Z;RC4F*(X${%_LEJzdq!pXaBk>F?D(aQ2N)c+KyJ-<B_pUvRqU_N`}YUq@em zz{mPU?tvaJ%e#vXj|6ySWd3g1V(QVv_V?<}*YyiK514XK+>xcox9*RtJ?A`yU)D-% zrCz<tE_ieKlAThg)a%*TuWrqVZmyj_ZQE4OU&YDYWnT^LChA^(^zT*5Hl{D<xR%@L zzTFjNwrcLZ^I5xt7SDh1Z=qZIKG*D+UorK;I%lJ|uF4HH(L6kH|I7VGxyCCrBEFda zUB&-+#la){Yp=Z#UcEGTcbi%6tJek(^V8x^>&0GmkJKydIhoacE%$YFlK5o)ry5Tm z%LS_yTJQY7^RLUTfM)g@j>h&Hj=*O38jh`RA3L9r`tn;^`p`j-Wk<FwWK;ejpx5@9 zjsI{(bN|9^S!;v;F5KAQtRrr5qNtNur+mZwr+VKu-Z>mM?e~q(+k?{0cW5!b`4|0i zS)*g<d)u5=T`r5vcBbWP)pHk4dwN`Q6`OV2D#reWSr?L5bf4z=T5z(?G3#)8JD=W- zh25XaUOb#5`^zG`o$=g_#E#%HiH9wsW-I2-mE|hgHf?_0&RyKjth<at7D+qHmZY!f zmgD();c}g$(B3x}9P_P9E*|Hz{j$|rUiPhlL%lVR;Q>Bno-NaylJBmUNU{C$yvk-v zuY#_0*8hcSORmqKF2)jhZ`oQor?}gE`Ion?TUct_cBAOpvb9pl_ij9EFw2eJvTp6_ z^+%(#|IC+uU6<EezAZaD=QiK_+{63huDqBU+pZtc>~LIPM^Z;JWd6y*6@8N*Pk1ru zalr-C;|~`__Z@crF4NvGXW7SKTP&kk_0&S?%QNoSMf~zkVjoUj%k$!El(yvSoNdX+ zzP(6BFYmd9&7G5lKX%N1%u%3!T<}p{pYkE*ecBc~&b1eCRZMv-P;qAdk)y)*9z4{{ z|M0QLoTcKNw9}pkyn=B>*Cp2<%)c47U$y<f+4H);Cv95XAYCcec)L=p)4EbDbaSOx zsD7nbsBxuOsClJWC`in{QY_Tj@~QquE%gp5XSUnh`ck_O+})!gl>bSG@7}Y>m_4UA zS5(YT|9DaHeHW9@`OPgiW~Fy-P+i_`abu3K3$Oa@PdZG}pLK+!Kk4vYdmb6H=Je)@ zh&kyWH>#Z%N+_MDdSsQ2cgs}Er|*+o{RFl*JgpRKOsy2_Tv{o{?)^zeuk2Z*&6^XO zf83at&bdMNyiii^Jk>)l=6w2Ypr+mte&B{p_kkTY-A8`dbT@leiiuDDtRuJVNo0-B z+0867rloTlYMmEKbo2Z9d&W%RBl-zp^IQ|k=D8;M%{zMV&YU}JGp0Z0Ftk4|nAlhv zdHL1y_$kcXv&({fV{#vyI=@1s;B9dqcTDQeBcju+clZ=<Rqe2sYs!wFVm^y4|KcuL zy<2?Kj~-rsi*NeJhvGTy)<+Mozrk1j=<xa4xO-{KHt0QII(KW~ftlw|OD71670g=5 zq%Nris$TPI(#}pfQfghY;e4H?-nyIpUka}B)y8Z*%rO0}!4XyKo`Q*Oxv!+_H4-+- za`|j@?p#^+BH>oLZ?9*4?3?9gxu@9vzLoBbykB_d;G0j$35D#scBiiHsm<NJ&D4IY z?t?qxWm*N(mXz9y_1hi}XjC@Qo%>zGnYC}KwMWyd`PH+w=LfE?XViLs(=+D!qxlx8 zoB_c+1_y)|bd)yyi=X0U`Z7Rywv~HxU%@Z#zmmS%;`_Jsb6Na%&D^uh_m_=$&hwR; z^On!ob(#2Dp!W233$JPM*|$x%<=p>v{o2-Fg$H(B+i^syF01+Y{Ha>b?0xk?-gXzt zf9fy2Hgn-eXY1*w`ahXC{`I(j^OJuGXXpdAg<f-%L)iH_t{ydZ3f=AM^!4KO0Ol{o zp??qYE#%@+2<dEWd6giraF>M73b{6cRs9QFq!MPVT=h0Z-bqx#afOgF=hcH6PNjyk z0<Qj=6j0lvdWGS!PiXG`W5TNrusBVf;jx0xN@!L0^R(B&yO)c%yn3v<kgXzh)h|IW zC(#P$6+-!(R}We{m42KTU|V9a-Z$4^p5Q%(xjyTo?v<;BH0rfzU7Q*qTcR8yewXvA zGr!Z<i<1MGzZivXm3im8g6S}4Xp-tes|eQ>dSSw=+D%(tCF?F^i%4JP$oQHwG=X`c zSB^>u`)rQTw{tkJ9u{-@x^Zg2Rk_O{hncnsuR6fzH1&q(3cfPIRo!=6UM2D`{B^^7 z1>>_>t7d7a3$KbY7F-pjBe*I`Rd7}J%a&J(YztS#$}D7?@ip@$H;->tVbGq4I*S#a z{mTz?yDh)Uzj2;=NMmt}R^hY&**S)xdl#sOGzPb56;29}onsJcx>rU$q|vrT%W!sp z>=~m_Q{FQwA&rtPT8482LQQ9{l3KT1XjPV7i<aTc09hZSP}4Ph$|0t?f~$^HIF%ao z1;qBKhM1leTGfBCMJi#+%2kP_DhpSoGA{JuQ4e7k=Dd28&FSlgc>%R+l&>&k`-bwa z&gKYBFkR@iMk$0{+c%WAUYjE{fp4Lgj&caQw{IwKus26&g5g3h9i<R<?*Efy{kr%? z&*|B}ns(uJbaJ2JFA;g|IU#kM)_dyPhg<Zk{CfWUr<l@)15PSpQ60^$^QX=G={=F{ zZ}ZirPmdcSRSfR8sXV(mp?T+1^R8JF_6dg894ILKDR@XEyXsJZ=g*Y~MAq3P?YN@x z;E{3L>7HL5@<uUnbytjb_ul)a%E_`V&9U<Ho>n%d*}MT($JL}ba(tS1EsHt(vyX+T zc=Liet1C?cOw$`KS*l%f;GKMbYS}v11^2yHXaCq)s=2cK^^UlEcW&vJ?)cdHFKN%& zMcK<O^PW{@6|An=zwl9}mACG<sqy8?8M5E%qO+1CS2<r#vTuJ~pwRo(c2))Vy-hnN zNX*w;#+A2pc43ZW_`buzTSD@Rx8z^HuxKHx+|+#er4^iZe{@QJU0U0|BH$4Jsq*PT zJA{7k6O8^Wv}bzbuiIz7uFamkJtr{uz>54e0SUj%SFY|$?4C7W>h`wm;QPDQxxU^n zzTxL>neGGA^1YdzFI~E^=d1lJ@eNl`v243J<J7k@?O^T<C2!uBG4Ic8=6IvE-*)B( zlfBa{Cu(e6w%zFX?S)5n<X<wqS)#u>BvN|c8JRgbs;~F^Y@hV#?$TvpM(<DOyeZL+ zOg-YaWzy8A{^jNe%XmM|UGXFAPM5y7`Erd_`T4rrW##V6N2giusVUFNRC~R3W|HLZ zSgY5raZSnlyv4n9cSX3RTcrtxC-oa8sduG5DPL;cp(?>2nYANyhmPs-W%<RvrZ;}% zT1j^v^xxXYbSx#xZ5DUWzhz%GSe(3VzCQCudM#t}>VlPl^UJSZ*`D)bXM<<x4i>fa z&vRGqvTQB+C|1e&PJhYjb$YR->|8f*yj*3Sal|j=?n0-`$dIO~)|b7FWED$;t3FO& zs_G~7>Zu!J_dcDw6Z{rcXfE<wd0l?S{LB}s?zOKsB_5paw#=)1xA?4?tM0sr%S~IQ zc5cc6DdS+%mp*GvjhD(!^;!Gd$X4dn&25#xpHy`Gj$eAaDKqf1;=9_ZvmSe|yVW*7 zYWwQ^oSPS7)=zssX`;})db9k<19P=vn6|Gj@Vv9?(9;KLqI%-ys|qT2oSWJl_ia_t zj$^Ku=IxLP3wtOO5!mXTR4J;*etK2G&WNbi(}yRmzw~$eiy+=zuCaUTq`tojfB7!{ zk^Q#6j;yN=J8hB>4a?rvCV!>m|Mx1LA6m8_MQp#8)?cqYAo_{LclG?mGGaTvOue{O zD`M++CcS4CEP6GmC9iK>+%`8VWsbW329DX+|IVL(YuoCBJJ<KzTWw>%B_lTeSJ2VO zO)Og$y1tH@^Rw&K?OCg4F8Ii9+LA0)+ji`i{8fYX?aMC5OD^`$&D7XCW9D~ljemE~ z$W)qy{$H~?f3@_1pJ(>On`~K?A8Pekb5qS@mzRlO=05unUN$9Zj*51qzF6o4CclD+ z2NpA%h5BwE*eKI}Aa&E9N4jqci|sCWi2ANyzj9NsOL@Q8s`4*?W@%OJmA~Ft9kFsB z<Hf((t3t){r5D`39<nqe;?CLft!-g{h1^e^bP;WRCCuAfxcR<^nMJQx|Bv#2vsMY` zUU1g2s`Z`c^l+KkU$f02>mt59XzBZ+dhEyhRe>c5I}cPBC1@9Svg?$8nD$if-iAAe zv#0&8IQoe}KT_@y>v3uB3XgBMjl?Ss6m|aADKF@Ms@J#i&SC3mzbnpvVvxP@n*ZWN znX6y>zpnbPv(Wnb`j58_y-%K7{J#9<?fTOaS{vrP5mSviAjil3=GlfLdVJP5PCoHT zVs(~Xld_^)kLPQ_goSo|1||pU+S+a$zrazw_UOe0`Sbgf(|d))Bu*!G8p@Oz%sS#b zf0@+Rsg~&?>5nyMuFVm?IQe78`!kUm^IBBY>eKxaU)ml?%jDbXYuWXFZ&J`NxtZIp zTwPKwXuZ!YtXH!8o@>tkTM?p~;Z@=ryLzVFb<J6ONOi+fuIWdfX~poFg+46WxRGmm zJ8z8Tlrq8BJ3V}NcT9Yib+>@CXT>2^!#!Nnn-fEK#PF;=^fa-<QbIdCRea;QH>+k% zFBfb+>sltLUMARl#&pZ4DdmFMCxuPkDqSmxNcei)?fI$)6|6gTk1G}&o)eVRK5t^d z+#L0nu}4gcO;_4<#vTbRHqnv%qW7q4=Oe9)%S6NNivySIPK`bCbbar~<?Bk`FXoT@ z^R#ibxuoUB?ia3R6He(p5{lecxM)lFi^O#gPxl4&bf>7Azg5yJh{*kNLPn)ru)Roz zDgET9?s7rqvyzU=p(g1ks{G$5`Az;Bch7asrl7su7M|NqUDA8hva?0+;3K_9J50CS zbJa21zIK*cx!~~=-j`Qw>9+8?)@gQRcZYJy>}xw#`kpsee>6$;o~z6<{f#@kLVA<z zPP3|Qj7d^DE$fr8v-_jYcZ0sCcE>i^9FUmSE^)SSYxfK<P<okhsAy|W;?8DIopQs8 zPvz7$+&L~YjeSSbJ0l^RBdMRKt$%hj_K3!=V$pIz<&)=vV|I%fG%aM>donL@5$l)7 z8yw>KyuUo$(EQNnoJyPFm4g9oyCe<;@Hb1oN)~Wt=1IxuVC3n&a6d}sqh8Yb%IS-m zSicoUB-yvi$|w|G)_b&|^7+aimwA@W+TF2CzSQ9C9oH*ICr@Z@eWtfaZ*TXFq<2bN zly`PVOz4!iw+Z+oy3u`^b!fmx$A9l;R!<aNXM9h$IDVDKWA^G(H($@%IXz-qNS)@( zNk3)Xti9edl&BSjyBxh!s#FwSX}dsq;_g``@9s^HZ$A;Cwe$6d)GEalvRh}$7m3yX zt=w$?S#B?T^pwcpX*pYY&r08(Hob@2Sh(QS;_zN>quG<EEX<r#`!n^|^~-AEMf=TX zo+~RmY`$J<_JKQDIYPy&Hd@Yz?LB*RO;(OvT3GUD!^Kk34KJ_WaPs**YjyLbxMD5o z)tQ?szn|91VJcs>(euu_W6vIhnVN~4uijX>Be3`E@vm7qjO#*^xj!ly$4$>&>b@hc zFycY!v*pu-*1eguJZ0IUO$)#H-0V3MWZue}7FnQKyxCIcL&v%5%KBRM&3-zMKe7Eb zJI$Jx8ulquE`R;5bh%U`9j3$k43A&Gbwxi{dB39m;ys>nA9*d)mX)2%@6dFs*1G-V z;r$7skvGrs{Z;$>CitX)zti%L%q2%&y^1#bADUZw{$gq1ybisKk~tZ-x7?mL@8+zj zGDkxUyPb{Bw@lITjej<O=1<2pXF|UmERmdUzNGn0#Cs3+x@5mis%xfQVSce_?HkYE zw->LjfAnN}efBqTrt8~#UhjxG@gn`CYhmL3>u!&y*2-IPA7xEt+-s?Gp<C~Vklq8; zyOX_QdX;phR~#;4&yRffNcOaC$rC;QM|!qxq8pp5Wy(IzI4n1>;Kp%oKK6=J4+YL! z$UI!OH%97<TO$9)9cv02@9IpyakPltx}!Arqou{3GdA199FLa1?fw$HlXG4B<mWTh zb-PWruDh$y8JcuCBCu@j^k)yErvI#5c-j1!%j}OYw&tcM%~^MO`&{Ad+j>U=E<U-~ zTzmb@;X+O4%LVmq5x0-6TlRE%RCwv)&2!@xi(XhLHTyty($usYDsiF7#TPcun!Kwb z&ew#$f7QmH6%oC<2b!~T6yFJF-|kwwW!u|>ap{+Dm0b)Ey}@D?o;>+QQ14mC>sdK^ zyF!ycU)U=(yMNx*8%$r;9ur$+ztQf>wo9K18QNK`QtKEr9-g}OO~!Cnmh;bAPOF;A zT<hyi-ZQ1oCpIoWQnhN`r`s2wov}S+q_;kI`-YeV?TxoqeRy=<y;7|4?ace;Uek`; zDr2vm`gH5tb7%T9IPaL;n5#Ce?^bZ+?RSf`H*MN>_wUr5+tzB|O|W!alk2@^&o*0^ z>#mhXRzB$l8@Jhd&0jo2E3HsCHqkfxw)&BfRXe-(P1k$;=~mf%+1Fd&{yWp3!nr1F zD*KB?YuDuR-vY(B<i>4REp~6SO*!dWm|K0r?eW&Pf>zu|T_;36DTqigjs2h+b3l0- zw@up4Bf`_HD^3-OpO1L=(6YQzDBI#qf^K{4n#UX3LuI~gY&^_(t=Qs-HJ^J$hW>Q6 zz1wR2*7$12JkU9<x^B~*gUPF$#oDK&AGx)yqbvLN@*}sly_99KQM|UT^ySvKR!O<5 zbzg3M8#QyE==%HXR$Pr|JM{R>-48;6i5sknx9@EVx$%QJtbe~Lzuw<_dpkO!f7N`N z{N77HT5W>do_TfC<z{V-Tl0u%UgXRJ#oCiA5>xr&qGoo7hdq0^b?VO@xv7kKsdZm9 zHt*=w+vwc5v`k~;4L(_&?at!bZ!R46vi`F13?K8GrKc8raojO?ruz<|HFs9XrQX|f zdA-v#yP%f#m(w+F|G(n)c5hwA)rjc(`?l?CV3!fwG5@6>*Yf)dR~`NQzF4R9MTkfF z&G}zu&-xYL`%86o>iyd*4}5xmxqFU^?*4?WCfAiWO!_<}Ze7%z*MborYcGHJvN|eg zd*1aM7dF*?-eGm?McB^OpVFicvW9JpNishz`)1{q#a!$4bz|O##!Wcm)cE<nS!^t8 zTAb|O$*%=>%zZs^$JEz_1)D#$#T~kAHFsg{)bhVMYK?z?s&z8|RAXm9J-^0#wpac! zxug{b60Ji+i(3{lxxd}Ats$95G$Ma_DeIcV6$heLnNE#o+2^=**{9hDuCEQKUvi0$ zbzRiLU01ZrGzxBVU2Toq<lNXjHE|u2cJv(U`+{dpKi7R?UFDe`7qD;k>xnOBRO_+J z?#qr^q+(;g)%$sdv#4HUZ|DvcQ0>2A=Beu0w;r8Zxu^2n*A=`asr$Su{{0S*6)#^o zC*#_>)JLx?Z|~2(6_px$H2i;gz~hXI0_->3c-dX!e%2kZ{T=xwcS`)mb)RMxU;D5* zYw~wXoeu}*9iRX8_*b`}Vqal#iK9iG7j?=F+MepMZM<_hV%l$s<DVEFN6IBJomTew zGfy+FvpweHDJHeThf5EnO!M|h+}XTRr~KmFr*ii;{rvv1?<wQG%{DIV-~I?%f!0q6 zir>>*AS`}Q@Wq+da>E&i<=P5v91q}QmpJxN;IO4kqD$)Bj0c|<$HeYaU$)pxH~Q6C zxm|VsJa$zsoyU&{wd95TT*<k5oyZg4)crG_{L*%}-KTzR?IT-l*<Gepb^bgDmi~6# zyW+E0dCEtw?dGRhe+8(o|G<>?k*)FO9>*lU`~rjN<~wE_m$o^RzCLJ?{%P4S$veCM z>3lb6cxuPC$>sphwDuV%KXF{nWevIaB4**UV_lAGuW6Y(dpI*bFP6z#JLB;Li<yrL z3T%!)eB{!1_^|1}BvrkSZ0zEd&E4F44j#6=_u#QkK1V^Dd5gtaX{9-vByU|?eROV? z=;fTV%Q6IZ9CV+s!*lKbNALSo*^j^Wm7YGW>c!RBUrt@$-R7Y!BH?rJ)B2$1HvtJc zoZio6(%P98r&bDIW6}2i@Mx)qIH%)R-?QS2Y-B@uc&Az~JMUU)wW#3Zx|ha*d5@;J z&wsK~gP&vL<Lhs>Z;&tkv)s%g=AWL=Q-0|ev)B37??_noWXA!XY2H5RJDW32@&o@J zW?h}KQowiJ|B?eCw;wLGkJ)gmY)23O{8Z~bU)s5^-Qd&z_poW|(`%ED{i^n1U9-Wt z@#)iLt_PT&8HXguIjcT1x^hsadYQ_!n+uzlmc2;ol&y-$X}1%*nb>f%Od@HatXqV` z;Yad%Gm_e~ekZgGO@4FXpiAVdHNBk=7yS8@|8eK%PrYqRls_l@-~DOtZMFV)AD*(; zCY`>W@149h(bMkV|7A6c^`4&kv+C2Y+n4gEwrT#|eQNcm^VUaiU$Ia9uW@*pm%GxA z=H5^Cv;WS1HcM;kg?$a(@hPTTfBjhWrTwIK6r(J6n}%V4)S@V%8ST{;d2`&8j&6_i z^?iCj-IQOOcYc3pn^;n*oA9e&RwA2bz1n?$nXyI*n|qp(MhM$=DaP16=Tib!@T@bg zvAZT6)xmpzn%#$$TR){Ay%P1V*hy^1hsc-HS5Jv?xPD$Ub^-TF?-I3A_PHK+UEPDe zUuZnF?8xu)95)^HPrXetQ>~uideSZ}Xj9aJ;G&L~Q)CivJ@1@#VUyOUm2GMUPd~eC z*2rS@F>`J7T&7`oMMT!ez*YRQ@5RFw%I-qRyk`$eI2Rk<x?s~|WFoK3t9yXMIk>vQ zx!XWz>dP?uzl+@aJ5R2Q6Jb@W3H-%T=p-Vc?i-pEQpBLSO6c*~6@0(ctaAfPE*=-L z{bF{MVeh`PbK+hsu;sk!%I|!2@v*7zC$C+-M6959($%QH)y8ejc`hm9e>tumj&b^G z*dB0I#&?C_I;qMDTKvYm>q9DMIIghUCM3v|V;JJTwbi#p%fQI=$<!-M*QA#|dl7Wo z;mCv;IZw`B+${O9bYoY5t&fV*j~Nat1g}X7#+1rF?s;ACwN%Dv-Qla(3ukmJ+_kyT zF1$R$DKvIgK<IL{5c&1{4X-lrbDAD<R8F6_YIknSs&zlR-yE&{HLG9h`kR;8c5X)| z?8tv|DEPXKnYD|?)uR$lUkzpj)b=P{VJPq1-1=g+Y}FL0haD5+<EI|Ua|+c~QeL-y zT`v2>QiB^OX0BhC%dxOZ!exaWn^0Cm?2(&JUkuls4ZnWvd;oK)sCmz7jw_*Wj32SR z()uR6s++mx)k7=6>&H!<c|(7G)19&Ez}5UszFJpwa~Tq+?Qmi#E0`S+TViPCv%=`k z%z)Ywr7H}woTg6o%IOnNMY123UO8v4d6C%4IeV2u<o9;$V7ym1dFI70r^+JArd^qQ zrC{;P7wc}`&)}<iCp%$o_18(|Es|Fq-#@vvU!$rbHSN@K?_iz}heVWn&d061lHLCN zX4`_ROvl7HA`^H7ogYPROIbSamAYV@xIf4G_Tw$D9t$pHtMFPOs3w(uS@^H?;nk;e zc08QAN~JB0J$|j&2NUZbS&=(8o9cXhQhnKC{ye{Y!Bx%IZ?4n*T2j^ip=yWw3OhN0 zRZ^3BcT`!)&VQ>J<Ue6D$JK+fN=@DEEw2)_1P>lJapE<Y8=!1s_~_Njg3iL+s;pOe zE*B2xTz?bfxSDm3DbwqV+wBDp9b|ASHJlJ|RmN$B9h-1ggJO%+!?_cUZ5QyF?x}K+ zbz5P#OUgHbf9_o#(@V}%0&OPh&0}{{`_f@`$YQQs;BtXg-J))?uB$4<gje;mwn!yZ zmMxf+HRtX`S;5lxF|%dUAD{bqX$RX~b9IT@;QoD&H!ofvGJW?so9WHw_oWwg?@SA` z%`tYBkM=P<kQUtYL2GucJO9boo18a=UHvjycG6ZI1EuKaeR7kgr*_}I==|wdj-pkS z$32G?a%MuS`h8oZ5>yMf2`F#A`rt)l)ryJnw!Q0&)>+<r-D|wga$cOfu%Swr^v(;x zFEtLmPBK_nHN$6x-8F$iW*yZl9Kt;uyIk|lynM}Hem!P-{Oy-sgR?@?J(pij+chyD zHb*IhKbkW%H=on=K$%mo!HFFfdwK6B#mt$<d0k5U`HSw?sarU0Mb8=eEmz-U>-N6j z>dS3X%P(KPz3t7DwV|DQ$6kI}vwbed!-Q=UIc;B`RSDt$%yIR2jT7&N2?5G$4E6l- zxvxuDUM_y<_xRDHzwz##C$fBVVm9A<m1}-(xq1BVo)i1{K3>acYBYLpcBav+-00l$ z<>y`>`}rj;rC(6#iejAf^X@5?>l6F7{Nzk5+i*f7)z*2g@)d^Vn;-AaJNA2%abw=m z-@U&sEWV`s_*O}2iSa4N=iAE5u3h9l6nyifLwOT(8e5Evi7S7*&&A`3!6D&U^E6!e zjlBi)($=568a?lJi&lZ!?d@Bm4oDu-DKv{_T_-nHJcaw()y1DgoI<^Qz?ipSl0|e! z`AxOQuQvXgGBG~(>GR(1?$>{c%A^;hHg>!4baK1tm9YAo7p=*zU|U!<!*hjQnqXE# zHz+5cF>?<O|E#LKzv|1Jxo<P0bQ87~p8dFY+g2BzPH#88FAJ=WpV%lD@?2<@R0wA% z@2VE51ogsg0{uNz*PP#`DetdZao2MN)8<XtscXdw?rU^bu)Lc&S0J{QJ#YF%^IG=2 zO@*>m5pf<X<irG5^&f4KN-!_nCa}I|(uMK|?<B9UdB63oVbq@W>vvX{y<M8xD0j^C zwRLfIZ_JD8g5Mlh5A!*Fy*RT&I>G*7?}eiuUgbUzS}c0}_5sCXr7XX!+=L8O_#~cR z-hKGE+s>Do?*tcCS$Md7DC`JemQlOHp}*<!<hXA-XZhamx-VzgcU}C?nG@6Z-P_xu zb<xyX{KdK&6YK03^K1;Ny}inx)Gd4Qa7N{h{>RHC9<*q>y_np)vikL=oZJ1=t=_vH zTXo&!^yX_7o|kQ_7go7$R?6-bH<`ORY;Td}{Iw#r;-&uI+VyL<mu%Oxnvvh7=QqpH zR>xqjo!G3T2G?a04_(Zb?PD&miT?hPw_)?L(4<1M6>%}ThOXk?z84Qm1%KIiM&#<X z`y$G7wCzu?IXaEEK0k2v{4*K1_oe=p`ujS4`+4v4(E$-x?;QFR&%Ag?v)$9}zct@1 zGH<V)qqTpUbK=!$mNJWW+rD;+kK&)kz32C$FLz|ma=-X#nLQyQpzc|(iN_b6{cOHP z?C($2J5PGD>c<W34;R{>+Wp#O;}CCFuNYTz@FU0H89%C+FU;3GP@!qxujydxVxMlb z!2NZ)kLWSx?>9d^c3a~3NVA%W-9O^pBg50SHc31Cf9voYG-xL7wUIvYi9>zn>+6#b zC_Jm!TVefu?cRpRsdo~Vbv@=x)eAmamHE9vlX33}=|j&h9CeviedAD(d~~E-g7j(U zob<{TS)S{~ul=TRze(b<hzpsQwn`%|&QE^>TW6+^=*y`u^31yV<cvaBTwjwP`R*a_ z>DoPMl?}Ez|D4{WR<;=Xs9(&Ub@t51s;PgvBwHVS{ZzM%@A1^n2R;RV+~SzT73^9y z@$V!}rM-9T4#YKeH|u;a=y+<!x7p@^;Iwv&Q=d4FORT#->3F1GLDR~{AL+kD_c$hL z1v6LmT1`LO*j%YoZaDvGmf-24t@BcMM%~(Eb0le+d&HrF+U!Ej;D(RB$8LU`x@2Fi z>Aig+SH5qoD!k#o|I_SkB77U(6tlHQ<n1?G89u4_&GlvVN2bq6&6f$f|0Vk59EE>t z+bkVxlnYGr1!iQ*KiTS-#5UWtbK|$I3$A?K+_slRJUjATqU`C~HR+WNygK|B_y4id zI;otK(s$inBR9#*;-6rqU2sFy#_HSqX7tOaNCf|rGC9i~@m(@|!i_V}9Fk0eTYu%f ztTJoYGuv?Iu-mlXH;#N_m>nsX#C=*h=kJ+Y-KD&Fk2f5MSyoc=F+cs>I`8_$wSO+{ zS39?nKj2sT(XBDhV%|L2&>k!EZR702jA_M@+=h4T%3jUa_<p1Lb(;NYxtQ(0W_}Cm zm;S5p`()|lc?Vp}4SK9^9Sz{Co^g=%yW!l!jLQlwjtIQFBgl4p<Gpgft?butpVO2H z`(3A3(I6)ib~?4X^|kI}yK4JR^P1Uj{<^%su6BF#y6w?--&S7Uz4q_7JulB*QK>H2 zJAGD0e$U6SRg?cdsggJ8>VB7?(H_h5a6|h+nQw+2hZ*?_o(d&#wkwxxj^BRozsx52 zwcG8P-!7GUUsrZR+Go%9o9X!mRoR!%*FCLDH*P*Xr+cQ%H-qlOc4@^HF5&C$D4p3T zzxKOM@!O|D?=8OFI_-L2M=n8MytaKuw{8BfxQ{l~_moOgKig~9#wZ>8b$7jdmdJG7 zsAPYw=%wagZi(u0@$2t5%S!nB^q?WnREfYk?`sQkqqgZJZ2x+Oi~G%^4M+U=tZy7l z6u)ixCQ-V*Hs@(UgYL@KSmC^?@+#)jtkX8fBuSo@)zO_2ch9GI>h`LY#y9`9&-!8d zRJB!qR_&>ur}BgK&zXzepB)$SW5dn*X}!MdSFgTy_rJFg%Zop<oK89(`woX!t&aV= zsdb9Z292LdNt0s^Bn64j@OvfX?Rod0QBe8DIah4gEZC&EandB&HH%WjS8LupEamm> zW6LDwJBywOuxnZ#ntNVo{msls(Vwx-!aIIOKH9!=QP_dtV3CTnE}`?j7Y}j=mwdb= zV!OwvNaf?L3o?5QO~mtg&mOjP{#<zE0+WpC7J=hDx`#eKDY!jDDsQ{`ahJcor<N^L zmdoo`W4|JD?eJT}HZPg&ZGG*xb7jPCoJ#DJl_@J|IjqN5eB-bp-*1Z(iCZJI_9xFf z=6m>U-8Aku$vbE5h<umOud_E`{xjdj$uS$vU0>d<S?y^ma7S;79ZN#tOSgi>e`*C* zyFUs#cQa~BUi5t-Zr1r9+5RRjux^c+d@6XKXHwa}msi9L?mtPY*mv?U$HW<|oWYf1 zcQl13OFz{<-?aO4@6pJD@SprE1CH%_R$AK2s`D_*@EeED*{>_MI;=Z>@Ka2Gx9Cne ze(hpgyRfPIeVnVZzAg_xb^o}c?ANs$+f27;B<SV~L>y0B)H-X`wdWQrV$Us9%$`}u z<eVzpabwQo4;xzdM|7^;bMT<qy#->2_Z&RTcke;6dOpX7Zu6EKXQh>L5_oyDW=`6Z znkU8bPISknynwZj>iV1?IQBU|O6)s)aIs8#_i;-;e*YicQ!7qM%h){T)r<SU#;;%5 z+#kM2(ZPS8pYxZ8yn??r{awECT{uso^Piah$~!B<e$DtFa4z`Qs{b|bTzYe_KI@ph z^^5uCFXjLGpUq}DSA4npWXOS5tr#Zp)dik0>kd7A;3cXj9=^Juaz|L}>EmCuVzT%{ zTD6bd)r!e#pAzpVwEeU5Nv3n54~1?-w|XbVi|VoeUR|)$BB1s3;e%Q+c6^}^xe9i0 zg?A{2?ofJja=ilk@lVc{tTO8lsTL^xZ_yKbGTUa(8S|eOQ}ekBtnQ0E^4X_(=;j`y zj&jcR+&}di&08<cH+meyx@YY%wGZp0W*_;TmBVM%p_QYj7b<+#d3n~NpA~VwTNs|N z-q>mp-s^icL$p-2a9LME7LRpoukV8pQ?t&=S8vG7S#j*yqY6_q{^P4Q{<H|{)jiOf zm7}N^mfT&irE6DF!OpIPEQ{Siy}k(rqPf>6N`&;DJ$N81M~^Ke`SXSSQnUNdfyPSL z9TQs=Zn}-bc9n!?Y{`118PN{gvvQdJuG;8XvhvuogcMUV@#57RD`y1vo;|)MD~B;H zESVcLJm2y%^vcxs%utrkTr;A2eG|M)%{otBy&>bX>e#cSBvUhf;Z+-dO2qf-9@vqU zqj)SVx!Yh_*RD^7ySftU7#FYF$XT-HnA*jyQnQ<*Lwg=E=7l}vD%{Hz-jaQX_vWYj zO1q;nuD$txO}<VqAu0Lgd?sP3|LL3moBxy9a>;#LrWZ#~_UH9y|LxzkE%%oAEpz>Z zyZ}#58IJ#JZ|<KG%sR(M?dq5LK3;SD)UK{mnORb3HuFn?-pntBb~8gR=NheCb~VN5 z(9#W)+&h~&S4`8JKH0NT`{a@%S5qz>+?sOf=+~4>hkH{l9bcAWbl_5o(UDClMu$GR z$jQohEs2rwTVf;QxuizMcL|e>_Yx-=|0POuI4-`apAxk7m)ha3Eeacq{=}dCm+qvI z;OQoKV@{yTwiFTeXydN#T*;${zx6yyc6a04V7$2H#?(NiH-7OgM^<fdz4~EZ&myzS zlCHUPjJukRC6BsJ*H~NeVpd>H$!QJ7UFlN<Y>m8H<^{e|l3C*yKegL5^WfoITOK6G zMsaMg(`~siK};#fCoZY^-T~e(m%9!y{I{R=H#<o4!PMy!S$3tyW(Az_;@g#?Dn57f zq+2Gbs`9d%gBtiEHIMwx*{8JU^QG&`7wwDZWXb0nA79D#Nln{x&p9u?UFpd?XH1=H zbLNbfU0TXghRd6SIx-_Q4_)#3Yw==wV9b}Z8gjo<MCA7xdo{#Ks=A!-Su}0izk0LZ z+b3Q6r2hAMANLE<RMW<LZE6>fUy%9IJL}l;SxmoFgP8s<UiNUK+t!_eCzl*JkrI>? zV)Qbh#OP&`kI~DAk*N>X2IjsFGm?BzmU?E*#20f;OultQB}k7=HAs$4J;<(2C5W+2 zEl9CVHAt{6d{>?CTDht3L#kGavAheb%G41lFkZ}Ib6!J8->9p3y5!NLt9u?jEOq<% zQEf3x#q4tnj_lp?;6Ytf!AAw%ii#OxH8x({jB?9c1^h2LwJbk5$MnU;Y_Fw9k98e* z;w7%7mGyG^)R62`UP8*7CN)2dJb84(nkR`FVL`o$+N?XmC8sBOCIzXz=Tl1)5f?V< z$|{vSdVEKZP(qFyr=i+n7m2s#TTk9%x)=J(VeNt6UhFGFpO#yDsIb0MnJiR3*^~YI zBv0}0lRf$QCwa>APd>@eKk1~v^+_i={Iy=6i|XW?su#D~?aOk`^-^c&t4<a=KiQLA zf0C!T{$x-7^^-j1*H1plkU#09K>lP;)88teUMxsf>i1)OFC6FoAjL>?qx#H}4dydT zHtNs(vcZ1lmqLY^JOu_bc?vaV_7qr5bQhm1R9V7pG3moDCilsnoyjMcG(SGMq+9sp zlJ>hNm-GjpOli2>8B;N1UPis$I`xPK>CTXj*_|OR(FgNq|6L!nsxtZU`mJYgubRk| z-o!uaue)2=S~+oT<Ek~<uW$Oa{NS9)bS`kyfl9AwI|TkLySZQe37f6i-0wVr59Vlk z%`tV|D)sf>-pI|9BG#Kli8rT2Jqpr_Z9m;<b7i_(OpNEOFY>v*OI796wU+J^TYT81 zr--w6WzR0JkZ7kK-yZ(2r4r(1zF)R})r~r?E;oP6mP0Kmn|RgKCh7if^qhKYy>>#8 z($cWSQ@^eJKkeC_Qxkvrr=RmyQ+=jBnNLh*vYwdQWH~X_$#!DulNo=hO;)s0n=ELh z`bopkl<{iB70a0-FDCh{3N12vxggr;<)Uh(4b7RIQ@R5?r?g9UPU)Z78PX8h8PajH zGo-~bF<4&XS}3FKrb!Ox*Qi|7*0y{xcWTTR&i|_=yMk8FkJ^@f`_cQgq0+Ae%)ZXv zwCeZcmtOTb8Np|~_j{dKv_z~e(lf~+EXaH7nkCn=BRzfRM|%2RoBHhbhx5OV$w!&} z=?RVtp14)>L5h-U!J+I`8_ia^zVlaO{iZrusCu#|d-Wtw@!gX>`FBt9ls`V{B!m9s zlLGpaPI8<VuAE{quVIy|owpk6JhjO}{*ye}`zL#fFTd+@>F{EeP~!?C$p?-`l8@?) zBp(JT_4AdfEtn<yUTt#L)QLV`cl^|3?|7-j-tkehz2l*FwPcbH+m2~IZ98W9xb2v9 zVsZCk&J}6LPoG>ei}#O9(4!cmmk)A`UOocl-Vmdgk4uas6M|DquN^x)(PdtZjNcL_ z8LuTyGCoU`WIohge`CHh<a62ESJKhfuUy?8oNQtCOWy4Fc@fRVzjc>q?e<-JZw}8| zokLp{4AvOQ9&uuf{$Cy?cw<_i%C>Y7c59=q?zxh#r5!zwlFQvVH>fXexiKM7>CNx^ zE4wF1%-hFt)%DwQ{-C{29NZ>a%m|c`Ii;b;mo5@oEqV0##U7yt5pJA?Hj7;>Chp^4 zSH2{^@YjWtUmRCS>z>mPx@_FlEGc>P=$W2JiD7OZ4Xqcm%$OYLbmpvv;IpOrhmM~3 z;=01m%)WNQt8{Lot|Nzf9wh|26&mU;u85cvSo7z9&)(Du)su7I{jB)Tm-#}r`q(## zW8coq-C6$A#bwd)vh6#bgn4FpUVXQZJ1Q;hUQ!wuyg2kODJ|_@hs_knnLiiKv-<!2 zyIWdHLCU<vF-tY<!cI$;Y}~ykR=lY_cT;nDSR}^=XECQYJ@eI~WaRYnPbjrM2&g<i zBfRLwN-vh+kWPITS=Xc=VN+#l7JKQPTQRBq@~R`VmuazDtn^a!v-tG&!{44s!S{~$ zX}_#c{~a~8LO)owO?K6j2OU~FE!KF+@vRh+*ALM=z!=K4F@C398}q5JAKq>A_dNdl zc3kKq=lNQOZ^BgBcZZxj>KFP*+BWplN1v%oHla%e{HMGw+z`K0?%roP?UxnTtCx6* z@P~Hh{|Y^Mur{=)(0{7UolsTz@+ot6-B|ubl)qZ^=y5q$&JEFlPH#SW&7Oa4+c&Q@ zKT|V>L<*CW3y*L9HhrmS4$G(Nm8JW8)Yl)X(p-0}Eq>ZM*CR=bw*+pwl5kny`}gXw zkGiX0?3k?@oSrlBk=Ptv^;d_Mzx>1Wby4t)7o8O<<^QHX?a-fF7a8H*q0#RBal(7$ z$BOc{j}#AIt$6Vu%H~P;w2BuGt8Bg$n#-~6kmq-n>3TZxksr^ei4QXhr>i?PDSw>s zsK6%0LWEydrl(zx?}#)1@5c-K*M2lOaIZol$^WC9u3-O@yyHc09)#%>6&j0G?hx#* ziRo}<Ja=@FfOvN>bN{NNzp^&z2)xm<)7Ij!j0q5F`rPGI=oct)POGaqx$Ds*Y1fYh zZh<v(!lp1R7g0TO@{!EUaE-E4VsVGnMOq*1SQJpRR)Z&7^ytAP*TRh+fi^lJBJ$iK zst0OZISu{yaoD{+(bW8Q(}SehIu$q6x$X2$J2iN3IuPw*qkJ|gcf%SBCNYtH3|f4X zU0Y{&ML%D3BzBd{Mwh7)Vyh=LyRLeYv{36K>wK-BGZuL<K3f^ovGZv3eb&x&@11h@ z?4D`7tYDtG)=Ok_NN3_QEz21Tz4Vr?p46VX>Phk{t&e4kycEA_T|ay}WTj!;lCDGE zA%Qk$!bRkxrzo>WEYwh(w!8JV=#oDkd3hfLqdk>pEY1{}Y|@(;R(WRisl+rLr5S;8 zaz~YS`hJ{{kZiN$h5*0hwd2n0uOBV!R{eP4@T`gx;tw~*1o-s3@?1`u*t~M%iK9~@ zo+K9Od@{5aW4$5N?UbWe?)7nrW_#!+hYg$Vcq=QmCmuDebySpCr6J-h(wewok;RLZ z8hUJ6UG0orj~3Tg-V)$C%4K=H##x1%Z`#SG?xmX^JdBR~U>IcQ-5|J0AgRJ8{cO^g zS5bKzf2POJh<WE!soMT;m5V{pR1LQkLWc`Niwu0G#_)uy@;ir|Jf5Jsd{4aw*I^ge zLIcOZn4WME{>LImk4Lz28n^{I_2}K(qxfiI%>GZi7S4JQ`tjd{j-nZHadw^VMVB8b z9=%d=!a!BdN<x%B)~BmoPVJbpyzryMhL0Z&j_in#)BJTzLH^?LW6n*6A15T0*rZg1 z%P|~L-l_ia!qLYSFCGTkeEDb~$5tW0?|eu9`<JXXyN#DW$==yJWBU7h>y9|@^XP4_ zv6bNe*<>L1Ni@;siT9z37murKcnWmo+IC2tUfg_dqs^z-n|EhSe}AIth^FiJiW3)f z<*Z&v@yFKm$e%jgUh(2_t&X@})zMei4ljs!k{qVPWne7UI^*N%Lu>1lY$sJqiOHQj znsV>e#)W%CH1hV}Yx^X~)&Jz)jFhJ9YBL{&M%*wJeUfHqmixtIV!~v-pqy*2ZMsK! zRZiMZs+K&K+$A0q)BJp+%@nzlw%xOT$XFY992dEsY`b@xRDP1ArtPHa=sUOF7Tw6) z*S&nz!Q+p<m$^jTNH5d1do3K5UeevJTOn`l@HqWPz#c~5Bg#A99c^FdVl(M=pr5AP zN#34zmgYx_M;}z2Fi?^EbW&e#*N*6OEfp%|>T<t!$nrDa>1tQhJ6h!P(TMG5M2WJT zP)v8bNcdyL!&56>JSci|fM;)#>%rYwIz<=N#VTJ&ch`LBc4ho^e31b2pCEY+yGgsl z_gDu{;dr-N=qPh&(vFp0d~%how<T5ndA!>g{>uELd(rFDe>eW{c<1?Z!cq4k|Ip4y zGqntD!d2PzLrxye4*m3D!<`!M{wLR_zOUHwWP_QQppJ&!Vxd63^^X+g6(1=con3L_ zgPELFg*bogp8oclBR%bQcE=7goPV6yk@xiHp4OyNo=;*o_x{lEY}w;~)VXWk#|h@U zDo#Yl+B`X{C-<pLoWJsLcRQoo(Zd3(lPXgpL{BTvmkmF@r=~1Mm|yeSG3U0@j~5R9 zs(6v)Z1ZJ<q1>+<BK*ubeeH^2$3DGCNY&}DDZ3-}`P0q9XqzQB<oJK~*~wYmkmQej z)736lcHCLMI%#Ktp@LYYghY1@PnRoW+>xlPj_H06C&~oMpVTeqDp&Si!VqS$)O35r ztOMH<_o)czeDuETsPf_6LJgMJBCSb#7a8OPh_FkG9zB}m`f)>K;19E~K&G6~DFU~j zbT5d|imnj9xlV({TBJ2;`67ciK_cwCMUNhpbN#p>Jh0|X@DzsMqN+zkekw^!J1KUr zy-kzrV1ety-!iU=5-T-ouCCM2bJOl>H|#pJUA9XoVa}o!3H|t%=BJxl%Qta$IT-{7 z{<!BED50j^)x5ClQPQeK6*HD;*ewH9vrb|*Yo@TuuTD`skQK?XQLS#)pGO;Ntkr^k zoZ4U`{%op@-b$f^siBJ^7JKo8hn_rW8d_8sJN1W~)6|+fA*%Z%f|d$ApYr-)chu1% zzi;$?dNO-DN8c);qlTeLKcc3}$b_qktB0IC>>2v0&}%BwoUo+=>8HByc|3Yu__XSX zaDnRF8QVfSlNM?j>IA8>cZZ%lDir!@L&($`o#3Smr$aT5a6PS($q8N8{(a9>FROcB z!&VB#N3Re%%oJL*A#7^QnqXD_*P$nmv#BoE+oqX&`f!=+!_%d%oCR@#PB8^uv**8< zc!y`d3FoQ)CuzTyn&!NjcxTO{>ypY_xi&A|Ays^e`}HH`ojWHjW&7L}KfCAAp|H$- zDX)51gYGo7K6QEOUKHKE`|u05T)yfE8#RjqT+RV@=MpOllg@LqD5o?gP0=yvna0X) z`=oi-3r%jhs?$yVcAEqitk-FIq5gEvqXj7s7Q59)Do7}Bi!i4)CQi_?kkH}QYdg`@ zzHrln<QY0F5_;T<d6z2AN%DDyKT6mo^7*BEm+hVjmwrn`<;)M1N$3!1e-YiYIJ%<d zhvu^L_mhvjOJe(6$XA@&n9!#aaAq27TjZt(3CnbL%uwW(b34)0pLz6k56iwAlakt0 zgU+<uX_jsD=(5$Bk|fW)#Nt4WCZFMYk@~BXUY~e)W&I<=gPxkpEEJFO9AA3l;Ps6W zduBYIA@bSMr%SWX!|-sTX4wX1vF>sKnJG#4%9qYa+Am`KW^xjHb@Ij^uYXl9nX#Q) zbJ-2mqdeA2Zya10p%b^FEV_#`&(rXzuV&f~#iM-djwgLQ{$RbQ;lWVNWjmCP@+@C^ z<KWT=oqr9#T{YEqC>#}ezI4XJIU?2;(%nbr>-`Vw;@meesmnO%%!arwS)HGuiN7Yi zv+dK~cIwr!^Df#kF+QS4YkWVfb~5j((>}X-V}KZk*a@biHIWH3)VcYd9b>&%_WT4> zeDrCiqdJiZGc>vR(nN~m!f&b=?F#MU+~#2z&*^1&SWWZU?|l(EpLRHQaZd9xJZhzx z79rj3S|6o+wC14FQJ&RHX5@cL-uS{^d&!K1og%?Hlat#1?e9Cj=K@Huc*%@}Z6cqA zrYFTc2s(4xTCDq@acmdoGEc*!A)3#ATWgjXSc1enyDBG7Nb2GYI%5#mCEL?|bkYBw zsYy+SK|Y32U6O8|8=EWl>)qjAnZN2~#*w9u)(Q%4OM1JW`)uHzH6bSZO*c7gh!WEX zJIQp|E3#n2qwB3l7YQs9Z`2DHX-e%nq;BT=FnIQ&4<~(tTt3Gw(O}^gZB1%iWN;>2 zggscr)#MNV{z;-u-@BYX`UOhd)9PwApQN<wNVcnD#ZnCsebLs3t&1#nC~Gb%wh0z# zTHfXK(IrqqPP?nweUj3ylg_S+6$>;(zKga#oVUnghqmUT!#3d}`({jg<y123Vb>u8 zpFkO&sUjCk+cdZiCb%w?SfathEPC`HgQuW=-w%zak$di~oBwFxi`5!DwIW9y^F0Oi z3tunQV6hc#UDUqFpd?&`{qCc=K_yexZE`5sX(9ZSwQK5s4bB>6Ep9%sf5~r5E>_<Z z)8v}pDcYLUxyV2#RD}JsitCf|or?t41c=y)XmTCSaxFB74{|wvZ;eJxU#Lje+O9(z zd;?{2ri)zMSgyf!P{nnj#43$Fn%Z5>4<{*EedBOd1eKAeS8CLpU#Y=&%&Y6gjmILb z340cOu=Ea;Xw%@5cTjaLd2_SN$sjyX<C!McVJX+b4W1w?R%o!i7HwUhEz-)r;Hdr{ z5fS$HDy}7Ows$#wa0~o#VT}e4zv$6}#h!w)zh^G`urLxVR+AAX!p`4y|8b{C-2?Yc z4j0t#<+>)z>2TM$>vQw59pmoq?6Y+U<oKn{<!bMm_+qsNpWPAthR(jb(Vsf{{(5~3 z*b^qQPbW0U@`i%uB9&(vTnBYr7tUCz!DB3P^k9jnpltQ5MFKvdB3&oD4jBXn%JfVW zxwx@flS}@+XlwuXu0zMGT^~N)yQHJ<ZfGEfo!3$=t8W{A*c@{?{%)xTUlqvzwj!+y zb}tGj2^MKv*Y)VZ;wjD-H`;4(#TRRW@nL1xhuL}{Uu|9VA#AzEo@8w<c_We5@*K}j zt8I%u^sNO8y}!}*=t1tD;4U|FMLWlCGxInxjbkU74o5^j;MI$J(f8uUV$s%wDT@Mn zLPXjGyAD0q0BaZE2^Hy5>^fu+5h%kmN#x>2b}g=h46X}btk>ZAD{|B^-cvC3j(Z@- zy5ri%1egBlU1TsPP=tLsD2{@=oC<;hHT*QV4i~x>e%QLCqp#K{kfTqF>!_b=qQx2w zK0eQ`7dO~NTOTwm3V0JP(pKB`C}I7Qj=sI_fgEMpTu1d>6D<~L@W~ys?$mh3zR95= zUQFZNNv6ZGkq>^a*rDL{W1Eh^ok^@+vo{?ma2AuPkrsD(7&n=<Y4s+DLRT>fz0*z2 z-i3}+Ub{vr>`>qqd7j$%aE^|Jg|zsQh@W=*76~{%-S%UHlG~}bn+_O6i^=$OaVO1~ zqr=S-oYI)IO2@!wGOL~8|5YD)G{5cIqh+|lH_^?(;3kiT+DyjzTh1Oh$P)JBpSz4p zx%shZj)M{03nh{jYcA#~^0`TNN>sT=aU87UUU(y2k*8Ys$U!}2LFX@i+=?&K6!~^Z zbxKUL4>DH#k)SB@UbjX6bFWK}T)uA0quy47J=+A>^?O}-G@^UMrE=GH-Pa1@I9%&3 z#s0m=rN@w8r{%%I)&QGL0{h%H3b6b4xbS%R>$W_Y*&1N8U0~n8O#*S(+?3qbhl@FV zxaFkrE{fxDH21@`r#y}Xe81;Z@p`L3SHIYy>t~ON3Eh3HS@+&Y$%gsv*@gw}k^x^# znD@yWFthLVz1j7S^Xo=|rq9c|d)^7kZWL%+EcWQZjENnu|KD=r=!@ov?~dd+?8#kN zaLVIILB%a6j&l(l@##?<hqsFzdY-2&=={QxThSs%QAAv)<>8{%52~G+`$Sv|Zt`%f zo56TA)Hq>B8XMob*$q2>NvAm!T;|cpo5^@M*Z9F{H(`Ozr=*P)B$8kGByE$-X5)E$ z=D<M@VS(VY3C0Q%DQqIlXB!e5BrRU#GbR0dna;*?_)J66JV}Eyrp)ZcJ`O&%o6j~R zOp^>aW5V1fnf4%I4`)YY`Yy=;A7kdWm1z$Wx;S0DGmIZ}M;aFxT-2<&t@+QoG5l!$ zE|>dHP9?g3jI0t7&e5-9Rh56f!B33i+9{@^QjrM}y4-wWC!4ybZhDZ|s`Dd4kDIaT zq*KT9OH%`KCi9rIr-uk}%sa(&R5<cMw_Iew2M@6rn<=dP{3!>I*G6&__=`E+nObyi zgU>0;(+~TmGzs3B#%h;vlIgHyWI=(mSWL`xR{rZL2aktFaum3VImJvXdY8~WrC7b) zJBaJ!_H8MR{>GaQ6vT<i%$dw8o}PN}@b*m)7Vo~&6`M0HiGTKz8^_%=`8GIqIj>1? zWq%qU5?*eSRPk}Tt5VL<UGe`tkIG-Uc4^hED!Y5;)0y_2&|w!@e~R(&ai@UWA(Ib> zehmNhnepJ(B8S4gG6pfH*!@c8EqvP0zv5{_l8a@6L?p+e&ujkg5fRAIU>ET|#d!FV zMuy78$|@K34yLBKrwxnBx%FFXE?hivVfleY#=g2TN>-OUiX03#$r$wfniC#l_aZ|$ z`0Lb;ZO_hM)3r(x2`JHL=doQJ?C$6u%~#8<WA*jHA;m?({F>|{hfgscp7P>K;03|j z>rZYyuMhd?|4M6iQN+br|6Mk0`p}sa`cPQ@EZ2OQHJUX=8@GKpdHB;Ib@|uP|F=Bi z|GB*Hl$+hUeR*2$Ecr=-M{h?Q`Jg9eSs~vYQ`6xpXLn3VUVruv*3K2Hc6tYw=JM^1 zR%uM=*9mwtm9?#Q(}RTlIy)>BxaIgxHT56Xt(m3C{j=)skDY2kbFR3uSC?KqJoEhj z9gn`Z-k5QC(X>bDO-GlW-@`fG?z33TuQv&iFE@8TU3$KzOSAUd#!XMvMeSFdudiLR zc$sDuzq@<3b8xM@v+%ROKbDKyn>g8-Ex6zRf7zq;tfJp5z8tw-_h)mLeB=fXy9HC` zy!R-Qjg5Np#M=90sm>&RE!F4Unak$ZhHLKZbN!^a^hu4S&(_WFbycr#^sw7GWsZJE z?AtfhULdvSbyc5x2f17Seiu|E+x?{2>*UWxm#&}Nw|Gj-aiyPYf{OO(eA%)&UmvV> z^AwvG52OpezKI6gb6!K0-+G6u?eA$|y_f4_GB&vUvD8%k_$;aU>H-g|wH0X_uV0gX z)AVR2Z-mPHD6ezor+)1epEl`rgv$INuXE;=Rn4)HUh9%4)<kGp$~$~v+r0v8?~<UR zeHSlDzj*{USlIjI&oIyY4c=fE`)jB^pDnxhpdQ3K>!!@f5C6ipdkt9Qji91^!WX6A zd;)7s_B#1f%{hOAHdtf7o>|1c*6ZhN_uoh`)tJ<$H7~MewL|`f)m`ak2@`8lG%a5y zR5i!Og56VL_;`<NX6JPg<8_V`OI!?BUS7C;>(--7q(nTAi%<R}yRGb6DVUcoKDkP^ zN;*2;XO-i`E%k;g?=RfGo);|DE;gA>w(9n+2#{1sf??*3h1*yAf~DC1&shJ0MYR6& zi>lZ0A%8p-U#wW0lYRW!j!)L}grtw2-uQ{@An!&eZI+tVLfstarcIvo=isyBQ(q)- zpJHFUBkY*T{Dj1qP9Ysl^@JTU$8_c=WP*5l>IDT$d*;?O-7Hk~GFU$6z|pHlWuG~p z9i6Iia8VHxzxe6K{^F+!2Ywa_JaW>GuXT4!4tY2KxKq>W`JeX&Yo2+yEaJk{$2D$Y zovukfJ)bu1Qk^&HLEn^30leHxrnJtA(Ei5kI`L7EjXRI{<Au%KA1@sJS@GiGwdeO& z|JWQ9vErAQXSd%@+d40K^G7HD)NIQAm{MnV^IF9whVPF~Hq~r8`!U7Q?&h+JO#<bQ zPadk-#QQNN(eCE2X<c3SSFabIJ*)F*Kg;AJ)enw@@2kIlA*y?^uKl_nb+y&rx^Gv! z3%PkE<o1og*zo&Nx5G|Pmz-*q{b$YAx7;7U|6SXYkoNSvcAd`VS?A9BmhzUK{mFcO zl}PiZw2X}Wyr=93-*_2nNEdG2;l1_KWfi{-uYw={?6zq?d6KPZ<4Lxz%#&<wh9}wB zxrOzck}8$bBc`2@)$w|wC#GJ+Z!EmCU$WEYz!w*}q*KeIm{(=yu3WP+^K4?@y&YUV z3G1c?p4n{F_A~5RLgUn(5gDm+%OYp?9}N>r@O&B{{%7eim&@^4DyF=aI-3QWo=&x2 z^+Q|uWMTuaAkP-XE=RK?1~=Mdcs44EJL^_1k4RMH(bhe3P>Z{8<1HtfH5&!wvvpMt zXmE30yzeusxZ-o?Oo2WBjzrj;-81doO@V_A{<<BH*6E5KmX$6lINcUwv-Qc`M^jr3 z_G}Sg*Vj36w3z$jhciw!Hd`hz@aw1?`RiX7@zJ*Tido`}jlZI@_Z?c{@9S9?-u-ZD zefURnqZNNwZCkr*)5>L=_HNp?b?Z)1W&5jXTbtB>S^nav4by(`XNkq8PvWBXhM&G& zYy0)<R@j%-BC8Wm2Huxb&0C#&BxCRYLMh*C$vGY8OMV%II#}v#GHP1Cw&&4~sfKel z7_qCbJ#$p^wrW7nX2rITW=|5Dcz4doaF$cMIidfgnMgtxZ|jUz+qwFVaMvA;(ra)0 zWg_*MCHmDQi=%xm=Qb?&%h_)-FMrZ<-<jF^>F*w^d(KJN{PKya-$vVs#&670*X^CK z(|D>>PEKCl%2jLr>9ps+neZUmdWpsA@=eoTnFU5ZT5lHXw}9pPm(tvs&o%_gE1a6~ zAXVsDbAeD=#oQLzJ)Vd3?$u@O*Xvr#8Gd$yZll$Wc@D8}JQm26sRhXI7S3o0<_s4} zn!eRy)=|FX03kJrHRY!y&MhogQhzb^gl3gmQJY-n9mne~?-oU0dK$>%d5Gt|z2^Zw zJC#K7_meiXCwe~rW@y66{$h5E^Ov6?uYc&y-ItZUKIi?Vws*gRRxjOXs2=vTc-7Z! zM*_2R=PoE-?aSHe@VST6Q}JO<vXfttMRc|!i+Z;2@iX#9?a7=|9yB(VtrK~w%(i`L z+Z{1Y$NO5=OJB@)kYrN{U}s+aCF=C$6~^C;L$Wx9znCX&%<JMx+3@iJtB-*SXE2ZN zp*_k{>%AY`TBgObJt*+jVquMgOIW-<9y0hMs(JV=%c}=|Or;+c8mlT+=yPy|=oGI0 zaKwP|S!KxN!)rrDuRiHe@hQA*P&CEh@M4uW4+@3KKB{n5?^wCMglosvwR7_|uZ3qS zdvEc|{I_T;n>Eje(+j$utg1LQH?%(bW3Xu0wUBKcu6uXfpL=+n3HQNwTDkR$B8q0r ze5E*J>Z^q_=DtdtF?m{Q*WXOBw&qMR_T!gy^!E8}uCSPw_Hm=ZS)qigS*k~P_C{~7 zi@ds2Z~v0H(Mz{(>UfsELgv`Z&s9CbJ^oKbgzZdIHoRK>?N-~iQ^(qVExRS<bLD;X zGu^dYC$dhqySi=V+W4^RTRwUJu&EdQ>~nUmwUw#)wS;}FW@h2_Z7Y8<2smEst_Y7z z(6Z_KynJp<>WRvUpKdaB_ptq2xoYRiWoy3`pD_~c{}G}$@8+^cg7dqM8efmFnX45o zeQVWh>+*GH663m>6CAsmlj^#f%N=TeMwfi>EG%JCjhO798Kxf4CYq+zHRJk&q-0*V zEsE``oKq4qnU+RObC8@CE_vGf!m(TWNA53;pZG{2V&<a<s>$Uab~cqOuG#o})6Dhz z-=tpm*`U%GwCC>;KgqVbq?~Pmhx6C`StOS2tIQw&D8zhwnE6M|j2659M=cI|DCfVs zbK%N`xWm@ZHIl!%1dEnzQ7v_;+#I=d{UN1$VK>z#^>0-9ANw?YYg7N#_m$h~G~eC+ zD0sE)N5ZepGV@gpMKcmpS&Y~ABu$%YcxIasd-0kxM`N@<8=OB?b7uP{2JSUFN1UQ3 ze*AfKTF<i$Cr)vQMN1wH(N3=TEiGMq;qI)y_w{SfIEQP0{&=x!AA|fFog=^RM|AZh zE_z#{(>{Hhvr$v{HfO6DDXSI4C+D6xEF%5M@Jt(%&*p^!mwopJEztkr6FK?t>-#E& zP3Fh6*JM6;e9+`_#?kNcv9F?cnQXh7v?_DP+Lf#Se$qDN^fkQHwr$$2Yu9A%{XOQ# zSvBj}My91NraDMgy^iMblit7T*Q%wP*7CZq_PKuhhv@l_f|q|B4@`_LxzTj{6Zc~0 zWvQ8**G<f?F6!U7PHMkUci6_swh3CBZXLVzip}o(!uRTJ!Xlaf-U|QrsqBd6_%>@= z`q!;f*M^>r-)3sIC1Gyn%Nr}Fofq75>sM`3E6d-v6OtM-^UfSzp_;T{<vportMXOd zVOwtd{_HDQSha2Ds&;9q&o8$}T{0KDoNxGc`;LV>*DhSScjex#6RXW;YjsqA(oLAW z@{6^*a86y~?Uvrq`|E#jU%k}AyX=gq>GsdY;bEqqx@1$d_HJrSDA?4P<gux7VS8pz z=BtdAYYv((<GX$*X6pVoiOUif&CI_g{drII)Wn+HyzZ=}vsYePB(#3r>hlY;h17nm zxX-Sc+{N!X<KbRW_qksetTc6>as9D%_N^D2?nN2OPb#jM+`<{Bp4b)cIpg8de_IzY z>6tu=4s%|pZgXA!jtGygX~i;odtHZpe{*x6&))95Y+6{s7PIiHk20Uyul*6(q^R}p z@cciUyY~AUh@LNdB7FPckrh!p79Icf)+|x$g8MP=u6cb|L&LV3g*;x7nRCiIYSrz6 zGbZbv7tX%%_0IM8&yQLh-0R|3czwp-M~@Gh@81^O)LUBSwb8WE=*=4Ao-o}R8B))q zmK5eSWiAjo&#_1_v1aPGKl6k4MM$Qzu_XIW4)R|9=WK)FvWuG;7H|8qb>%NEws|)L zpEZB(oVoq`#AO$Cn|@|XCO&I@pYXKc&J{DSIS*WvmRrm`A?c@D#J=1&x!ilX(`EUT zSzn^8I$IrHa-FN0^i0uW!mEWp%&s0TFuVHr!JLm>R^iWHFP{JMox?=8wGa0g))ptH zW;_25f068SKkDi+^9MhTznGbczk6`npT}Ho&fyG&7pZT=Do=&)<(#sp|DQzn<&3(0 z3A1)ZYv2BPD6vy>szZ%+hO<cb7x$1#5zz;(mrc2(Y8lfLw=Uc~)%*V>&GmcgDo?Rq zZL5>%{d~4GckBG;1vgDLPvK<=_bD#>xb0o2waSjPc9HA8kKJ#F`_^<`zHy=D=CVsd z7P}ruFI&5Ofz4B$=u<0Hj)%<tbVO5r!N!wU-rwGrrG3uQc-3T!gC&;_?(Q&)`7F9D zRQl7MrMkaveF!ObI;gR1#;uUL+WjHxD?TQ;%M@*z=cTYwbykYoqm%bsq?KkQIEy^a z?MR%%YblZKtat6@Q^kOPo_DMEDsc1fE{a%Y-ovCEk(Vl>y{0E|=~T;z)KtB&*K0e= zBkoCX`M(W+J$KjIg?6hV0vlI<dGzq~maLBn6}`NIYt~%br*K0rPJ8m<B5uWqM4Ol| zd*@sKI%n6<@$QzuQCsPx9r@0D>uyizZZ~`Ka3b%|iX3Ogc{c(&mWMtoT67~p)3I%< z*rSA*tvhC9D$3<W6g_yntd(U)s-j|^bZ3O5-DZKN=VDHU_najBqB@#`f62%QZj4)X zD6_Wk!o2z;bN25(BJQop*OVV5Q?qc&qX(x|YB_@nKA7IM4F0rAuhf*cwDe-PX@SyF z#{$Kpj)j5o-`)Bi<?MEo4@{bqu(r;8wQbct)x0N9->1c1`YySt^+D0B)<<5mS|6@@ znbj?3cj9S*?or1N3P&9ccUj#$Xy6sk_eA#M<s%b0rzE&AEtQz(AldeEarN$sO~KbM zT)A+K^Yxdlfh|Xa)p@R_@8H-Lx-GH8&eYAv#_&+WVGp^xGtMPW;1%RCnXBhiqrB#R zhL%Co_As}P=T1r7i=NrcANK4~>(t5@S$o;!@2=5tDA(q`csMLYggNJA2A}QPo(0pV z27cLQ)MmTQdpX~=SN85&2I9xpoH?AQ{kibYDW*9aHVKG-%~yIR>0E#NsiB?LO}>*q z3R0|>G}mq8D!O>R&8EiW%wNGzA1}2r?b)<YV14h@;ON^smkO_Y*}sofSpQn?U!m(? z^Lq=TW(DV|CN@=j&PehXN~_4yDejBT`fy~%mM?prZ`|m$=(%nGm-j2(G<LQAzEE`I zQ14`Rv6vl)OXL6ddGomcKJ;}Nr_ncq7wew##QsQnQtrDWdDWUrn-_8aG7rDi?Oz#{ zt^HFhzd18N-|pGYOs$!ZlIKa6ok)-Vd#~{KbY9=NQmcN%6o&qf^8Y=3uD0~7RlB!r z>x}jdpTx^j`?dOdA+ziJ6lUl5Db3AsC)vbpC-cfx%{aB7I5m6kjawz#otyH?JT7hx z+AV$iU#hU#tKYA_9V(ukzAQ}P?v1!b_it)g9qE00cA1&f?u~yP|EtCH2=DQKQuEBz z^wFi>x7UC5zP+(N&g|v(b;<iHZ%%WNEPH9b?e_khgJOS=g;oDt_m%r^c=p?iv9F(} zIehpS{5EeH!$<Z_H<DiY9<{#x+UKugU3&HEmH$qaZ!@3GKX3o$y=Ox#H(KAmeO6uE zIb=)YalXqd?p)H05_@MNZ}V%>q!T~Pk^{x_z4J|<?OwQasd4-4o}WS+CR8<>n(lw~ zb(xyD<2|L1TQ?q4x)pc((zOrzKej!oS=Ig^W>x#6oK@`)Z-?|Z=l5K_aN*jS_1}6v zW_5o*<v;rv`^&hWWyz+Z@2Ac;x#nV=FWdU@PsXOk2OgUm9~EqBd>F9l;6caBAu(nq zCbf;s$BHu6X06W7Tf0tl``7Q%r#Dta*T*xd-k9y6dG7W3e=KWtMH7{ttIjx4$*5Ko zSJyd5eEz=zlVgi7U9K;?8CPMj@qWuEezk8m1@sN1AH8<@>zN_vy0)}^<<@C(K5H(| zpDkYZL_+mmrq7+Fo^Q?--<ayaS*9K^?<LEr4cARtwqLEgx76$xb91!MPQ9e2vz{}O zf`!s<%xIC#@i?S+O+Ar+w@<04?OMV6O#3reJ=u2O)a2^pwvRiO9@o9zdtBEp@8<IR zPtOI<`?N|o^@?5I>}9==9#8wbY-+%p!qck3=3TaVJ2Rqgipp<~xllh*H{r{Ur!y=Q z{}rCz>Gg4W+b4tnQTIyc?u-7oys))jVe6(&^<WXZ^BP?9Lq(1rm2~}B5EWQ6CuoYm zZ&lYnu0Os8i5;CEb7X<lO_#!G&tn^c0%gtwiHLum^0;EgY7ILzZLbFLQ-w}nR%wTO z6hA+A)>k(4>(9R_qU)|sk4~Q`I?uN|_jdCy`H-6MgSw`n6%p}y)vkM|ZqL}5cJg5$ zlWN5r2hDjZ0sBh*7VyoxI(_z9ucKFOuIq*U5_VLcWN`3<ijScVr}3V3-Us;F)RvYR zs&H0I%xS6RS*73a#~SlzwPkv4$AfI?MHYF^JnA_o4th!#6`pFV*uF(kKK<3{=&3@F zdgboVX!x=9#P&3Yf;Id8@Tl???Z{VT`D;Cs^C$y%qC|!wA6sNc_rbY6GoLBX|CuFm zZgyicciMwTizF*{q_ElP&30_iP7`>vO3>uF!BHL#H_6j+e}2w(FHJktF3!EMB0-Ud zU-yV3zc*jZ7xVO4kLu<M8tgG*X4gM^;3&88hYxpnYHW;~8T`*G9GPrY+OfX#--ELP z!K;NoSI6i2t?2xB=j;W)S1+RP>-{?u^D+FHx%Jfjw=*8d=Dv+xWuWs>fn~c8ztbo7 zm)BSBIi)e{RrgJa#CDe3udc4#v~SA)iF0#$%tM^F9i4w!dzo$KiL##On{BN=DzGdU z;t$&K&2a9OhntFPtGCn~{Ak6kWRd7BqMqCFa0;)bMXs|R-|Y$Q#%50*ui|C>ajnhi zPRHMkGi-$Fn-{L@I_$PD^BBAC$_E$Ud)>c2b^9ZuRV#b*Bzmt(1zkK)ecnR(%>7M= zqu1(l-3V%4cPylJ|DO-%W-PSn(R-HMq!@K={~CW2fjwSk0ei0fa=)kWZvUQ(VexY& z7Db-Fs#bJx@g}(ptNa$O)W6&A1sF_hoc`5XS!c>uo7@><6Q+NyPG02{>2H>%5q7Ou zi09{Q&;UsPNwzNWWt-G~ZhCjkGnRe3Oa05mYnN`?Dt6cRtVX<?rA+tVS{2q$rt>+) z*f&O(c@#L_PT{yA_SbiV+^rLlDJ-_Wm1j50gw1-T!Ot0XL-DAQbxK51JKwZh3%hNX zS#>J7>c0*(`m)W4y>`tR*LdyE26s-?<ZO9ne1J`xd*i)h8GNtT^dzjE8n|YoQQOt9 zX9-j7;-36(^5%2T?Re0^8z{3)vCY}+$%6&FJ1r8N<-XmX&|iB?Zd=15-;C=lUKf0C zzc`w~cX^V*!7nO4hRU4AXM7IvrKu*0Zx&wHy{MWgwL-Z1&(a`vTj5K)UQBhc{o=R4 z?w861hQC4?9V^wMesmS^RSRnzRIBAU6<jEsyq&k}`NrLECL}DE4SKV|x$SM)i-etT zqZWO$v2PU8@mL_jEv#{P5zDKDjb?l6;({Oi)HlxGD*dT`UV8VoufpFaxrpXm^Gxir zS(K~N{rU05U7t3uw|F@7ZOotCQzw3z@E>GYtCeIwFKVgpvW@rs)^2g`5-mHiVa?>I z5Y-zyPf!1|hkX(+3;SeVk@iWvJndU~j?G)Ezty=(wajDV4L-?f*)z2c{wbCBH2V<c zZx$fqZDvq&*Yi*Bw>^$Erk-~a;-bU%J(tPk$xhn7Q2FH1#Zj)GKEzF}sR>!i(0%1_ zdqkM}Q>9&JZKJn!?!F}*a`IqAXpy1gR2!c_Rr%mh%>yN&T!x!<I=9z!x3guRRt=j_ z!K@pf8n@VDo!6c<p(hUth8Aty6?3KX<nhF-q7No$wOT}I-*XO_bmaBiAB%Q{oyz@E z^j>(@u@3>4ZSGt*k^gR@dmz@AyU_ilPsq}6k;N-6f1dU1LEEyOJJw~&t;>|Ue?mLz z%eru1<sC~hdBjc69%c3YyutT!&6+Tik9`|My%Y@sIgCvgH;c}Cmbi1-&xmE2jMK8B zI#j#V;(qV)xXdA@C0XLaCZ6rq#X9R*!oFoYXDrW@dzKZ|U^z=HY1<^p@RYRnB$51< zyUR0qs!e;}FEcrNIM4U<M(@i`Z$eBy{<`sYmfHrmlUvk{_Ierz<y<vkk2X1bIL%c! zzvJ$TIY-3RXNJicb1NNX)7{wob=I@Qxyx81R%a^aY0auId?WL852OCe$XU;l+m^LT zEY5hu`e?Gr*`qGLpAF+KJM~O%Pybl-_Gg!`$W^ba$2P=Gm01(4DxV#qc_1s4YonK4 z>KDC_qNPDoId$7vXH87nxy;aK`tlhsN*{Tf&hM6-b^fr>S@DF8%UUB=WIRgzoZ!3o z#llR!UD>nR^Ja-HSiPvTuzcC1^p9z8GiM$9;Fwo+@%54PY&-GyCiC03&wBQF>oV4g zrJ0IxTC*wyp9}jIe{{QC(-X8Voael<sqPUDS7GOmbNq^$JKG-Jn>8`1W0~QXD<<sB zre}{b_<p_+f4Sz%<t+@grn)ZjOQ*U!e|wsBQ={$8KGRjl3Zgz+{s_AqGv~4i|8vu` z$60;33j!}Y)x_$iTS;x&uH|N!5`WdCO?uX|2g{c2+_5xM?p@}r{@=629!y%+dSh9} zquxi+CcXZmr;fS?9~C_7Vd(wR`_bM<!X{@As`wTcI#|!^cb+BoV8f)D4k^zzCW#cE zN?2Oi@x7=2nu&O_>Dj{-zT5^o-RufA{O-gWc7;#24>nT1=k+h>@{e<FmuqS+nAknK z>an>wqUKwOYLmH_*Tmh4t9p|b?GYAO{OER;YmtGg?}_F9p`Q%=rq+C!e!96cGkcE> z7pHDJdr{fU8QXqkbV?q}nALo;=yPJytygP*+I+cWB5!M=d%#^+U-6#itO&L}*G!t! zXSsd!xLjc#a87&Kp1@hh+=G2T8wOowk_kPhIO~AO(ioT8_ZMn-f=%Z)`_4LlRL}Qw zf&1l}JJ+@_TsPG{V!HG7ri|B@Lg#&+QIYz|+$+h@eBPBU40pF~QQW0DYsS5M+N>JO zwwKR(_F(O@%8JFAHTS|zrknRYpXK(!N6x5a+Tq-@9);nTCDvulYR;Z@%zWdlXAj$! zvF=!!qBd*MJS{gv*SnWZ#Q9Cm9#{6|{t$85$tKWG##df=YL-y&(GuTc1K-OrK37fT zC!6XXP;q@6%=%2bInbo`%atCH$ELlBbCy|3tj^RsmNBdS;w-U*Da%?VR%9sVNAET1 zU9f%GN5+uL62G!$HP_90wrKydN(qJg0z%v6*;Wf36i{8Naq;Y@D+*R$158y98-x}a z#7vFp2~g#aU)|2XA#|!!&P3_OlOO-Qza+D!R@ppY;nNLH#-G-1SoYC({<4p;^Ox<6 zSe+?%En`;y)mdT*Q<t?ytjtJiidvV+@_9;kx7qq)6Xl~!m0<-()@%*9ETePDWMAf$ zzUPU{mi?TuG*dB6dsf8#GgnQTR-S5g(Vla9*2JWJ%M5icn6Pu3o;|AM`*}me<r<xf zTNu1eb&nXSUJiV2u~@?O{f-rxJmMy24_5j<o-OJ7xghMaQ_bRxM|}4(eU&YirdZuF zdv~QrMBTLaVaqbh8LLesH|E-``&pB7eT#s#i0_%LyG?o%wl52ObJ3)2@2qDD^Ox<s zu`E-LFJo4J^DMCk6Bcz!*SeebK9~`7;o_7UPvan&>EiZ%H%#R&*6{IV&uafWOYBj! ztMKgYFJ~P~kN5p-;34-;w$OilyNyeCo2lc*PVe1H{TCPQ^a%{Mnz7K!PHq(^^PTCc z7j@pP5R(7<WSY3{0lyQ!WiJNgt<sc?TOoA+$jV9G;j4~pFIaWNTw;|-(vq5qi(2PQ z`m8E-LUQN5#osRa+s#<<ZF82FxOM2s!)2kLHbzcmdK0!(pgPs_j+%r<y3-w1iG^Mw zheJCPCumvjSS@$&XmRML3%<TD9#4J6^}%VXlg-qn4bT5A)DrZW6kWANXV0Qr8f_<b z3UnV@xa!G+8M7`Nb`1TrF@7r3o8YAa)lbv}?v_^QnagcFBdvAcNo@6=#8pp{c4&Pp zU8(i6VvU^Lf#6WCk8A$)t!-OY_Hq5Tt?M@J+P3)BYB7F!`^~}k+;g@>)hIu#Wm2v9 z9{JMSnoE?w?xPu}@Scsb)>XAXUbDP<(E0YlB99`AOA{J6{miE9%g#DrSu^>>&xi>p zH2u_z+JZap96adq?ool#_X3THpP$V;!7StPMDd%dsQ%HXOP)+QzrS<N`J<h49=&!s zS70;I+G65~*cjg@a_dx!<gW`?Hb{5c967t>QR<E<Q|3G^(4HuK$M1<qxsc`IyDsk@ z#3_{*+E1+BG3i9DjmHy4Ikis${gb+`A3VC`i639Tu;szYE`A?PCK}s#KjE9FUL>wB zw6lGB=N$j1o<|=)auWJhV8{8}VtNbn9N$AVYwra7;_U9T&dQS3-hV1Fa#v?U=HdI{ ze{7B(`Tk5YhGnzS4vn<50>d*W9uyhaHlIGag-4G+*my^c&&dZ|2A0j*N0xlyS`;y< zPQz}p&zT2GGbS8#HMDE)PAp@a-drddqZgJ^P#H1v;M3$#w(y3lk}-<cmV^r&e)Prp z<Iap+Ht~bIc=WoyO2+U=8|{$EIrE@sqh|Bz!=HHc_U%9YfNO(lv-Xi|U$}}kX#5SR zF}pqOpz8+P=I$hSHu1yfc=XtJ8|{dBbMC>X4eHIOkKg;^RJzgN?vq5vT_=zKDG6?< ze7Q`ZSlX-p%JGD(zB;i<X^KB?to-t}uv@iVe5G8|^ObU4yI0D!<?&|9v40QlfBYzJ z)$zk~L;Cw~uaw*O$>-~btLe+9tXlA6LYai!XIsCoAEsukYG3abY|FzRWXs34G*o>4 z`(w+hY<fJu{*ajaiqk;rs&G<D)cS;q`DHI_`lKh8Y1tj-_5T{c<Neiu$M>s+#H3e+ zh89;JKa6~}^|!=~syPhILAHv&mWQ@BmuKF5xH)qFmf(*&*W|BiU*x~4ePQ{k<Bsb? z`n%_?l;gJzvbEc_Ty$ag_RQq|cJoXz`Sq7|7~_^kI_=T<Z^?A?(v6t=0lGH1mOOuT zuDTdJF+Tl8&iLsC>*ntBP5XVz1HYUq&}o||8N*kVQn2&Iyn{~{Mzc-t=a*b(c;GkB zI)V3fv!fhEnlEoYn!aWGOZ6wkw`TL`bzPT?;fcK*Eq-`G$>iq7mulk7mwe@ZEuUTU z#oL_q#q2z;i_bULulV7*Y2{+A_UVy1Ec$lZdzb4SoObxYF&(=m#+fxDe~o`i{7U^~ zSuy9ORm_*OB{uwfjepjBIrW75g7IX1m;PfsSKU6^oPPRA?w0N8%u4yU(@q{wzv*Mw z79JTTe|WQw8N2`H8!;tkgUaOE(l-8-m~>Pup+GFU!%;G)x90ly+dO7*vHN}3x4GTR z{+<5mW8CbM?jJWQcK0VHEPMP>*F#M{{&(7^N{LA)k3YZcbNyiY6(2jh<z^`>%(~u1 zZc=}CRcE=VakiTL{AlB!Kf+YKUnjqqc=XwVbg|jpagjMS4(F0SUsUhzJ-;O~NAcI+ zZCf<*)~xNWG0gw6;-BlRqsLFXcz?O@FLYMxgP-}{(p-~Ot7`u1Ty_3n@-gIM^Pl6H zV(k7x=T*M(SkCeODlkWPy<6@)nSFldf->IbfpdJ!4P|`IEiESH6%|_Ceey71*U94t zOM)9#zg#A;Jvh7d(d{eD9|K?c?dp7xx2y9}+^)`tb-PX;Y%ZDH-TqRIzyFf2UEb2! zOm}?DTX#&1(&o9pM6Tm$f$d$F0^PeVg^G8N6sX>P@}Owf$)ih4CbxILRFi*x*_W|w z$!w=LK5IqU(}Vb*JlSA-*JXq5U6+m4caLmPzxyO1f7i*Q`${Ia|9z<@zdPvkrK2xH z`rCt7%E_|_*)sYqsdAFh*T117w=v{IqNket!AJN1eeT-t{r#zd_UwrXX=chtdve^` zUf%5CTb63PYsRdzFA}}X7WZ4;>|xxNX54mT(n>Z>u~aLC`LgEe#zHx#(w5wqarVRp z#n~4R{>pI^f4xc4R_AQmmyKq#4G+x9IVP~$_^QI;Lq6<Jj9;$Gaci1-vqwaGlceOd zbYrWCX=h&~`Is$kpLny!&Mn=TO=9X<iKK{SQY9M{E;IgIW34oMVnT(P^3fYPZf%7( zd-#r}8}FJi;p~gVB(uf+o-<F~KKjSUj{mdK&zdu5pKu#kPS!v2=Ki#Q%QA9)$BF(G z_;_-?cm1^BG#>e!69=QEi!Q!37kl!sPj_nnb2E_#GkIGpeq}#y75o@{#y!mK!-Z2C zdDH*rtUn|X7jfBgM~3h7q$~M3J^kuoVo4pXo$<-vwi-3v+pqbT*M929&g(^jkFHOD zt|P8k*IIe)&=GyD^O1d;b&-{?u0K2DvVX&zyq#Phy-yd19ot?NcFbHR?AfCYQ!6bJ zQtjqNL^doB6HA)d+9_}SeydT_+i6lgbq{x*o;4w9=G2d+{ZlP(<fQ6ti<sHIHtbpQ z?5Q8$E}i-@c4=#;{6F8#M*FU97m51v`oQIDEh3-S_UN~-Idd>nySVV~DVsZ6jO6>* z>KtI!<}N&P=!n1g{;ft$o5S1+@12s+i;$G(UVG+flJ@6<J4QVn@^<q!Y+`6$dz`26 z(j>n>YM-`D6`Zq?C;Dgn#VFyRn&ecT`%yESH-|k-+MoJHbpIxsd|&Yg+1lKN7Y`j- zud5%eXZP!|)b=%;n(HDY4~J?O7o0s+;lIg<-+YbE0bg$6{TKJ-rn2l_+mqBh)i7s^ z5&P^lXO1#@KkbSy%-gu^ado*i_r|k_j@<u!BQKSO{dB%bKqTMqHJqAd5t4`fw2L>K zJ{2RgNu=jT;>)UREBWd*ItSvog|C;N*>g#xepS+bUQ3GvXFa}K6WX24o;=>b%W9G2 ztk^e8zo%>K2Ucz67m0G#i}!!Iaru<Qx*6K)zfSk>UGp*~X45k6i^nJVt;zfyIkWj+ z*t4XDsg)8Lsdj9Ukqz&})TSMBUfYu}qq0#cg73TTRF!Fm4zFcTl}JqGbBmtYZ5Z|} zam`fL9oc8oJF3OhrtRim)AL|s<vu~3_VQ~jBG+}-dg_$FkC@p!{aW&_iqurOT~S<a zI+^xSms>0H6CeHRn^k^h(LcYN6S`~7o;+;f{b`ZsT(dU8S+Q^CdaG4)-Jhi_D&o5- zaM)A&QMRn~r-IvUHFvfz6yQI*v%idW`<atR4*j3UYgv)!tQU7<LVLQ|lgI0LS$8Bm zE9%Xh7;*K!L45HSaWAu*lMG`vD~gBboH(2+{i*Om8<Wn~g#zAZcJ@!ZXME=5kyF); zyp}W4o%NpGn$T`(_9S^5FRMhlTT-RX)i#M`HzqWnG<%XXiMMh_hO?d8jev%aW+F+` zBs-sek&}M3I#c?Q_0mtfpQRj;Uc_r@k?*XRH)~?Vmpqen=QWk@XFc!CIJx`Iw4S8B zyoPHwE3#+jo;WHc{b|Fkwwg7Y7BXn(Y8>ghKjq%0i&;DJ=Nz7SUWk|Feoa_a;hu{V z4o?+fKX_Gy{b-{Id%mV7AN%!S8NIwE7B+W$3V-aF`iP^z`l#R|zoLb~HM46tW*xpO z!hUeC2>a2$BJ78oMcSL&HTlH*gJk6VmRr=k@hW7wG5-<AM*W=^B+seIH$B~8e$;V; z`ccP?)<+L+P(J!Fp}Onv(Q_j0?YWwKcFUJrFv)lowph%GdBJy1RsPV)haO$c4>G!% zA4POEKYT6H-uzgTPh1^j`Z9}}IbM1O;?F1Z%IT>{*TndkvFw<V!%?{N&u^K@j%VyG zdwk6Wdo=&=JC$`Rv4~Cl;1nLceI}<LBuUIX_%xx4ZF;w)WQ<%|TEWj5QxA$Jl(B_( zSiTf>F|-1;jb_b0=xU(f+?`a%CVseyM~|J`ct?!RsRy47bec~e|G=}3LD^`>k8c`# zn<U(p%6FZQ@iyMUF)g(qQ0M%Eq=<<JpC+WTP45nsjH&rJ=it+1U$*duqoCH>vhWGb znJ?QPZN9UMN3ZFtWQ>Tk(GCf-v;xZ;Qw}~&DrTGBt}7X1w{4mDs_w#<YW$Bc`_}Z& z$>TCGyepD)Dcyd}k2v*@OovxpJ9SQ0ckcd<fBDBcj?EAGbATaBYTp8hymr1e(|^84 z<6m!ByKUpPs5A3CXY}9ooY61idE?-TWBXS*w5;B_*n94e8Hb;##j4)8^5t*W{(!48 z$7ZB7U2IDZ$T3;LBh9m_`77JiqgKtY5_=uLZoIaDO(H$OxhM3>wX8rPWnQg=8qHpY z_ZArSbgbrm^mgr|<^9gQS_ci9Pf7PXrb;9Q$nu!2&|{Mf;b-Pu)z7%=)~v%`&0ZT$ zEeKj;wt~l-cU7|}+ts5*&94$W9lvhWSy!}nq34wMCCy$2XBPzd7_8t4=3UjS$#(T< zO7pA4NseC)1H&tS=9#Wwxy_?>w5<7*`4qOR2gRCCnfI|>J*?OKD!Dwk@WrZkcl2hJ zJ`b}~2)k}(vh~S^<tFPgCnS_77p~|z{7j~72IIkR$3P?OY=06gzr4@6#K~52jqi%! z)9mSWY>XUbX8*Q4YUj#$8FDl1c2xBA!^>DsWiS3%Em|M8=;~G8KS6$(QU_Cg7lQ`5 zmP`NN^+^A8RL-Bf*@`T`rRqaIhN~Uge!<M8;6{;6*I%(i1qYmDWVQ&1x9c1^T*&?L z<JMLdi!?>WJ}Hm7J$1Q?EcrSukJh#t?8*9by34*__@mIL#<`{w69S$G-Pi22oTG7m zo$AyFdmNYUNC=SBdu6#mV$Ji0^X$7`Jm{Hs=cxIv7Z0OjzZ8avGtaqlRN(oNW8v4M ze($W<S?(SzEq^PgBcYo&FlU?MzGYJ<M9lnnK56eX=l*kIE*I{$Y5ck=;2fX%L{p(| zQRF3$&?}s2Ud;ZU=M$SG1$xYxy9(0|7+kB!>ymVvo470Rd9~Q$cS)-PzZ)D~@q0$j z6=ol!SBh%WrY$MlyK&p5b&K1+uUGY)k+4y={GsyyMJhfUHherTdjERC^K)0{7FQ&u zvRq%%>6c^~v)#E#y3C{SG@s<UsL#$H@0^mD7df+edDyc@ol`3-a#HQ&A|o57hlxGv zS}9+?#pKh|+rH9DJ93>x%5yp%uH&`bk?ySb?)HRscC#ms7hYpI`jsm#{OW}k)=Nst zeMeo4>>Gp57zh7bxJ|A7-rToS7WhM#jFkP0Jld~v>U#UqK+AU1Ue7rRrAo^qW}c7? z%iOaxSUP_8$G4SRXMCHkR@9W*IS0I6aN*};$D*QEUEUcrb^4AKS1dheByBU{dLlk2 z>7#7yt!itP9|`Ru@^2?BTy64vYsb#5t9E)%j>ug<bygXV;F`^fU0=<PZ2x|VN!!Wh zfoV^9)uOUE8>Uk2wdt>JM|Wkf-}`84%_ffx%YzG_hKLp4NDAOIlMd<fWt+O*_{-$L zH`iR-Vt2hrSgyD0#)59SvKNc`-|mt~n61}#W7V^L#b3_cUm#R-d1b|BwPnu=ZmI~! z7@ZUm_cc1qdRS6(pXmyoblz3X#cWrPRyMzSxW(~n;n@XjJF)_P{<*yJhJDMa+j8t% zr{=!By6E=X&CX4K*?#Q4<#g(G*@<xGrHisN@&u%N+tM~F-@A2FL0HD*qzJo@(c!w# zyt5x;jr^ug+hDkJqiDLRwcxjWQ|rz*_cO}0-lUuCmbeyG7`53r(SG^O$Q1kgJ1tx| z_F8Qd-<PFW;~OS*NaPT^uk<75Wlt+a%yn8GE^D>ek*cV-F1n*#UF^~0ZLKU7*@}wy zBt7b$-AGZa5!oWpr7L#GAbORhpVX_xn{|v{u^4-OPgAjN|C#2n;Xs8-SESqA#ASiy z23J??o{@LO*2nmj-7|@=48^@w9hE_gvlsB5eN-G;T+O#Wx8uQ7!L8<>_nz^VR;sx8 z^S#!;Wj%}bZ_)erNc6ta){@Me)uP5PzMVT7+WzR_1pnFAUfEX6-(udpiIF)jaxdz$ zXxUAn?vLv}8p~`_%ga8_8vShdmMKR2*P8rwmn(c~sdL4(Y3VMHjV|JnV&1y?@v|<L zJ>DrPu*Z<OYkArM^Y|e4N6g0IN3+!14;PhA@pR{%-)C@h(u4;cs){Go=cIUg6keG$ z;hC`C7L^o>G|v;CIG1}&m43U{mH&kKSI#mIgL{0M$8IVf4zMmUxW*UTv+=-+?%r+A zO@U<|hL`vx-EJ&wepvP*DdPE~-XzA)%s<_Hm2WJ_tl`u<yK|!2yTJ9vCt0$6jgCr9 zKC>Yz>%+u3=kI74D@0_niD;i`NZeWG%X50kGL0>Lt8VRjcI{T*tTz3kA6A^gGX94| z)F&An_S9W`vH5|_x*3cIQ;iqwNMYj<UwTr7>FlHK(A~XO_uojAcI|bWn;5@xvOBMD z&5BdyS1f(goD8lzX*`SMILyRdXmHyp=1jiUxqKtRdZ}w|9J_7`xRy&Ny-0NCvzxXo zl6B{c%v04S1!p!1G|d!qGCb@gAqKimN$gS5#+O|d50_p)-NsRMTfkLbI_X8WGvBY9 z6T1H`&1Z9YJMsI<7n%3wUg8j*%=vCsN>{bo%!hR=_fCI$?3#?6L}^pI+uTPJ1Jf&X zzOe24wKh}u_l~Grie|x~JHpSm^MvOASu;2P!!4;-h1>t-Yu9BRmCfDybw|u`^Tl0D z85h6)nYt=V^w^cpm##i}VNw37@^nC;OWyVWpgQ4YjK0}|q|~kJ--(ObZw%aMe5`O| zY?#bhiENAbf46lXzq-0f$oiz#olB>@a#X!jOM|snTlB4aGA}HwW%|{MwQD*wR&z#0 za#~uQ<JEn?Bp~ei)=f$qH{Du2HMGYqwbV&l`_SpEMGtF!ZkiPmANaBQXXKLl?1#z+ z8?M=}`|<ZhGM}>7d``D%cELSbwP_NuzuqMHyxiQqap`%vV^i&ZOT_<@NpN~u+|hYd zCxA!ilu5hi((^StbZeJYe5m|re7O5kI{SI=`LQ<IwckE$`Ev94<V(*P`n~5n+x*%y zfwj%?Xz)fEIWgUy2dOWOUGGn7U+DjGbMw8W=k=<l+Eu@Z{PkwB`^(~ncT3MJ#))oz zkWdg<_CqG*m(PcdGg#XnIlnYM{QFWm`+KkXv3vAtzkOKt<>v9*k5<O;XinSPUU#(L zu1(CIO(N`%L{i1;`5}KAe`xHxZM1f$h=5Q2zsp_rIxLoJdR$qwlLU``ia2sTbmNJG zmm;1ddFp)HU?KK1LZq8Xr^i)MY>M$Q_1#8~bhGMolxB!`YqT9zid(s{BRpH@k*IWc z#nod<aXUA5gy-vUU0gfIux)1Qk=r*{FDr7nV0ujB*fFIx!;L2nzKD2|6r%IVz)0+; zgkU!lPrs|;uW82562c@uUNbil6XfZ16=_Zud~de##K8j*Pm&yT9=+8O`}rc?ZfAGl z(*uX+6g^1Jv*g&YOQt2_>YvYD@~7jctjWq**B3S~_t93F?0u6n9#praFdto&!hC;v z&2#Y@p^cJKu6nnEWLR`H`MS;r%kZqNkN#-h<y>=dlXvy>Ys(I8TWEXM>B7_ZT6NQM zPCmTv{Z-)4^ObU4|CO#D{t&;cO6E`aN;&cWrK^q~uAlbm<Hz~Ss+j($ube0Fzc4B^ zpk`v3gx#9I?knY*{u^9%{wTksO5)$&kpAYrkpAvxA^q&rUVU^7wq^8KrS77)R!gF8 zdYOdXg#Xbi<(le^uR4Fs2dV!W(%)RKd-d_7c;BxTKf;4-?fzA+Qa@nt{k7%C>@BMb zKBV4C{Bh;$<PiRY{lT^(_Fi8N{*<mde(-#dtzO-{S04lBzWVsFdy7)Z$Iw|v5AXNb z{Nu;=%Q}qz=9+kS)PH}kU6;3Yi`z1pYjx^(T{pb5{vYtM+a#Rt_U0QLd}$j4Wlkj} zTg*B7?16{a?C!;pIdXg{8-L!Ie^e|XUM#v}ujH$)q}sOTk1uom#iS3u(=qFcjm+V( z-h4ym&55MqjY{2T5C70HlYhMF22;=3ByK}B=UBs>69oo#|9j6RDN9T}>Sk!v-J9ql zHoN&@WR6~2>c&cmSx28Gdx%9h90ZL&rLNFsmo}E^@t;t;`3A?Yl#PL3&Lt(kn0oZt zf_gFO`w?jyD`!kU`Ybu2?NaGR&@k#V9zFSJqa92+XCH8Fd~1Dw{f~8zgz``Sik|%D z@q3e<Q8pgGm#fWu@V-9aqpfS-y}GZ#i~RzY%BoeJ4xQ{-rm!zr@ARWdMLVvgu&`V| z`k90I#KVoP0(Z6ubhV2e`f$KW=FWBj@$WiE4##qTEIjVSbZ6THf$e8noePi7X*s_n zT(>1*eQUs*?E-DgVvimyXx(9vqA14~-O;ZsCiGxMYl}sio=ltcGnJZGzaSg*j@of2 zT4XBn`9*egUlx1xu&4FMk7G_uHQ9=a=VoyqKd4+RWEVbXvp~~lF{i?VP7-=i9nHdG zkCOUZD|VzP+Ud>Kzk;ziL+#@oPo}5#Gp9PAf3K3zBq#I#L|5^T`;!e0{-4&O`ER+( znGgF_650P33zdER|5t~x`o*k@RJ(t(Rb(FQ_dTTeZ+A=3(iihe^6VV`efOO4px)z< z$p7R+t)D+`x?NtEbzb`L|5*;QfA(`;Ir@LHgYBRDoL7$jpX$K;XFjLNk^c+tit7g# zUaoGddu)@ZFyo)>$BfH)ClbrLniKrGnv?RnniHM64j)_~(%$`3laHS}NXAZVnFW)M zS7A%UoR}9PmnZQb{C#ke2>ZcHBJ4*uiLf93^rXkg$Jfka#-yAAL(7{F5`#7!Jbom_ zxnbtXHi6CiK5u4Wj(7QQFj4r=gXf&AnsPh-d2VWa&_9`1q<%t9!jHu%&CT{cW;=ew zZ8~^3UQN2DM*XJ1gLp5qmLJoFy=Oi8-O2ty_VIibX^uMmn+_j;pJZ#>?{!Am*;T3h z!(EqmkMxChva1xy*Uvk_{3lY0|Ksvo&SxydHy>LcB*XH5#ogdA8OxeUX^KBg&N>Co zOiL`dq{H`5@$92TYG)rUG&}ofvEEss1$JkJ76p6#4E|&ERAJ9@lO>THb+gkJ{?Ivl z=z^u{cAmtp!;TXk6+B#SVN;>WC!g1)d|-XBjAGsAyIuCV8S7rH$Xu~H`-Q-Mx0;)) zJXri62Ngf5TIR*FK5BpX$Mn>dku?v$Z(Js5lPUUpb<?$j#@8aBtY5s1^X{!9S+^am zZr$1)vatI0{LnuW4?MEYu(ZkQZDrw)KKlJRm!iE>bZY40AKKcvY%>?c&%TjX_;d5E zThne`dsJEUBAbn+_SO;cc@`Px7M8y>c3hvd?i1@}W4TYY;kW09hdepF!a;7!vE-)P zYghb87Tg|k&86-4CWnIcU-h;B*$8Ew+WF?zvQ8Fx?{j*o&)H*DD&%W9d%FL$y!V>V zc~7i6#AK$-y(7*|*N^Vm{(Sw@bt1xdPF{C#eiN<o=&Ib~Po@f|S(cYX?wRvwi>I2! zWc}l8g_{HStV)cTUg2Y4C)Pc^z;{AYQ|HErJ>i*iMTFOM9JPCXdg+XWNJFu5Q_J}} zM_DE(ZLIiyH%L=0;*RR7Yi)mO{qiDIxLLd(HCY_did2Y*w^_F1`$=B;<c%H|9(Pyx zT(B1FUi4P|D9hjEjT)EvH$Lt(dMoyLk<b0(J2Woa8S348cs0DM(*3<quOM%$yz^75 zRU6maO7SNJ>2BNV{@BqcJL8vAj4|Jq|4SaR3uR{gU$kkX_u7m(>~AMLm>?S@v(33p zx$MP*jNL!t)-pcZoi}@~kd4Oz5q@Ef!;4v7Jt&<0V_8wpwUBM$W+o3Ks;_)KUF95X zx-Tqy!A7?JD^eM2GBYz)K2`p7yX@(OwOhYFI-d9b*3wm~d$R7IX78&j`|W6Fpc($w zedmnMkgc)1BNX$emU&#f%O_cNV`1~W*d<DOhgW3HKPjpZ*c8n<<<V`HQw4gBRy!2J zbv46s-|GckowOpWx->AWA^ffMRyMEDYUg!PL2GW!etS_R=E~Lk@p5mKWDUMuKCK*k z#QbXMqn#GlU9G}jvQKaKwK{+J${#0(OUd?&ZEmQ}T5Q=j&3I?us<v<2j<t#1(ck)m zNx=SK#e(iV`!kasr|-C@x9^)?cW6b7){0G0mp`!1+oHYm#B1G(D6NA`D}Jt8dEi!- z^v5+O9V;R(Jb!yMXvzu)eXqIe8fF!4^F6uo$62+wZQ{=^?1*X&Un&<h!Tx!1!?M6H zmrdH@W<6WbzHH}@3(K<P?q$yE-#$z1fool0@U^Jy{RgtYs^qS<P@it2dG)`?&ak5r zE(T%Zn#VGf^tWFu`s<z~>UQ$0{K`fD*jMb-xc1*-=fBRN|H@B(^(Q*EpZ*%Zgo$_Z z>-aw^k0-_aSBd}c{PfrP)u9qf;;MpQ>x0*^E4}(J?VG$~aVFoptXbXFvz|TdT=sLv zvP?$3(_i)7mgpM<oHbeh-|=nh)QJ^FN?}gyUEWLgIBeK|8nh8Hup@5U9{)g&Z(dyy z3U7l%n&wVY67#ecX-(L@q@(qcnXBRrEzP8jTU-M<%#Q0jt$A*ClIh^FidiqVRu+r4 zCd^yXarjM`NR#v=rFAuJiv-S05h)D4uId_d*wj^Vhn8m2!dllr4n6O#iqB_NT@`mo zghza7a&T3&(ARwAwOs4e|MNGh-NGlOe4i^{c77tu#MSoh?#5RhPVf$r%G#kl_p{Qa zdKbp^yBE4KW-x?awKZ`)o3nkI%zbBbWB1da?p43v`yE33UZuBL@aKnyGkXdjKRoOc z{;$s5SUJzF?d0_LjtNO!FJ{S|6KLG5xoG{znLL6PbCs;5mm9h0W(2uBe_qkXA>%DL zcm85Yr2-Y#FG(r6Z5(fW1pj{T{g&Qw;H1dK%6C`nd|7s>bguq<N7wlZleufjyx*xE z4);YaR_>c>vwQXD8f({*dGAv?4%`I^O;=?49_;e`r(+w3jgR2m=kAh9A1qu;=H;h# z9Ju^McSZMS9bT4s>WzA5x=jQsX0q(kSbxbucg<u6@h84gD_SdsUYxcy;=Q6Vo#j{3 zeqZKB(UZ4I*Q`I0SmZp5!{?+x=Ss;(2~mZb_rClVJ=}Hr(6agKBxc>3CNb$&nZXVV z-`@REtLs%1+8tXsc~pEBsVDNW2|Qb5pD0(gHCsybapRJoACG)_#N4hTHY0FO<@N^^ zGBY!uFm2|ExvwqU8<Wu2__{i2-9+v)#szm3o=fjMz{Gt0Z1KX0+&V@DcO%55cODR8 zzRp`bVdCo5nGbf&<TER3RQ$5(MG2p2Vq@YLjgLPp?wnwCli2ZYv76M6eOilqV!j^U zVzKM9bn+plWX)^r^67_|9&26`FKFWAmRS(-UB%ib;;fLyHSzrEoZN4gMa1v2Rnyt9 zec{T-7q?eOi(a?qWnmMkp729Ft?0$<TVgN5=Wtv*=B}r6&P-JOIp+;F>F&v&S*}k0 z%zN}?<*e-oe?4ll(6!5IE<HLk?P3Vm8daYp-bB7I;b%#ViE?2=&yraa8K()0B}IOm z=sEG|-<QX)hW3iie~@IBx>dANM^~??E5Lun^c4QJYFD~9uL&&WXz|ohIdf3!Pz=wB zwEY{;iue9pC3WX?n!VVnrw_`uG4-xuD!(kMvF6gdCDKlpwceKPQZ!kADQ{_a(39K^ z+Obm>1@I=O?{af8Z;@^B%uzpc(Cko5j_R4iVuxzpDEh3O%)wn~dRM?@mEs)#=Yi}0 ze%dkV?BYC*>6_cbcpnB$TiI4H(V&yN#nQrSMz`_JH{#NVKIVLzEq&;74r__CMOcie zc-@E1$;oS1l^oZ)E0ZQBe@Aes{{Ky7zf`r3ty-5J_i?7eM=w7;yNg~YD>`itRotmy z`cipZquuiDm)lxv!rA9%>um44@O0iGx%~m}ULGql|GNC}ZPUAoE8{h8hS!8m_|-V+ z@6n06KYy#N;=h;open>F;zy0n$<?pFPN+Je^5sU&4hx?p^^2c$aIOvu+4INn-->N< z|8E5EfAsjA*otd1B3@q>UT}P`l(S;h&m9)IvUle>nEngaFzj+-PrhXyYTnklGN*oX zz~Xt49y})8?9FEm9ZlH$!C*=4<y_J36s~q7x3hC4lnv(?^0{rv&+^`=dGAW{ZFAl) zt_F*52+Vf)GgI%_*8kU2|3`mS&)OUJJ^$3d@2~t@jvUz~@$<&-o7+#-ZI7y7aYJOS zSjex%&s2iC_5N-w>bZ68T8QbjYvpSW>K=Mk5L|y{O~lm8UsNJ_XUT_#)i2D;z58FH zCyL3?Evf2S$D@mB25t#z$tr0t6Mbg)O%>I0^qp$EEdLUZsKvbnR*RU<)}`%R_ptob zztA<SiXyIUQrxiTXF%wtAHg}6Yc3S8W$Lwejb9p`e)cw#_E+Vf&)!Zue=+>kzlMUw zP+niroO9FubvTtjncEebe=_;<a`DZ^%RX#uyZ1cyS;nHu`Ic2Bnlmb50-hg?`8&t> zY)F>je#_0SF{|CaR<@XFS*aCAgo^l_l?fB!v(_wT5R@-dTKH>&@E74zOt~HPJ1T3W zIC{JpXCA&`A~56Him-dW4o}@=pLE4_t9Kl@Y7*GKbDkoT`K;nuwbv6(lUc5rn5$eZ zU6XrLAmY{@|HPWSc@B?jiq*Q@o-dz1uWHH5^cS8^rI)Hq{yE;<zBxo!PwUj`jdA?k zncpRMnQmYFC}v^Aw9PYxMb?E*_la!L^G+99b^6m5#n@>x%%-L~FVk_F{<JyniAci3 zuK9WU<{1iDOl5i%G}ni@QTS~5o^3C?_Gj*!#j5nd%J)iQTx!RG)6cB!f1W$x#w>c{ zij#1DZ;n|&qwZPWg@)~Gb)}hZKf3ig<;dv+GTUBUkBDkGaIGr#l-Po2%94woDM>07 zDmcr?oDmRjH#&0oA<xG`6=x=yvl9f48>t-nSfZ-3ZK-p}C;ya=11EVVT1-{s^IOjU zCZSqt$Bl_>a%IaJ`(rZ&63V4oZcJtq{B}$^)A+)BF8lST6&lk^cX|6Pl6fbjR?u$H zdAr4OhwF^)bMsSYw(GV0v~c~#`(fS&f%hEUAIzdnJD1-yC}rKR=<|R#QD{A9@dvGH zE_VM-CyqYW`BY%sU1M`*5d;4wjU#_`x(f8?S#J%`ZmP1Ko3;Lu;aWR4!E+)3vt`s? z-M<_3KS(^YsqV4&-{AQFfu+CnuKf>7N{N*b{=ahPE(<lkcTbf1HvBg>6}(vQ>^wK7 zd|T`Ow2RAnUm5w;_6IU8w^S``3+6jl=dZiaXO-RhG=*Qb6Epu`l~Xj>>(Vl-ZE8n> z!Q=h^GH=Rn65RSRy7s=lpi;s9kd7mJgB1DR)&1{3^)LC4xlfl}Xy3*9yo*eWbE0DF zW``C3vkzaK>-BomOZ&Fcchzai1@jDr+$A4Bc=Y|srRg(v$XJRtT)m&Z_d*@-`=#Hq zE8l0>KmEOGx86F%Q>(8osi|)_5ww`3DAaD`(i<ZA=#fL)iiujzA=~FEu8Q}cWcF5S z-+^y@StWXty8KUNXMK7#?QY@%rfQxL-W0Z13F{ko-MH>pT61>GhpNX-`kQ<b>=K1O za}*m)HxMu8_-tT%G%Nd-xyhpg&$F`TrHTG(ziA(CyeUm)zn-R{+Rpma%GA_azGA!c zMvXgLRu&0AOR!7S6BAf=%6CS;>wNWfiA(i9Jvx8&!5yC~PI;bOD~{f|WFinT&B|k{ z)HL_Bj$KEMU6M-LEF>l?>K#k#XjgnW*;~h-nO*zRfupBPJ{ain*6{c?GaSC8aO8tY zhk+97m82#4?IHp%=I^l;Ig#kn#?f;|pfgbNQ9?=E4vEQ%a>thW>lrHW%JBFwi#K07 zaQK1A2SXKJCLZ5rfy0*+oUVtQ{4%@bagd_yryG-fV)kugnmtMI=PkCJHw&sCsD1Fv zt-Z=`wM{?&`%bCw`*n$CS?9jD%B|y7YtNk%R9x@pS@XlG{FvI+jaL5ymGz2uTUDIU z6pyxenrIwsZd#t&(=6Ll`0*XLZHko7!Y|in%G%yZD*M7^eJ`QxOW|Zg#%;!GN2-sx z?8r7NwJiD7c$34%NPWBC)<)hrLJ{XRJN4`hwl(fblHPIX?W+fd%VX9&p3=V6XRWH7 z!Lfru$9DWUR-^fP)~y4fY3}^rXUfjLb-*;uUH-d-aDsBOQ%Tyo^cMAa6}Q^I)7rmW zeev^Kv6t)~Ws`u7;wJ=|M62&P*v?T7ssB)O$3eAEaz*oBwpU4Qja3q!EB-y$)!*p# z#Vmk*FV7WMf99_jEWIC_UtcD*;)n=ysliQ$SRbPReqqku{iaC^gpzr$9JF9AHN59w z>!T2||AoO>hpST#JIJ0f2@v1RbLDW3)7NexZr+fjiH%kfIScfrNvvqEYk6g!Z6&$F zL7aK&i>w8FyChe1zhir~kbU8=)c$>JS|1dv#kI69-WPbC(9pO`B4dFZo8YSZ3{p7@ zn4Eb+l2$ZYNu({%Ym;8lF4*$wdIX=uiUW?!q1uMbr3E(}Vr2{i_}w|L)?VRc7PZJ+ zAhew4%E3(L(!yg7wsTZNzQ>63hCG<m==H`pfPFU4m7_+?Ukj9PpL=BP5}uO$c)Lru z)QTfo%%vM{ImE6p4B)rsyt?;}9<%6;+yz3_JRxgKd9NJRU=F?B^u+Od?RDOe2eTTz zVoU?r!+EY8O=bRC&>3)7InE@Yk(*7c@ScP09OD3Sf8HyHf2;g`-}_YlxWDqYvLAjo zw@(VGFEozt)IIsN^;vGV_G-ITL21S9_By}+F7mWFlOw!8apyMM{Ma?yBKWf-r1uBp zZ)B3z2}@dhbk~iXjdI&Igl%6uS4?}u=_J*%=r!GY#a<`MXP2I~O4-O%tP_^hbad6t zo}*PW&P3U&o!=1AI8#j9@N|;wnQalK>YF0?iz8Pb|G+Ayz2QRA)jP+MuF4!wx*Bsl z$yO(It=wn*h&54+QEQHHcb9%Rk`!yRC4!$nV)b*5e%-JKE022FY>r?r*S&VMxclpe zNn5M@K3+>=jf-4!M6kQG;7U@g%;pIG<B_YME2Qg$Jy>(pYtH6~tw~!V#M^bR9d<o; z?0w_>Z4r&ZV%mk5l4R#>h!DRYv8LU8&DF(MYr92vq;C|`*12}DsJnFI*(6(?<h63A zmqo8RVA4HxM%G5YWf5z-JH=i<RLK77vHfro>$d1MN4&aAH(X7M&Dj*e|N2(__BYmQ zt9SQ??kU;WK3(oxanSvn>=iGQ<n(RNTz}6jwse-Bm|gD6nSX3nc5;0&4CwscrfgA> zzCdqR$&d2XRM)869dl*(g?`(8^zE-2=Y4|xljWmKpV+^<v5zgAbM@g#eEZ|Sz3=w< zKXKcqQ(attrPAl0?{!LOhy*vR`X>oLQCn!g?_a_bruV$5UGbW)QY@VRWL?bsESDvl zCC2ABtF>D=?cu|&$R9iM#Td<IcS|R2k92wQYp15N(EFa{6S8D8Y$l1^_*t3saiV~w zPD;-Km17=0DLu`cJ%Pb)$0}wVl#t&nA)H{A>?D)w(~|t`iARnxH~Z={hmN{!{!nm4 zr$)xCo1y)T(h<ka9UIQy(306>de1)Vf@zWI9jTrD*WKhEES%V0k@-aMmy}k(>iE+V z`5F7R{%Y-ccv7{<>B)tehD_d)${TwOvks*9bc^<U+%U<|F3eEvK-RIAh{H2Dy3d}R z_#$15&uV6CH~+7#6<Ri5%-%m-JUx=-MWUGEu9>ba?;-;O<F4OWXV-u4<aFUJD{>|u z-o?klwZ^}%$2Z{YN}iB}wnncrCIMGHC0BIcWP6p!r#s^a8<*^vMFGA?G`<*gI>}Gg z<S}SHBoM6GW6(Qg-@Zq|U(T0_{*?Org!As^>kj`<`E1WQe={I(U46E4`|6w5Zl$p7 zGWhK=C;eNXx8boJ7Pl2YN~T`ivUcs6)uCd`S@a6M9w{xCk$F6&`>|T+4EL`m)Ydsp zU8~;8v{xhKyFn|TUdsCPW-aG*smR`NS)uj6k9?TCs$c43gUkZ0c5x9do8<wz-!w}; zOmPzT=U8(#QLmNhv&NJNmxax(BD*3cwDwL7oiWqbGdWfFSvvdtveKzfdn<kX_LVNN zzZoigMze*}$5(F4fuacCg$Ja#RIdfSNRVySyB7Q+ky&%%5tBnQZ&ZD@1|71Qqj2W9 z=OLy!N;*d}4=K$#xoMY-nV{MR)o9DV-wvOB;;Q4&C@ta@v;4wAQ?A%Ki!U60`ou}C zO(@z^Q#MEa%u&8WHg}Yde=eN9fuWp(yKwdfj_p<|aXA_D*XO^v@xgQLullv2n``FQ zJXc>GS{A2vzxza$xPFe+k>KvI@Xx(ZpZvPCXVcqvk7oL|SNwBL5)yd5%<8_^Io{}& z18<)+OcKZv|NGXuS#ZZmiB9)37iDbHdb*|0T(pVtKes%p=ZI`^uFM_7_YWVftK`4> z?TnMI_F1I^xt}eaYz*EDK3o{M;@F2HIw5VnH!qq06iwdtWp3R}L!tZ`i!Fb&8S3d7 zx_|y~E291?tBvt>x34<SY-4Q9-#>iV8u_ClQ;c!ltn-48rdIZIthe{KIhq;Y?6~r+ z70<svpss*#+_sbTYo49{<!>TxcEx6As`FXjXfv6doLeWZhdjIRb@MacM($tTe675l z!n)FYeb*Phxi4mBb!`9f!kruD%g?W<e%MjvoZx=C?Z~-lyzIMYnLQHD&$e=?dGuCS zTF5xcOvb0*e3fg>yuTkAAMrLa>q-l;XaDctR3Cif#frR*&c1qfm;07EA4!+Gxk=IR zk#X$mip2q|g*UF%T=LnaW<rJYgOt;4M{Z8zojPk-?~j<fv-t$(zfPKSu)^85Hrh;P zPUmIG{F0t}o~Jp9`<<-Li}yu%Jnx;x+sWA4EOP1Q@=RsBk6p`{kEqAp+q7^QpRP1t z+Huo9bE{+fMT_mXeQo{2*V8YN&%u*<Ghn-KOjm{TTHV<`HIMRq**pC2>`rxFlz+Od zdEQ62cBi!UN8G#3S=MdK@rcR3Sx~S)Q`zqPnq|yKp6?W#u5fRH#jQ;b9~8{FS+O|z z&t=!$+`mPQs@D`8aZ2momg6Clc{6}L^Hzudp7k#oK6Y;3mgAw5eY0SL$+?_8ffgTM zp8vPt&Fxar(4T#x^0hJZ)}If*5*|`BY5fnA_~!5NtNOGb+nu|v@%i0pd%fSyEuHmK z_w0*}O}^dMpM7iV*@gH0*Dx~ueapB?@Fug&o*x@c4;*cl{~vjCz6#T;?Ceq(*WB8@ zzxr4C?psy6Pbh!?pU<2A=WwnLp4_x*R{vN37j-}5PyL(XRu^(FB5tnDquKiZ*Kc}% z^HCJv&s#E4!O_lrzh0gGANK99&_Z4Ix|w^*a$l|1vJdNa5Djb=kN>xPlfBlgu3Ou3 zPiJf0-jbaWy>8l#Q?K7QJ^nfU|IRo6&m1v%zWpWl;~n3gmY?1?nRivL)uGG!|2Mq( zZoDaXU+(1EPfMegrR4;FlvDSQotYmW7=1Y+K3ezm>(zFv*1ivjTfaZ?qekV~Nshf+ zb60D9l3y*F@BC-=<BE*lHRtQE>BX-wm#g`?cay!DOW2iA^RTT~?K2i>_5F@llbUL{ zs<yI}?|YQ6TETYH#H>lzW*M+=pIn}*v}V<&FxORD_Z~5asD&Ij`y|wxCB*y{&)tNs zixIKm;q(8mc=g%o)cX3L>Z$jaCRVKqxUJ~-HR!Xe;hm!3eIdm)Qjr;MU$@RU^W(g< z^y#nvCQtev-fe!@P<a2J%bV<%EX+M^zh3+QqFvi!N;e66wQ}_6iz*rR@vb~SJ$x1S z#KSj&6q%B}T0MHq!uQ=sR?)UUb;l?xOFAnn`*p|xk79?r>Q7By`2{g5Pnw(T?Xdfe zsO-O`QK@Q=R)xg5|5G{5!uHQaclu?W_Q(5G0v@om3aM+B6m&U>FW3B1@ND``p_L_* zf~+K*XLN6Dsg!V^(f_f9b%sMkho}ANO&oXYr@mVwC3RZ*wyDWohp9i0)wnK}GHOfB zyWteF@uPYB*Ryx}S~naya7pH->18giiEpErcB!_{J;i3aZpFeKzWeOjyZ4(&H+uI> z+;K*NJzV1PBduhcnB<=R+8)jgZ>M(}uG{38zF#SR&84!qRjh3KSDTurXE7e~7T;a} z(>?Y7M%TJ4>y|CrxN6(7h$XgWx*M)9Q{DFO)Av(#%U!Rp*y_`^g7vKa{?Ko`Jr{+g z$EQzln65MF#T?hHu6eF{b}9VtAJ&TfteEb~crPumBY%^|q1isQS7zx5s}xtvb!A$= z$>UL~m}SKTSG~NHiS4r^pF9o|W8E>=Rq<U)V9V~AzgD=Ws+|a&JW)qz$9z|z>zhv; zJgignQKQ?&=A4qe|0azCmvy*4>U1mFoWFAX=tG|gvk%|W5t5kWD&)NB#K8+XMTR=v zHazE*<drvR95|uFWvJDy#B=J(@xv2+CQLs(Lq|wrwrkd{39fv{(kFIvMm|YQ68kx0 ziYsGPYG8+7q{zbJWp;LbX-$7*cBM>oxUMtl#WYvGUFm&t4{F+4Ui>Sa<?`ZNX%a*D z;?PQ0XWptmMuwBG8pQwaoF)GB_3G@aT)USa<*NDNx@h{DTQbxB85urTv1(ubYyI9$ zalXIS#y#43b#?3dtGg>Qzski$?(M%GCjX%K>gv4fuat9s-kKEGn7ZxDsxSSUWaHl2 z-+HBbEMjl-#<2HEbFNlP<bSnOi>Phr43ke<a@BoCj`#W_MbTAPADAC4t1uPv+gjC` z8TLM5*VWxK62Ho&MeXfx43l55T-TN_OeNhT@@>*m-|C3e%XVS6OBzhgWRp65-6PUp zURJ4!E(;5<-RsMpvmvvy*X(V=WZ&I4axTklyRoI;(o8m?%eQ?-)@8wEkwvc-^rxnq zzMYkKnaMaODruRo^^DZZdTF<}w4XG4o4n4KeMb6a#b>umT3Dye+4Um(W~A?5v0GaX zD9xT0k#jjKVQZ#%xsGl7EVFytGEI+ef5~8;YjuQoc3;8YY`f)hzan2PT{i!^&hfC| zi>u9a3y&_7joFqdK0W8wVb|H;3J)&3`sCs==Q*2Sa=7bSe!X~qQm`rOy==>Ev-sX` z&g}ea_Vz)8?{15X%W`}-xAY%2lYP+P+kPYYvS43ikyJv1>T*ZE<GRQBSp05mIpR6H ztl-qL*g4xW`O|Z59gm#N_u<?!=RMnBa>(mg@)};4blITYZ~vx@#&c%67mqHJE!mnW zemCdV;b`xz&hoZ7)vGh-`L@4Ey)0Puzw3Nv%f)GP+$_Irl(80ik$qX{yw24=nLC>^ z#lPp?I?O!#+s6ybm~A$_6zI>jIy8B`@cBODU5fW3n%|xD|5xJh?eLzORNks|vEQOw zznZ@IT>9we+P2cGPjj<gUp4$)_jm55{H4CNPsL4Nd`x|m{GKWQm&#hUzx(}c>UDRN znf^Tg`je;^-;ZDRQxp}yrav;3m~vC~-<mDUV(J%#HXoe1M<jLktO)*-A{!$vFN{~W zQx4seTlv*}>(|_^Qqw|3&;FGBSGp_7bnB{)t#3bnU3E#>D09{7wOK3HUaa;#HD%$t zZ3_cGo6g*~bmK0+)Wroiiz2c&GQBps>UUFS+wCm}_-0SLk$IV~?B<s4yJl|_H~Rjb zk$ai(*^QEpoo2F$^Hi4$z1Fo}9eSr`wpc{MWg+g|TL+b9ml>X3W~;L?Q{Fq*>VVN~ zzKwU5DX-b^<jO&|)9128w_jSu8g_Hbk;7*eADL3N;q!B`w@K4|t8e69w)=LYq+zd_ zY|?yR_Z$C<?Uw7AMQvIXR>-b%JZ$w2Su@?j6U$^}wq=UD=iE9RIQv`SnPto}+f$An zR`a(0vhijT^O|ip1fq4#4sBa6EU%z_+ppJ3y>6aF@6{ba6R)p-c;#Bii^e727WZ#x zWO-trf986~Gi~X80nFjNVSoPIPTfD9D<k^)f_LJ=Vc$DBz1R4ut@+8j_)}Zk9=W~O z|JWENsNS;=a^_FIvf;4A)k>pd2aAraXgecOYACr_PbHZt$8h#7nJP7VbAO4(xSokG z&PuS?N<3b~oow?ZxhLwCk(=&~N%{9pW^eP&uY787;T0=e<lZ9*oAXy>RrTh0d@5~O z@_&zJLdGZ2tsw{8b2mOcePqe<$ZHS&ofqD`I!(@B+FX5k?^&<?tbxtD?fre?SFg^p z)7|&|O2GAPFXvn4c%3Ue>&PTM`Tbj!=3A!MwrQ?k`6eLr=8sOhYF;yy`yV`7(hgly zk3Z?va<sg9Ze4A9?5DK{Qe3>(H*EEJI9ZnYnt|%CCCz$uF=~J1?q)r!`8EHjI8V6h z=D8g!*Jm_OJ@fDD&ttznt&;NHpfvmVq1Rd~O~eGIrF+LdxVa(qCXdP9^2>hvcip%V zF#UY^GlQ)q6AnyDxtz<nYRx*m^%-lj<{y8Q!^+M0E549-b(Y<+MNh25bq!5__Ge44 zK6RDHPOqAeJ6d$PTF2V1$usV+vS9x_$=3aL|LtS-)>`qwlbyGx_|Gt4x+|%CvDuKX z>YvR<jVo^af_pVYUi|%h<kMQtX9h<cSnvJm3F=g5d-Y&t<F1Od1#<JGSM-asNj+HF z*jACcKrrrqPe{Zf{<X8BG;Ef!2fS|1=LvbVw$Z90eSzLR=@sq#Y_AqiUYNpYC7sc+ zk4-AkYVRSwT^9mOyXPk^U^0Hm81rTE1?PIMTAl?42OPMZc@`NQN?`gL9<*O2AmQBf zo0ne8yYK%dx|AuLH{?-Qqt%X_1$ugtE84r+UOjGVWV6U$pm<I)qh)jaL@w_$%S6N0 ze&exi$lAJ`H{{WjMk|ZV1$ulEE81n*UL`MYWV?~NK(S0Zqs4a1A-=SWT&u%&PnUDp zdaL#egI?ODvd4*Rt#-?TB@(1s73T#?Jp2_7>c9tYaDJ%X#P{!z1`FTJ)+33V3kr_t z#K@R(^B+HR=y>5~jt%#9oaUHxb1bi$7;z|L;r_0G=F9s%e8jnTIM=4G{Wt5(zx77d zB`dC1&-v?`6c%;h*W9<aW@VWkwMm~K>*8AJT-z=+=fCSFN0XX0{};va-3~sx#DSG> zncqE^t0#Wj^F}OK&FNiYn8-fY_lB#waM<7d3v03@^A}`&&0COlF>gWE+Pno>op}qg zQu7ul`blK8y!&r_lS#@h?;qE>-|L0m-%)o-+`DSot)~^?T1$BEP2*a5z<jBb*2ib7 zkLVZ+2F>)J@~rgb0<(%xs})T8-t3`|j)iwFSMFR;^Jk9S`TbsJDlc2rU6wh&55!_T zy8YtEFRPEtG7t>DzHQIKWq*QgerkPmQGEKjcWcEN5yfp!vwA;w+>LF0@G!j7{HgFZ z#rrn`VsA^-?_auWRc@%VoWaVBaAEzW@6<1^{2v_{H$7s$*glu?kK(G$EXStuO_GSW zI&rY&lB1s5Kc$Uom;dYf{l8lD|6ceU;T@-~I<4bX#U5qJ-U(P=w076|+be5lRb2LZ z6ZXkUbdFzLuxDP>nggHK3)z;%-u{spa=FiV^4!WG&NE(RXFQkg{&I8WmMwpm?AaBl z|8jLPuj!1*c4?c1O=tX=JZ07TjP<{M{z;GW^%f6Wxo4kPl&|merIDV?*@E|Z=}bym z-nU$;j8|>BZ*$b9<7@Y^9j>2b)cf|z-ESA&w`R>ZxA!~4@ak5X#`Spay?-XVd|$Eu zM)03c2Q4=T1e<IQ*le;nK-hG1LE#}C8yRzMQ@I(g4U12{zjfvH`>Rh1S_~SETRdY_ z&m1&86ceL<=5X$znmdX*2kt&GPx!jsw)_6htl9cDS7&|+O_H3)k@3T+e)^`DryYLH z&W*3#|Hgh};ZgmcD-LkR|Gl4De~e9SpTLr&<MmU2Sgm^Zr;ERN)o0OH`*S~w&JPI< zHwnAGb#>l}<d`C(OBdGlS}pSX-Zo!i!+}}zRjgXl<{aiz7Qd1CMCf^a&Lh4u>Bq5e z42$@yeUDCw$UDS0ZRUpVrD|^yH*)@t$UVfkZAL-IS~Z!(=uOp{30FfyUY&XWc*=o) z^Hp|DpK>bkV85lh!TeI8&k@fP^j^oDNu1k$(>`sLLSe(|xy{XUiV8b|<<=FPI?l3A zg7H|f))5OoSBc+`UD~fk#K&D;AkVp~t}3S}#Jl6ruk4<qTs_+&Is?UCCoDO-OCoWj z+^^_0{qN#ck6mfW{j*4~U+(18wB%afx##@P9yhJ{THbkkORa0N>+h~@PfIPo_?%Oo zwbMagdHRdoCw#voc6RS`d$(}@#NRKb#jFs|-*fMz;?|1OUw;)Q$zH12-0Np&p1tqv zF^LsNBA81J&N;;Pm<R9+PwMCIHV^WTiej(UDA_pOiT}68myPoeF|cd!6t;?K9=b5! zv_5~uugfvVfAv}3`Fs4?#mx;NpXLdxeP}Z1oc@1?#hecQ^0)Ja5~Wtge*a^2`U=;# z>l(kevtA23t9$j(zNON6w=YD5etaozXm`hGhxxT|@vfRj^Edk>SR`gW^P7=1Uo%YO zbKM~pJ^{7DXY;$SuFYBezo&iHXTLv%Y@BC&7PWP~`c>5P?>0|Xb6{jh<HX32&U=wl zj(^bk#c_Dki)5a&i~J2#x>p%kbpNwvOTD;U;>Y%*)0PD8Hrq9;;#Ya+j&E5zCm(Kj zvQCQg%m3R+V%-|;Q(HLKDEOQe;0V6VeCW!Z1_OR?j?WusY+wlH<lZ=80|)n}(8({R z^Im>0AgE^0Z_v53#d3!8jP9E)l`~vt^v`Tzo#7bK@v_Br#<aDwn)lr_P;Xz|!nx+} zZk@>y&NG^?w(N{>ozXtE<!6LrM8ngT)(E$VmZdk%UM=$9CY8tMy=nI@rf$y35iT>D zHCuK@ILv7O+46ISdql&|mev{05iK`wuBw!nxOUd`!)xBGoA+uy$K)A~Gn!wv?402? zqkUz|&j^o*hUAu33$KV4<(sR1M$BG2YyRPfZ`SQQpm<1yPw3eLhi@W`*HZGE1y*m? zIJAl<YcX5@nT@wN-khEj^uOj~*ddl}0%{v)8nDmiDBd{HfM1&9^Tv4_7?e4<FLrO> zu-zOw`NnMC;JtDDhgfzAt6l6hV2|Z2zSwHOKbQ0K#m)^3@<zH#dtZIMCoJ|b_M6kH znUUWLR$Z^2aX0j9)qMfAk8K9*>p6=*b{X*NbAJBVw1MF~C-=vm4IKWPLyI@+MVnrI zZF}<Fs;a%mTRd%)&K!(B6yu}z{DVQKfxIv$w?X3ufybQP270#@Y~P$}TN#(HlbH3) zf5wrFLoz)|XO4OtviYKR=6Jy&CLU#-BLRn$cut0$J$^1{#;dPv!fFN`2Az#9mJ$<e zT^nR0MUtw;TyIQs6t(<vUa7HeW^mYwxc5K!H~Ty|!f(9W>&sa=-?NuDpE&yZzj^bO z8Pjd2^&gHDdEg<ovQfQTN#=KAp=(fNY(&+cEtbpnt@|+NL4X*i%o(Ll=Ex@r;bJ>) z%ygA2OPSc88!3{IE!G<G>GHEp*RQX16*E(BtXrHOEX9%YC-dWW1ulhlQAwo@HqI*3 zQahTLN<K>RZmX!6W4H52n@Pb2JKm7#Q`zLMEo<yI%@jx|m1>EY$|e}L%psRgJ$l_v zHm2ouGjw*>1wScj<A^ya(79Uj(SxYA9XsYJ%H=Kb*DJK*m6_woES`S(z~P-H9|{e4 znPNPe1;j5a99k;>Z}+B6N++&As5SEbIyL@5n$ZGhx%YKZdscs*+MBy?g?4_%f!p)N z<SjJAK6+OA`tIB1_+N5<{u!s<nQ05z{5A(HULLtZ@rq83jcGSSY+ag&qS*g6KPvs4 zUf5r3jJ7wC?!4VoSkP{$XJ<u+gy}5$9$wXiEqurGCD8&Fw1>cU@Rb#VW_#v+kkt z+gI!N&!2eif8@vF@TDPUcI(cGuUlu86w3R|^#8P3mwfkcx8MG=aK=B|HLt$4&fDz% z+57Xa{$<as!phGi)_iVWs3bh&ga1)=?z1}WGex+1mIWl4wPwlsF6`bZQZ>VUVgFAN zwg`vBj#d%32$w8P!-)p$lR1KoH4fSMD4sbUa)`-C*=OxH&Ta$c!(Se+Kia~nb23D) zPUpu%c}|fRF3PG_!7mnYx9aT*da+Qt)oxd?!~*tK#i}5Qh2krh9tk=mqoaK0Xwe~? zH410k<qt9K$*s$aN>RKgDE6>cYo}BEI+?2FSwhZN7ynECI4`@=lIO3w7i*j3iX#Qg zr3Qx_u0FZ$VB2F9AitT%<Nzl#Z{Z~e<vEK)!!A2>$$nXU!L^>tmS@3*;|^TRJc|sD zBye3VvE}~STQbY3(fDT7vF(>anMEz~76@JEy>gJ3xwP=IgY6xo0Qv2_CI@7hc?+*Q zDBoEuI?cj+VRNy_E(`yK?c5^2c6cN<c#E{{@JVdZ&eX7aRsGtom5Kk-*PcYa*E}H! zdmC4+T-#`MBV&P{ndFN0vuv-DyBk++ZD?$}k+wi^+hs0qn<W<xDs#o!EDKm0q48y7 zmy@aWa)TpMT*_<CI@RW{T@sLxzHQ#ey`?7{Sox$^91&zLEx6(kD`OnM|NYY5LZ4b6 z!wU?-ye3CXn0pOuZRJGl8gIF7evn|^s`oA6MWTMI-M2uA1p8J+z5t1b2~wx}e%+fj zQEyKhlksJc8z=LIBy4Q-(lHF!%48ZKewydXaV}=w4VN6QewrBOlqA;5mlpgY>HmI# z7m0ekUkv*XF?`nS*<ir^b?wGBr^c-}wT^Cg(`Ocak-I>smgkCNJag&A^A5IO%md_^ zc}xyCF!LIoa8Tx1COR#`ePQ!gkzE!O_8R=UCgG%9Te8@|p`6S4%K`(Z^Cl%$uc8-g zw=y|{9rc(eBw<pcmyS^YyExC4qpr+f3vW6w#~5A^2+veiX$yRjV9}~qwrqVvo1EJv z5BbF!JO;B4aU^dJxG!~GQ)I`)wLvx6Hbw!B$Jw+#9&wPhF%1wu&vWH?HZ$*sV-BI) zy|on!Cp)ok*C^SjJv-;_o%a_!<ezKu6wE)w!GHO}+ZEB@(zsZ+Em<vcSjJ2WG_^a` zB5MI(pX7?}$81s$rZl!$_;zb=oa)4WTcc#7_1rMt+BXX)GJZ>2m^U+BQ^aDrZpIy> z^1ri!8t<}c72a_O-NX~Z8^HD|c~c`>M9KoiW&dV{I4#m{<=Yjw;+e)xkyq1hHoN_L z;hESFC*t;Ea$DRTqvgRG2FkHZC7x|K<-i&yz2b-zbLoa_4zX*DFEBX&n|VpV`TtCj z@Fcsn&CRSLyLL?QTis?i?a~Q`)0+dfp9#FV`SmnQwde~wQp?N&8kfJUEb>vEC%K|I zpXbWaXlC9IM;x3>79O1{;k~fAP-NGRDZbP4@{BW68X_}a9M@f~-O6<LQf6l&-)`P3 zhdr5j3+_26$1G4UzS!(kx<5c-QNGx#)wNTd8clCrJ|12@wQ*JSVF%S`QY&_u@?1Gu z#r$={K?mj>lM4dYye5bEqD(t0MXVw`7IwRe{EF~PY!DS`i<sHwcqe9C(2BMbI#;eg z<!WV`e(7t^LMeHkkOv)&UNU9@?Cm^Pjyf{)ZaDAY{ATIVsXIItHY>lB_H!0@*Zfl0 z_fGCXj#OJ=)c2Hy=N_E?awx>PQT(NyN*mMV%cWf_kEAe{8g$O{eq?Doz4`G=ugn*Z zT%_Czf4VQ}mpuA({^WOaOhsl)m2*p4q2|Y?weh-xtjx0ZsWUtmzS7_9diAONfBlp0 z*MBwo@>$Qm|6bRqQTC;>*scTNFRQXv=yA4cEfle;a9h}&EmBqCv#`HiWYtUER>gNg z5)b`8OCNOA*f{sfor7tIVsezu98Ne?vq$|o$A`WR0{NWXAJh()NbmBUabT^_(?@nG z70-%}p3RbI6>`=rF=%lTkJb2M(BUM{tjS~0a7f^<X3quv$)0x?<xiNknpG-MPi;c2 zZIAky;}(aQdQ^3eWE@iJ@ez%B<u6e5Vs`7VDp}99TuB-Q7F)G692)1cX>C00Ae*zi zeX51a!sdM|tL@tKe*N`+wV?1CtJ*Su(cn%_wpR%?zhYXwuh`eFJ7g;5u&}!^GooUf zafg$5^W_a2bmV*&b{l3!L@Zmg{KC;T6P+{C<x74!{w>jK_gnc*)ZX<-`;`*{n`O+F zTwb{F00)=qv49s3gj!e0FS&3ym#fxhfx!W7F6W#@28Y&NX`e3Tbw<&&+(7MX;)*oA zV?xgoWfJY4O(<rGaER!5+Tt29H}TiLTfQ?6?DKj0>g&|V8T+)kb!&xMg|szFHcWE5 zTBi}Rw|<p)-@^WhB5V>Ki5-$6ZZqcY<ocy{+du2w1C~TRKcQz2c@ph*E&pYHmg$R{ z&JnvqN<QAIhdOTy>-}Ay(jM4g+2eUOmg~x47iQi9TT_4S3moRbl3gpiQ$>DBIChIB zg^0aUD>jV2w&SeL$$9qs4(Rim9LQ$w{ouPT;#b$5#Q}GBi?mftY5P@~!6*Jtypieo zWzmjAzT1~FdsntEW_$Ixqmj)bVS%98vf_w}Z?`+0_~&YVF>E~~5UtU(!Ib-}ZN1)X zhFaG1X~F{W2UBl8Iv!rIxAE7H3HzFS{qxu*Gg=aFaxY&0IwvZEzgQDg%nEdC_H0n$ z<vyRBzvT6;FCAY(vsgnG$i+#o=r?DRdNjAutzy2^i=<U<_ez+!{QcAeR^;V!G5ahu zI1<7YdVNysF4i|E)E304KgjqMbo9z$L*}oAcO0DO7+v64o+&6(viyRpK9}v6Wd;uR zTvugg9O7WUwBf^@;%Y0#qwW)5K01Eoup0B%jW->fbBr%=+|Cq~iCK2xXbqRG&H{r2 zNnFl43k?ok(z&9$;PwCMni+SPs{Y$9mHQ>JqJJNo)S~%~ZZH0SU&6uH_ovH2{BFjQ z_IDy|FB}s)=83qynA+C*eDeECa}yr^d~&;Fb4Hb&rAh4aWzQ!c=DBjbfSDImiN9)} z=Dn6z^NYa*C;4U#9>W=jIGi^HY;VZl#Cc)yf!R4sj{+YYT(9x<!v%+08>0&h{k$fJ zCYNw)*u=`Xa=(7a+WVz&`XPbu8a)N}yxjdV@ymq+DxUn%n%f}p?1NV9pBbwb9(1^R zAz=aIKEn$f`I$RoY?fX)Y|K?_v)tf_ESK`0GlzayCYUkqV%>8>O(Bnw`4X?iGP!9I zEBcqRNhQo|bc>j`??!9-y!RQ2wtJQb_*!c4T<nxHc6x52V<THTZ{6y)DiM$ACd=f+ zq*wIwvPmT^Zgjih()}QTwN)=H=tZJvD`Qx&L=tbS;I*`cd5q^bajv+|d1Iz-UCqYj z(kuE6*`$)zG`dN+cRxrlZq?fs@FG#Wm2q33M3Qx@VA+zyhd)1EE@3hW;hZr`ug=HT z$2dTKF>lCPCtj07K2a>}k2OP#9l5UhOgO|aS%b%L<{^$?P}u#x$#O(D!ExJ<Lhgu+ z1$JVR8698Qq!P1!)#=*!&UZb%^cs^$)ePsvhMgj9Gu#tfe%8;AsT3D$Hm;kR%4B?b z=@p&!Oc5@fWdTW?t$fphR*2mdsfzGh*e@!=7U7xL5h~&q;hUvt*lobRnX}li-C(PR zvd`L+9NY%;HwbWZbZ;;?Tyi?bdB%Z7K2INQ%v5M)YSx${;jpkdP-K^c@4|LNkzW$t zi4BD!Z4&;8Eu2fH2{m&T8#Eb+ALb0+9CWCLM^)#5z@aO5<{Fq5`)@q-sZuGCX>!oi z?nu7n8X^|H3y(;0$<A4N;ix5-?VRNoj<a$x%PciGqROQlqqcR*3?FdkPr`FXdw0uE z3%7`d$1SZEju9=BCB^E^{AL`u>httbb((D}leET^8y*XreMNTN@LAZdEAs1x*Fve2 znjy-TtywDSTc_-Bo6-EbW#<mZ8SS%Me(rFMXt>?ddc!lKrBpMl;=zV#292>RPaV~1 zKP<xKvpnF@ReOOK3CgW{W`Qpfja%)u1xO@lw<>N6lt}z-pOUS)arTuv2jvdMyiq-K z*zQox8+DxnjE9_LRCEq4<msN@ntE!@`<ZiRo;v#dj3O5+U$91Dixa!MW=Ua>)7CYM zE*$saVm`CT;7AUa@)^agQ*OA<XujLB^M=EW_Ps4XZ@5P^{B3EqaENGW)(op~F`jPF zSh@0)sqKPDzl8_5xKzV}UL=UN>V*ZrNaSs`yA~voAlsT1<dfK9yJVWsXU^gc4F=-e zoS!#z7|3^XavL;n5b);g-k@{%Q7CgF6Ti{a?)NjNi*Vgp9Pr4rmG54_i$~I2wtJRd zIBw0wyl1(=5q2)+60h1*%NHJT4k~8v=P3R-!+_tP<MYQU8yL=WaDSY$fkQuN&4+;1 z#jDP=rbopF&3|rYd&z44szM%Cy#S5EiB9a(HA)KSIPr&Td?}oIh~c^hPvP7{9OfQp zRrQ3Q!ODD*L_0rWu?IYfisyvH9>%o2tbV4J$g~~oyw@wYX7jM-1!zFqdWEx{_{%jw zMFYch4W2?!V=pK>hgUUk@+|8e&NI4qw^T}a&*(q>M22ylfY>9gM8S1SBOV$)a6ZH$ zw^U1fv&^>ujSbVCI%`F&Zul?kW)`Wk@L1UIEW&2tlh~mw;%4EMrTKA&0lPm(@y96! z{O377f1I;{L7#)WuxA6uZeySO#=AL$U(8w=Q*(aK@_?^tBCGE8II(}%C^6J1Ek1VP zZ~|8?&q9L(0bI^JiwzEa2$8-X@W^82&R1tSg7-=$t}<8l`I^?U@?MX@)!Bl}sy2&c zR~edzKKt=g&21UTb!vec1~Z*HHASpucrEPC6selwx3GVm2wTO}UAGK*KF&JCk?(O< z)otP|YYE>O-G(id5*{=93tLzv{3ALzTU=+%Nc{M`YNpZa>Swvjiay$2ww*lt$Gn%0 z3tjxVWWOxB;F`~6`(@z;_joSmFN+Oa>baD86ilaAxM#h4V4J9CC-7{cu;sk|b1ked zoFY1=KdBJh_1F2M_^H)dQeXdn`YJy)Ttw-L(*NyyL@oZ`ezcxfGKcSYPSk@HzN@#c z^tHZ`dRgz=jV<kW&E6(2_hrA4cv<n=&61YC)8=^1+5ASQ*dpOF6MJsdqY1v&H?lA5 z>D}1U{@m>C<C(tf6<L=R=iMl2IX-QUl|}Z=n<pPm^yRkMlG({__V&R(-`zXXFU!5V zwWZ(MO!mPx-}W7;mj&~pie5eHNliCzmp0QaxVubs-OVk{tIgg%>hi7Lk#pHj?`BCu z_p~(?7P%Yk{33H2CW}cwYB}m=@$dGd`FxAFC8zG&^`~3r*z_L386R#xl0TS~Tr?xn z$|E7{r9$V;rOh&DG-aP8<-Jtk|Ln#7b4I+C#(}0wj*4kh___?1JqevSBjS@oR*FQd zl}AFzONGvfOPghSbY-6;CB9VPzwOQb^G2AJ#(`^>92K`s;p^J4^GRr-!OAC%S8i-t zSu)|ku}h9Z(q8PA5%E?hj_$hTD0gid-zURGB>@elOPih6=*fyChMrvgD5>Zq^U8~v zsV@~8MVB_KOq<SEv|&xjgzlwFn{9M7WuGJ$zFff2?e*-^;VF|0?UqgJ;WSvA;?wa` zHCd_Wckajjc&VgS#W(&)&lbP&fA*vKQ;dJfY`e+Kvh5bL%C;NKGHW)m?}$j||FGef z+=Jw$wh9NhsvSB?zcp~YUORKzjda@vskLS|m_z1g@K3mr!e6lAn%sj&4YmgkmsU6Q zXMby82!G3{xb6nCQp~orsI@oOum>n#P_1q_;9A{qgtfY%`SUjh@#k+C<<8w=u9>ru zoy8)TpQG@UoWR2+rkC};Mer|hn=1T`q4DuIhR)<~4D9Z28TI;ZFx$v%WB*~1!p~7~ zVU{}o?zQ)h>#gSBaQT(<n+?A>Zr6X_yU9MSHc{u~!g}pRENp+Coj>(&Nk|Bva<uTW zwEJs0CrjL&Wx$>sCHyQYC;O01Pqy!j{*P-pxeXSYCMvpZQPXjn9~PtC*|^qXhE!$M zb~T>`;n{~&s<x?}alC)6W#^0=vkdr8M+-koj?6y9q?79#(V@DQvwOo1(d(xUE(nwH z>5c7<5?0%=!8B2bJ4*OjLS6Qum^0bFGun5q<@|hcv1uaXvn^^mN8Vg(ah-9sEBk3u zfi~YVxBWBMathD5ILn~(<yy{SgSDoKdds${`K&FE5`LCklzoWFC(E_WmM7D7O8>&O z9b5)$L<1Guwkv5l?Y|#!E%~Z!_HEN=1wXGZO=8jedux+~?vW=2%St93Sb51&Xl{M5 z(TRhrFS!?e+*LB6-F#_tO--<s#(~?H92M_P<?H&e?@8#y9iYUz<WGQ=$AaLKEvo}R zrM^_Qd=d1^LvPnKzE2m{mQ3h>x3rn*j(+S1fpBkj*BxP>R$1%__~ek~vnS5V<AK{t zh0fVan`Q23$v$~h_ELer+>8C^j%X{51A8wyW~IhjX&h>v6n^yR&q?e-i|-%4<jB<T z#qMdNC;Q}q=Su}~e{c56iZH7a$3I_kWQ?0~W@&q|YI4mS4N2h#DJN$LEcZU<V)6U6 zz2<K{@!-|RcO2ip(S610U$gCXe>+FJ@RfVDa@^4pRWkgsUDZkEi<ao4Mdd36_-nmd zf4qp+QgPTHq^S67I#;K`gYDn`c-!bqv^1T>t5Yav+Q+LUy69TfQYRT6P0>e587l?& z4|}!#kciV#Ij}pZlJn!15RaC0)m!_U*;V-#9b5B!sS``w6t2L{9YKm&Y2jKfXJ31@ zu853XDPX!>TXa!c$x<gL8%@zgX~n9a-ao4AY+iBkrSnPw(|+xX3$C2FvNNP(*5RpK zfyO(66tn(?X}O%e=he#cB5=|34+ZO8@@nsB2ns$(>+BHN?(O2T<M-_MQ);#QR;-(r zdrDh-_3GE3zJ9IvxHy0M-?%1ou@9f+MgM<Vc<5@V>3lPjY3AFqPduC%$zfy4-Kn1T z@WIB&9TihVO22OH-732E>aGy}$&2jbC$7($zyA6o$EYhSpQhHX75lz2qvz<}B^f8K zhfFiS^4$Ki`~%bfh9}e}UcVWVbl<<b-quj{i*>HO?~{PpyS6<Qou72xUsy9g<9fhy zAKzT|H-4?+6>pdCn5`4|*j+T#G_-e-;(y6^5-nFAz3K0IAC(mt8y<gA?)L2eua>3F z4-XA{UijMms6A_R?B`6^ugiZ*-T8A-eoF1`hAjJat1jd&S(rTgP<~(8>l2oZ?_~E! zf0NyOI*~!2mF-hdl7PM}&$Ff7ihI5oOqp)i_R;7-L0p@}!P6YdH{W=ENtoL_Z%y&V z?ozp`&F9?Zud%*3y-VP4#B#Ro{btsGcYW1Jv;TYRYld3p$Jf`*|IM1pWL~LR*gc&u z@AIXjvT;>APJ7FLuUI+l^B$g$4buhnHqM+XaX9VT!H8=+D^AE=KAd{(=Z-_W7{VjP zA4$DdiZKt1dyzKvuyP!i&A-==x;sOjeK?lHx^H7d=XSBz59S`-_2T}-?Q++m*7TQ( zNhj<*+IAyhqoA48`^`62ZJcp_Q}gmYB_DgH%gy_I={R>BTgA!K0_!UzADXI{x^L<9 zZhF^gR{XPj_aa*{?G4wHRKG>8Y5ptr`ccEtDvOMbc6^aJ4Tr^~A9WmcvpA(5l*Fws zoAY#OckZ4q8|F^8EBjz{z%Q=t#?jLp*=K_Or`7Qtuh1;GGiQIqGlQ#1tji+T94YB8 zH8`9UduB@n|7M+Q$8)-Q4UQ){_iVnw@%e{~*PI7Sn|JOhF`PGDPVK{`<1TS*Gme}V zm|Q8D$faI--(>!DChi@7j@DlG>K2Vi-6*tL_u4_h&mQ8@rvJA@m@;pTFlFBwVamNV z!jymO4UWHOl^q_QXVZDI`AveLeyq;3rR}PFzHFQ_opIVnqa#IeZV`#oeu<yBI_vbD zmP6~8@tZtf|ML1}_sBJ~)<>_I)gQHH*2Jha-GySW6ZahbH6vjoqgqr>ho_iyqS1Vh zt#(hBM$P{ewAR0J<+bH|LS6^Qt@^5|@BHP-(x~}GLEn9YD>MzJo{qm`Zu?97-YUsG zM^|O8Ji4lK<<V80E03<4x$@|$l`D^~+PU&*+m76gS!KV%bCgt<eT*#o&~-m}ZDi%j zYiss|WQWDAGS$|<I&125LAhB=|EW4}HF&%<YWmF2;-_VH&Ac6z!@4VajSGKwsllP7 zt4FRRT|ILp>FSj$NmuV&NxJ&vN|N#$GjoaDt3uPxZ;Cqj>C(~axT+rqyyfTqU}Al7 z=CnX;h2%nQ_0s!W+NWp59($_)ZgyYfnpp><*UXw0wWfKp*y~4Aj#hyhC+8w^8a9hb zKbmvY&EmXzkZxk-%CHT4LbxO1R(;jhzxrwF^sKL^P76d=uDrG`ZDqZoQ9Jh@ksTT5 z;&raF+)KL3b1&)YmMckD_gq17C9}`=2vf_g5vJBpg*7+!OwVFH?Y*_(>C&kEpMrGb zD_4fC-@{`#eR|g0Q=WIt*FISqHNEg>_wQwA#k4mZO;RnJeZ6F3X~F;eir0@Ck5*M= zY_yY$%xO3-CjF@Ms9VJ^^Bg6x$D2*}lx&<fUGCb)OUJ9?*lrv+Eg)SfnK(J}Rs6Fv z4`*6(eth5cXs2cU#xoE19_6ap5Yg%Pzj-^KRrH!}J+apdS0DZLB5fn%uIQW&IWg&l z&;KU~Ykq7xzo~ipo|2DU)8*nmUpg)w$F}46X@UGo$%nG)rT4FNdpEtCeXdwn>D_F< zs5J*PyQf;@Zsa=`v8H>o*z1RLj{dSp*vP0Ck<-yFCjC%oerjj)o|1x&>3V#hFC7+) z`(<(JG{f-<$wwCY%5x07|8lRejCr>7fN1f*q?w0fyF*_)c2Av=y6=4Q>x6Abcg;xN zDEBOCO@F1Bbi%%)Z4n6@1;u9Nd*`aI`xIGL&^ujk-RDb(dE<WVIC+|3dxhj9GkxVd z50|`~Emr7xq4si;UVY*HEw_?buSKjm64hP0;apPen(Yz%(mL0UyLIz!IGN;}v+V{) z^bZ#=org=Cm+mRq*fU*j+UHBhed5?64xSd!u9Qri8u==I*4ac6eb!$yKq<2=YRv(I z?x_-a8~NNK)^tx4d%bY`(O(j|8ySy9<aBHllTMVG@6ow$PsxS;>3Y9DUpmYX_e<i$ zX@=$s$s_}PWgd|8j(rYFV4A*vNB*r8xzkD79}exw>Rx-qySwzmsifFF+amb$b*>#Z z7n6Rl_Gnv0`bNQfv+eg^3QJO&pUOVD;$@PNzOB#0rTr`S@EG(?S6uel=n&6l9_v^8 zUN&2DMsbxGZJ!?T?85D&(EVu}U!`r0DBT{lW>>6k*xmJFtMekdL(A73T@`&T>8fq^ zJpT;si!-P5?fP)ZH9W4W;#|Cq{N10n%wHa)cFfz;bD?|kuKZmGMLoXzE<aPAj5WF2 z&e;-SYPvPT)O;&Exv!kIVNZy5MBFM?9sR4nrcSpz_c>^7T;)o!^?O3H!#7{qKWB|t z+8&V|>F45gieIGd3s2VGa3o0;lr2-mUMKB5S{0GF(Jm}1ry*2KI%(@sw}|9@>077n zIG=v=AamTViWA=a{S_}C8|pLLJWTCazNhCy&*WYCQKwZMzx%!hm!M_cqBjyZ3R&x3 zJE+%Py76|B?VF7e^3}R#2kg3eH{MTD_IW6*xpCffKC=&(j=IHFMV#@LpIs@Lz^(6` z^E9<3w?4TlJ!Ze>^uq?%IC;$FLw3ukeF#cW(pOdcc<EqD+%Abz-u%IpFO#M8nSGw8 zc1Z5&F_?UI-Vu&#mJ%ne#1}_APc(U5(~}t6uyGByVPC1>F=M}S=gkr72D3e*?r`@0 z=MGy{DzASvs%?6f?qTn((;hC3I{hJNZED5JYesuQvL)kIZ9NlTaC7nuP(>MYZRd>R zR{WnMpC>cEX3|NC?QmVwU2yD2S@)HA-4lt+42?I6%=jw4%x<?raYW9>EV~rESn${9 z&M7m_db1Z-yiAhOw>|TCY5&hXJR2IOD~f$GI;7<Hs_(^L{s_$v57~YGJzBRyeEQuT z`mb^~3f1adbBymUy?8#!cF*Q1H;*%%-p9xmmDAxUCY@+7Kef|uPsxRu)Ae?Jxa1rj z_v^*6(+qbjB^R;lD}OQZwte!{pk+Ff^Vy%>seHw{VGp((^_sIWf<0aL+EL5yuLbv# zYGXFsU=Y_eJEGd%TQJjq$q|D%D~WU7;>r~-6IJwUdmg1WT-?KB*fw487^nscuh1+2 z*FbkSM>PHx)BboMN!Dgdgm}NswZqBXUq2p6Vz$|OL*TrQ*`Z>)i9**aUOuqWkG=C? zX?yUVFNNLH8S_3H9g&T5+mSu(*J@VFH_w(Hhys^EX5FGU@-_-t>s&kN*Il~tbdv2F zP}0#cI}q2+yYYOI@|)+vnj8D3^IiLV=_p%V)r}M0^0O->6O8qpa~`I)tetbE_A+A} zm&}t-;@^Gw&lpd+!R50xqBB$Mb;7QryJjSAluL_R(;q1&ov`g_+l=Img3o5DFSz9; z^Jw#%2L}4FG7p!wFW&Q|uxC1B-)EyEoN;aznP3;5F;-Xn*Tnj4HmDE^i&%5Ot9xoh z>PEh>=r!G@Vy_d|9{m-OzLD`-bWR7am~^7@e2-4nJtZ3^OxF|pc<Hc6+^>j3ry0B} zC6grem35vkc{jW5Q_uowP$Bdxe<KsKPFT`{qgE0r8}-<t*R(5&y<WWfD4RsuM#Z-1 zoEE_vCDmP@FFEqZ?Rs(2n}2V`%f;sU%wHa+cI?~JbKyPvvh=_zku!-ZuUXt?@~?{J zn_Q{6Va9Z!=@l;@80p8xJY3qode4`_-sz0%J{uk3jdR;^GR;59<h4vs;@s|yYd#zF zmD(NKtapIrTI-AxyErCGEZ@B_dX4aovnsmpTIPKSdZ4MVI`8A9gT-;XDh_({>sP*f zEUM4E=UHlp`JSE+yt8dPt=AM6OfS`YxAENJ+G{^|oY=*{A1VGw@U@bSnU(FXryr)3 zGPU=3cF&D^{4pqjUtjgxr%MOz;&$CQ@6FF%@$#{PK6B2a)Q-b@dJ0<3&O4HJ&GN<} zEAi;a=ZVs<YjV<K8)VmTZ=75zSZ3(=-O@c$y`aZ4>dx~y&zBxxjq|!=;`b(bWt#0V z|DvRoYPNeqisj;dNu2UFef)6gtPdZ8&X)h{Iz8`5q1k3WzYXV(dS0uvIBF%oJW~7t z&ugbSsj)4^Zp-&Ou6$;BY}fI4<)a$Omy(u0v)m&x<B)gf$~`3pz0>uUeZF*<C+^pb zlcyOrS4bwA=_{W}+`Rmm<(lBnGS^mC^1Z%d(_Wf2@9-`L=18dUv6pt~daqfY8G3gA zo)cbw(yQ_Mo+%ZFz1i=7xO6l+uBzg=xBUA`$p_5(S4|qG3tDZyIr+sAEB5~XlZy4` zZ8�_}b5kW4jp6M~XjUeXX>|#Om+a6EeFbUW?b;ip2Kns+U=QdA!u2KF*8h!O~{V zJtZ6ar^|i&eCar29Gk_7(*o@kk`E1L+ji!yDZVhbRIh5oIcNWCKVKZ(#jrP0d=dX^ zr7x*--WB(4@Oz*!dCQLTmdCvtKku2c<A682c;(ASLi)Bb&zAOU@8Kz!I$d$yN25cf zX4OK+Bc4C7cpWn*VQ%~6HJ=N+N*Vn&>m7-^=4z2$@=Lnk@=n6s1HQ?ZlBORvisRCG zzVrxJoK?h0Z}HU?FB47mYu7wXZP>bp$8i31!E2juPTq0Uiv4xO^CZUCHaaPD`(4*? zZ<tZ4IBkR8p&(G$F~4RpGXjNO>>iODXT3XZ_mmXev{>7*v|Dh`7lTRD?c6>Z9mt4l zlQ?{uBf0l8gRZLC#+juXW|r!0+i>o1*tMTGj_qQ&9Vwo~{#xmciPc}<V=~7iU(40c z6@J|7*&WMgT%l<&dAiW%ikAsy`mtvoE^Xhr=Zj(gbjD|&jgBzIxka2v^G{-WE#s3i zx7%~gXM>rgcFQ*C9SFJBDsgTX$7M<Jde-@+Ox-h=9IZWP{Wy4L1lOMDOOLR}S-m(i z|FUa(T-A$1-tw`Pk_)8uolBmlwum45zGUl^h(l@D9Qm*9tT-um`LOo2pA~0!G2};x zKa#%YS^)~gF3T?_*A)NFQmc}jEVf&Q?Q>89hrVjtr%MM7;&#nA=FRV3`SP)ZKC{g8 z)DGc2Jq44_&U4AXX8Gc<m3VFB^M&%SYrdq%HZZQ?Hk?o@$Og(S%OlhaW`S}`%)_Mz zLgTz*9xrWvy{DwGdAeNQr%T6m<JfkbJuOgPA^A{mwr!{Jn&N_KrF!Q!o;zH5?dOgI zyBNeH#UBa1R+2Ha`g``U%)5<#5B3>mZakf6s?U1uLr{{g{?%2@)Ag=>zI2!^?$?bI zrx|8fNG2KUE9WF`R{z*g$|tw!+|jUWl@Z6R<WEP6C$PSDT9Xpn654aQ_FT|4&Ya{) zV&5%)S85hCOc!FWeEC2?KUU`1()M-7^6wwJ<Srh^2I@)7t&n`!{PF#-49$&m&#q~f zT~oYqa;aR|#&gH>uCd-Yyi1@uQatf~{J(aiBkOWQZ~r>~`n0z8>*5_BmAzQkng2hz zhvn?UIh@>g%n~~l|MS~!ky^^5UQ%$?AvVS^fPXsgmE)?+p|V>V+jb-@5ImQjky@Et z$v3^uapi%h@k>_AT$Xt^W5a=1;b}Y45AnU5y`h_3ZH<+J@VAS{TbRF?J{0)tW8qZq zd{=dyL{lmsvrk0A0#0upqeS**-y5#^!ru(8wAAt#KV&%UYjK1_xX<8HK>1Za-h+O8 zi+v&zws3lvm?g5Wug^Q6cW=gq@Bhuq<^>kT+^jV}|Iu=q`=&3`w@lMLIW5I|lj`kB zze3mbH_v3VXg9ulcplq^KP{U@%6CRI-I?0IpEGfSF{hG%f}_%N84sn2E^11g4JRhD zcnDak3te2lYuD~wd4IFh_J8>B_uuxoJ%8`*&ELgcab!dDah}~3hc>h?=Xt&M!}XIJ zqkr_3+&;BnZepvv$JJ?#j%RKiNodpMNxX3^piP!1`Nq+LHrt+r8^<d)y)!!}oNV2! z%A>85dgFjmo3Bp#jYC{*xoc8x9Mo!yU6Xd>a8g@sjmxoj52};iq<k<u%#|%+wqaVL z@N0=}8|EcSOG}g)%uW;!KA90SNAb#GSEt&TU%pS{MR_yL+P6P$k2?QBMAm)9y<c&i zU$vJ=@+3z*bo?X2yRlJmNfvXyP*#%8La}24S;-~~f89{Ha@51=D|<(P{9#t!G^6H& zEm}N6#(|3@|7fHwYWdoCXhWmEgxN>Q!&ZrjH;$?ESl>9Xq5CUOb;R)vd^aB#x^ljD zZs>`fzbM;7_Ls=t8HvpDhB=R%+jQ5Y-8iPz_H}tzqPVuiw+(X=<xiiu;k#w>#@E3m znk${>FY=Z!+b|<hxLab|h6#z%+!AF5^Ap8COMEk!o+w{@;)bq`^08kcu_rei;%wuU zNxyN#uuWGc^~N#5Hd&dp8%Gt}Y-Lhz91l-<V>VAHxwcC#AtKTKu+`I)8%L(|MBg~E zp?5Ej^^L<D{B}xw+t8gTZ+-HHtc~KaUm|x8ZaDOu=e5n0MB&>K+cr#1l#Z4t+b}ax zeD;Zq7#p=Khn1abZPxs|eMj-?@)s9wJzfxT)|K<>&WyKdfeWp~QzIU#9A@*Iu&Pwg zYenBj&Z|2$7Fvm`hCE-?@+xLZz}#-3RmFjh%`>k|Je=*h%HYe^9}~Pynvd^Sig+k^ znC+cm&Lf`Hw-42|<;o=7I2g{e+v3EAc4wa77Cy(;Emlri<9|_^M_VTK#sR}NUzzk9 zhdA4E=cL>?sM!{4qjGtz|Haxfw~k*=d1Do?Qu-qJi*!)Co$Gm{HIpmbzNR-M&Sf%M zvst;V^wEJ0-P}B{1?D8mHc#FdE#cDhRkLblVyiZf^o~;-I(>PfBTjDUn<r7WVOFBJ zywRJ7%5AkZKF9Ks6%4N}e<9T-dd(;&LH4lPHRGH_=EGK5X*Uio=h^+ECy`%T^4rFy zp1Y3LAcbjdqT38|60{Hh5=p&rsH`ow=G=y6S)ScDPHyN^IH3@0^E-4>RfX4ze)avU zEMvNtij?~Qd84s>#c__S)=7VMtXZ-m-e}DS*28SyCavO?QM_^_(MeZE>B=!jr>~h# zE4rCms&4qN=&x;JGoE>{&`Ff-pN-uUp|cOz4TV+L6j!wI-buf4gtblgPU?+gvTd?= z(rz5pZL__Ta^pC!Q5o~wlsCT$_WHfL(Ei%A?W@}94V~IN(L2s;==J8Y-f?0>x4-1K z4LynS-Y0MPg3?(*?4b>ZlG=E6l5QMvYSYz8xN)qeP4-OkjiWJbwr3J=9M3T-<M!{| zXcv=ixW=F{k=a<n%y4cZ_hpG~9~lqx-Ali5G^EXT&+!fY<tK0Cg3?*R+(R1<rL^(x zIlQ6MlP7w{i4DCod8}`o-OwG&Q+?y)hJM+UH+*u!$+bJ`&TTkU*2bHYeB(%1n{H0x zjbmwTvN=gNj=Htk*0`~~d?dI~PEP36<Ky8;?b#D{>eWBxe_gk}C2DrmX5O><2|uOl z1HUg14f>I}?BUN}F~QNb#lhQSwh4CM`*C^Ke2$$Lu6%Q1;|>;SWz7#^3khp_mtrtK zv9&V)&+=XNUJrQW{&W?#{+=Se!+U2Z>w3eyM7F253RiFR<25&!{`KAwnKs=wZ=5&u zzC3g5pvJW&-4}VPB@S=sKe)d0>j|@T=~+^<H_!NbYyQ>0$D@{h{W<+s*Vm)(a%bqu zXPq^F9jddh#Xs)n`O^BB)h+%h2G32kG|oJ2YOLIJ*mg^~>7%syJD#uH@%-)!;rCGr z?eofQT`#upm{BHLbuY9{wC}IgJ4VHG3D5E+!e=<{Y~-FYdxz)F*61m>cf|g64&XK4 zu;|zF6H+;kg41#z${jX)X80yS@37u8gEjq1ZMA29^+xosdVcq(@14&fPb$lwI)|RW ztzhFHYV-bI{)z>U!}KJ6IF?y_*&|;s{M|<RT_wNkbtcO%d)zg<4kcflBv^G%xJ`5( z7e7ZK+x&oa8+wc8as}ljaX%F^3(iXxe!c8mqlDSU>51Ic65BS;PGsLLac!pBVYxDc zH;;>V%7vc4tzmP%!{)v5&w#6+uW_F@)|$6H)*>P@z~Ue)bNng3_Ic$WuNR&-emu|o z_w|qKSc_g%ZVnP@otac^;Cz1e!3~E>Ha>5)XfD?XpW(8zv2)7oiupy{@<DmYrmvSZ zX7bGb(U8b3EV0dSY9jl4!#9s&+iZOjZyZkn*&O}#^^WH=Cq7?0g+J6bf9?mH&5tTi z*ZZ=zrp6c;xPyGGdg$|-9nW>eV!5AO_$;<mgNxhMJM2Xzw?vqPj*Y?*NtvZF53^74 z&RKZpNaiWsIg9Qb^StKE>@8_l*qF$D-#F*7&0)TM$v2K}=c%?hzM+3}k?pE^<xUmz zL@VzVg8j>NezVrR@4W>ZHZ3UV<Yv=54f1bj#XQ;XdtNWfZj`CI*DK=sa9PGlKHK+V zhq<=5vbR_?ubraZ^K*ZXw1nf%PUHWFcC<QAxoz=Pf5~jNcN^}_-f?<E-)D(!h4T~H z`6bFW_9yb+mi)HScb)sy&wl^B?|go9;`2ShgS%qC?lC>|`Ayez-#5;zt(-9i25~Gu z%3f6Fck>+XtiES)ajOHLZN696BLC=%lZ318>9mQaeebMx=rE3O+IcAG6tB+WJ4cF6 z>GCYUb4++*=hPi`MiK9Q&!pZsWYd;=CjG`irnXp}lpBYY+G=&Cy$<}k=eW}LQl<0N zDn-%DUscL;pD)&$_kFQP;_0SC{4oX}9L{CDsJtH6T|H0w`<`lu*YAqBKJ3dl$!q)G z>@e5%BX8Y0j3u;el%H@pYnU6%C=zbg*lsYPNcwG3qW7HxzSpXS%_X)O%nD6P7CFr4 zX7nbB<*?kmO=`6~!t<Vo*}k9o!N&a49?4G&S3R#)u{pnX-nOJ{ZEh=`M@@arPb{3y zTgztejwnw2{!lw6FygP*({gc9)%%xj-3ghWec@rw*RoA(TJyF~N^BKfe?_mJHT}c2 z(>>aIJa;y3oHAR&dFMBtQ(Lq5`0UDjAoqH2XMUaAhE~rtLej#LWd@Cj;*TZ2eK0$0 zcWkfDwN<am`s>uLtunoD9G!9I`<YaOM^8<B6L+jI+~p@dZIK3#=+~7}`z4gD;`U`p zpV@FoVWZvD)!U{{$+ll~=RnaZU!BEw4h5ac)k(Z-VlW|5_$^O#h1#8^HCMk)No4nz zDEl}gkzYA-vR&B8t*d?=P1+e|TDMUn^s?ui6^6UsL`_(vaYp>Bq-mX@f61O2L9>~O zt(Hl(TH=Ypn&B1wMa=Jm@{+ioiaiU;OWqyP`^oi2&b4ac%@W%TCMQZ8OOzSRO%%T@ z@$CcSVY_?NvqQgjUryR@koD(L$f{)ppVB`{EndAsShMR;Vc`78$KuD2oSC+MWuMWS zf+y)8zpji4u65jbDDD*Rn}v6d)NTAXHG9?FEBB7+UGrsbmozKvNaSXh-1brQFdv`6 zn<V?ga^DQ!Joerh7P|k2*7a4#^tUa|;+o$rwYYnctY+6CzrgvSkHxRM9QWQXBWaTo z@z8yvo#MF%YEQY$HOvd<7751(=RMSVYPN1ep45-VL}7l3Z3XiarN2v*6--YQFPHdM zFgsCx`;(~9zmGP>td`opW6CPc7!gyq>lWfcBCYRTYs-4$=ZMSd-*rs+AbXhWe%Dvd zLbm3AGYeZAr$}ckzjMTRV|>e<L&2v?7wK+$DP&&I_x0Y9$Tr<Mi8qcdd1Zb#dqZ;~ z&u)oR8`>3leoOp0pR{(7OZ1$dWxeq>UROorx+ZJxO4&JS(TkkuE6=a|ILd6e`6>5| z-#prPgkJ~mY}o0w^Ip7$xq<f&*QZQrYEQ+|0`ih~&G`0-^-S!wYT<H;Z3VLvrMF9z z6--VPH<$QUFgH>DI><9gx9q#OuKL)`A7tA4y>+>3xI%ESi0t3aUw5STSA?I*`B|01 zb(m}N(O)6!-0v-kNz{4Db}S$-$>gb+TVP(Y%Ijr~<q~Fwa}v3QCAS$iCbB=4Ec<A7 zSninNo5#AKsE|+uMTKAY`SK;2HJUOT3}@$N*2=|q@TYm#E{vYME=&I@SG9)uhTl); zU-|3EtA9m&^9|J=ZeCli-kVBg`(l1Zh0aXBZF2Wx(Nj~IwP)RugB5QFoG~+FzixDs zM{VCkEiE4}@vl+KyVcZ|cZ)6C6Zmu9bg|jSt#7)2{CR4>?)P7<tW$e0>=nHozwVxj zsr0V4oZ$W(#b}L$Xp=LOCS3ocn$xT1dU<}Bc$xQ{?c1if@0(TB>U*)tOgi`O?WoN= zkNx4kA))J1oIE{G_orLz@iXQ^0f{w2uX^6}W;95BJ7JdcA@b}3=Vc!<_snc~S-Y~F zNz3Q8?tHTko{QXsrG?HM`o*$&quxQ8HNFPo?^SPoH84F`(sg}z)zUjL9~t%<Kiicm z{duR(vhVBuX!}i+(W$BZo@Celbp7AdyYmH2*C;fXTU`;oSJQiaUAXb>j_r$XzngJu z^U94sYS<oIO%lDARw{n`R#ef=d6GTv9YSYZXv|7TVqewiDZ4`KSlNPGGtN9)z2;j+ z-VqVACl1%;yPl=(`F*Q?{qOank@?lRN1e9qQ@!)*)~CDNzig`4FZ;VJCU~Q#y}0ko zo>^C?tvYmuFGTkAl@({dTJB|kalSgbw)lr&%*Do41zXC$DDtm*GwrM-@7J{!*M8@} z`nRcV`!(^dUpF1{Uf;VlOaH~k#})50n7O_$X<K)<X}SNEYf<x-CU{$V?mVP<ig(Vk zJ4Yl>>DKt}?9H!omri>9S>KdB_Uyx)_pe&(ixuzKNzXqo&YkNz=XLL{`)fUNkIHOw zpZ@u*Y4wwd_gJ)R!kcezI=gG{rHv)~()KyU#QlB0b3wtvW!*=eYS*n@x-#P3qgAuy zL>J4&uF2fhC8}qb^PuSc{#Cy}8@;<HRh65qcso@7-b2H`h4WvXyLD;t#+B~D4}!8M z1tjL`o_Y3aw@G?&#Kq-S{kpcF?so4GdV59J_EwYeiWvvnZn-<J_~0od^<#_w(V5!Z z*S1!#Te#DGhe!D8(|4{du-$v7y|-4YI^ThL`}xG+`KPWgyB(LMZM%Et`S|O9T{}f) z_x>`ob$)pATEGc2Gg0Yz=gsysMA!b?_HMn^wu|f!x89qYpphpk^Z5Ge!`Elot=et2 z<yD63f#=Ql-D_vHaLo^8mpI5%Ca`M5<bYZRp{ykHg<{_XvXb=|^6gW&a&&r2)eZL* z{eMp~O5d1aVrpdJu;Ng7i<E`OicaU2C<~tzz0z0Zce!3UXx_5R!e>SM<d$C+pI0Ag z@XhfmJ9y={^CqU?hhhua@`SP;WjpE4QM+<X(@A!Y!j+?uPPR4PEBfD0V&1mF(lSB2 z`SVFGoz~(OsU3bRI&Zf`SvaleUEE@2;kKgtaZA+>w-x=XPcq)VF-c~_+=Ek7ShpQY zb>fXtx^l$TNjFB}$}v4B*)zXgC+(WyzoMPB<yXXy?jsGdIlg>1P9_9yVscM3T*x*} zAS)?zlcD(SNxM7R-+I1y{Q2HgAM+O7uV-UFw~EPL)A{ZC^aAhHL;lSytFnvtsZaaA z=-I++udb~t|0}wc-_>iw%lkEZi#Ws%7r#38`v2;8`OXva-!4sFR(03QF8<2@+!Nwl zj+38W<~{%G@B3Z<5BlzY)v|ZzwQYO<+h6-zo4(EQ#kD2D4Ki%YW<US5)8%dRuhqN$ ze~zBv&TI4byZ!5b)o+D)e@>hBD<*mRyIkF`xxWs6XgN0FUw!!Z>qpjUiih0WyY<G6 zD`7@5xAqII<v3ikb6Miw|Iv5r71?xePTO>};AU9zHg{*caGfV#BV#iz$z9mIPr!vk z`PYBvyZ4t$ihb7g<-M8LniCy8{n?&A9=EcrwXzGV&16j6zW)EcYrp5lybB*AgT2F! zdsSVLxEo)=we?Nl{W%9`+`kr*_$EJlmHG9${YzJNO8?c;biTA@rQ!OuUoYgB<t$0} zl)n2)ecHxj9_<HjJu2JpwLm`7#5Ap7m;Pg`gD;{vHzeCM&y5MVah8?2dPhQ%zPL`! zNml9K8Tq_5-aGF-(%fD!sYrHbz`F+uPxZD1zk67Gs`kx`XiqJzJ-Xs^BzUST<|oR_ z3#>Wcp2?$qCh5k3oHpMxFSTVn->X;MuCj~1KSS5#`R<p=D}=dgRlcrW@=a4ra?kad zorlEdZduH~(@*D1<MqzgR(|V^8w_LWwzRZ1ms_tqd~}bWj*ZXzBZrP(*>_cCWtQNZ zB{z@Blzm-$=cr4RUq0_c+cdu0CrZR;M}K7#He0ax^Ls1f!op?7!u<D3(jGm|QCG97 zum{=l^PCS)&Hb63o!oP0M(nsVv$I!w?o5jvw`O*B&z?K8V#n2)o&C&nXT0!vxcZ!r zh*?S6qRWrY`S{dao7vggJ9p-d8&_s_HlLk4bH|NK+lzRE%vN$vHTvOEw{2AxU-a*; zAInQLW#+9t80-48Ui92I;fslD6Tc_z>*ibSH9f@fspXu>g-*RY4gPM6|0<@McuU|7 z<65hT!{tU5YqnkrjI|5jbo6K4OXgGmLbhL@k(*PkdA&hc_REK#^A1k%s^wX<j$3w% z?4Nv9xxF|1b3#nZTHEw?TyeB*&Dj`me+oB;-uKmMq6=faw@*t-j<eh`Y0-`yvldm{ zn7Qc3jiaK<&384s4$Tuedf=YO(IeuTU3{%UBGT4DBJ96|Ma0>IMEK*EYS{4=xxT;L z{v?a-zx*2ipIl!H+IKZ7|2=ZtuYT4ou3Iy=n#=pzL|<!4-@X0e44Y}rwm-D9e#U*T zP#5F+{VVjJOW;-wlP@dsFB_I_zVz}QgIap?TEz+WQWIVzhMJv`v6E5QHfPeh*X!~t z6x**dYt^6AS-tf1t6yzN!FKJT%r4ink9<fC(6-(f5OJ}ywejiPh#3*rI$K+B&W*Sc zajCPl`6#o?q^RV;nw6&AuFNg-zhr2beaXmJnSVARan-U73ZcdZ_Mygx`k|W*%tJRD zs)rVDC~5G>+_Kz+jq}Et2!*)6)*pB}t5c+38Q-vLNNCMv&idTTbuPj7Dc5Ta^9|FA zgtIla8}t@Q2Wyrav=)g^*8Ki~@2Q>I#!Q0^Q)j+DTcz}rYjK3B+BSonMB~F&4v9Ao zy0yjDoY~OsFY#?-W67TGxa%JaKRadr;M?l|*iSf#|Ml;%{LfA@M{Hh$>XiGh_9lv^ z74!$R?rxFV;S4S_clfR7%|6*D88Nv<&Cbt>d-3E8$5yD66lie9zVUbBZ=ZbO_+OSY z=>~}oOs_<08f4Pr&foWR;+>;#<w&8Eu8i`PV;57zdCvGa2^&wkaOjK5mW}3|vU4VF zxbQ=W@2HL0?T9y@g0gF)rNh3jwe>N*9vFLlS>9dluMa!LcN<(R`hM-$1O5Zrzpu$n zK6}<}_C2%H>Ly_Z8*A*6D|H_AcUnD&y0*RQr_9Q=y1z1x9f~j#@OXH%MDM;ZcS`rs zb-V|!bB9EKbCv0keK|3M<<Y+zdsvc+SM#wOY|qavxhk|Le&-*fr?t#G7JazeaO>Q4 z4au6hYA<(~EVX04zf42y+)@p>bIUaB{3h#7*>`$*wfO9>Ql1YzW~DsHn3eJ<Vpht- zidiX-U1mLb5H#z_qr_QH9tO^O^0=^T$>HbfnUfA(HaU4<v&l)9>ir@o4;?T$d2E5n z$%79}P9B|La`Ny6lat3ch@3q2;P{lnNG|0|^Nb9Pe2omXd@rTD%t}f2ne`+gXV#NP zXH8BXj?SFaU#K~0?!nuclMekbIeDPP<m8bfCMOT^YZ~X@t`OkXaMJu?<njMV`~E*x zw|f5ymxjg}?Y4TV$UJ|khMAqJ*M+S-W=k}<UVDmrd9hu-tkRm9IjNEVl8W&A<(^h7 zev@PNOjr9^VV)Ync5SK6lI>T`FRQek%$(F%en~}m@+B2+;ma!0!k1LoA754x&tL8- zcWkMr-94|F>cZK<a$Nr=t~c>a44svdkUA?R$#qsrV&$xq<Vdgkx@$ZuJ>H9Y2g_~x zSa{00YIVe<PZOH^gG9vTmubj}E!D6~`{JJ?d~mgy`1kdDr}OS}h?}5)T}HV3lG5Z4 z<pRmc*1?gyv#!|9SJT`xRZUW2y4uVNYu`-<cD|SF=BruGn4z|Fh6s1t>7cXR$u}lH z+|8?YeBCZ*&ppSpxMp5@q29&pdH1QjjNOmxBAyT1O->%@&z#iRo;j)2J#$j;@ytoh z>Y0<em;awN=hCe0_O{9XZNazXy1z4P{>nXi=3nNlLx)1n9%#DpT~Ek9;>3f0`^z#G z7_7)|XJcb4&q+w~IL;>4b}Xp>mb~4W{#?zC^15eU$Im{#C2aqCd-H9USL$Y$MCfeo z-WO=KC;x41z2V#63nc`9Z&2B%ZDLf`8KCoot9M(!<zL||ClkyTTG^^!IiTJ$tHNPL z>+hCZJKR?^?{3+(!+Ayf?Ur9VrY^~2Gv^HbF)x7mI;Ux2Zvb~V=hnj30QTverG=dV z{NkKn3l%;0^5hAuGB7IpD;UJA%wcLcCxH7f$5z8B0qo8krG_&C_?tPtesnGpsbhQV z86E#na-->oDFMR%99uuk2$1gQDE%-YK-^yF)x(nix1#%ma`X7~vYR4aChW?1w7TTt zp~jMn$NrUEJh-pq;?e0Z7q#nOa+R+RcAdK>&re*mY<V|Z+0t&cvSr<3WlOrv?EJ-L zbNt0)bG*fEb9}{Xb38>$nRctkhFHwkllq|-Yw|I7SH{DbT^WyacD;BoyX4~0>n|6z z8^2uC@4Rx6U60?=ZZ7r9uFUF}T!oMSzTQ?Zx^eA=fQ%Z==49CwT<%ZR_es<|&M)1s z68d980JFSc)}v&n(rwNwdbhS%MYyl%{@PM?!(m0g|D=%R=jIEo`XITGEloJ<k*t%h zkJ^=EB2Kb<yjOI8=lE(cH9-Eo`bxiYdxelhsfBFIgtL;^7K)__WhIL(<Wm!TmBhEu zszv3>@jWV6r9ad-iHdz${kPktvnpb0i(S|^VflqgPnDO%rY5dhVVf4XYsChOSd$GZ zu_hZ$Vz+G2iQTf%CbndQQf$da!`LqyG-JPPv<$scw_P<h<c8PL0_H>8ZiM@KB}E5v ztMwh(wr9SXY5956C&fE!zAt+B>fyhuqIyqunVGy;qtZO}V!y0bKw{{Z-5pwI5||fq z%~#Pj*kHx!TQj3Y?3voa-u}rK4$e|3*=WXTyT;o|{<ZLj%DwAV7v8%z>B50yDkd8> zIdyaVoTQ^CT{w76<x1l*eXadkItOD`$1U;XTxMYSui$`@#EcdzcR!ol1gEB%67v(p z?)e!=KNdQ3Fq-q(qq8iZKfHN9>1W3DvZ_Qj^%;j0f3As}*37w#`31}6!s4cv1(pX( zrp!sOviMi|bS<Z<n9d1tp_l$Se@<R1dgE)*diYZybG3@m#%Lw(-71?l1}m{!s}z0Q z=_0qy`$_U_hbqB7k0s9Xojfv=J=nvAA}tgr_D&YEv{0Scefgth&S|ss54B&XZx>xw zpm>lc=1p*L?91afEk1vq<-6v>(tRIlf(@J3bB6wC3t&#?G%f54;Jz=E^|<b>ThuZ> zvA;&1u{!@!znaH6ip)AV$s!=)*XdLKea;gO9^b!4vdqEghWf-qb)7si6Fll?sB9_- zP?By}DJqCi5_ebmR1l&hfBZ;=$TbdS+as|;nsX+cIN;goGiTC?Lz$g9b0(fRcwK1c z4>u+Lbk$FVem%zzL?tdcyfj}m=!c&Y^K(_Bk9%Fj^gL1?FLL3ls|ZyP=Z@?Be&vYM z#d7Y~tQ$8v3b4=Tc=RaP(WXYNqrbQCxY-7syhZ7mlNGpTvl?!26%dYQ-MGP5K>9Xo z;fI|HdSx7s5|=C3-RtE)bmY?x&kxT{9Yxf*lOD)A`uGSPIppG)<0Ek7V1;8$kHC?` z7LGN0MCDsrMGJ-JM(ok-I27T?(<6A~NQR?MkI<209*#15bUXZhv0UVwuV8hvoBz<U zQ#(4>8rwUTTvP8j_k-o)&3Ot|mxW!@E1N84=ysfY$8vGzTm`Gu-Ta3RuG-PLIM~Lq zq)NS`v9xK%4xNrx?WP+$v^tuzn|AEb>u8s5`msY&zNPzWp|E*#bd$y%u_Fg$9ewVI z9yw&|_$5-iqnW*F#}7vV{^=|qKN{F^@XH_b-NPftx#&ZL0JA@f;l~gG?(-}gFW$5E zli?9La#X?5hDYqk@d>YPr+Xba?*4JvAD*^j@}ET8IFk}~D5$w{Bt6`*;^whDN0~Q* zM~=oh+SDj^^y}A0{&kLYIAvMP#vPv;lX!9U)%8=Zf8q%%c6{ipC-I|$Tg~oSQ|r%| z4I8I%^Ia=Ec(h8d;>H11`S}+l4L8iN6Nvd-=(zky%!N!t@sg}FtaIgx9haYoxsYij zUb5;8>)d+<2ai85ar4nx$p7MSm65o}y@ySWo-qc76S>9I3JxBt(0d^~kz4Or;laZO zdOs>ApX+E{`h~IfQ~%SZLlSxt5@%V37w<?&R1weVdC=7SFs9(6n7ED4!zR9mFM4i7 zxXE8gJW?GKFyj;}^ZVk1N7!RF81!<REh|2FkVkLFjFYVVn|C}&Hk&KRe)=V|mD<e1 ztgSm^3=I0Y)vjIG7Q1G_ox@(IYS&b4txAu2Hg*5k7_UGPz5P+ev%@&vM{Io5d&|W3 zc0k4nF|Hj#-g7toT(?82I^uT8+nXKPhBvk@+&V$`(Yx1MXGW|u{m=AnZ(Q-rg@GS< z`+gg4cG{-e{CcB|pK`18#=b2t`|k8?U3vW4jcX0jLTt{vW4XIyca^VwKk?vPm5?2? zTiD*IF6{j6neot3=#`8qoAj=-*VD8g-F(f&X8iH-YSToYjfTzN%#$1vA4r>S4*2!@ z&Wcy7{ZFej@7yXZrO;X_BsoKWVkfImWQ4}VURNQ@2%U-DszQ|!S`+(I*B?K=*dVIM zoxgBrg<tgA2`3JCb^7p3I&o<3t=XFyZtl>Y*jz2NbBF%Kc6OnkKNkL)wMXD(N??z> zyRv=P^Aed)0ZPpMDn=h8l(_v>Hhm0HVn44^bWtzu-jRrh(PH;RjvR|_vXD^k=-$qH zQF?=d9p5q8+XvoOlz-8Y?Xq8@{&Ir?TOUW#qZJBbeVj>;cPQ}raXfmoL_yAv^U>py z8UZ!7<Gv*`O5Frn*RvXa=v7cV$CdO@+cBp`yQBF%OJQN00RMgNM~|Iq1jO2o_?D!U z1PZizH%aW!>FCsMirAsm(VN|5u|uz;Te_)Yhh|5=?nlQP5>n<D51z?#EHP8*@M~td z__JR@>>9@+V>!o?RmvUb3Ry0m>{YN@-N}FG;D#NYefD;uF6stNFV46M%-zJXs94l7 zXN{0cx_Z-#EiM9c`#BZ~PyC?}!_z-|hvXXpm&D}?Y-OBDN!t~~zHuZauUFvPCvfEG z^rng%>K*-mKRQ-O2%3L1vQX$awDSkwGszhW9ez_;HX3*eNWbTJl(0!bZyCp<hp~<| zd&Kv;=quQ{9ozjT-N5wUg!LcxDX`fI964g*sN*Ak<QR{m%o&j*M=Km{&WIg3KIeM& zqI<8ta~Mf@>^$`L)qYWl$C5pDbGfW`KW=K=7-R5JO<ZTsQC8{4I~IJ7)!Q-SC@Vkv z&IgYL<_a=5zhwSZV|#|RRXN6>U<$WdU*W++g?cwE4zjYV?|kq`MBHZ1v!;II5;q?n zQ2zXtt*24roW03lN|E$ojq4|Ep6a;;yh~JhYUieEE%mH`KYR1pNde~?&h3kvd}r$Z z(8&iEhffZ9Xt<DVo<P>4%pNw+<PUPE*C)+?tdRM~?Aks*DQWJXVK&{VZcjr#?T)w* z=f!^Bd&!5|HJu8wdjw9eIQ8;@D`)e`9U}GHA`U#&dU<b}u8GP12JNeAr;i-C`YLP6 z#B*__UG3TH!#}-|xc<4oHq7&-@3Oa7z3<)9H+;$4Th!{ff6bbVp4a{Pr7M%04k_wM zSe#%LjxRiTh*9sxjf1T0zjrL?kJYRA(Zp@{Ell4gDgOJR1S{n#=iAQNm!xmy>Alcs z=2j~!I(Vo~@5P)ZZmX>in$8*QSRl-+_oAlxT*ti&FM3#Rvai+jaN4n;SWxf9looEW zbA^uT8Zj3txx`C$9b%okwZKtbzr^j!F&}&1s^n!c`Ddo=ws3p>y<kd_yt`L;-r2Le zE_mm&?A;}{N&nlcOH0Gqq>W=P3f6QbJ-94#^oaV*2is#kmu~sUVjmOz(_dCfW6i4@ z2M%=g@%q&K@ITl+H;Cuc!Fgpr<gK<{Sm>rM#>Q8gw9xopJ;%OF_wK|@O|F&r-2Zab zp%;81%!{o8e0%;JKcJZ%a(AuI`WWfFl}i>dJya9yaoFL=Vl1$3^#j@D!iC{C7<JP( zC|;hpZo@w3y9ajhl?rDY*T;PS-MXx1dYtahm|(;B7gG;^XI9BR`jfFf?7Q&US!d#W zdv8AbHQi|MtX*biuLY8meiz3C&6uJlIb+g<kfn#pFRQeEzofz(e0%<7Mh}IrSveW6 zLf<T1%qtu5N8-;9uYlI}gVH`ux2|l>=KPj*O0=3aKUwZWb?N_gDyFFghFTgitHQ3P zlyEQGJR|??gHH@suC|8wXR~qNn!lQr>+|jTm!myJzi92(G;G#B$ek<{^DrxQ)#_rg zBP~@24c1uw$*^KxtJ(g2>5^6cyq;cDm)m93zf)hj^jc8yzUbiQJnqX)eE$<=UTGfd z`S$$Z5+lacdGBwrUY@*T#m9)qt?vZxO$=SV;T7j5zR*=wHA3v6`|tj{BY*90UdQb( zVbc#<IEvisn*2bPt4YI$@5KA5o*SZHR8%_5c@bghSK{i=5?CR%M{BuD+=;a4&8?gC zUphaG>RME=_f^zSo;(-UR_?1`&rg3IY#p5(+`n#y_*r*Xu4S1%4}R4P9M?}Oxnwb8 zPvG10e=G0K_qem#@_xYmo@nM2*Q4TwMxW+SSe4cO?3CG|QfWo&B~5(1{dNmQrAl5z ze(<yPJpFg4?ytp7|9E=EjO6wH%Q%Xp9q)|W8rh_ACa3;g|0(X7`uhJ9I!h|_CalX^ z;KKFx;*BWj#ZUJK-!)QNRbam8uJY~b7Ogw$tJ>^d$+@t$$~QShUwbj>?X-jUYPK%e zpspoxLqOE$jh6VPoyU0kwuf<ZsV{D7TpZ~5oG<a9=GM)+YZNpza(cPgwz;qh&pzE6 zWE~y1Moh%aot4>paZ{)2Qm4&YTQ{!^aa_4z@$VH05Bx$53U|k7Y&G5*<G6C}_r*<( z{EM18GnYCkuK5+VvMK1`fwL<T9=ZMd{`y~S#EYfpAHGgq8}jo<k?7J>$6Z;4KgYY= zec#p^XBm9Fkli~gdWToI_tYs|T;YqF8czovJhHTCp~<S^r7!hGMb5dgGB01$)S0-n z&AT;jXHVV_mm38;a>Nfy9ZuaXAj%`t&82p3I``5x^Qqbzrh5#vBqGE_eb#VF^Km5} zp6-=(zTil@x9K!4uKO;m%<=B5!s(}4gARZ9eyk-bBIm*?tL4Tjyx5C(I#=T1_*UL_ zWjoPp5`7i5AqE9XS~D!-^rlG73thM1%7;@c5)wi~3^rQlSV(<bDgNL2?)xtp885DG zUOD0Dz6FzQPuJ~HTVb!Gv8I>H%7FWiwoZos!55!`m-g)uHxU;Tx!22OmD9zgX2)$M zBv$ow%DWSdlcLJrRxiDFYF(|p){KgPtX;2e^`DMf`SRvdEid2x4lcH1Zmhz|UcT*h z_m+Kmw{`!LY2kk}S04Mc%D$6}?Vcv@<~^?_gZy%SQPVxY(_5!X?UU40yEav7UF6rT z3s;6_RpewyiHIC?VP#fc+|=owIDJjf;rMBwK+@2Xh>#KWIm08($5nOdOUL)UKdejt zZ(IBQ|8L8GXaBPYcr&wzFfcH1Ff2>i8kH6J>Hil728Lyf3=Dh>oD7MjDVg~JMfu5k znR&@Yp&_gc%s1BPX5>!r_dlW_aP)m$*Us5O|3q%wU0s^2Ul#m&r(*0H7p}IYt3O`$ z&MxTv#Zf%t=iW2T=X{R!)-GN2>bu>St<p{NwsfC*x3=E;wZnuZB7Rm9sb|}}j_tnd z*ZT1098&>K371_5f__#PO|L0m(cpYLq~ARD?j2^wDU3%`f)fHKwEnw)ZUJYSiQi53 z)os(COm3Oh<bCjq=`rs<o;#J*X9R9OQgPd<XTZp}e8n*lDc!rFt1E9hSzI}H<lOT1 z`}_85mHR}TjJy;!E#8{rkBsq=4{e^aj*B=ioqr`K`StS^&epp#)Odef-}XmV{NIF2 zaX*AQO--K}eGZ=w3n&I~KvjA_O7Ik8U;trWlz=KqNrZ=!##a5m33c&*H!__1<Y5qa zK<MJDkeH505gFE<Aq7t!y)GAJb?<T(OG;y}b0{@%b<uL!w%Yy8eu3QbyNsH7ec|(7 zIltKd>)@ofdWsvv@5ZZY=<eiQz@@uJDk)Xe{>Xmz_e>9&UNC9y3ckp-GNs<He9QWM z$`_|xeAtoy`dz{6?A;bFQz9b6{&pU-{Woo)=cJXIkAhA3KF#m-m3ibU^?m=gSI$!3 zfA}t2_;T7DEtQ$`-UL5=6~I$CVQKje&v&v85jv7#KVKetAp5L)-lG4TPDNjMcSy_r zZ^x-EYqf%>^8cUQ>AlBx?Wakpn`f4V_AxEov7tnw=fUPRpG13i>lK{Ya4PrDOKToo z|A|kHd!q|7_ptp+&DkWj`p>@(ru~<H%y7OiW#_h2-fPZ^n51sdb#Z1r8aFk0(lLGS z6-Re_JWh^$YIZ`mN$T*7u$?awt!AmL=a{r`=6kb^r?aKj8$K&AIlR_%?WdyT&8;?{ z&HN3Y?p;$fsq&DNNoq;kr|7Vq8p)GS#z#FB>WOYvI=9`&S9*Wu)W!bGqMPSMKk{ue zuQthj{6vWTuFRz)AMMuO-q7v*h|6l0;JnjX*Jm^wtdDq_#CgSF_n8+j--cEGG?1M( zd77l={>+*$s`7yxGBY=<*wW$f-E_^T>H3;KYqV8$5>BO?Jl>^c{<ni`{%$S%y<MzJ zE>%Z7)p)<^!0reqUCnv5{+4<4lh+P7UpIT;T83`+nUiZ}odCcW-{b+eZAw#k5)0 z^8LNWi@#g0{Upxmut-4TOQt5HtGb5zvis{!os<tWj`C1dozHXc@(vH@CQ+^fLfJXX ztgFAc6^fa%_GkWSYJVAX(dYanS=%QapE@Fow@E%SygR-4v)aba=DdE6rAwYN*LghP z>SlL6FV4I9;YEQx(?ZvMGLXH<@x_zT*KPh(5kZbQ2cDEnvWWj^xu)okGS{-rla!bO z8RkXuc;_sWGtB0<T$Et*kk6f;b>8a5iDK5r1=tQ(1gWVNvdRfh7C9e!>(-um(epI? zudQ@%er{41f8Qu%r;43pZm`?*0+y%^Iwu(W7~?$(kHkOV)@=JwUmKkM(v|0=<bujW zzozY(VX@?p@P;GC>*rMX92Q(xxR9-TkHPdcFLt``c$@R{(2+S$PA*SjdG?uwr#wRF zp;><A=8Q!dJdgfQf0p05eP*A{pU3VW4m+<YnB_C&isfTho|Bi_xf6Qd&%9M0nRDBM z>DsOHu6&KRS+@S@f4ph!?TVh`Wv^yE=t$YAe|tmk`~6Ncrq@c8#mXIzm2x{+J^xwk zonxjytejs(?fIT4`7GDw*mcSGo9}Eboz)gQKmE`r$wrX{QzHJ~T)+ADG0q=S^_91l zl}ek}&fO_h(RX>z+uIhs<{z(B-MN}O=gDiIw#YM^<+pu&y}okV9G^8`MbGy%DSa{L z+jlO4Y2I$LH@nU5Nq&C0X=A5+#rw}T-MKbR>y57^OFb*SdyMmkRkC+<we<4D1pO1` z*)_YAFWb5nY_Z>MR9z_jz9GcxYkB64)>yf|w`rztADP7+XwAKLdqeN;A3C#ce7Sab zkI!ujudZ32XFk<?bGu^sf%0va4c>hEz*mxQcQNzjub$Vt*50;Yu9UIYC~Qx$m{idF ze$U#=n@%70zcsyd#`Z$V{b#?h<i>n@;@xDCR{ihRhIN~#x9c}#ioJg0Ii1nwSZ>*6 zGrh;Jd(N7fZDc)PIrr?2-fsIoMGmLG&uZh2<=WKGt^By{w%yv>KN$ND7PKeV?yi(r zo-5P1J?g$q-+aS8k}@;r=iWS?EA4MP^Og0jlvzwoIW^n&pUZiv`FP{I-<xtChabz8 z+@303{_%E8;1WX{&wwX84_vCAw{_;W!b@4VMQm@`eE0Ky+$;5I-d(fRxp$7<Utuia z;%cROQTl4bcPrh~8+wyv96mhC44<r7wfJ0wz>x=LN5zBAJn4;!KWy;kv02}N>od>4 z)?v@L?>oGsH`{K40*h3uOWo%Udaup+9>3;cTQ43ohcQq1$<3FQi#Pq?-h6L+?w*GA z#y6KXDzK&N2^?9FsU$q9{7b`hW}mi-Wa0ZOByE;uMsClQ`KmczaEFuD#{zHmZj*x= z^VJ{UO|<h?%v^M6twMnMoa}_ytXfg#>Vq%SHu#uYC{^lxU^2G5xy<|8j<_X-?{>;A znUyVCc$W9tsgu{#qrw9`w6|UpTGx5-&?nQg6I?!5PEy|*CcJLqL8XUM5;|*Imag-8 zu&(mps|`Y8Q+F91JZ7pBaeGgy>fuYCmkykmaq91(I{~KtHHSjy{3vlR-4lI(a%EH2 z%vCE2UT<GtTsk2ml5y$>k@}l`Z(kHhO|Ohv9xHJoJA|jbz2})`+$CK@ZqGJ7HJc}E zpIzRwwX^6@=$^_)vWu?N9Gwso_)toEjj-LP>urg${=4=>E5A>bI-Y&`XjOLZ@wSTG zJih-YEY=mbR^%Ql_`&YQCI8d=v(^3Hpk-PrQAt84Dm-Oo9k|S!deMdPYj?#Y)eSzr z;&unpH%(aB>3^WN>zq!Trbf+CQD>pQA4KJJK3_4jdN{+e-}UIn$5#C=-Z5u`Z$G#) zx$~^dv4-#?2H|S$sWY<-=1Ui3*%j|gIO93%kZj)rRqutzl$U3f{m4!#(sAX#{^x^K zwxp4Xv%&lqXSMfm9lZ5oW^!1N-D1hUu1~#|7lrOUTKjA}r&sF}*-$ZIxlfCZY0B<v z@GX3`Yj#EU+O09}!XKmds0itOV(7T>;$>Zw`0`&NGlYsK$^XkY?Z2mekZa|W!+{fK zx=c!3>As_L^2cQbr}k+n=Iy<@=ibj4$@MpbmT4*aeG2=$X!4XA!&8UtgX|Uy>s4BG zboi%pY~9$cwz*Ee(#N@|JnB&8d;YBC=~K>YJX1L-u+-J2VZX)I>??8`z3p6=PBH15 z|8da~*=bVK_2x8OS3385T0%#-dW4y)qujrbUms=6E7N+Jt1DKPwCdVi=PAM2uIJCL zv}(S+CxxT=GOu38z28oK8WVyICG^b_KQ5BGv%K;k6L-(oSvN}D{qCxB?Rge?Ryg1A zjCJY3pxG8zQ><Q}JYwmR`Jv0w_UqX>S!=`0^$a=ReKBzsUY_^0#MrBNl}FR^lPhg1 zYELD$hb!&bDto)onA88GnsD)+8SlNi*dOTg+<SDkLgt~u`pmjLR%@$x^4&JpSafZl zrOsS6dF4Lk2_^?STACxuc5l)-y~Zu~-7c$b%}3g^qc)_t>}l=TK4GHg$t6J+o>{G% ze~6xYWZT0!J<?EJQ~9&qC&{#>K^7;IXC9sZK|nKN`ib_+_%pSNS1<Cc_VKtUB)0D4 z!B2b6#K}(E`fc;P$~+M%H?7kiER32h*&Z9B_8m6d;G$;|;<Au$z1<GI=yo;PIA;qU z?uL*VLXVW?4<$w{JkJx@aqO6>YJ?h7MLYjA)stEhdWR?39MAoB`MXHo=dPcNrY)=7 z>~k_$=ltIDL3fvVANnA%KT-Vn@i{x=gx=56?^^b?VakObffEf~vAiMt{~LH*TZD`j zSRMGe;WSe;qXbiANWiXH+PtEHD}J#m%Q3OOj(uW!F7M9u(ls3-_cNz<onP1Yv>;ma zlhpN#2ItsPmOuAs&Cc53lgU}5=(@pWp2v<8#d6;(e`ifN6U}0NAdTyh%K2E?tl}vv zSN{CCC^c$PzF&ETzmsNK_c7%?N4}QE9$)<J<en#IEsp)&{N~jSfnT$Hwl6z0MUX#X zdHTN(f&w}Wa!eokmUCIet<85kEv)iLhh@Ua=hq~jJ~Y(Jw$C%s@90y$W7W8FgULh1 zS<iA~**>N4>?q!0+R>+SV6OiZw&29h(sh06CoeorNfPn<^z)9AT)vy5a8%O{H&$)! zhl=&Jp{H8K9$n)~|ETv#PboN_`J;$p-2cOFZZ-_3lWo$1?}abr@OY>)?KsEBQ-@M* zrXTW**wOQSL$|ZqvGN;76@%-4YYEjWKGJowX%Jrb$kON8?wAE1M73m&9ZiX;V64B{ z?cA=W{IBUq{GMrz6<nfud%uV3?`e3gROFwy&mi&cuU!j1h|Vum%*&OXm0&b$uSMON z=bG0-dM(|BkMH>)QJ-1&T-cQ<(Aw5hd(P4N$ge9m=sZ-+Un}}i{a)g_#huBoPTCyp zy7e<8@S%a6%|n%{4LjBykrut$d-@a0)wSnEeII{ubrxAUDJ5dktCwwAYn9g>Jb24Q z=3R8rAyeasTgx)FP4=z%ZKZSCM(?*(-{p0Df!a$=TKrcizcie|?CGbtbjk%D-$^{| zn*}`zI#Nn>`l_EZ3m-Uea`D0l10f!kLlXixlp|%9_ZXfLbm~x4a!Lv<y`fl=Xz7?Q z`A{K$p{?Kpg*stR<LI@0mVIw;8ZELm&97<v@zzh&&e?YXTeU#Pe6F=s{tojD63QAp zv)HGtb9b2By_88^O5W>M&&gLD3*uuNS1FxZZdL!@)@ZGrqRxaL>JDzUv-yI$yqP5L z#@aFNF`Jdt()lXfnl*{BT;c8XqkHs~o<8VPza%u_Kj*|QmX5v!jC~D!YPxS+_&e3k z&(VL(Z*{@c^W1tK3GMc4k5{P*iT^nudgf7u|9&Qsjj?Oi2*0&epW`@fhFF-yOU^H~ zoGbxX!q$X&`-n}sF*h+#K=@cqtb?@NJpKA?S-+AsJkBMq>vl17o^*b-tD4v6-`U4+ zZF3(grKP2c%$dEj>(u{#%coC_Bt%2ABC7<&jOK2#zjiBjGt;WqA4S!!I(99bogZzq zbls=8t9Fas%5L;O^C?!|q7?V+LuZlDoA#>J+&VF8A1ijTi`yUI+2Sz$ba3lVdoRst z!RL+{h3UP>ebRYj?f%oHsv=Xb1O=K-aD3l$OgYF^r=(cNYVx<+2U`tAbl1iyxGwo% zv(Ra~6W773h9O#_L65cehb*%!WJ!8*>qq9o=H*{Gu3TPZbCWl*H+yn*_JlvO3!e7& z-BR=nOuPA#W!l#E73O6OI-b&e@;78w@}HW^TiEL5>bccXEd0C!Pix`hFC3Y+ig7Iu zHU9tPI=XjHg`C2>rK@_g+`}hIpZq_&@zMNG73sP$1#fo$IsIwMWj@a(X*&)IEDIA= zjXKfITe!tnXTc@LHm|cC;%6SZ)RZ4<ImS56XKK}zPvwOyY>VR$T>4)e*}i(&{J&p3 zUimJ*zCO{J&y3}YwB(__^L>xsaLK#vJ#T!Y)>WEGvD{p6H^;Qb_*wc5Yff%`!xBC9 z+W*yOwwv5^6Ws9Gfn|@hlWfdg!Q9ZdiT~7(rFhzVz705@aN_4VB~OXQCfTTiuB<cM ztv{Tcx$d)Bylm;Q1OE#z<Z}O7?&l=1c>a!gK6ZN>nWwZlL>%uqbVS?WXE2M~j<0Hy z{3m@+Zef&N@7=X(m&4qd^ShfLgw)0`OmuxF6_ggpbN0!}6Ha^FuloI4;9z=Wq34v~ ze_AZM7Z>uMm@%u~clWd_JTqmzw@f>DWKBqy|IZD^iJp%nXU|pT7rOGh<f*)B*qSZA zYb<IV|EyL0vS4-9w@HpNtJUrYXiS}Ov2x<NlC!t2sm;~+^}eNvb@excvKcEPUk8_) z#{Bf%8aglCGVw)m9q$XTnF^(`!j4>LPCR-2e})x<l*r__>ZM!dXSgobXUaMG|C{mz zW8>xfVp*=%N;_N=`x3;$f7xzF$d*}`_pV}3=uMvL$F||<mrV_-KCvG|?l$?Rmi;); zx#@!YtuLXCa}BQtg=8!=zq~~1qxPJ9C$?-y4r?=O(}UI`+p8O{9kEW2bg*kaAe*|Z zOy`aK#>H!l4rK1IzO#&}a^lnFua*c(?zq3U)}-RB!EJ*auhf;Q+m0!0y}3l&^MLib zun%+kcTK(YlKaK>3tpSOcGg^&yYj2f->GJQCOZr08Ld68xAE}Ob&G{#YBDDl?yHeJ zFsWW{*@=++oh|BXCt9+9u%0>d`;j1X-<8X@eD@QYa^q>gV6ON3$%{_uBro{Tt-EBd zm(*wL65jPW>b4hNPOIwgaa!4U`i_Pm+fmn!8-6Tq+=km%nw$GbGNm=^-9DH&Nu4dp zT>rD~!v2*PdfGJ3&ON}N*BCUrtMIQ%lUMYW;JQ~<KQ8m?np?8_6|CSBU#G`mJvI1Z za&Hv(d$T4l=4Y#xsjB3cfAHkr`eoL)_kNxVS7JZSSf!*P_(*Q5Nz(4GGyRW7{`?xj z6u=#O?2Fg#i<a{;>^|l`HQHtL$@j<-hv);%8a-OKv`zcJ`QHi`_q$^`=M<YFr+%46 zxAz2%_qFN=eK#s*e4FnZYpImDE~vm{N6DAM5}$|tu~$ksS91CotEX0INq$yb=Ht5l zz`^;gvNvwl*=BX@)Osr*P+fT~kh4YWAw$dh`K=T0iGE+cCQeIDMWgbjeC`QO^PCGJ zh5PRHs?B*SrmMMV(>kq{d|!T@{@NSewm5`g18>iV0QQr*cUV3IIIme8-J!7Wb^ncL z8+COGezY)p%-p@$c+(&DX~(}NRxO{s#YJ<muVLEir7f<#j_gu9FD1CD_NK1Amk{Lq z!0KAQeXGdPYZs^QP3g)jV+{Y)((0-9%E9Ru+l25$y}Emcj+mOgtmHYpxA)=|R=wDd z1^x49on_GRmfv}3)lr|#S9FY~O+MP9J>84<=iG1e`Ii;5y<fpC)^gTDE!1yq#g#y2 z@v;qk7VcAcPv+KJGEG{pc<^1RrqfcX!@dGcmOo!9NC;XP3d;yZHu|OnUjOCvVauf} z55DQ#-ZSBfd8dj2*Sy;i_BST1m6K0uoXgbh@N3nL5)VNZKJ6fln^IEJQ|kn!{v2H> za9AmX@&6`=n!8bXe|(?Sm^ez++so<d^jb8r%u5e=x#Xs|a2v~|yV>lAx8*iX(~Noj zr8U_3ZVsod<K;>f){?*m-E&8>elAH+?33(>$o+eLp4OG6T(f6aG1>nsJaq7|+4A6; zzsEIa)Jp4yUU}f<wNYwMY0Qr9Rfm$hoE};I{9-1|+1!&L=5<lvcf#TWZT|i*y){g= zUfw*ku|Yw**<tc`2D#$JTO`+37JT45sbAo3d9kUq$(QrB<%$xe;yjl<o^!7UgzuIM zwYeylr0`?YtXtjz)!Y3l!w#s_Es@fg<y&`*;pwSkbM92PrdOVBSjizDIqAg)H)Ah> zpsF6Fd0gwJ*vo!B6OgB_IBV^{qlppb%<dOLZ!EayujqF0ja<@96LlXi$sc?xcVz61 z+nIj$gKlkq!|V^*&z38cwETT=>;&WAY?lRMSN}bGlI?YGR_q)`yLqRdi|2-(xa;wV zFY<Ips=%sgA``oVz0yABPOi8VUVnnAwUF_w)75j`6;jJu7WNlgKjM|Cs7OtH)30YK z_mxjM^Y6KbUpU&gC?`j=|0~?G{RxX=%-<%j^Qx8pdnR1Zw0CxtdL%!Mb>n}I#cB?b zMykj3s=W^AnH@S=ndSL<&laD@>ZTjF{>VLWw5*S}TG7mOb#Hp^(xAzy%+ocLqgRTV z-Eqj$zOsYwzI;f#HM?QT^mm&ZUsl+f-!o`Ax_8S(ndKLv((SUgJa}Q`FpF6_>yi}r z!;ap=m)gXReVCa0PNRLgTH5h7jC=)bE*vw8bkp~}Xm~$ESt~F&xnp8;LdEs4J_g1{ z*2ILG#wd<8e`91@E&T<~im%ViXYfCj9q;;U6SLjw?#?^kLpPLt>snm@_oK@v(P_6l zRv%u!vS^ON8|7llJxm6fD$7s*aNYV$UPSEL)?1=FhEwaOrB!CWQlIMauc<m@{+4@R z`Tsh;65IO3*`nr#!iu6htL}zm`<!9DdzvNl7RTy@Th1G=pHk(D(+GVN<EFK?(n&-% z>c#1{2EjGju)$}@DE*(ef7y(f85o}OFfa%)AdS+;7o{ZXWfkY=!AGFqhQ;PzwvyQQ zJbcfpk|l~~e@4y9-TQhW$An`I+cqdGrtCa<`|9ju_S>4;8UJOi?`BN9C|aU-jCE&* zsQvlhPySZCd2(C0AUwZk>LszblRGb|ZjL#5k71u_M6-SHRj<#VTT4&RmhR~9H=5+) z$@IVQ;M1%7f=@6@a4{zP8yL7XS9Q8F%+`>9usBFU%v-Hk=Yi?@txbY@m3_|`r{4Rg zwtQs}lidQd*E!YlKPHKCO>3Oamvp08GWBKfkL}MEHPn^Nkd;p_csBo7*n^_Jem3@- z3RZ2`7q9f!%3a+*!*%_yW_jm1JbZlYg^zarHT%BQ$T#^}(~Yh(CNGz3zKHVDJFn<{ zvM0L2e)ZC|2UEqCIx?wW_KbcwQ_EY8TYu5)zza;g_S2_$s)e;lE3lg9s7(+%vuS5Q zyhheqlL_a&f`fM#?9G}u%kPrDkGbUp?!_uC+@Xs&^(TD^3JtZW^;UPbs<E&7s#cPD ze&+@$&Re@4_(T<XuimnYqdhNh?^P}Sm`JrJsj3+=Y<aI9?s}`@_sf0V;U#yQH=J0l zlCphch`7#&nRi|m2QSzE!~S0H4fn?np>-!FX>9u5oS2l~W*WBj=%VWa>#pyKK4)#( zc5;_*-_OFwZ`({#p9%Rz-dr(b>Xz==j9cbi6SyBzS1jSL927W_*^s;U`IaY>r2dI6 z<WD)b#JhznZr8!(fh;bI1Gkn58*(rE>vE;$UB$8`6{)7D_iAW#mMmN|Tj|_RmxB5= zVr2$DG^Q?Hc4*FdOR@P4I^wMhtRts)h#oz^ReFL$=1T9$(yz^YwyzMp{vs$MDL7nQ z*81@$q3<!R&sM4*i9L4nWy*WD*G`w;UbuOB?S&F+lREQ1H$QPNO!L{{eC+EtmgyP~ zrr4+p7j5gddCK&^vZLlv<o;#57rqObtMjMwclIXTcYb#-@0zo)>>JbToReK?i(Xc_ z|9D-szjTecVELN$t8PzTu6@U-PW+eeZ<p9(@xMz}T8I7*_#M*p^xxCZ6>MG2I@T{9 z>598LirnqL|8DyJuv>@juKaDkcFEt>e<rRikw}mIW4=a&_2a=>?QI|DANlXOOzFYC zm<MrP{1vA+-fb`ce7m>GWOi*;(O+5AtQKC^EHq1ufx)YpkpXp{r#vMMk;`IVPg-rW z?ytSSu)&Q9+izOSt~xjWbLiQSxzFvRj0E(Q7;lMRyc~ai-n)j0(^7P!_dWP?|MUI- zKj+u~`yTh_bX53l^}koX6+X9*PS45S|LR=mx-G4>m(sJXfBil!wr)}N+}dmW<%_jV zr{4N|=I*qR86x+5Yxm!MQJ=Y0`d7du|Lj$}HF+6Sjt5vi&Rb^GyVloHqbOm+Hl_G0 z<;lG#+Ya1qP?-H~($>j;bM;tam}f4!5E%H#VdC-sYxCI`*mr;ZaaH+5(*NWK@oK;2 z*OiGz$^VHr`>Xm!|I-&1xyGHs|5w-i>TL8qC$)fMP4O@JKgKKCcsTaGIDTROttqAM zN446&X>bdDa!p=a_@aNa%j1RxjPjedbR<{LS?*ervd6UXm-DW)m{O1W3wwU_{QEHT zqol~g;>X4sPU*+h4Ove2pMRy#oOUAJu;ui`^9md@Rp(!{KRMZ0kz@ACc}@Z*;kNw> zG25!-oLAI*wP^~Fd|k=1u;|)njzg(y(+!TCiuO<BoU^lz-Fd~GuRLuVZoW1but>>| z6j)=Np%t)sh0vlE@+%_+zOIzn?*Hq1fzF+ozb790+8k2q!*a**C;QY|rRVCL+ZlyB zb@zJ|uwQd}AX~&)?O0~paiadr{9pRE*Eg=6QgtadW9ygoYCGds=_Hn$$1G9HUmUhR z&;PVjU6(DJ*@eU5{l;lLU4??~t_4dQo4TXfB1Ce<w=?zE#op^`(qm9^i<rKxg*E)j z@hJkWeUtwsJ&U=m7eB>iac$m<kEgbZZ|9H{+<GBqg>s{=ZOjAXmDv;BVsBb8MNF1p zZ(M)m!zD3Mfm06I|2bA>y#E^i^@L}@<>ja6ctpp1eA`qg{@!)xUAB6j?p(`TA0(c2 z>g7s`*Z$LBd&vC!=JfZyR#HkO!PPsi9%I<D(`vEKcCl~lPn<Mb3}5-Ll&m`Pyli{+ z?L_JB+u{A|46`36{awSjI^$xJ^_TUk=fC}F*!Fw!R{QqUY0-AumOCqo<=6Bj{*Sw7 zwNY+;-c;%5p*x?gX)Z0DZ@y6cf%Wxmf(|c>oG);RMaSKi6Z<o3<&T*=Lzp8}<{j($ zB4PJ6xhAaX(AllJB@MjN`sehvG^@zGJ@M4Nk8k2N%QI6abM%?n?2+)Er1*l}L*i7I z&tdgn(tH<F=I5(#TT}HltI73o)L+2@cHP1q(F|g?9;@cp-VVEec5Th9Lo+_H&Hwbo z+sWi!qTGerWV7r$?i)gyzGW|!6z{((qa=KVbB24X<1y2(4N7x=?1*Asx0%JsG_oqZ zea(XYjNiKolGhvDh;X=KI?KR9Fn`IGe7h@#hmOY`xM#(q_bOEL(+>7a$2jF2_k5VB zkXw4IDF5V{4R-!+{Qo(&UlV6vZd4)|w)wEa;X6x9`LqM4`g_dTXLkO5wv*UfgMJ2v z6I0&I*sbDlCVb+oi8T{MX5X2kYq&YEF7f}z4abA3YL@%Fd6|Fr?CH&kPfDBjtgS6y z{$%R%C$cNQ-rw^@#qRg)>2j{$W=~(IFL$W3qIiGhOUIb~l`qW&^gh{&Y}{Y@GO%QS z<;%<#jW4sO&zp8#^OU#vrxgtM8SH`|uiYhV)bDaBKU<n}xlzf>D4u)%r*>CqaeK(V z%$_dR(b^X&Qa44dsjERo=~SH{+wbceCuavO;n}*_yG82YybX)(1Or&EJalhWTA^{` zaKDsm#KVo756oEXC74uU9pUmLa5;w#)2|DQg9L*r?g+ZARa)`kMDCA^eH>agMeTR{ zSZzN{@BX>s`I$ymajhr?y{%HFQ|wOXZ$HMnXv1VF`4{dd&OCd2!d;}yPdPkjzk8oM zH}8C=Nm2TI2WHOEH4r~pzpz$Ed{>-#-_fL&+Q@~5x9X<PZ^&Dur7BdNv;Sd5)G@IR zhZpl~J{@Vy5NNC`Dats)HMMP4?R-Nki>-y7d)P03-1no=oX<IVL3{qXlbr|HWGh?T z+z%E6ck?<aJ$rcYqto0YR;)L4=9jeaPSer2uqUKqie3SmluY6R%gwPn)P5JOJ;>g^ zgk3YBa{cO6YxZ62+dnb(_x5(K_P6^KzeY^Dl{noz`*C^9hbKRjd^B`3@>f20oRU1* z;OhMB9&TmXgAOaCvw2jRJ#^3VTB@to@VV#D>YDsoV3&*$pVVa@d3CEpC%zx_>f8R< z>yoX^uexRTCb#=9JhC(YhQFMf-MvNbJYOaG+su#LI_a4eom6(p-J@=2bg$yE2Xi+r zU2y44k<TLbi^nXN9bF;1W^qTBEZ@}!DHc6!2mU%O{(36$<Tge3)$?{FJl)RQ_o_+Z z(np8-=A?hcyw|@f2P~`px~A!9({<y0Ur*E*FFqT|{7h;7n#uPw7`pTRsjsQK<Yd~p z=IH!)4Sh*h?zCKNwJ+Sbxn8EqYt7^@8@Z-kO^FY_cWRl@(}GWLrah>Y(!CX&68A^x zSk!IZ727&%Kgd11_#;+wi~1UA^~{GydhECDkaqs%yFiRJ(24bTT-Vyyxz!=tesQJ9 zAFn$Y%ck^Lzi)|)@SUL4x{cdzKEGwoW9!SDSn>16Q~x`Aiht+){`F>si$v`VUdQmB zCtR}<yVi%klb$AbAjUUx*S~TWo;z%x_B4M^*Atq;*L`#Ew@ohBu1__uUpq^Lzr}48 zW7msMcF7?-q-Jc$iV_c;X|u(D{k^o6`UTde+xD&L{FVF5@%}N>Uo5kmxBZ$Xe0_=3 zO3`J894j6vtM5BDv-bt#3%8ruE=O9fwbw1%uD|o$PP-H#)?c}4ZW*yJZcMte!1>$f ztzYD;wtWcW-6do6Of08=>B%sOy*kM%o%R>|!cvd7{>&FC%?M4jeI#C~@Atbq`R5Y3 z``LLf)t5Y5S)P64z554IwmY}q$H-r6+H+!KuSKN6EU_;;?ihcxQ+|K+L6p~%s@iMK zh8?_X8UJRz{4Tlm!Qm&BeT+{wSd`z-Tca;`&Hduh(q>69V;2jh-maEe$)0n*?@xIg zv__^P&2fv(`J@#I_g!5+e9Nf1bYaE80GpOs%aeZAFkQIPbgX68<>JX==~>Jb!EKLt z)p~pWN-Z(Jqd!%8xwy=<b9*0hTRyurE8xWKNmVze=Ww4~nA9Fs_U1_4)}x0zg)_GD z+?uLTB{P5B`Nzy6n?(Hi)0FbuPO6Gat$dzRTeFE%R9lhdJKO$4VOOGN#3in)y_c4+ z_`xvp*vB7hwom;k-KQ(wbvdryaeBeLMFEd}=Saz$)U7Ez^Lf|F?asNHmWylSd&8IP zU$BGwO82?9_ah&hUNd%j_1;6rXqRj6?;1s~UVbV2YZi~B|M5vZ-21K8^xf|*798KM zSiG`s`mcF1_IkyXjrU_7_erfkd0TDE{>b0=q}<E$oQlufp1=MW_vCG%@+R+Ae5|<j z{d$EC%kM0kNp+2nSA5Kn`7ZVO>JP=f<4zN{9y=R0cXs&N;}>VHzZO~ltm?bniOA0p zcLiR}Ex&!_@{IM@Hr|@J{oLP^U6oli5?i<IxgE9QyT{&NG5Pau%}+cb!CV~wq>Clv zqqc{Wh|Bez+Dry%{8rw^ug*vO)|Y>?=4U~iLbmMd6qU@Zx~0Y8XOCZ=zxdg7iEr0x zcv#jwN^&~e{_bPA)#j^vuFv28tXf`W{m+HxH(nKcoc#62-edh+?T*E=ytRB)llZ?+ zivKm^rQX;Bf7*DyTuARJ{;*DOehuIH2$A>wtnz}_zH{lbT1ls|WwZCaluP>e&oZw0 z+i$I)<d2aLxmxcpn8kBg`0InX^xJDb_<YM>=5$oMy(4~K^Luk=&o%d#tyA6-|AFu4 zF}r)$c*Fkxm78mC`+LLhQvZcg)@~o_4lk&S5SSl->~KvUAI}5Z=MfigpSbMeYIxy5 z^^bqa_iE=$dhfr?u6XHvz~i|;Z@-<h!i3G}%kgRFeKxL-?Td&CY|Ill<l?wRdEW)S zFSTaBdd_b35j^lcpep5!>5sfU6ZOAs7kt|JKi5XeVneSVH~%(4zNRTfjB`JVcV{in zIq__#q?~BXjB1aRX1*LDajjF2EzQFl3k1IQacge$({~oo`yA1<;jFRpo8EgjYSmZu zbZhhL+bx{5v+?ecS+7EpJUu1m8qKNRk+kjGnXZ6+o#l;%DHit{p6osRC;a&C4)=Q| zH~bd~C9CntI;?2%XBSxg@EYTp-xbRg+Kzv|vFy(+o8>+sQt~s6!hCC&&GrqJ&q}{& zBNX{WtXi(tZ~MmV2$nClSHy&`$evs0Aaic>j<c8TTtpWZK6!Xds{IU$-D4$XEzMUi z4gZHFxy3X$tmSa8S)S9%sd$USaNpTuH-ByFx?r*C==V$VNj2(ntm^|jKlc9DdgFJd zBU@mB%D!x!vjx*1f9NW@X@53Joqvbnvx~-Rr_YrwI(?{R?hTO*$?8F(JvTc`1%CCp z>fP0OuXm-V%xKbz%c+^WKfX*AdS5@gV!g-p@9&N+KU<w2?pfup7Ta#!`qe2;^!BeM z+R4icq8C0rzhPB5*Y5>P_qI#hIv)DE&)m$SfUo+r!K0Tye1*j9=4HCHo;YXoy2-J? zf5xc|>ziXz-W|;mh*)!xX?HH;^d~!xTkg`@wD|6gsmK3GKbhY7*W4^d<=e*_1wEFx z4}bsN;cpiHi+9hd#Wk(pjW+IeT4%b*{*C#|#gW!QPm{TPs~7k!5_@F+_uY!gH`rA_ z?7b@yykbjI?3!nvdE39Un|>~i&1s93PQE#P_ot%RxcmLTnQH&C6>U7aX9jQC-MXwT z4}AU3sct>?SNhJW$NzXgoj&qcT3S!&dqqyNN;&J6Q^_D(CxUF9_x$Gc&-dSDMzU%i zd0cVklby-7K;ImB$A7a;xmp)J+UIb3Q{$hY+%s*wQPHzoS@VC@>#a0>cmF|{-1qzm z@oMkx-zb>4BR-YACO&)1`U_h9A4Tt-cKOD&^H#+?8wSzKIjisAy>-0!lDWnH#)#Oq zAB^iIF0Z`5`X^hR;-=y~xu1Et*<4p}$2gyS`pV&ux$FJNO%DBwG@cY#@zw9wIWAPM zE^%CF(W!djgu~bTZ4Hg2|7YGXl(=M7YI{5HwvKk`3tz2mUK`$pmYZ(OdHhCI@R#YE z%VE`zd03A}=bxUuzT~etca`+DxQtqE=cT``W?zfj`uDAX(wFQT-w$W|zgl0vU~bvn z_5TI5uiKPpeN$`O{N}mghy9JUw`Lx{uYY$}Q{7`hyVVoBOXpZmR`~K--+Mx29Orhk zYj2L~7CD{Ww`Ok`N8tL`xku-g@o)v|KQIqj@TA0~visw|QzfUR_uZ6s`?z!0yV6H? za?a8wMkY<^{0};8!iyO?&n(<CBgO58MDkbW72l4Q=bW#M+1BD*BXiNdX1d#^6Zf1Z z7%kgw@TBvZuF1|v72N%sIKJKgI?XQc@Af-SJHqk{?@4^U#yzp~eUxXnQoPMC{Y~HY zKbu+IQvNagR?_i%LGimfqO+zwUgNc>+P`F~VVYvP#|NerYkdQgP4?W96jHu*>)&aa zKT2yJZ(`HiFr&&XJz>I223~ik-ig)e>AN3$rJh*UbFocVcK5mDDHRcqX5R>1_~%H( z@$`o&tN$LH9{=TqMZ0S&m%-fD?{7MbUWE$u2+J8d^h&tet#s8k(6$bn_lQwX?tXTU zzvRMYFQy2->hYCaz3N2FmhV9!uMV4?wfeG9I5|+!PmymWYuAR=FJ@b?Z~U-EbW?xD z;)}vNj+VvBo3--%x-v`qh^C>&p?k~o7hO3k|Lewwf4`po3N8DVqj>qiT-}^qGd8yd zJ@Vamr<{9f-MtIWY$slraXzz^)r#1BY-y`;cFHe_&Bs>s>u2}ux|#H|P`=&l;I228 zK2i~z+?IALX4eQ=KU$MA=dgK4v%09!;`_1|j<aWrbGv9oZ2U4w&rip8S+}6~{mkdg zS;C*oboV`<<FZ<!_r#7V1<nr3`V^VmI@N!E(vn<UwQWk@Cwo)lIAa^H8-`yen1%W5 zRo$@g$T|Vh7gIhr=slivuuJ6}x6?=U8)uq|H$URF5|ycJ_Loe)r!e)$<X-Fif>&vi zW}nrI5Ig2!zRu15qPkQT@0r_f8u52+&OQ$ki|AZAeN#ffiSRAOH|BS4DD+jWw^s@~ zakqa)=;v?BRjX%imcRJ=+3LA{HnrzA>}y(dLgCo!H73UStaDy#GZpdv5uLDZJ;#mG zj}Zs<>{E(JWVO3~{RxA%>)sV9-%48E3+QfeVJ&t|@tUET6nxx9aOsgXJ=LFGdtOBv zS2D)4hA(4hdXaGAiu0`s!>`khw9U!Cp_AP0da#|V(!jdIiIM*qdveBugLj;FRTzAq zW+n%b%&cq(H~Epl*?r~Q-QWLCd1mi7Oe?m3-7oumzTlGX*XJXaSeONVnteXP&NVmD ztLwJLa@O31$*=Y*nQeXMv+>p1bFxeCF+0^9o4LHI{ZVj=T8{tz7snrL;IubeIPpNv z{Gyg(zVajwxz$QtJ%QSfCO$GhH*ZF5g`I2BM1yHBC$ifpxfkiNtb81CXo7y1s>#o! z2&d1QO_z`6-*>L5<XM?qzS6ezj^5Yj-8WT*G~-U|cw9M}HQ6wz`tHm}B^zwYr|`uI zByI7KUb;Tw!SO9eG{UNjkEpLVO7HaDW>Flo>8q2^GMVhJrsw-r`j0*Bkz#l572-3< zN-!yrzFhF}`X9c<oiaPyKdN*;KYZedMtDQEz!HN`oT^vT{|CB$;qKUE>~LU72P>16 zwt?cIKNmG~nhjcWR&;D+eO%Rf>SU|vyf;1(ia|%3Ma@>XvTCO^YwTOr&3xjT#jD7U z&Uu^XJ58C(&ZOq*BXD8m!={E@0XBK9WafR`9M5Z$WBQxiT>G{(YFTTW6=)_MV{<Zn zbK`(HN5~0*T^{oJS`~(eC(Y$8KL6t3fjiz#Puy=P7YSTdaEsq_IrolA9h17>(elXT zx?c72JL?5scK$Ur(>_w)H?6)mM_}m$W((In4Fc?iTv2tLfn{<WGeis>9n!ZJI36(L z2tLu)W)QOD;isa6BNJ^u#mik3ey{&Nqw`bdoedpdHuk>C%-qqq>Y;v3dD}4s2h*PO zwY@hjtlRBh9QSFgO%mT&-|)xLZqo^2LC?OU+rOWhlu{I1shwN7TCV2y4UXsDrz?Km zZa$mS9-XO`Jn8lQ*X!M5uNz)F>QYj%c<mf_;eFTi4{cvjcVYi^Ypq3@(*i}m2tRx~ zng7@KU)q@_l_mc+-m~_da_#rtvZ?!iE_&3f8W8;chKBZo`Cs0D<+l%toIWFV^R*Rz zXV)_4244E{@kN@kRA^S-$3Lx6_s<m7Ozw+ZTsC!|WQF~2y*n*GAG!RG`>&E;{$uaI zR56yTnOom~^?xSAQQ@U9^ZOT0TQlbk*Rvlxi+*$X#Jzjvhi!H9pI(V}wPNeL^}TA< z+Fc<xtWFxAe3Wrz-38<RuNL+T)7{=y+fLr;`^oxs`p(i#a%ETcUJrk)_a=nnx5ayT znOm=UZhf!PP&|L(_dT)N`l7qle<#gM6<y{Vf8S!>!DMch>c4HBDQPm5Ya3#A-JOuL z-a1ll!`HMA7xtwe-FSVvV6^_Pzh6#!+Z??2ZPmpBo1N=Eu-t9uZTL9d#gb#Cb#eC_ z&p+!5|8XzgyobeY)ro`VE~;T|ykX3jGS{tO+ne@Fe`VSp$B9SN)^P0nC$jCyu0V#> zE<e`2<I_(2qH*gTSMd$ci7D6KSD3Rb;@dbq=<^ajsa+?ZANU-g#m%;>bvD0KLdCTp z*1k6qB^65h<qaNRy=A7!_v*z<<#S8VUiV8&PTO{?{itZV_sZAOTYG%^@>0K)^<B$< z^m$3ubGiP_)~U|l?>zQgJFjAP<z1DleN5})?4>VfJaF6<oPG96m;d8ArOUfN&&-p% zopNce)w%SGYg^M_7W&+>Jh!mu)wyN1wf$X8t8bm}S8!+9H$ms|!`4SX{mb=p3bpgM zW_O`)?>NZO9yXbQfnf&&0|V-4c5YcQd^Fp(?;zJ90|A%!KfC@mh)D4-I=*NTYqSg3 zJa3^{7dB|$+;U^Tx#*kBX9oFcebtq9SI#?~441$7SV223V7G^daC2wfHo+~Mt3<p` zuFHG%Eo#MKv3#52un#}x2?emsy7a1t_pegOPxrE?ZChGe`Ifk6D}3>^Uif71E5Gf3 z78z|$@9N%DW3zngkLercuq#;3uD;2yu`j@zkx7IZu}@{QP=i1=69Ypr2Ll5;11|#z zFfuSOG&F)(Dai$T6}dT~A)JuyDmT{XW+0oeG-Yelb2gne&@Pv~%nS?yC??EgVqi#w z?R$wYE-6jYD+3#KeT{Ag2%{U-J6VQ3pOJwfoP~iw7{#bE7EGfuQXmcknFPY<rWxN` zR=XD(M=;Yso@!{6XG1ovAh9Sh7w%1vNg#}F8dq1(wQ$h(9!3TRNvPW(rirm5o0b`n zQCaL?P@-3qT9A`iiPyj1e?&k0z|O$%UlrZIYf;QhO)Sc()T>BI#%tQf=PBh$5)2Go z?4TJI<fy#PkK{sv=D2OVQKS~lz|fJ-$iR={(_9T?bBZ$(3sUjAs3bUgj~XKb!(k?L z|D9|`GATYOKd~r<pu2k0suryaWMr5S$jHEh;x5frB-8XN^6)z9>51oGdTuf>Y`6`| zx{zRkL?qXBMnrnmO9f3!aWXK25(5Y$5(EPSDBW+})_=}JjFAC^c~P8mY6BCJIRz<6 zINSp<$5BhTc})r<0|=v<<93`G$(-VnM7-v_@_z8$l7WE%gi)hSO@|f9oRqW*JT6+6 zvNbAq&2p9wBL)T#=0ow>R1}jclAtLPR4jlnB8WJ^K_n5nP+5?Hfq@^4k%KxY9@$CB zIoWue1PbidRePS<USnhcVbnmHiDFU_9&<omdt$W0NMI%d0|=uA5|<e}QXu8!<>VEE zgB#>85JvVJ$fPfIa(7IU85txtv7zOXfb+;ErKFY-FbQ?5AS9F^Mer^oq$LS@nR%d% zgIG&qY+LCd20>ExV`F54ic%mc3FIvhM)w-(stAZV5C<iAA(?};Mgps&AWJMz=Y5e4 zJMD{Pm>zf*7>_xqH7>F_GgFYw0oT7M=CHDX0zra7g5e_@14BY8Cxae?t4XMjvsX!~ qdz4XtlV@3ZWRyX9VUkB+Np^{|x21W8TXs%aNr7WtSejdkqb&efcrG*m literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..1e5616c82c21fc8db8b140c50ba1aff3d11b6904 GIT binary patch literal 109 zcmd;LGcqtV(leRFtQnG-o0*rckeHX4Q(2r@tdLfepR3@RTac5Qo0?aWnxc@GT9T7l zT%vcB=kem(_EUKo7#J8H2r+CB{=?fUxzUir?y4k6Xn{P#2l+p|M^*p*w|AJT1`>Lp K&9FhIogDznS|p7C literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt new file mode 100644 index 0000000..d00f39c --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt @@ -0,0 +1,2951 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed May 21 12:37:10 2025 +| Host : fl-tp-br-544 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation +| Design : audioProc +| Device : 7a200t-sbg484 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- + +Timing Summary Report + +------------------------------------------------------------------------------------------------ +| Timer Settings +| -------------- +------------------------------------------------------------------------------------------------ + + Enable Multi Corner Analysis : Yes + Enable Pessimism Removal : Yes + Pessimism Removal Resolution : Nearest Common Node + Enable Input Delay Default Clock : No + Enable Preset / Clear Arcs : No + Disable Flight Delays : No + Ignore I/O Paths : No + Timing Early Launch at Borrowing Latches : No + Borrow Time for Max Delay Exceptions : Yes + Merge Timing Exceptions : Yes + Inter-SLR Compensation : Conservative + + Corner Analyze Analyze + Name Max Paths Min Paths + ------ --------- --------- + Slow Yes Yes + Fast Yes Yes + + +------------------------------------------------------------------------------------------------ +| Report Methodology +| ------------------ +------------------------------------------------------------------------------------------------ + +Rule Severity Description Violations +--------- -------- ------------------------------ ---------- +DPIR-1 Warning Asynchronous driver check 96 +TIMING-18 Warning Missing input or output delay 11 +TIMING-20 Warning Non-clocked latch 10 +LATCH-1 Advisory Existing latches in the design 1 + +Note: This report is based on the most recent report_methodology run and may not be up-to-date. Run report_methodology on the current design for the latest report. + + + +check_timing report + +Table of Contents +----------------- +1. checking no_clock (50) +2. checking constant_clock (0) +3. checking pulse_width_clock (0) +4. checking unconstrained_internal_endpoints (10) +5. checking no_input_delay (10) +6. checking no_output_delay (5) +7. checking multiple_clock (0) +8. checking generated_clocks (0) +9. checking loops (0) +10. checking partial_input_delay (0) +11. checking partial_output_delay (0) +12. checking latch_loops (0) + +1. checking no_clock (50) +------------------------- + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[0]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[1]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[2]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[3]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[4]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[0]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[1]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[2]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[3]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[4]/Q (HIGH) + + +2. checking constant_clock (0) +------------------------------ + There are 0 register/latch pins with constant_clock. + + +3. checking pulse_width_clock (0) +--------------------------------- + There are 0 register/latch pins which need pulse_width check + + +4. checking unconstrained_internal_endpoints (10) +------------------------------------------------- + There are 10 pins that are not constrained for maximum delay. (HIGH) + + There are 0 pins that are not constrained for maximum delay due to constant clock. + + +5. checking no_input_delay (10) +------------------------------- + There are 10 input ports with no input delay specified. (HIGH) + + There are 0 input ports with no input delay but user has a false path constraint. + + +6. checking no_output_delay (5) +------------------------------- + There are 5 ports with no output delay specified. (HIGH) + + There are 0 ports with no output delay but user has a false path constraint + + There are 0 ports with no output delay but with a timing clock defined on it or propagating through it + + +7. checking multiple_clock (0) +------------------------------ + There are 0 register/latch pins with multiple clocks. + + +8. checking generated_clocks (0) +-------------------------------- + There are 0 generated clocks that are not connected to a clock source. + + +9. checking loops (0) +--------------------- + There are 0 combinational loops in the design. + + +10. checking partial_input_delay (0) +------------------------------------ + There are 0 input ports with partial input delay specified. + + +11. checking partial_output_delay (0) +------------------------------------- + There are 0 ports with partial output delay specified. + + +12. checking latch_loops (0) +---------------------------- + There are 0 combinational latch loops in the design through latch input + + + +------------------------------------------------------------------------------------------------ +| Design Timing Summary +| --------------------- +------------------------------------------------------------------------------------------------ + + WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints + ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- + 1.716 0.000 0 1788 0.133 0.000 0 1788 3.000 0.000 0 903 + + +All user specified timing constraints are met. + + +------------------------------------------------------------------------------------------------ +| Clock Summary +| ------------- +------------------------------------------------------------------------------------------------ + +Clock Waveform(ns) Period(ns) Frequency(MHz) +----- ------------ ---------- -------------- +CLK100MHZ {0.000 5.000} 10.000 100.000 + clk_out1_clk_wiz_0 {0.000 5.000} 10.000 100.000 + clk_out3_clk_wiz_0 {0.000 41.667} 83.333 12.000 + clk_out4_clk_wiz_0 {0.000 10.000} 20.000 50.000 + clkfbout_clk_wiz_0 {0.000 5.000} 10.000 100.000 + + +------------------------------------------------------------------------------------------------ +| Intra Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints +----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- +CLK100MHZ 3.000 0.000 0 1 + clk_out1_clk_wiz_0 1.716 0.000 0 1567 0.133 0.000 0 1567 4.500 0.000 0 775 + clk_out3_clk_wiz_0 81.178 0.000 0 2 + clk_out4_clk_wiz_0 14.291 0.000 0 221 0.151 0.000 0 221 9.500 0.000 0 122 + clkfbout_clk_wiz_0 7.845 0.000 0 3 + + +------------------------------------------------------------------------------------------------ +| Inter Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Other Path Groups Table +| ----------------------- +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Timing Details +| -------------- +------------------------------------------------------------------------------------------------ + + +--------------------------------------------------------------------------------------------------- +From Clock: CLK100MHZ + To Clock: CLK100MHZ + +Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA +Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA +PW : 0 Failing Endpoints, Worst Slack 3.000ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: CLK100MHZ +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { CLK100MHZ } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a MMCME2_ADV/CLKIN1 n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +Max Period n/a MMCME2_ADV/CLKIN1 n/a 100.000 10.000 90.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +Low Pulse Width Slow MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +Low Pulse Width Fast MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +High Pulse Width Slow MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +High Pulse Width Fast MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 + + + +--------------------------------------------------------------------------------------------------- +From Clock: clk_out1_clk_wiz_0 + To Clock: clk_out1_clk_wiz_0 + +Setup : 0 Failing Endpoints, Worst Slack 1.716ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 0.133ns, Total Violation 0.000ns +PW : 0 Failing Endpoints, Worst Slack 4.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 1.716ns (required time - arrival time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[20]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 8.238ns (logic 5.141ns (62.410%) route 3.097ns (37.590%)) + Logic Levels: 5 (DSP48E1=1 LUT2=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.040ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.674ns = ( 8.326 - 10.000 ) + Source Clock Delay (SCD): -1.081ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.738 -1.081 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X146Y127 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X146Y127 FDCE (Prop_fdce_C_Q) 0.518 -0.563 r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=80, routed) 1.509 0.946 leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg_n_0_[1] + SLICE_X148Y121 LUT6 (Prop_lut6_I2_O) 0.124 1.070 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_121/O + net (fo=1, routed) 0.000 1.070 leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_121_n_0 + SLICE_X148Y121 MUXF7 (Prop_muxf7_I0_O) 0.241 1.311 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_59/O + net (fo=1, routed) 0.000 1.311 leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_59_n_0 + SLICE_X148Y121 MUXF8 (Prop_muxf8_I0_O) 0.098 1.409 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_28/O + net (fo=1, routed) 0.774 2.182 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[0] + DSP48_X7Y50 DSP48E1 (Prop_dsp48e1_A[0]_P[20]) + 4.036 6.218 r leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[20] + net (fo=1, routed) 0.814 7.033 leftFir/firUnit_1/operativeUnit_1/SC_addResult__0[20] + SLICE_X144Y124 LUT2 (Prop_lut2_I0_O) 0.124 7.157 r leftFir/firUnit_1/operativeUnit_1/SR_sum[20]_i_1/O + net (fo=1, routed) 0.000 7.157 leftFir/firUnit_1/operativeUnit_1/p_1_in[20] + SLICE_X144Y124 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[20]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.618 8.326 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X144Y124 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[20]/C + clock pessimism 0.554 8.879 + clock uncertainty -0.084 8.796 + SLICE_X144Y124 FDCE (Setup_fdce_C_D) 0.077 8.873 leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[20] + ------------------------------------------------------------------- + required time 8.873 + arrival time -7.157 + ------------------------------------------------------------------- + slack 1.716 + +Slack (MET) : 1.717ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[0]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[25]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 8.186ns (logic 5.086ns (62.132%) route 3.100ns (37.868%)) + Logic Levels: 5 (DSP48E1=1 LUT2=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.045ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.670ns = ( 8.330 - 10.000 ) + Source Clock Delay (SCD): -1.072ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.747 -1.072 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X153Y132 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X153Y132 FDCE (Prop_fdce_C_Q) 0.456 -0.616 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[0]/Q + net (fo=80, routed) 1.402 0.787 rightFir/firUnit_1/operativeUnit_1/Q[0] + SLICE_X160Y125 LUT6 (Prop_lut6_I4_O) 0.124 0.911 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_94__0/O + net (fo=1, routed) 0.000 0.911 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_94__0_n_0 + SLICE_X160Y125 MUXF7 (Prop_muxf7_I1_O) 0.245 1.156 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_45__0/O + net (fo=1, routed) 0.000 1.156 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_45__0_n_0 + SLICE_X160Y125 MUXF8 (Prop_muxf8_I0_O) 0.104 1.260 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_21__0/O + net (fo=1, routed) 0.923 2.182 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[7] + DSP48_X8Y50 DSP48E1 (Prop_dsp48e1_A[7]_P[25]) + 4.033 6.215 r rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[25] + net (fo=1, routed) 0.775 6.990 rightFir/firUnit_1/operativeUnit_1/SC_addResult__0[25] + SLICE_X155Y126 LUT2 (Prop_lut2_I0_O) 0.124 7.114 r rightFir/firUnit_1/operativeUnit_1/SR_sum[25]_i_1__0/O + net (fo=1, routed) 0.000 7.114 rightFir/firUnit_1/operativeUnit_1/p_1_in[25] + SLICE_X155Y126 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[25]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.622 8.330 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X155Y126 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[25]/C + clock pessimism 0.554 8.883 + clock uncertainty -0.084 8.800 + SLICE_X155Y126 FDCE (Setup_fdce_C_D) 0.031 8.831 rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[25] + ------------------------------------------------------------------- + required time 8.831 + arrival time -7.114 + ------------------------------------------------------------------- + slack 1.717 + +Slack (MET) : 1.722ns (required time - arrival time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[29]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 8.204ns (logic 5.141ns (62.667%) route 3.063ns (37.333%)) + Logic Levels: 5 (DSP48E1=1 LUT2=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.022ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.671ns = ( 8.329 - 10.000 ) + Source Clock Delay (SCD): -1.081ns + Clock Pessimism Removal (CPR): 0.569ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.738 -1.081 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X146Y127 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X146Y127 FDCE (Prop_fdce_C_Q) 0.518 -0.563 r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=80, routed) 1.509 0.946 leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg_n_0_[1] + SLICE_X148Y121 LUT6 (Prop_lut6_I2_O) 0.124 1.070 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_121/O + net (fo=1, routed) 0.000 1.070 leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_121_n_0 + SLICE_X148Y121 MUXF7 (Prop_muxf7_I0_O) 0.241 1.311 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_59/O + net (fo=1, routed) 0.000 1.311 leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_59_n_0 + SLICE_X148Y121 MUXF8 (Prop_muxf8_I0_O) 0.098 1.409 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_28/O + net (fo=1, routed) 0.774 2.182 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[0] + DSP48_X7Y50 DSP48E1 (Prop_dsp48e1_A[0]_P[29]) + 4.036 6.218 r leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[29] + net (fo=1, routed) 0.780 6.999 leftFir/firUnit_1/operativeUnit_1/SC_addResult__0[29] + SLICE_X145Y127 LUT2 (Prop_lut2_I0_O) 0.124 7.123 r leftFir/firUnit_1/operativeUnit_1/SR_sum[29]_i_1/O + net (fo=1, routed) 0.000 7.123 leftFir/firUnit_1/operativeUnit_1/p_1_in[29] + SLICE_X145Y127 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[29]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.621 8.329 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X145Y127 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[29]/C + clock pessimism 0.569 8.897 + clock uncertainty -0.084 8.814 + SLICE_X145Y127 FDCE (Setup_fdce_C_D) 0.031 8.845 leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[29] + ------------------------------------------------------------------- + required time 8.845 + arrival time -7.123 + ------------------------------------------------------------------- + slack 1.722 + +Slack (MET) : 1.722ns (required time - arrival time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[31]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 8.185ns (logic 5.141ns (62.814%) route 3.044ns (37.186%)) + Logic Levels: 5 (DSP48E1=1 LUT2=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.039ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.673ns = ( 8.327 - 10.000 ) + Source Clock Delay (SCD): -1.081ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.738 -1.081 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X146Y127 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X146Y127 FDCE (Prop_fdce_C_Q) 0.518 -0.563 r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=80, routed) 1.509 0.946 leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg_n_0_[1] + SLICE_X148Y121 LUT6 (Prop_lut6_I2_O) 0.124 1.070 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_121/O + net (fo=1, routed) 0.000 1.070 leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_121_n_0 + SLICE_X148Y121 MUXF7 (Prop_muxf7_I0_O) 0.241 1.311 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_59/O + net (fo=1, routed) 0.000 1.311 leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_59_n_0 + SLICE_X148Y121 MUXF8 (Prop_muxf8_I0_O) 0.098 1.409 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_28/O + net (fo=1, routed) 0.774 2.182 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[0] + DSP48_X7Y50 DSP48E1 (Prop_dsp48e1_A[0]_P[31]) + 4.036 6.218 r leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[31] + net (fo=1, routed) 0.761 6.980 leftFir/firUnit_1/operativeUnit_1/SC_addResult__0[31] + SLICE_X143Y127 LUT2 (Prop_lut2_I0_O) 0.124 7.104 r leftFir/firUnit_1/operativeUnit_1/SR_sum[31]_i_1/O + net (fo=1, routed) 0.000 7.104 leftFir/firUnit_1/operativeUnit_1/p_1_in[31] + SLICE_X143Y127 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[31]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.619 8.327 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X143Y127 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[31]/C + clock pessimism 0.554 8.880 + clock uncertainty -0.084 8.797 + SLICE_X143Y127 FDCE (Setup_fdce_C_D) 0.029 8.826 leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[31] + ------------------------------------------------------------------- + required time 8.826 + arrival time -7.104 + ------------------------------------------------------------------- + slack 1.722 + +Slack (MET) : 1.730ns (required time - arrival time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[33]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 8.181ns (logic 5.141ns (62.844%) route 3.040ns (37.156%)) + Logic Levels: 5 (DSP48E1=1 LUT2=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.037ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.671ns = ( 8.329 - 10.000 ) + Source Clock Delay (SCD): -1.081ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.738 -1.081 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X146Y127 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X146Y127 FDCE (Prop_fdce_C_Q) 0.518 -0.563 r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=80, routed) 1.509 0.946 leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg_n_0_[1] + SLICE_X148Y121 LUT6 (Prop_lut6_I2_O) 0.124 1.070 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_121/O + net (fo=1, routed) 0.000 1.070 leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_121_n_0 + SLICE_X148Y121 MUXF7 (Prop_muxf7_I0_O) 0.241 1.311 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_59/O + net (fo=1, routed) 0.000 1.311 leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_59_n_0 + SLICE_X148Y121 MUXF8 (Prop_muxf8_I0_O) 0.098 1.409 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_28/O + net (fo=1, routed) 0.774 2.182 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[0] + DSP48_X7Y50 DSP48E1 (Prop_dsp48e1_A[0]_P[33]) + 4.036 6.218 r leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[33] + net (fo=1, routed) 0.757 6.976 leftFir/firUnit_1/operativeUnit_1/SC_addResult__0[33] + SLICE_X143Y128 LUT2 (Prop_lut2_I0_O) 0.124 7.100 r leftFir/firUnit_1/operativeUnit_1/SR_sum[33]_i_1/O + net (fo=1, routed) 0.000 7.100 leftFir/firUnit_1/operativeUnit_1/p_1_in[33] + SLICE_X143Y128 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[33]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.621 8.329 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X143Y128 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[33]/C + clock pessimism 0.554 8.882 + clock uncertainty -0.084 8.799 + SLICE_X143Y128 FDCE (Setup_fdce_C_D) 0.031 8.830 leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[33] + ------------------------------------------------------------------- + required time 8.830 + arrival time -7.100 + ------------------------------------------------------------------- + slack 1.730 + +Slack (MET) : 1.731ns (required time - arrival time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[22]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 8.224ns (logic 5.141ns (62.512%) route 3.083ns (37.488%)) + Logic Levels: 5 (DSP48E1=1 LUT2=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.040ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.674ns = ( 8.326 - 10.000 ) + Source Clock Delay (SCD): -1.081ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.738 -1.081 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X146Y127 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X146Y127 FDCE (Prop_fdce_C_Q) 0.518 -0.563 r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=80, routed) 1.509 0.946 leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg_n_0_[1] + SLICE_X148Y121 LUT6 (Prop_lut6_I2_O) 0.124 1.070 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_121/O + net (fo=1, routed) 0.000 1.070 leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_121_n_0 + SLICE_X148Y121 MUXF7 (Prop_muxf7_I0_O) 0.241 1.311 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_59/O + net (fo=1, routed) 0.000 1.311 leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_59_n_0 + SLICE_X148Y121 MUXF8 (Prop_muxf8_I0_O) 0.098 1.409 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_28/O + net (fo=1, routed) 0.774 2.182 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[0] + DSP48_X7Y50 DSP48E1 (Prop_dsp48e1_A[0]_P[22]) + 4.036 6.218 r leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[22] + net (fo=1, routed) 0.801 7.019 leftFir/firUnit_1/operativeUnit_1/SC_addResult__0[22] + SLICE_X144Y124 LUT2 (Prop_lut2_I0_O) 0.124 7.143 r leftFir/firUnit_1/operativeUnit_1/SR_sum[22]_i_1/O + net (fo=1, routed) 0.000 7.143 leftFir/firUnit_1/operativeUnit_1/p_1_in[22] + SLICE_X144Y124 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[22]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.618 8.326 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X144Y124 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[22]/C + clock pessimism 0.554 8.879 + clock uncertainty -0.084 8.796 + SLICE_X144Y124 FDCE (Setup_fdce_C_D) 0.079 8.875 leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[22] + ------------------------------------------------------------------- + required time 8.875 + arrival time -7.143 + ------------------------------------------------------------------- + slack 1.731 + +Slack (MET) : 1.732ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[15]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 8.176ns (logic 5.048ns (61.742%) route 3.128ns (38.258%)) + Logic Levels: 5 (DSP48E1=1 LUT2=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.039ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.668ns = ( 8.332 - 10.000 ) + Source Clock Delay (SCD): -1.076ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.743 -1.076 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X155Y128 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X155Y128 FDCE (Prop_fdce_C_Q) 0.456 -0.620 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=80, routed) 1.325 0.705 rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg_n_0_[1] + SLICE_X161Y129 LUT6 (Prop_lut6_I2_O) 0.124 0.829 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_64__0/O + net (fo=1, routed) 0.000 0.829 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_64__0_n_0 + SLICE_X161Y129 MUXF7 (Prop_muxf7_I1_O) 0.217 1.046 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_30__0/O + net (fo=1, routed) 0.000 1.046 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_30__0_n_0 + SLICE_X161Y129 MUXF8 (Prop_muxf8_I1_O) 0.094 1.140 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13__0/O + net (fo=15, routed) 1.044 2.184 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15] + DSP48_X8Y50 DSP48E1 (Prop_dsp48e1_A[27]_P[15]) + 4.033 6.217 r rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[15] + net (fo=1, routed) 0.759 6.976 rightFir/firUnit_1/operativeUnit_1/SC_addResult__0[15] + SLICE_X153Y128 LUT2 (Prop_lut2_I0_O) 0.124 7.100 r rightFir/firUnit_1/operativeUnit_1/SR_sum[15]_i_1__0/O + net (fo=1, routed) 0.000 7.100 rightFir/firUnit_1/operativeUnit_1/p_1_in[15] + SLICE_X153Y128 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[15]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.624 8.332 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X153Y128 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[15]/C + clock pessimism 0.554 8.885 + clock uncertainty -0.084 8.802 + SLICE_X153Y128 FDCE (Setup_fdce_C_D) 0.031 8.833 rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[15] + ------------------------------------------------------------------- + required time 8.833 + arrival time -7.100 + ------------------------------------------------------------------- + slack 1.732 + +Slack (MET) : 1.737ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[0]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[24]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 8.164ns (logic 5.086ns (62.302%) route 3.078ns (37.698%)) + Logic Levels: 5 (DSP48E1=1 LUT2=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.045ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.670ns = ( 8.330 - 10.000 ) + Source Clock Delay (SCD): -1.072ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.747 -1.072 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X153Y132 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X153Y132 FDCE (Prop_fdce_C_Q) 0.456 -0.616 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[0]/Q + net (fo=80, routed) 1.402 0.787 rightFir/firUnit_1/operativeUnit_1/Q[0] + SLICE_X160Y125 LUT6 (Prop_lut6_I4_O) 0.124 0.911 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_94__0/O + net (fo=1, routed) 0.000 0.911 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_94__0_n_0 + SLICE_X160Y125 MUXF7 (Prop_muxf7_I1_O) 0.245 1.156 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_45__0/O + net (fo=1, routed) 0.000 1.156 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_45__0_n_0 + SLICE_X160Y125 MUXF8 (Prop_muxf8_I0_O) 0.104 1.260 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_21__0/O + net (fo=1, routed) 0.923 2.182 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[7] + DSP48_X8Y50 DSP48E1 (Prop_dsp48e1_A[7]_P[24]) + 4.033 6.215 r rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[24] + net (fo=1, routed) 0.752 6.968 rightFir/firUnit_1/operativeUnit_1/SC_addResult__0[24] + SLICE_X155Y126 LUT2 (Prop_lut2_I0_O) 0.124 7.092 r rightFir/firUnit_1/operativeUnit_1/SR_sum[24]_i_1__0/O + net (fo=1, routed) 0.000 7.092 rightFir/firUnit_1/operativeUnit_1/p_1_in[24] + SLICE_X155Y126 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[24]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.622 8.330 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X155Y126 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[24]/C + clock pessimism 0.554 8.883 + clock uncertainty -0.084 8.800 + SLICE_X155Y126 FDCE (Setup_fdce_C_D) 0.029 8.829 rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[24] + ------------------------------------------------------------------- + required time 8.829 + arrival time -7.092 + ------------------------------------------------------------------- + slack 1.737 + +Slack (MET) : 1.741ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[27]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 8.162ns (logic 5.048ns (61.846%) route 3.114ns (38.154%)) + Logic Levels: 5 (DSP48E1=1 LUT2=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.042ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.671ns = ( 8.329 - 10.000 ) + Source Clock Delay (SCD): -1.076ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.743 -1.076 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X155Y128 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X155Y128 FDCE (Prop_fdce_C_Q) 0.456 -0.620 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=80, routed) 1.325 0.705 rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg_n_0_[1] + SLICE_X161Y129 LUT6 (Prop_lut6_I2_O) 0.124 0.829 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_64__0/O + net (fo=1, routed) 0.000 0.829 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_64__0_n_0 + SLICE_X161Y129 MUXF7 (Prop_muxf7_I1_O) 0.217 1.046 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_30__0/O + net (fo=1, routed) 0.000 1.046 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_30__0_n_0 + SLICE_X161Y129 MUXF8 (Prop_muxf8_I1_O) 0.094 1.140 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13__0/O + net (fo=15, routed) 1.044 2.184 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15] + DSP48_X8Y50 DSP48E1 (Prop_dsp48e1_A[27]_P[27]) + 4.033 6.217 r rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[27] + net (fo=1, routed) 0.745 6.962 rightFir/firUnit_1/operativeUnit_1/SC_addResult__0[27] + SLICE_X153Y126 LUT2 (Prop_lut2_I0_O) 0.124 7.086 r rightFir/firUnit_1/operativeUnit_1/SR_sum[27]_i_1__0/O + net (fo=1, routed) 0.000 7.086 rightFir/firUnit_1/operativeUnit_1/p_1_in[27] + SLICE_X153Y126 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[27]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.621 8.329 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X153Y126 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[27]/C + clock pessimism 0.554 8.882 + clock uncertainty -0.084 8.799 + SLICE_X153Y126 FDCE (Setup_fdce_C_D) 0.029 8.828 rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[27] + ------------------------------------------------------------------- + required time 8.828 + arrival time -7.086 + ------------------------------------------------------------------- + slack 1.741 + +Slack (MET) : 1.742ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[11]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 8.162ns (logic 5.048ns (61.846%) route 3.114ns (38.154%)) + Logic Levels: 5 (DSP48E1=1 LUT2=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.041ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.670ns = ( 8.330 - 10.000 ) + Source Clock Delay (SCD): -1.076ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.743 -1.076 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X155Y128 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X155Y128 FDCE (Prop_fdce_C_Q) 0.456 -0.620 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=80, routed) 1.325 0.705 rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg_n_0_[1] + SLICE_X161Y129 LUT6 (Prop_lut6_I2_O) 0.124 0.829 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_64__0/O + net (fo=1, routed) 0.000 0.829 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_64__0_n_0 + SLICE_X161Y129 MUXF7 (Prop_muxf7_I1_O) 0.217 1.046 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_30__0/O + net (fo=1, routed) 0.000 1.046 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_30__0_n_0 + SLICE_X161Y129 MUXF8 (Prop_muxf8_I1_O) 0.094 1.140 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13__0/O + net (fo=15, routed) 1.044 2.184 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15] + DSP48_X8Y50 DSP48E1 (Prop_dsp48e1_A[27]_P[11]) + 4.033 6.217 r rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[11] + net (fo=1, routed) 0.745 6.962 rightFir/firUnit_1/operativeUnit_1/SC_addResult__0[11] + SLICE_X153Y127 LUT2 (Prop_lut2_I0_O) 0.124 7.086 r rightFir/firUnit_1/operativeUnit_1/SR_sum[11]_i_1__0/O + net (fo=1, routed) 0.000 7.086 rightFir/firUnit_1/operativeUnit_1/p_1_in[11] + SLICE_X153Y127 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[11]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.622 8.330 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X153Y127 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[11]/C + clock pessimism 0.554 8.883 + clock uncertainty -0.084 8.800 + SLICE_X153Y127 FDCE (Setup_fdce_C_D) 0.029 8.829 rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[11] + ------------------------------------------------------------------- + required time 8.829 + arrival time -7.086 + ------------------------------------------------------------------- + slack 1.742 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.133ns (arrival time - required time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][5]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][5]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.208ns (logic 0.141ns (67.788%) route 0.067ns (32.212%)) + Logic Levels: 0 + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.935ns + Source Clock Delay (SCD): -0.690ns + Clock Pessimism Removal (CPR): -0.245ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.610 -0.690 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X147Y122 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][5]/C + ------------------------------------------------------------------- ------------------- + SLICE_X147Y122 FDCE (Prop_fdce_C_Q) 0.141 -0.549 r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][5]/Q + net (fo=2, routed) 0.067 -0.482 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0]_1[5] + SLICE_X147Y122 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][5]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.879 -0.935 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X147Y122 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][5]/C + clock pessimism 0.245 -0.690 + SLICE_X147Y122 FDCE (Hold_fdce_C_D) 0.075 -0.615 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][5] + ------------------------------------------------------------------- + required time 0.615 + arrival time -0.482 + ------------------------------------------------------------------- + slack 0.133 + +Slack (MET) : 0.134ns (arrival time - required time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][12]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][12]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.209ns (logic 0.141ns (67.433%) route 0.068ns (32.567%)) + Logic Levels: 0 + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.934ns + Source Clock Delay (SCD): -0.690ns + Clock Pessimism Removal (CPR): -0.244ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.610 -0.690 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X149Y128 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][12]/C + ------------------------------------------------------------------- ------------------- + SLICE_X149Y128 FDCE (Prop_fdce_C_Q) 0.141 -0.549 r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][12]/Q + net (fo=2, routed) 0.068 -0.481 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0]_1[12] + SLICE_X149Y128 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][12]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.880 -0.934 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X149Y128 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][12]/C + clock pessimism 0.244 -0.690 + SLICE_X149Y128 FDCE (Hold_fdce_C_D) 0.075 -0.615 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][12] + ------------------------------------------------------------------- + required time 0.615 + arrival time -0.481 + ------------------------------------------------------------------- + slack 0.134 + +Slack (MET) : 0.134ns (arrival time - required time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][3]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][3]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.209ns (logic 0.141ns (67.433%) route 0.068ns (32.567%)) + Logic Levels: 0 + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.940ns + Source Clock Delay (SCD): -0.695ns + Clock Pessimism Removal (CPR): -0.245ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.605 -0.695 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X141Y125 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][3]/C + ------------------------------------------------------------------- ------------------- + SLICE_X141Y125 FDCE (Prop_fdce_C_Q) 0.141 -0.554 r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][3]/Q + net (fo=2, routed) 0.068 -0.486 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0]_1[3] + SLICE_X141Y125 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][3]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.874 -0.940 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X141Y125 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][3]/C + clock pessimism 0.245 -0.695 + SLICE_X141Y125 FDCE (Hold_fdce_C_D) 0.075 -0.620 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][3] + ------------------------------------------------------------------- + required time 0.620 + arrival time -0.486 + ------------------------------------------------------------------- + slack 0.134 + +Slack (MET) : 0.150ns (arrival time - required time) + Source: audio_inout/Data_Out_int_reg[23]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: audio_inout/Data_Out_int_reg[24]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.283ns (logic 0.186ns (65.628%) route 0.097ns (34.372%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.012ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.938ns + Source Clock Delay (SCD): -0.692ns + Clock Pessimism Removal (CPR): -0.258ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.608 -0.692 audio_inout/clk_out1 + SLICE_X149Y126 FDRE r audio_inout/Data_Out_int_reg[23]/C + ------------------------------------------------------------------- ------------------- + SLICE_X149Y126 FDRE (Prop_fdre_C_Q) 0.141 -0.551 r audio_inout/Data_Out_int_reg[23]/Q + net (fo=1, routed) 0.097 -0.453 audio_inout/Data_Out_int_reg_n_0_[23] + SLICE_X150Y125 LUT6 (Prop_lut6_I3_O) 0.045 -0.408 r audio_inout/Data_Out_int[24]_i_1/O + net (fo=1, routed) 0.000 -0.408 audio_inout/Data_Out_int[24]_i_1_n_0 + SLICE_X150Y125 FDRE r audio_inout/Data_Out_int_reg[24]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.876 -0.938 audio_inout/clk_out1 + SLICE_X150Y125 FDRE r audio_inout/Data_Out_int_reg[24]/C + clock pessimism 0.258 -0.680 + SLICE_X150Y125 FDRE (Hold_fdre_C_D) 0.121 -0.559 audio_inout/Data_Out_int_reg[24] + ------------------------------------------------------------------- + required time 0.559 + arrival time -0.408 + ------------------------------------------------------------------- + slack 0.150 + +Slack (MET) : 0.152ns (arrival time - required time) + Source: audio_inout/D_L_O_int_reg[8]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][0]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.285ns (logic 0.186ns (65.209%) route 0.099ns (34.791%)) + Logic Levels: 1 (LUT5=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.935ns + Source Clock Delay (SCD): -0.690ns + Clock Pessimism Removal (CPR): -0.258ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.610 -0.690 audio_inout/clk_out1 + SLICE_X149Y122 FDRE r audio_inout/D_L_O_int_reg[8]/C + ------------------------------------------------------------------- ------------------- + SLICE_X149Y122 FDRE (Prop_fdre_C_Q) 0.141 -0.549 r audio_inout/D_L_O_int_reg[8]/Q + net (fo=2, routed) 0.099 -0.450 audio_inout/Q[0] + SLICE_X148Y122 LUT5 (Prop_lut5_I0_O) 0.045 -0.405 r audio_inout/SR_shiftRegister[0][0]_i_1/O + net (fo=1, routed) 0.000 -0.405 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]_0[0] + SLICE_X148Y122 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][0]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.879 -0.935 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X148Y122 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][0]/C + clock pessimism 0.258 -0.677 + SLICE_X148Y122 FDCE (Hold_fdce_C_D) 0.120 -0.557 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][0] + ------------------------------------------------------------------- + required time 0.557 + arrival time -0.405 + ------------------------------------------------------------------- + slack 0.152 + +Slack (MET) : 0.153ns (arrival time - required time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[3][3]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[4][3]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.212ns (logic 0.141ns (66.609%) route 0.071ns (33.391%)) + Logic Levels: 0 + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.910ns + Source Clock Delay (SCD): -0.666ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.634 -0.666 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X157Y124 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[3][3]/C + ------------------------------------------------------------------- ------------------- + SLICE_X157Y124 FDCE (Prop_fdce_C_Q) 0.141 -0.525 r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[3][3]/Q + net (fo=2, routed) 0.071 -0.454 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[3]_4[3] + SLICE_X156Y124 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[4][3]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.904 -0.910 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X156Y124 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[4][3]/C + clock pessimism 0.257 -0.653 + SLICE_X156Y124 FDCE (Hold_fdce_C_D) 0.046 -0.607 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[4][3] + ------------------------------------------------------------------- + required time 0.607 + arrival time -0.454 + ------------------------------------------------------------------- + slack 0.153 + +Slack (MET) : 0.156ns (arrival time - required time) + Source: audio_inout/Data_Out_int_reg[24]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: audio_inout/Data_Out_int_reg[25]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.260ns (logic 0.209ns (80.352%) route 0.051ns (19.648%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.938ns + Source Clock Delay (SCD): -0.693ns + Clock Pessimism Removal (CPR): -0.258ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.607 -0.693 audio_inout/clk_out1 + SLICE_X150Y125 FDRE r audio_inout/Data_Out_int_reg[24]/C + ------------------------------------------------------------------- ------------------- + SLICE_X150Y125 FDRE (Prop_fdre_C_Q) 0.164 -0.529 r audio_inout/Data_Out_int_reg[24]/Q + net (fo=1, routed) 0.051 -0.478 audio_inout/Data_Out_int_reg_n_0_[24] + SLICE_X151Y125 LUT6 (Prop_lut6_I1_O) 0.045 -0.433 r audio_inout/Data_Out_int[25]_i_1/O + net (fo=1, routed) 0.000 -0.433 audio_inout/Data_Out_int[25]_i_1_n_0 + SLICE_X151Y125 FDRE r audio_inout/Data_Out_int_reg[25]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.876 -0.938 audio_inout/clk_out1 + SLICE_X151Y125 FDRE r audio_inout/Data_Out_int_reg[25]/C + clock pessimism 0.258 -0.680 + SLICE_X151Y125 FDRE (Hold_fdre_C_D) 0.091 -0.589 audio_inout/Data_Out_int_reg[25] + ------------------------------------------------------------------- + required time 0.589 + arrival time -0.433 + ------------------------------------------------------------------- + slack 0.156 + +Slack (MET) : 0.158ns (arrival time - required time) + Source: audio_inout/D_L_O_int_reg[3]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: audio_inout/Data_Out_int_reg[10]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.294ns (logic 0.186ns (63.228%) route 0.108ns (36.772%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.015ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.932ns + Source Clock Delay (SCD): -0.689ns + Clock Pessimism Removal (CPR): -0.258ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.611 -0.689 audio_inout/clk_out1 + SLICE_X153Y121 FDRE r audio_inout/D_L_O_int_reg[3]/C + ------------------------------------------------------------------- ------------------- + SLICE_X153Y121 FDRE (Prop_fdre_C_Q) 0.141 -0.548 r audio_inout/D_L_O_int_reg[3]/Q + net (fo=1, routed) 0.108 -0.440 audio_inout/in_audioL[3] + SLICE_X152Y120 LUT6 (Prop_lut6_I1_O) 0.045 -0.395 r audio_inout/Data_Out_int[10]_i_1/O + net (fo=1, routed) 0.000 -0.395 audio_inout/Data_Out_int[10]_i_1_n_0 + SLICE_X152Y120 FDRE r audio_inout/Data_Out_int_reg[10]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.882 -0.932 audio_inout/clk_out1 + SLICE_X152Y120 FDRE r audio_inout/Data_Out_int_reg[10]/C + clock pessimism 0.258 -0.674 + SLICE_X152Y120 FDRE (Hold_fdre_C_D) 0.121 -0.553 audio_inout/Data_Out_int_reg[10] + ------------------------------------------------------------------- + required time 0.553 + arrival time -0.395 + ------------------------------------------------------------------- + slack 0.158 + +Slack (MET) : 0.168ns (arrival time - required time) + Source: audio_inout/D_R_O_int_reg[20]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][12]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.272ns (logic 0.209ns (76.753%) route 0.063ns (23.247%)) + Logic Levels: 1 (LUT5=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.935ns + Source Clock Delay (SCD): -0.690ns + Clock Pessimism Removal (CPR): -0.258ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.610 -0.690 audio_inout/clk_out1 + SLICE_X150Y127 FDRE r audio_inout/D_R_O_int_reg[20]/C + ------------------------------------------------------------------- ------------------- + SLICE_X150Y127 FDRE (Prop_fdre_C_Q) 0.164 -0.526 r audio_inout/D_R_O_int_reg[20]/Q + net (fo=2, routed) 0.063 -0.462 audio_inout/D_R_O_int_reg[22]_0[5] + SLICE_X151Y127 LUT5 (Prop_lut5_I0_O) 0.045 -0.417 r audio_inout/SR_shiftRegister[0][12]_i_1__0/O + net (fo=1, routed) 0.000 -0.417 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][15]_0[12] + SLICE_X151Y127 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][12]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.879 -0.935 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X151Y127 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][12]/C + clock pessimism 0.258 -0.677 + SLICE_X151Y127 FDCE (Hold_fdce_C_D) 0.091 -0.586 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][12] + ------------------------------------------------------------------- + required time 0.586 + arrival time -0.417 + ------------------------------------------------------------------- + slack 0.168 + +Slack (MET) : 0.170ns (arrival time - required time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[26]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[10]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.317ns (logic 0.251ns (79.104%) route 0.066ns (20.896%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.934ns + Source Clock Delay (SCD): -0.689ns + Clock Pessimism Removal (CPR): -0.258ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.611 -0.689 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X153Y127 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[26]/C + ------------------------------------------------------------------- ------------------- + SLICE_X153Y127 FDCE (Prop_fdce_C_Q) 0.141 -0.548 r rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[26]/Q + net (fo=2, routed) 0.066 -0.481 rightFir/firUnit_1/operativeUnit_1/SR_sum[26] + SLICE_X152Y127 CARRY4 (Prop_carry4_S[2]_O[2]) + 0.110 -0.371 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/O[2] + net (fo=1, routed) 0.000 -0.371 rightFir/firUnit_1/operativeUnit_1/p_0_in[10] + SLICE_X152Y127 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[10]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.880 -0.934 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X152Y127 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[10]/C + clock pessimism 0.258 -0.676 + SLICE_X152Y127 FDCE (Hold_fdce_C_D) 0.134 -0.542 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[10] + ------------------------------------------------------------------- + required time 0.542 + arrival time -0.371 + ------------------------------------------------------------------- + slack 0.170 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk_out1_clk_wiz_0 +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { clk_1/inst/mmcm_adv_inst/CLKOUT0 } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y1 clk_1/inst/clkout1_buf/I +Min Period n/a MMCME2_ADV/CLKOUT0 n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT0 +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X154Y131 lrclkD1_reg/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X158Y130 lrclkD2_reg/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X156Y130 lrclkcnt_reg[0]/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X156Y130 lrclkcnt_reg[1]/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X157Y130 lrclkcnt_reg[2]/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X157Y130 lrclkcnt_reg[3]/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X158Y130 pulse48kHz_reg/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X156Y122 audio_inout/BCLK_int_reg/C +Max Period n/a MMCME2_ADV/CLKOUT0 n/a 213.360 10.000 203.360 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT0 +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X154Y131 lrclkD1_reg/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X154Y131 lrclkD1_reg/C +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X158Y130 lrclkD2_reg/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X158Y130 lrclkD2_reg/C +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X156Y130 lrclkcnt_reg[0]/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X156Y130 lrclkcnt_reg[0]/C +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X156Y130 lrclkcnt_reg[1]/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X156Y130 lrclkcnt_reg[1]/C +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X157Y130 lrclkcnt_reg[2]/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X157Y130 lrclkcnt_reg[2]/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X154Y131 lrclkD1_reg/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X154Y131 lrclkD1_reg/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X158Y130 lrclkD2_reg/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X158Y130 lrclkD2_reg/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X156Y130 lrclkcnt_reg[0]/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X156Y130 lrclkcnt_reg[0]/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X156Y130 lrclkcnt_reg[1]/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X156Y130 lrclkcnt_reg[1]/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X157Y130 lrclkcnt_reg[2]/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X157Y130 lrclkcnt_reg[2]/C + + + +--------------------------------------------------------------------------------------------------- +From Clock: clk_out3_clk_wiz_0 + To Clock: clk_out3_clk_wiz_0 + +Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA +Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA +PW : 0 Failing Endpoints, Worst Slack 81.178ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk_out3_clk_wiz_0 +Waveform(ns): { 0.000 41.667 } +Period(ns): 83.333 +Sources: { clk_1/inst/mmcm_adv_inst/CLKOUT2 } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 83.333 81.178 BUFGCTRL_X0Y0 clk_1/inst/clkout3_buf/I +Min Period n/a MMCME2_ADV/CLKOUT2 n/a 1.249 83.333 82.084 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT2 +Max Period n/a MMCME2_ADV/CLKOUT2 n/a 213.360 83.333 130.027 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT2 + + + +--------------------------------------------------------------------------------------------------- +From Clock: clk_out4_clk_wiz_0 + To Clock: clk_out4_clk_wiz_0 + +Setup : 0 Failing Endpoints, Worst Slack 14.291ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 0.151ns, Total Violation 0.000ns +PW : 0 Failing Endpoints, Worst Slack 9.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 14.291ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[0]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/FSM_gray_state_reg[1]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 5.385ns (logic 1.014ns (18.829%) route 4.371ns (81.171%)) + Logic Levels: 4 (LUT2=1 LUT6=3) + Clock Path Skew: -0.025ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.590ns = ( 18.410 - 20.000 ) + Source Clock Delay (SCD): -0.998ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.821 -0.998 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y118 FDSE r initialize_audio/twi_controller/sclCnt_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y118 FDSE (Prop_fdse_C_Q) 0.518 -0.480 f initialize_audio/twi_controller/sclCnt_reg[0]/Q + net (fo=7, routed) 0.822 0.342 initialize_audio/twi_controller/sclCnt[0] + SLICE_X163Y117 LUT6 (Prop_lut6_I2_O) 0.124 0.466 f initialize_audio/twi_controller/sclCnt[6]_i_5/O + net (fo=2, routed) 0.537 1.003 initialize_audio/twi_controller/sclCnt[6]_i_5_n_0 + SLICE_X162Y117 LUT2 (Prop_lut2_I1_O) 0.124 1.127 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=13, routed) 1.594 2.721 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X161Y121 LUT6 (Prop_lut6_I1_O) 0.124 2.845 r initialize_audio/twi_controller/FSM_gray_state[3]_i_8/O + net (fo=1, routed) 0.923 3.768 initialize_audio/twi_controller/FSM_gray_state[3]_i_8_n_0 + SLICE_X163Y119 LUT6 (Prop_lut6_I5_O) 0.124 3.892 r initialize_audio/twi_controller/FSM_gray_state[3]_i_1/O + net (fo=4, routed) 0.496 4.387 initialize_audio/twi_controller/FSM_gray_state[3]_i_1_n_0 + SLICE_X163Y120 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[1]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.702 18.410 initialize_audio/twi_controller/clk_out4 + SLICE_X163Y120 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[1]/C + clock pessimism 0.568 18.977 + clock uncertainty -0.094 18.883 + SLICE_X163Y120 FDRE (Setup_fdre_C_CE) -0.205 18.678 initialize_audio/twi_controller/FSM_gray_state_reg[1] + ------------------------------------------------------------------- + required time 18.678 + arrival time -4.387 + ------------------------------------------------------------------- + slack 14.291 + +Slack (MET) : 14.424ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[0]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/FSM_gray_state_reg[0]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 5.252ns (logic 1.014ns (19.305%) route 4.238ns (80.695%)) + Logic Levels: 4 (LUT2=1 LUT6=3) + Clock Path Skew: -0.025ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.590ns = ( 18.410 - 20.000 ) + Source Clock Delay (SCD): -0.998ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.821 -0.998 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y118 FDSE r initialize_audio/twi_controller/sclCnt_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y118 FDSE (Prop_fdse_C_Q) 0.518 -0.480 f initialize_audio/twi_controller/sclCnt_reg[0]/Q + net (fo=7, routed) 0.822 0.342 initialize_audio/twi_controller/sclCnt[0] + SLICE_X163Y117 LUT6 (Prop_lut6_I2_O) 0.124 0.466 f initialize_audio/twi_controller/sclCnt[6]_i_5/O + net (fo=2, routed) 0.537 1.003 initialize_audio/twi_controller/sclCnt[6]_i_5_n_0 + SLICE_X162Y117 LUT2 (Prop_lut2_I1_O) 0.124 1.127 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=13, routed) 1.594 2.721 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X161Y121 LUT6 (Prop_lut6_I1_O) 0.124 2.845 r initialize_audio/twi_controller/FSM_gray_state[3]_i_8/O + net (fo=1, routed) 0.923 3.768 initialize_audio/twi_controller/FSM_gray_state[3]_i_8_n_0 + SLICE_X163Y119 LUT6 (Prop_lut6_I5_O) 0.124 3.892 r initialize_audio/twi_controller/FSM_gray_state[3]_i_1/O + net (fo=4, routed) 0.363 4.255 initialize_audio/twi_controller/FSM_gray_state[3]_i_1_n_0 + SLICE_X160Y120 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[0]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.702 18.410 initialize_audio/twi_controller/clk_out4 + SLICE_X160Y120 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[0]/C + clock pessimism 0.568 18.977 + clock uncertainty -0.094 18.883 + SLICE_X160Y120 FDRE (Setup_fdre_C_CE) -0.205 18.678 initialize_audio/twi_controller/FSM_gray_state_reg[0] + ------------------------------------------------------------------- + required time 18.678 + arrival time -4.255 + ------------------------------------------------------------------- + slack 14.424 + +Slack (MET) : 14.424ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[0]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/FSM_gray_state_reg[3]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 5.252ns (logic 1.014ns (19.305%) route 4.238ns (80.695%)) + Logic Levels: 4 (LUT2=1 LUT6=3) + Clock Path Skew: -0.025ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.590ns = ( 18.410 - 20.000 ) + Source Clock Delay (SCD): -0.998ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.821 -0.998 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y118 FDSE r initialize_audio/twi_controller/sclCnt_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y118 FDSE (Prop_fdse_C_Q) 0.518 -0.480 f initialize_audio/twi_controller/sclCnt_reg[0]/Q + net (fo=7, routed) 0.822 0.342 initialize_audio/twi_controller/sclCnt[0] + SLICE_X163Y117 LUT6 (Prop_lut6_I2_O) 0.124 0.466 f initialize_audio/twi_controller/sclCnt[6]_i_5/O + net (fo=2, routed) 0.537 1.003 initialize_audio/twi_controller/sclCnt[6]_i_5_n_0 + SLICE_X162Y117 LUT2 (Prop_lut2_I1_O) 0.124 1.127 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=13, routed) 1.594 2.721 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X161Y121 LUT6 (Prop_lut6_I1_O) 0.124 2.845 r initialize_audio/twi_controller/FSM_gray_state[3]_i_8/O + net (fo=1, routed) 0.923 3.768 initialize_audio/twi_controller/FSM_gray_state[3]_i_8_n_0 + SLICE_X163Y119 LUT6 (Prop_lut6_I5_O) 0.124 3.892 r initialize_audio/twi_controller/FSM_gray_state[3]_i_1/O + net (fo=4, routed) 0.363 4.255 initialize_audio/twi_controller/FSM_gray_state[3]_i_1_n_0 + SLICE_X160Y120 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[3]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.702 18.410 initialize_audio/twi_controller/clk_out4 + SLICE_X160Y120 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[3]/C + clock pessimism 0.568 18.977 + clock uncertainty -0.094 18.883 + SLICE_X160Y120 FDRE (Setup_fdre_C_CE) -0.205 18.678 initialize_audio/twi_controller/FSM_gray_state_reg[3] + ------------------------------------------------------------------- + required time 18.678 + arrival time -4.255 + ------------------------------------------------------------------- + slack 14.424 + +Slack (MET) : 14.451ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[0]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/FSM_gray_state_reg[2]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 5.225ns (logic 1.014ns (19.406%) route 4.211ns (80.594%)) + Logic Levels: 4 (LUT2=1 LUT6=3) + Clock Path Skew: -0.025ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.590ns = ( 18.410 - 20.000 ) + Source Clock Delay (SCD): -0.998ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.821 -0.998 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y118 FDSE r initialize_audio/twi_controller/sclCnt_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y118 FDSE (Prop_fdse_C_Q) 0.518 -0.480 f initialize_audio/twi_controller/sclCnt_reg[0]/Q + net (fo=7, routed) 0.822 0.342 initialize_audio/twi_controller/sclCnt[0] + SLICE_X163Y117 LUT6 (Prop_lut6_I2_O) 0.124 0.466 f initialize_audio/twi_controller/sclCnt[6]_i_5/O + net (fo=2, routed) 0.537 1.003 initialize_audio/twi_controller/sclCnt[6]_i_5_n_0 + SLICE_X162Y117 LUT2 (Prop_lut2_I1_O) 0.124 1.127 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=13, routed) 1.594 2.721 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X161Y121 LUT6 (Prop_lut6_I1_O) 0.124 2.845 r initialize_audio/twi_controller/FSM_gray_state[3]_i_8/O + net (fo=1, routed) 0.923 3.768 initialize_audio/twi_controller/FSM_gray_state[3]_i_8_n_0 + SLICE_X163Y119 LUT6 (Prop_lut6_I5_O) 0.124 3.892 r initialize_audio/twi_controller/FSM_gray_state[3]_i_1/O + net (fo=4, routed) 0.336 4.227 initialize_audio/twi_controller/FSM_gray_state[3]_i_1_n_0 + SLICE_X161Y119 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[2]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.702 18.410 initialize_audio/twi_controller/clk_out4 + SLICE_X161Y119 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[2]/C + clock pessimism 0.568 18.977 + clock uncertainty -0.094 18.883 + SLICE_X161Y119 FDRE (Setup_fdre_C_CE) -0.205 18.678 initialize_audio/twi_controller/FSM_gray_state_reg[2] + ------------------------------------------------------------------- + required time 18.678 + arrival time -4.227 + ------------------------------------------------------------------- + slack 14.451 + +Slack (MET) : 14.706ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[0]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[2]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.954ns (logic 1.014ns (20.470%) route 3.940ns (79.530%)) + Logic Levels: 4 (LUT2=2 LUT6=2) + Clock Path Skew: -0.041ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.592ns = ( 18.408 - 20.000 ) + Source Clock Delay (SCD): -0.998ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.821 -0.998 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y118 FDSE r initialize_audio/twi_controller/sclCnt_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y118 FDSE (Prop_fdse_C_Q) 0.518 -0.480 f initialize_audio/twi_controller/sclCnt_reg[0]/Q + net (fo=7, routed) 0.822 0.342 initialize_audio/twi_controller/sclCnt[0] + SLICE_X163Y117 LUT6 (Prop_lut6_I2_O) 0.124 0.466 f initialize_audio/twi_controller/sclCnt[6]_i_5/O + net (fo=2, routed) 0.537 1.003 initialize_audio/twi_controller/sclCnt[6]_i_5_n_0 + SLICE_X162Y117 LUT2 (Prop_lut2_I1_O) 0.124 1.127 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=13, routed) 1.190 2.317 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X161Y121 LUT6 (Prop_lut6_I3_O) 0.124 2.441 r initialize_audio/twi_controller/dataByte[7]_i_3/O + net (fo=4, routed) 0.669 3.110 initialize_audio/twi_controller/dataByte0 + SLICE_X160Y121 LUT2 (Prop_lut2_I0_O) 0.124 3.234 r initialize_audio/twi_controller/dataByte[7]_i_1/O + net (fo=8, routed) 0.721 3.956 initialize_audio/twi_controller/dataByte[7]_i_1_n_0 + SLICE_X159Y119 FDRE r initialize_audio/twi_controller/dataByte_reg[2]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.700 18.408 initialize_audio/twi_controller/clk_out4 + SLICE_X159Y119 FDRE r initialize_audio/twi_controller/dataByte_reg[2]/C + clock pessimism 0.554 18.961 + clock uncertainty -0.094 18.867 + SLICE_X159Y119 FDRE (Setup_fdre_C_CE) -0.205 18.662 initialize_audio/twi_controller/dataByte_reg[2] + ------------------------------------------------------------------- + required time 18.662 + arrival time -3.956 + ------------------------------------------------------------------- + slack 14.706 + +Slack (MET) : 14.706ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[0]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[3]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.954ns (logic 1.014ns (20.470%) route 3.940ns (79.530%)) + Logic Levels: 4 (LUT2=2 LUT6=2) + Clock Path Skew: -0.041ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.592ns = ( 18.408 - 20.000 ) + Source Clock Delay (SCD): -0.998ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.821 -0.998 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y118 FDSE r initialize_audio/twi_controller/sclCnt_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y118 FDSE (Prop_fdse_C_Q) 0.518 -0.480 f initialize_audio/twi_controller/sclCnt_reg[0]/Q + net (fo=7, routed) 0.822 0.342 initialize_audio/twi_controller/sclCnt[0] + SLICE_X163Y117 LUT6 (Prop_lut6_I2_O) 0.124 0.466 f initialize_audio/twi_controller/sclCnt[6]_i_5/O + net (fo=2, routed) 0.537 1.003 initialize_audio/twi_controller/sclCnt[6]_i_5_n_0 + SLICE_X162Y117 LUT2 (Prop_lut2_I1_O) 0.124 1.127 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=13, routed) 1.190 2.317 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X161Y121 LUT6 (Prop_lut6_I3_O) 0.124 2.441 r initialize_audio/twi_controller/dataByte[7]_i_3/O + net (fo=4, routed) 0.669 3.110 initialize_audio/twi_controller/dataByte0 + SLICE_X160Y121 LUT2 (Prop_lut2_I0_O) 0.124 3.234 r initialize_audio/twi_controller/dataByte[7]_i_1/O + net (fo=8, routed) 0.721 3.956 initialize_audio/twi_controller/dataByte[7]_i_1_n_0 + SLICE_X159Y119 FDRE r initialize_audio/twi_controller/dataByte_reg[3]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.700 18.408 initialize_audio/twi_controller/clk_out4 + SLICE_X159Y119 FDRE r initialize_audio/twi_controller/dataByte_reg[3]/C + clock pessimism 0.554 18.961 + clock uncertainty -0.094 18.867 + SLICE_X159Y119 FDRE (Setup_fdre_C_CE) -0.205 18.662 initialize_audio/twi_controller/dataByte_reg[3] + ------------------------------------------------------------------- + required time 18.662 + arrival time -3.956 + ------------------------------------------------------------------- + slack 14.706 + +Slack (MET) : 14.706ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[0]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[4]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.954ns (logic 1.014ns (20.470%) route 3.940ns (79.530%)) + Logic Levels: 4 (LUT2=2 LUT6=2) + Clock Path Skew: -0.041ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.592ns = ( 18.408 - 20.000 ) + Source Clock Delay (SCD): -0.998ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.821 -0.998 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y118 FDSE r initialize_audio/twi_controller/sclCnt_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y118 FDSE (Prop_fdse_C_Q) 0.518 -0.480 f initialize_audio/twi_controller/sclCnt_reg[0]/Q + net (fo=7, routed) 0.822 0.342 initialize_audio/twi_controller/sclCnt[0] + SLICE_X163Y117 LUT6 (Prop_lut6_I2_O) 0.124 0.466 f initialize_audio/twi_controller/sclCnt[6]_i_5/O + net (fo=2, routed) 0.537 1.003 initialize_audio/twi_controller/sclCnt[6]_i_5_n_0 + SLICE_X162Y117 LUT2 (Prop_lut2_I1_O) 0.124 1.127 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=13, routed) 1.190 2.317 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X161Y121 LUT6 (Prop_lut6_I3_O) 0.124 2.441 r initialize_audio/twi_controller/dataByte[7]_i_3/O + net (fo=4, routed) 0.669 3.110 initialize_audio/twi_controller/dataByte0 + SLICE_X160Y121 LUT2 (Prop_lut2_I0_O) 0.124 3.234 r initialize_audio/twi_controller/dataByte[7]_i_1/O + net (fo=8, routed) 0.721 3.956 initialize_audio/twi_controller/dataByte[7]_i_1_n_0 + SLICE_X159Y119 FDRE r initialize_audio/twi_controller/dataByte_reg[4]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.700 18.408 initialize_audio/twi_controller/clk_out4 + SLICE_X159Y119 FDRE r initialize_audio/twi_controller/dataByte_reg[4]/C + clock pessimism 0.554 18.961 + clock uncertainty -0.094 18.867 + SLICE_X159Y119 FDRE (Setup_fdre_C_CE) -0.205 18.662 initialize_audio/twi_controller/dataByte_reg[4] + ------------------------------------------------------------------- + required time 18.662 + arrival time -3.956 + ------------------------------------------------------------------- + slack 14.706 + +Slack (MET) : 14.706ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[0]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[5]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.954ns (logic 1.014ns (20.470%) route 3.940ns (79.530%)) + Logic Levels: 4 (LUT2=2 LUT6=2) + Clock Path Skew: -0.041ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.592ns = ( 18.408 - 20.000 ) + Source Clock Delay (SCD): -0.998ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.821 -0.998 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y118 FDSE r initialize_audio/twi_controller/sclCnt_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y118 FDSE (Prop_fdse_C_Q) 0.518 -0.480 f initialize_audio/twi_controller/sclCnt_reg[0]/Q + net (fo=7, routed) 0.822 0.342 initialize_audio/twi_controller/sclCnt[0] + SLICE_X163Y117 LUT6 (Prop_lut6_I2_O) 0.124 0.466 f initialize_audio/twi_controller/sclCnt[6]_i_5/O + net (fo=2, routed) 0.537 1.003 initialize_audio/twi_controller/sclCnt[6]_i_5_n_0 + SLICE_X162Y117 LUT2 (Prop_lut2_I1_O) 0.124 1.127 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=13, routed) 1.190 2.317 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X161Y121 LUT6 (Prop_lut6_I3_O) 0.124 2.441 r initialize_audio/twi_controller/dataByte[7]_i_3/O + net (fo=4, routed) 0.669 3.110 initialize_audio/twi_controller/dataByte0 + SLICE_X160Y121 LUT2 (Prop_lut2_I0_O) 0.124 3.234 r initialize_audio/twi_controller/dataByte[7]_i_1/O + net (fo=8, routed) 0.721 3.956 initialize_audio/twi_controller/dataByte[7]_i_1_n_0 + SLICE_X159Y119 FDRE r initialize_audio/twi_controller/dataByte_reg[5]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.700 18.408 initialize_audio/twi_controller/clk_out4 + SLICE_X159Y119 FDRE r initialize_audio/twi_controller/dataByte_reg[5]/C + clock pessimism 0.554 18.961 + clock uncertainty -0.094 18.867 + SLICE_X159Y119 FDRE (Setup_fdre_C_CE) -0.205 18.662 initialize_audio/twi_controller/dataByte_reg[5] + ------------------------------------------------------------------- + required time 18.662 + arrival time -3.956 + ------------------------------------------------------------------- + slack 14.706 + +Slack (MET) : 14.745ns (required time - arrival time) + Source: initialize_audio/delaycnt_reg[28]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/initA_reg[6]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.929ns (logic 1.014ns (20.571%) route 3.915ns (79.429%)) + Logic Levels: 4 (LUT4=2 LUT5=2) + Clock Path Skew: -0.027ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.592ns = ( 18.408 - 20.000 ) + Source Clock Delay (SCD): -0.998ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.821 -0.998 initialize_audio/clk_out4 + SLICE_X158Y117 FDRE r initialize_audio/delaycnt_reg[28]/C + ------------------------------------------------------------------- ------------------- + SLICE_X158Y117 FDRE (Prop_fdre_C_Q) 0.518 -0.480 f initialize_audio/delaycnt_reg[28]/Q + net (fo=3, routed) 0.828 0.348 initialize_audio/delaycnt_reg_n_0_[28] + SLICE_X159Y116 LUT4 (Prop_lut4_I0_O) 0.124 0.472 f initialize_audio/initA[6]_i_15/O + net (fo=1, routed) 0.797 1.270 initialize_audio/initA[6]_i_15_n_0 + SLICE_X159Y115 LUT5 (Prop_lut5_I4_O) 0.124 1.394 f initialize_audio/initA[6]_i_11/O + net (fo=1, routed) 0.858 2.251 initialize_audio/initA[6]_i_11_n_0 + SLICE_X159Y114 LUT4 (Prop_lut4_I3_O) 0.124 2.375 r initialize_audio/initA[6]_i_4/O + net (fo=4, routed) 0.806 3.181 initialize_audio/twi_controller/initEn_reg + SLICE_X159Y118 LUT5 (Prop_lut5_I1_O) 0.124 3.305 r initialize_audio/twi_controller/initA[6]_i_2/O + net (fo=7, routed) 0.626 3.931 initialize_audio/twi_controller_n_8 + SLICE_X156Y120 FDRE r initialize_audio/initA_reg[6]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.700 18.408 initialize_audio/clk_out4 + SLICE_X156Y120 FDRE r initialize_audio/initA_reg[6]/C + clock pessimism 0.568 18.975 + clock uncertainty -0.094 18.881 + SLICE_X156Y120 FDRE (Setup_fdre_C_CE) -0.205 18.676 initialize_audio/initA_reg[6] + ------------------------------------------------------------------- + required time 18.676 + arrival time -3.931 + ------------------------------------------------------------------- + slack 14.745 + +Slack (MET) : 14.796ns (required time - arrival time) + Source: initialize_audio/delaycnt_reg[28]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/state_reg[0]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.869ns (logic 1.014ns (20.827%) route 3.855ns (79.173%)) + Logic Levels: 4 (LUT4=2 LUT5=1 LUT6=1) + Clock Path Skew: -0.036ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.587ns = ( 18.413 - 20.000 ) + Source Clock Delay (SCD): -0.998ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.821 -0.998 initialize_audio/clk_out4 + SLICE_X158Y117 FDRE r initialize_audio/delaycnt_reg[28]/C + ------------------------------------------------------------------- ------------------- + SLICE_X158Y117 FDRE (Prop_fdre_C_Q) 0.518 -0.480 f initialize_audio/delaycnt_reg[28]/Q + net (fo=3, routed) 0.828 0.348 initialize_audio/delaycnt_reg_n_0_[28] + SLICE_X159Y116 LUT4 (Prop_lut4_I0_O) 0.124 0.472 f initialize_audio/initA[6]_i_15/O + net (fo=1, routed) 0.797 1.270 initialize_audio/initA[6]_i_15_n_0 + SLICE_X159Y115 LUT5 (Prop_lut5_I4_O) 0.124 1.394 f initialize_audio/initA[6]_i_11/O + net (fo=1, routed) 0.858 2.251 initialize_audio/initA[6]_i_11_n_0 + SLICE_X159Y114 LUT4 (Prop_lut4_I3_O) 0.124 2.375 r initialize_audio/initA[6]_i_4/O + net (fo=4, routed) 0.992 3.367 initialize_audio/twi_controller/initEn_reg + SLICE_X160Y117 LUT6 (Prop_lut6_I1_O) 0.124 3.491 r initialize_audio/twi_controller/state[3]_i_1/O + net (fo=4, routed) 0.379 3.871 initialize_audio/twi_controller_n_6 + SLICE_X161Y117 FDRE r initialize_audio/state_reg[0]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.705 18.413 initialize_audio/clk_out4 + SLICE_X161Y117 FDRE r initialize_audio/state_reg[0]/C + clock pessimism 0.554 18.966 + clock uncertainty -0.094 18.872 + SLICE_X161Y117 FDRE (Setup_fdre_C_CE) -0.205 18.667 initialize_audio/state_reg[0] + ------------------------------------------------------------------- + required time 18.667 + arrival time -3.871 + ------------------------------------------------------------------- + slack 14.796 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.151ns (arrival time - required time) + Source: initialize_audio/initWord_reg[15]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/data_i_reg[7]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.286ns (logic 0.186ns (64.996%) route 0.100ns (35.004%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.014ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.904ns + Source Clock Delay (SCD): -0.661ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.639 -0.661 initialize_audio/clk_out4 + SLICE_X157Y119 FDRE r initialize_audio/initWord_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X157Y119 FDRE (Prop_fdre_C_Q) 0.141 -0.520 r initialize_audio/initWord_reg[15]/Q + net (fo=1, routed) 0.100 -0.420 initialize_audio/data2[7] + SLICE_X158Y119 LUT6 (Prop_lut6_I2_O) 0.045 -0.375 r initialize_audio/data_i[7]_i_1/O + net (fo=1, routed) 0.000 -0.375 initialize_audio/data_i[7]_i_1_n_0 + SLICE_X158Y119 FDRE r initialize_audio/data_i_reg[7]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.910 -0.904 initialize_audio/clk_out4 + SLICE_X158Y119 FDRE r initialize_audio/data_i_reg[7]/C + clock pessimism 0.257 -0.647 + SLICE_X158Y119 FDRE (Hold_fdre_C_D) 0.121 -0.526 initialize_audio/data_i_reg[7] + ------------------------------------------------------------------- + required time 0.526 + arrival time -0.375 + ------------------------------------------------------------------- + slack 0.151 + +Slack (MET) : 0.174ns (arrival time - required time) + Source: initialize_audio/twi_controller/dScl_reg/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/busState_reg[0]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.307ns (logic 0.186ns (60.498%) route 0.121ns (39.502%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.903ns + Source Clock Delay (SCD): -0.660ns + Clock Pessimism Removal (CPR): -0.256ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.640 -0.660 initialize_audio/twi_controller/clk_out4 + SLICE_X163Y119 FDRE r initialize_audio/twi_controller/dScl_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X163Y119 FDRE (Prop_fdre_C_Q) 0.141 -0.519 f initialize_audio/twi_controller/dScl_reg/Q + net (fo=6, routed) 0.121 -0.397 initialize_audio/twi_controller/dScl + SLICE_X162Y119 LUT6 (Prop_lut6_I2_O) 0.045 -0.352 r initialize_audio/twi_controller/busState[0]_i_1/O + net (fo=1, routed) 0.000 -0.352 initialize_audio/twi_controller/busState[0]_i_1_n_0 + SLICE_X162Y119 FDRE r initialize_audio/twi_controller/busState_reg[0]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.911 -0.903 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y119 FDRE r initialize_audio/twi_controller/busState_reg[0]/C + clock pessimism 0.256 -0.647 + SLICE_X162Y119 FDRE (Hold_fdre_C_D) 0.120 -0.527 initialize_audio/twi_controller/busState_reg[0] + ------------------------------------------------------------------- + required time 0.527 + arrival time -0.352 + ------------------------------------------------------------------- + slack 0.174 + +Slack (MET) : 0.177ns (arrival time - required time) + Source: initialize_audio/twi_controller/dScl_reg/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/busState_reg[1]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.311ns (logic 0.186ns (59.721%) route 0.125ns (40.279%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.903ns + Source Clock Delay (SCD): -0.660ns + Clock Pessimism Removal (CPR): -0.256ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.640 -0.660 initialize_audio/twi_controller/clk_out4 + SLICE_X163Y119 FDRE r initialize_audio/twi_controller/dScl_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X163Y119 FDRE (Prop_fdre_C_Q) 0.141 -0.519 r initialize_audio/twi_controller/dScl_reg/Q + net (fo=6, routed) 0.125 -0.393 initialize_audio/twi_controller/dScl + SLICE_X162Y119 LUT6 (Prop_lut6_I1_O) 0.045 -0.348 r initialize_audio/twi_controller/busState[1]_i_1/O + net (fo=1, routed) 0.000 -0.348 initialize_audio/twi_controller/busState[1]_i_1_n_0 + SLICE_X162Y119 FDRE r initialize_audio/twi_controller/busState_reg[1]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.911 -0.903 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y119 FDRE r initialize_audio/twi_controller/busState_reg[1]/C + clock pessimism 0.256 -0.647 + SLICE_X162Y119 FDRE (Hold_fdre_C_D) 0.121 -0.526 initialize_audio/twi_controller/busState_reg[1] + ------------------------------------------------------------------- + required time 0.526 + arrival time -0.348 + ------------------------------------------------------------------- + slack 0.177 + +Slack (MET) : 0.187ns (arrival time - required time) + Source: initialize_audio/initA_reg[0]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/initWord_reg[12]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.320ns (logic 0.186ns (58.190%) route 0.134ns (41.810%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.905ns + Source Clock Delay (SCD): -0.662ns + Clock Pessimism Removal (CPR): -0.256ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.638 -0.662 initialize_audio/clk_out4 + SLICE_X159Y120 FDRE r initialize_audio/initA_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X159Y120 FDRE (Prop_fdre_C_Q) 0.141 -0.521 f initialize_audio/initA_reg[0]/Q + net (fo=25, routed) 0.134 -0.387 initialize_audio/initA_reg_n_0_[0] + SLICE_X158Y120 LUT6 (Prop_lut6_I5_O) 0.045 -0.342 r initialize_audio/initWord[12]_i_1/O + net (fo=1, routed) 0.000 -0.342 initialize_audio/initWord[12]_i_1_n_0 + SLICE_X158Y120 FDRE r initialize_audio/initWord_reg[12]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.909 -0.905 initialize_audio/clk_out4 + SLICE_X158Y120 FDRE r initialize_audio/initWord_reg[12]/C + clock pessimism 0.256 -0.649 + SLICE_X158Y120 FDRE (Hold_fdre_C_D) 0.120 -0.529 initialize_audio/initWord_reg[12] + ------------------------------------------------------------------- + required time 0.529 + arrival time -0.342 + ------------------------------------------------------------------- + slack 0.187 + +Slack (MET) : 0.196ns (arrival time - required time) + Source: initialize_audio/initWord_reg[20]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/data_i_reg[4]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.330ns (logic 0.186ns (56.311%) route 0.144ns (43.689%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.014ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.904ns + Source Clock Delay (SCD): -0.661ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.639 -0.661 initialize_audio/clk_out4 + SLICE_X157Y119 FDRE r initialize_audio/initWord_reg[20]/C + ------------------------------------------------------------------- ------------------- + SLICE_X157Y119 FDRE (Prop_fdre_C_Q) 0.141 -0.520 r initialize_audio/initWord_reg[20]/Q + net (fo=2, routed) 0.144 -0.375 initialize_audio/data1[4] + SLICE_X158Y119 LUT6 (Prop_lut6_I0_O) 0.045 -0.330 r initialize_audio/data_i[4]_i_1/O + net (fo=1, routed) 0.000 -0.330 initialize_audio/data_i[4]_i_1_n_0 + SLICE_X158Y119 FDRE r initialize_audio/data_i_reg[4]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.910 -0.904 initialize_audio/clk_out4 + SLICE_X158Y119 FDRE r initialize_audio/data_i_reg[4]/C + clock pessimism 0.257 -0.647 + SLICE_X158Y119 FDRE (Hold_fdre_C_D) 0.120 -0.527 initialize_audio/data_i_reg[4] + ------------------------------------------------------------------- + required time 0.527 + arrival time -0.330 + ------------------------------------------------------------------- + slack 0.196 + +Slack (MET) : 0.198ns (arrival time - required time) + Source: initialize_audio/twi_controller/sclCnt_reg[2]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/sclCnt_reg[5]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.303ns (logic 0.209ns (69.086%) route 0.094ns (30.914%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.901ns + Source Clock Delay (SCD): -0.658ns + Clock Pessimism Removal (CPR): -0.256ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.642 -0.658 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y117 FDSE r initialize_audio/twi_controller/sclCnt_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y117 FDSE (Prop_fdse_C_Q) 0.164 -0.494 r initialize_audio/twi_controller/sclCnt_reg[2]/Q + net (fo=5, routed) 0.094 -0.400 initialize_audio/twi_controller/sclCnt[2] + SLICE_X163Y117 LUT6 (Prop_lut6_I1_O) 0.045 -0.355 r initialize_audio/twi_controller/sclCnt[5]_i_1/O + net (fo=1, routed) 0.000 -0.355 initialize_audio/twi_controller/sclCnt01_in[5] + SLICE_X163Y117 FDRE r initialize_audio/twi_controller/sclCnt_reg[5]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.913 -0.901 initialize_audio/twi_controller/clk_out4 + SLICE_X163Y117 FDRE r initialize_audio/twi_controller/sclCnt_reg[5]/C + clock pessimism 0.256 -0.645 + SLICE_X163Y117 FDRE (Hold_fdre_C_D) 0.092 -0.553 initialize_audio/twi_controller/sclCnt_reg[5] + ------------------------------------------------------------------- + required time 0.553 + arrival time -0.355 + ------------------------------------------------------------------- + slack 0.198 + +Slack (MET) : 0.204ns (arrival time - required time) + Source: initialize_audio/initA_reg[4]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/initWord_reg[20]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.309ns (logic 0.186ns (60.234%) route 0.123ns (39.766%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.904ns + Source Clock Delay (SCD): -0.661ns + Clock Pessimism Removal (CPR): -0.256ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.639 -0.661 initialize_audio/clk_out4 + SLICE_X156Y119 FDRE r initialize_audio/initA_reg[4]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y119 FDRE (Prop_fdre_C_Q) 0.141 -0.520 r initialize_audio/initA_reg[4]/Q + net (fo=20, routed) 0.123 -0.397 initialize_audio/initA_reg_n_0_[4] + SLICE_X157Y119 LUT6 (Prop_lut6_I4_O) 0.045 -0.352 r initialize_audio/initWord[20]_i_1/O + net (fo=1, routed) 0.000 -0.352 initialize_audio/initWord[20]_i_1_n_0 + SLICE_X157Y119 FDRE r initialize_audio/initWord_reg[20]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.910 -0.904 initialize_audio/clk_out4 + SLICE_X157Y119 FDRE r initialize_audio/initWord_reg[20]/C + clock pessimism 0.256 -0.648 + SLICE_X157Y119 FDRE (Hold_fdre_C_D) 0.092 -0.556 initialize_audio/initWord_reg[20] + ------------------------------------------------------------------- + required time 0.556 + arrival time -0.352 + ------------------------------------------------------------------- + slack 0.204 + +Slack (MET) : 0.206ns (arrival time - required time) + Source: initialize_audio/initWord_reg[18]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/data_i_reg[2]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.310ns (logic 0.186ns (60.079%) route 0.124ns (39.921%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.903ns + Source Clock Delay (SCD): -0.659ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.641 -0.659 initialize_audio/clk_out4 + SLICE_X157Y117 FDRE r initialize_audio/initWord_reg[18]/C + ------------------------------------------------------------------- ------------------- + SLICE_X157Y117 FDRE (Prop_fdre_C_Q) 0.141 -0.518 r initialize_audio/initWord_reg[18]/Q + net (fo=2, routed) 0.124 -0.394 initialize_audio/data1[2] + SLICE_X156Y118 LUT6 (Prop_lut6_I0_O) 0.045 -0.349 r initialize_audio/data_i[2]_i_1/O + net (fo=1, routed) 0.000 -0.349 initialize_audio/data_i[2]_i_1_n_0 + SLICE_X156Y118 FDRE r initialize_audio/data_i_reg[2]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.911 -0.903 initialize_audio/clk_out4 + SLICE_X156Y118 FDRE r initialize_audio/data_i_reg[2]/C + clock pessimism 0.257 -0.646 + SLICE_X156Y118 FDRE (Hold_fdre_C_D) 0.091 -0.555 initialize_audio/data_i_reg[2] + ------------------------------------------------------------------- + required time 0.555 + arrival time -0.349 + ------------------------------------------------------------------- + slack 0.206 + +Slack (MET) : 0.206ns (arrival time - required time) + Source: initialize_audio/initA_reg[4]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/initWord_reg[15]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.310ns (logic 0.186ns (60.039%) route 0.124ns (39.961%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.904ns + Source Clock Delay (SCD): -0.661ns + Clock Pessimism Removal (CPR): -0.256ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.639 -0.661 initialize_audio/clk_out4 + SLICE_X156Y119 FDRE r initialize_audio/initA_reg[4]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y119 FDRE (Prop_fdre_C_Q) 0.141 -0.520 r initialize_audio/initA_reg[4]/Q + net (fo=20, routed) 0.124 -0.396 initialize_audio/initA_reg_n_0_[4] + SLICE_X157Y119 LUT6 (Prop_lut6_I4_O) 0.045 -0.351 r initialize_audio/initWord[15]_i_1/O + net (fo=1, routed) 0.000 -0.351 initialize_audio/initWord[15]_i_1_n_0 + SLICE_X157Y119 FDRE r initialize_audio/initWord_reg[15]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.910 -0.904 initialize_audio/clk_out4 + SLICE_X157Y119 FDRE r initialize_audio/initWord_reg[15]/C + clock pessimism 0.256 -0.648 + SLICE_X157Y119 FDRE (Hold_fdre_C_D) 0.091 -0.557 initialize_audio/initWord_reg[15] + ------------------------------------------------------------------- + required time 0.557 + arrival time -0.351 + ------------------------------------------------------------------- + slack 0.206 + +Slack (MET) : 0.209ns (arrival time - required time) + Source: initialize_audio/data_i_reg[3]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[3]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.314ns (logic 0.186ns (59.191%) route 0.128ns (40.809%)) + Logic Levels: 1 (LUT4=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.904ns + Source Clock Delay (SCD): -0.660ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.640 -0.660 initialize_audio/clk_out4 + SLICE_X156Y118 FDRE r initialize_audio/data_i_reg[3]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y118 FDRE (Prop_fdre_C_Q) 0.141 -0.519 r initialize_audio/data_i_reg[3]/Q + net (fo=1, routed) 0.128 -0.391 initialize_audio/twi_controller/dataByte_reg[3]_0 + SLICE_X159Y119 LUT4 (Prop_lut4_I2_O) 0.045 -0.346 r initialize_audio/twi_controller/dataByte[3]_i_1/O + net (fo=1, routed) 0.000 -0.346 initialize_audio/twi_controller/p_1_in[3] + SLICE_X159Y119 FDRE r initialize_audio/twi_controller/dataByte_reg[3]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.910 -0.904 initialize_audio/twi_controller/clk_out4 + SLICE_X159Y119 FDRE r initialize_audio/twi_controller/dataByte_reg[3]/C + clock pessimism 0.257 -0.647 + SLICE_X159Y119 FDRE (Hold_fdre_C_D) 0.092 -0.555 initialize_audio/twi_controller/dataByte_reg[3] + ------------------------------------------------------------------- + required time 0.555 + arrival time -0.346 + ------------------------------------------------------------------- + slack 0.209 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk_out4_clk_wiz_0 +Waveform(ns): { 0.000 10.000 } +Period(ns): 20.000 +Sources: { clk_1/inst/mmcm_adv_inst/CLKOUT3 } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 20.000 17.845 BUFGCTRL_X0Y2 clk_1/inst/clkout4_buf/I +Min Period n/a MMCME2_ADV/CLKOUT3 n/a 1.249 20.000 18.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT3 +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X156Y118 initialize_audio/data_i_reg[0]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X160Y118 initialize_audio/data_i_reg[1]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X156Y118 initialize_audio/data_i_reg[2]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X156Y118 initialize_audio/data_i_reg[3]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X158Y119 initialize_audio/data_i_reg[4]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X156Y118 initialize_audio/data_i_reg[5]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X158Y119 initialize_audio/data_i_reg[6]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X158Y119 initialize_audio/data_i_reg[7]/C +Max Period n/a MMCME2_ADV/CLKOUT3 n/a 213.360 20.000 193.360 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT3 +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X156Y118 initialize_audio/data_i_reg[0]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X156Y118 initialize_audio/data_i_reg[0]/C +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y118 initialize_audio/data_i_reg[1]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y118 initialize_audio/data_i_reg[1]/C +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X156Y118 initialize_audio/data_i_reg[2]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X156Y118 initialize_audio/data_i_reg[2]/C +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X156Y118 initialize_audio/data_i_reg[3]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X156Y118 initialize_audio/data_i_reg[3]/C +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X158Y119 initialize_audio/data_i_reg[4]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X158Y119 initialize_audio/data_i_reg[4]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X156Y118 initialize_audio/data_i_reg[0]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X156Y118 initialize_audio/data_i_reg[0]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y118 initialize_audio/data_i_reg[1]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y118 initialize_audio/data_i_reg[1]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X156Y118 initialize_audio/data_i_reg[2]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X156Y118 initialize_audio/data_i_reg[2]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X156Y118 initialize_audio/data_i_reg[3]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X156Y118 initialize_audio/data_i_reg[3]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X158Y119 initialize_audio/data_i_reg[4]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X158Y119 initialize_audio/data_i_reg[4]/C + + + +--------------------------------------------------------------------------------------------------- +From Clock: clkfbout_clk_wiz_0 + To Clock: clkfbout_clk_wiz_0 + +Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA +Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA +PW : 0 Failing Endpoints, Worst Slack 7.845ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clkfbout_clk_wiz_0 +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { clk_1/inst/mmcm_adv_inst/CLKFBOUT } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y3 clk_1/inst/clkf_buf/I +Min Period n/a MMCME2_ADV/CLKFBOUT n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKFBOUT +Min Period n/a MMCME2_ADV/CLKFBIN n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKFBIN +Max Period n/a MMCME2_ADV/CLKFBIN n/a 100.000 10.000 90.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKFBIN +Max Period n/a MMCME2_ADV/CLKFBOUT n/a 213.360 10.000 203.360 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKFBOUT + + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..3d0b062461a0684226e42897db1c16878115d57e GIT binary patch literal 248762 zcmdO4U|`^2lwvb7Ff`FKR^koG%+1V84=&BkO)RQZ5)5#P4?+>jWnf_70;x9AGnvG! z36WDs%uCFvEY2)eNGr<ERq)I$$Vtsj%_~VwQOHXz$;m7((L2iXc=2ufsk{sf3=9v1 z7&Zw1;cb=NXvkrART3n$K%U`){2$(<s{j7mJ4{sr2|dte*r3zSUg!?B&_vHzXbDpk zdv0c4d~RYz5^HfzVsdr{R{>+~sZX9CTNzJ%@+|CNWS+ptwuo^9V^L~Beo;w$3D`gJ z#SjlE=;kI?#1|x%WE3kH8Yt+dW#*(RB$lRR<_8qzC!;BjFUl`1Nlno!DkxFVEl47$ zt{_Q4x2T|kpgNEm-SWhuy!iaQ__ECWoWzpM{Ja&63>z33b}%v=U}QMK$Z&y?;RYk) z14f1qjEpmw7#A=xu3%!=z{IeFiRl0n!wDva3rq|*m>3^0F}`48_`t;YgNdPmnW2N3 zaRM`=R3sOZuAvZv6fCS1{=)#H2CD&s1-ruwb`ORK#sr28h608Ph6YB44n~Fvj0`gv z85S@ytYBmWJB1PE6h^R97+)|lDqUvglILOz0tc>;C^Y(^$wdJo%q7gl=8{^RnVu)a z2@hK?A1;=F#G(=*7njts%;Z#C^F$*9gAxUWfW+kN#Pn3#;-qvF3ljx};DXfD6kA<G z1&x3pf0t0_5Kn(U1w%a}V+A7vLknF4GhIVtO|B3w_OR5V;>`R!A<wYPvc!~pg)$=p zBNIJC1r0M3-K5MCO$CMEa0RE*%$yVjQv-7|OG`6_{5*y5)D#8Z#7YGtBLzbXD`PV& z14{*8mk<SzW-bLT7MH}5R3QntDnkWBBP(NbD?<a23R5mIE*^;26oN}YD%pa-X@qMJ zBNw}Ker|4JUW(ArOM6<kn7C}XIGugG4Gj!@J)#5|K7alD|G%;WIBzmAL^>#fawG_A zFc>gc34mB&3|1%Xz~#y%l$?_tpI=&H7!P8UXI8}<;4_BNfC;ZL#>mE8bSMhF8sZ?i zU!dsT|NrVpu3<tk2CU8n*)=A}#(*7b4D*yHk}=FE#(>o+!;DEw$}cU!Fa~SbuwWV^ z>|nHzmy0hsBQ-f2l3RibDufu6ws3KA<mJaF=j116OVtOZre_wHq!#J>B$gy+C=_Jo z6)TiymSia8<tu=dD5Ml+mZjz?BvmRD<>!|`1q(9stQ2xm(@NYji}ceni$e1<OX3an zlk@XRit=-yJhx!q`24)ojQo=L;Gp>A(xRf&yb^HgjW0?~k2Z+a4;)Yj7!I@pj0V~P z#slpD6OaQM7%54GMVaXt6eq)hbpkjc4y+TvNpWDE08Wep>jY48)L_Dd9$ZR7Tztv- zdBr7(d7vUWKRMfjK}nQLu%I-jI5oaJGo>U0Qlxq?DEV+Hl;(jI7bRxqrKZGZ=9Q!t z<t66Cr{<*;<Y(rU6icauBCAjc0F}FG`9%u3i4~c-rMU_zsX2+28cZIHO8Q)UdHL~~ zc?G2<@nBIY$$<Q#5=1c#mO|2C$i<(R52_FmI;2o_<d>Edl$IcA5#r*@EzK#(EXYZP z`$B|EAU!oNwJ5P9H3cGA?7^VK$;FzJpI-oCigO7UBo>uqCgvc!&VxZof=dJ@0kH|< zZVv_}elG4DP(2e5HN$|%YPS@ZAh?do%u82*)k|FBa3OFw!ULU43NC>W@?4s5IYPmY zWIk%xa!J6=Knp`8EvP}oB?;Gp9$wJe7vwXrhq+|nN?`#6jyy>CaLHoGA_pIr2wWN| z423rFU<p5=nT%YM85Ke@Qj1a*5{pt5Ocjb?6+Kup-79(p4G&Lu4^4r|jDyQ<prUuM zx(!q&4_3E<3hTk@Hc%;!<hEIiTvMo*7pT$PfH`goqtM`T9CB_LbdE#L4uj5d$oXN= zISx5POktET5(-1A%}^^?Axkb(q<X^ucfEnCPfx&9f<Y(|U;QlP$Yo2|=#0$d428VZ z)D#7z3SI$R^$FNYFbG{_B%z)c^5k+wveM81Qty>yB$j}y#XL}l7SyVPG}II@T3~vx ztm-Nh&J~Pg6v-wjq?D#Al;kVGf(_D<))NSp;1b%wh!h{F4H6+|E_)<v4Gk2)Ed~Y9 z5F^$mph9s$YI0^;W@-xD?e;=pTtP^N5H=&Jv_zq_IJHP2Be7T^Q6Vicr#MvsG<XSd zJ}9(G^aO$=xP)dg;chYs*>YJTS!;^sXh`D*(h9}mYD=L2E*~WA2KclS<6r?Fp=_>n zQcMI7gd{3JMsgt$rjU}F25QrTM)WdE6!MD{3X1Xz64Mh)K&`xzjH3L~^bCc}5`lCH zR-tgDXw5}wUkh1rnW4A@XY&lE4`O|>o`9JIgHRTpMyHTBmph8l@K!0*2nF=ypO=~p z8Za-(S4e~?Q7FzYElN(+6L6Pc5OPOv#R?g7>7!VXoS&PNnFk(APRvmNw{#UU^At)l zQWa9bqp^Ad`VtI6N%)(;LJnLuD8^zWbZDCynghUnA*6I8U?agG6i1*DEo9AQj$#Q? zK7tvKBV7uZOE3tf;b?{XaCx8@ixwoHJ`c8lQGg{FNTVF=V*w8d1}QZz1|bG1ro3V$ zW?zpg4Q2xdCt+|I2%RZVfJ`ztb+T|U3JGwr1n1<J3o%Eg7E6H$kceAiaS1|1N|{T> zH7_wKCsn~0ln@o1^NaFQixeE8(<cy>@>~*7MFFYB#hJO8#kmSWsk!-Oi8&Aj##}NO zG73Sd#rZj<pqT_AVZYSGqSWFN1<;6eex8C~eoCs88kYjpR8LSRR>1|-wN-FQO-n4z zDN%3+jYA4C`Q=L~a7jVc1r((gr<N$_D>&z*CKf3;79|&hRY-G*x?~oEec_e^8uo#h z1(6iv67WpV%P&e*@YMHL2msBrfHmoHX+VZQ6<iaGaw-*k5=--vGZYd_6rA#lit@`r z`4&9*nF=vSn@a^Ep%9Xpn+mQHd=o1mHYvDPB&QaDyjlzi5IHV!-_)Y?RFGPbLFn>o zTw<P}&cAN3PY@_j3R3fm!5Kw}$2mW*IJKxOu_UuBRmq7<8tO>r{JgZx^wJ`*D(Jih zdQuQc1}lOUOpvq?^o5b@DI+-DFu(`RHNj)$3=9m0Foq?J;Rs`R!WgNbiLwmFLKwdm z!~oCG{NbIz$S{`?G;6kik#Qv>NCJenf?43Hqd&YS7#XfIf@aZfFfu*_OMsNT08J#d zv;Tw(G%|sNLAZm7VFD9ue&|2r3?%jfB=!m<_6H`0A507uj1H_G3;_%g3<(TTpF+8s z*uawjXyfHVos3*uzL|Ln0jWiq`6)uxyl0NG8Htvk(KeD@CnaL2B+0=jBnp}{Fx1b? zD=yJb&dE-TPby8*_tav}(@!+=!e*){1A~I2EXet?3=9h#lvFtwg%ptt$<0m9jZaJ| ziw7I&?Bng`<R2O$G!4})?ToyB;bKt7c&uD)K%_a;ci2=^hqYliOof9{NCCeGJ^iTe zE-A3P5-VVl*T%@p=628+5_?nkUo%!3Aa{Wy65+0PMqZuhC=-agK8vTC3{*sNeUb+! zSJ1#8(oC38H){OWqGtjb4n`pfr07k~$p+7*A>{*4YzCv}1A@`v9~xpHGzHZ)t)!<m zkTH<dMnz{epgOCFH+!BGG;_umbQ&lLaxe<<<`gC8WV;xG=C1Ue2hs^fG;%@<YABSU zhk_^vqmUpt6q55wKyHXOh^0YDknRk_!Ql*}!Ql*JS~#N_HEoolrwtKMI~3ewH?hd} zr~;)98YGQgR9DoayF!kGQAi5B@*_SoFTb=z-^tm>8#Mhw8}~?oi!(%J(27wR5LGHt zyDU+v;$rs6FBdB2&6zjLP_*LAYD3xh0#2;O7`W$PM7^REVRtsj?sB|#gZd~mu^YF) zVPz-n{7r=2)b@8BB)-eh;~PhTO?AJMYX89bA6!!mp#LHE51jwORn!3bA7Ve1{jXKc z#p02fo*`7sn*}O#%J3FCprH~f7CNL^PCK6yQS8vp=eWyyczH{0pVvd<e4q+FErbKm ze2=Tt9l#KP6g$+8e-c6f;(!4R0Z73!fFS^J0F^_4Yb`6%;#r|0l<}?<^dTl?4n`q) zq^>^3P?e{jA*3y;iDW8zN1vC2K?t#6R|;e&q6M6SK1xFiJC$-E?VVKKehoiEQCJ(( zP>CqZAhjRyK1A5o3$hJXxe#j`IL3*$O)D2#uBGylWgR$$lVu%QVM(IzxGpfm$C8lN zSz``TX@smZ7XABWlQCqZ7W*KTG4fz7q6>p$u;`jq%bXyCwQE+XIT0Q+^bZX&!ZJt| z;kE(l9Pn5zF}*q?NKT@jv#@kFOXhN$Ktc&T@;D&Q;(DeGk5Z&H@0d}l=s6QKq_!G5 z_>MhFO|VBPlEI=33=81n?+T7YMX52CD19Wj5b7MFqtqBZN@?J%Les@iXMqPE!BLu- zmkC;BnOT(@4<6glPf09EjL!s(X<!|J8wAb**Z1H_6hsCX1kM6i!h_FQ*hcIIL1>v^ z8Au!i&N3aG&N3UE&N8Qgv#?Y}Df9e6lVGcjWQneds9u~Z^)W&khHZ?zMW)I|q7|U2 zUhv2#apfqaX-K^i3)w*hAP1F^>L76YiZ%`+HH5%LIxRz}5EMe-*?Hok4C0_c6hh$I zg_a>iY8rw#Xb^=ExS|_OAp~*IAPOOHojaI92;v|rhmcl3Bea{_#>h)*z6Vb_Q#**L z;G)44Mv$@*JQzT|+5;twNG*#XE*eZ>1SuV98Ahb0Y>0~nQy4+Y$H5dv5El)mFoKkj zgDH$4E~0W630ZJ})@rf~aLIzsJ5gW+9mrt8;J^S|iJ8G%06NcO79+zVMut^Lhl)s@ zXW|kH0Bw|22nTJH#k#7M(P|<Smkj)X4=n0O;OQPtV!4@lkc}`3;629Bb>A5b1&pA> zQy4oK6FL~VI~ch-7#Sxqvbh9!2I(43V&n|*^!4;}*EO_2u#F5RF|zwOhB$lZ8qQ*5 z4^J%0%gjs1&f;)PDa$O*FREO`DCt;SnU|bVl%JPhS`0Z1q6o4bXAz^UZ)R~ZXxTG( z;W}tD1oGPXMT~-e`FXnFQzTMT6u?W|7ct7YR%8}~H%ov8Qj1Zy3Y-I-@`EzLfS7AQ zTGb3bC_~u65O!P$(yC^tlqXCog8|EeX3&u{3m6%&u4D!sf`e-zGb7G*%nUP_7*JO& zGeH(Bb1^b7a4`sBIi*Ajix_!+#JzHRJ`cf_+w*zAE4Tmu?{~nma+?jikC`SgK~4t( zl?h<XxPpms6BBn)W^t;5t^$bKg~I#5#Px%TOHc@O>XVQY2cwW3=J_G{1)%-rnPsWa z6GVc8;)^pf(@J3HLqLZ-qd`MJQk0ls7z;APMT^0T!HL0(A&Mc(5i~IdUik`QfKQPD zd&0p$&%nSSuUJ7Bya*bPiqfioA$H(3^R0{)$+Gsn;3e-<!Q@;pxs>rtV!r)aFncRw z)-;3td%^6ZAo2ZY!R)JG@-CQs3MSuz$**AYFPLlvFQM-RlT(>MtMcbEHR)E{Ed`6L z1(RFB<X$GfBmujlOfeRnwr9a2SHa|6F!>Zrz5uPMXKY|*1fSu=FoT(40W-r2W`+&S z3_F+^4lpyEU}m_$%y@&D;Q=$l3ucB7%nU!685>v_I#?JcurSPEVOYSzu!4nQ0}JC0 z7KQ^X45wIfG>S681w(2|da7<oQD%C2YEf#6LUL+Oj)I$uvnynuQEG}pQYE%vRj3A~ zA9&EGCFbNfm_p;B0KA&t)({#mwVIdcYuzmt7EsOlhy_dTeT7Z%6E?v~tb!UY&MpeN z3c+B~IUq=L1}ozNR)!U<3_DmEuCju*7~EiGc)`l>70mt%CR^D+;=N#UDwtfvwvCyC zQD_b$7bO|O0GuHLh4_MfJe^(RBMeQ<BMps=q<900@(beAQj$~So#O))7`<3P(HFsx z!BE9m)WFEt%Lqzf6Brq1FfxJ<@PZyB20lfM;Q=E9c>f23PzT6)%oODLc*AJZSOo?z zp}f=*1&y?PTO%C>$T4Y}AlEc7GW0TnQaNF#w1b>Ne(?h>I?zK(fdM^ez^9tw3zS7{ z|F}4qgd!Cfyi_#6)g-pO0LlpppnL@OCoI%KserIo1GrFU>xH;H{hi_?3?mJVOcWTr z7(gLMnu#G?VjRqn$_%k<CO$LIP=UdV3l=p7V5fqkggAFbamjKp3Q2-WUWBQcd4}<s zNu_D&`kuJm4Gv_;(Q!CZUNL^N{gKUvCU=mn@t*Pipycl96zYZ?On%oY><9;Z8kYhG zvycp`hd{xEIL-?>EgNE{<)?`ciLfV?i<mVJLj1nI&c3cj@s2KGpx8G;jeEjjIE|4D zJc5qoAB@E#pp6+A7D>s0%MXy^R3q@VC}$sUPd~$WXCH5n1_eehE`-~V!&#?Y=>Xwy zPUlkOU>1@^au<k+R!Jij8dw5a;3c~~VT)q8uuoVULME(*c%4Gs+?_*$eBvVvB0-q} zDQ^)D-%`A$`=gl-O{Ji$0ZXMI+mS=>%ik+DggsTprN_Z6q(!(L1`AXL1}_m<CO0=X z#!R*Q`DBO)ObSb2NL}Sjl@j<X7YFlb3CtiAj=z+|S^_tqoZLyD;&=JvJ46(Vlo!7% z&wnMto+QeOU!nqV0LtDYPNDQEdlltHh$t>1@s^IBXr&{pZX+sisb27APJBBc1@F7p z2}Fb>g#|C9=}Vo0w~>W|MaWTs!HXZ#@-2X!H~^}~z)eR`kCt#9Hl2~nfrClNhHxc@ zbua<!CG?s^iq|7QCk58)aRD_0VZ9!(%Vy1-X-n8;@KzRB54<6ax3Oxkz~IGOlv-Gt z3F<DFWag&Ag99|A0JD^F1?<3P^nR9|0)rP%Vo_0MSz-?8d;o<08Ee1oBdnhsN1H1! zctOs@hC3ECCPC1$9c)Y&*cd*rF-SrNg`7DUh3v_UM}ydCL(uez6eWfjLJXnuu#k^z z7;IR`9LKPbqXPC}AxDLLvWJE00yypFGDWLb;TaZUDC-^_7NX85)ZiNy+6fvKn#;&V zNsfSKE97w@%Sb~b3uqUF@^PU~ko%Y^$oBDupp1{)nZ`0O1WM<y;)nrf+1UYd3;9J5 ztn@&tO~7GA*2vKR(UBpB4~z^#qa#BYqnx87L!%=@C6IBaVK*{FVG#_iu8?~u<QKu; zMu&#LMeyj*5a==<#FWP9&=A4dJ}L~$j}8r?U21{8HiGCe`3l`cB8G-2EP0`gU+R>+ zO$5h=K;2rx4f+|3T#g(}Lbha%DM0*$-kcwlgF~Q!1z32HGdQF#N5{b-(8vTq$HE7P z1R;Y%6fSN6EgPkD#gK8VKJA8vo~)9?x?-qmWdVG}ki<Ph_==&bl?4<I4RzsNF$C%| zkFFS^$^xaqH#9`06+@sy?~#f}(6SO!s*Ma$W5tj$p%p`*R1PbOa1RVoK5YUjI?zLk ztZ|{y6+@u&gh$7Puq<R59TyrM7aDrwLW0Moi0GYASOOcwMuQrFR4IW+R}4W);L%~B z(P1I@BJj}_Lu3!g57dew`z7(TFL({9Snv{FF$C(-5^l{?zGBEY7QH4Jl!HQ`Aq7}) zkTWRMx%LPBRt%9gD3l2q6jI}06jB18i3L6H+XZwomVapp?9A_IBT&6BMT9yN<iQ;d z4WG~uGh0JVYHSOeD?Jgm{>PY?AL}4bni^=3XY*_qo2`tsl88Z`G&S-Ed4$=d?B+6U zuxr7({^w+wo!wEUrwzvk(fXet`fdxOu648@<Y6RnTi8KX4o0B{MlK=}KiWVJXwK9O zK9Ey{wDl{<6|}?;wq=O8tzY?EnjFkRs`#>Ld|tdkJSeXsuj9ei#ceoF#KOxAE_Dt@ zA!S?^MjM&L#%IPG>U$a^ig8neNJAr2*xF%;2MO=nXe1E2aI5^$ZIa>xZ;Z()EisGt zG=^+70+l()!TToAf(YN{acOWc3#kzDEhvZygf4uED!xr?LfOP5C{SsN?q$e$7jg;$ z2QWU%7O|ZkT?Yl8gdbf8HM$PU(IpJIco^Lw2j1rgx~Pg~>!1?2BsiFbM34(tqUYV$ zbW0P_!6K?S1vO$3#i<FnekQ9pJv6$e30$0x4qlB8UX2c3LGHYyq!cA?@QRXBG+3RO zjcr7gqM&235Tz)*#n0mALSm!z2{WO+YoKm7Z0H5|ymB>{3I~&r0&?wwIe=k;G}r`j zGkmZK+%to2PlI>?yw&XHx$i{u8_3z|g=c#jXlMiGV{!(YV#P1gZ?Fk8HbT&`JJ=W@ z+tYqS2Ah;P7=`3e;ws)J-XA{FWD%?HOwkG>gILgM?uZpe;6W%;Dh)!N<(dQ=gi`9_ z!#W5BIh&~HZ-@=`&L*mOB291*YMJfnkvj-Q-J_5O-yqa`&>&O|7va2vzQqi2^boj| zgC0EuDdix;GsGS}6vZXN!7L<zoO1)C4G66a0;Nh=5rMk|&*ReNU=-3sHXD7LaWptz zLk64-5Y>-~1?YSwQU{#c8M$;g7=<*5v=C|731S~&*vT~B(*Qo~WQyFcByQNLhmp&T zgIUOl%(b<kof;;w@djuSfo05(xKSy}7YG={>bqcx9<=kWh#0auK00It?qiJ(S&a@^ zjSgAix?6ov4p|X*d{a6R)j9e`5KwP}^p4i(TC35uR<>B$1fyH<Mz`SUlTm^aH(W*i zWAW&6Iup2`p^sef!nzoww_ypdwIXhWi^8>5U@xIJ%HhLb$)JS^(BUs<@WKS}@K^t# zuk;`O0u8Fbx{Krte{m?Cr{C}wXjF!vW8oX{q#?s!;A3QpGSf2%>`O)O|ANo#HioPN zgq+Sqt|2C1Ll6UGR5`Re`fvnnVC-#}G}eK!l;0WlYZ+gH2gZ5|wcuOuQhsNUy#?=H zgpA!>run;@v98VnEvz}pBz<VYAQ~8>?nu>$KeQX=bS83h1SDG_4V{^SPVF{<FWmx{ zkkFwsNC`>W&>8G>Ci2}EZxTCNm?!-^x^Lv64P>g2iwT6!RA6H_zjG1#P6j}6?T z=XQ^t&XkX>YcjeEZ*&(Pt#{#-bLn$13uzNk5)!>wX|Ayn5sQ^5ErTKT6;c-kQeQ!q zG9gz`L=3ZkA6?1>9%dh1$~3x^X>=(Qy_Pc3py&+?N~c573u*o$7ro>+e+i$@1nSrl zZqmcfXCk5^!#b(}_7i%8p3v}6LzWHwhlfBz3$XAYXLu;9@df>chd^T!1RV<>9wL4# z9%%g?F<bFWX|@$FLZ=lr*yFKV4C`PIWGfzIu!k+0I$QB}+?2<&3QB?{%<d>tLQvo! z8tkF&-Z+ATJ=C>s@U4O(VJn_VtUmI14tQG{d^`vDQmDD_)rq*Tg!rv^CMfHpuuQ>$ z(krZxz&&U~a4ViEbSs`AQZWubM~c*yQG~bRL9Ies6J-jv39%;1EZ)-)z9!0y^fgfg zx8gyZK;Nx+pwbje;F3NWKe`nUG8sR*u4!~#)9AXUA+@ea*^6ph@j#7Oq~a7@KSRc^ zkk=*?F@80=6%SIJj*efAj$e(AU(sv)YLINj16|;bREmPz;bfJfblr+)io6vM>}L32 z6S!vvU5f;%2*7KROyhRZf3OKOv;pgyku%t|rSmTR2Ae=*BLp1_--_oCS&KwqI}vER zJP`v;hM+AUS`1bUP7GcQQQ+-%)H?HN|J-S?fu=vryjTaC4Cdz9uVtKgsMKaF<HHaG z_^Kj<xp`!-Dth%@(QYo&CcI}p<#gU19cUUVcfOH&=F@tjw%ef|_hf1uX=r3f#26E3 zRT?a-;vVuz;*#ND7Lq{Tp_Z8!59a!ytp&m|tOQD(gzX?StYm0_Wmw55($L6&>cdJf ztB{A4z&6ouSPAL`x(+LW%TA;k9PDMXYVgtRa*%$|=&;i0u+r$T(vTWf@(7S2V&<OU zb~#XU6{#o%SI1-(rK3YikfL;SNNIFPX>>?wC=4leZ6M}uI$}<D0yRjHS2vO0ASFDc z1nOWD?xYZNx|5*+@{khP&4h-O2IO=n&>#lP$K(ttG4Y(D-;fe$6ojB-;X_Kw!VC<c z<AOODh2+7#RM=7C@j*zZI~f^(j(2k5U=(s7ceNVm$P*)srA^d2;7L2X8#dy!_M0fy z5hq$4@RSs;LvU$R-IB(UJK{v$ZF7TfX;U8?2cu9emonk(gTAcEoQNSO;+8e#aH(-H z3!z>FfSP-aKt~OkqO55$(!uC&f)XmM;J`h=Rm5e;!6>AQTvnj3P6eI$WM~8)gNlzg z(Dy_-_X)h_$eh$+sBT6s0}e(Z9TF@>9*_dfRhT0Nq$oZ6sgIG%gM(Sfg_4rc6ci(d zMkrB&Wjv6$)1NvSxu{Y!BlW_-K?doCk+?DC#OV1?;EgGx16mjb%;@I8(anLh-W*8D z3_o$_KV=Y8+aveIK#Qb6RUYYUPJfJU@&lKpqa#|QBU+;)TJ##xqQNFV;!c2~Qacv8 z>?OY)+ss0pQ_g2HayfA@3E5H7TQEW%CIfp3K1>Ea;{>|$3er*^xRqC+kr!A8lAK|( zRR^!qZ<q`;bVJaw@NItcA;V<m9E?K7M8;%kF8J&xBQwy@nG**iu}L5;Gp8iAC^aQG zF}ENm6>J7*bQf{(6PJdwV^C0}36;)9&n#U88#)u+%!PI6%uylVel4TwKhW9e$cwcc z74pemthFdv56@yPq2>m=qfF5!+XvCm8Fk092<{4`u62WN=<Gab=xib*7nykqc{~j? zXN(w60~d)D9Q#zxWyrxSq)T)*k2W$x8OOmgv<6DZu)+rS&{`KGmnR3KkSlREV=E^N z!Gmu4!O<u+254dh)B#~Y?CAsV&|p}=h}$u1spS}dERK;91>gUdoLE#;X%Y`|Zahet z0;3ljq-;aDw3l(M`$HmD5fdM41@Q*)nR(HMsE6Q_J^BVad!77P11-cw8he997wHFc zeI6Zq1NRq4$KFQA-bNRb(S0!)4LXj*tud=1w-JHdRRlL8$eQx_HabiPE_O$U=|+d? zMu+K!@GxDuE-~xTsM6p?E_ums@De_#ow&tnu!GtuX~Uzp;0X=U`IvJOab^wZ<`8hd z4Lqy|Uk`w{>xSo^LeK~gtRqU!5M6}HKKc#Ofrf|(Iu<@e=O+bT$!5#JC}c_0wOmE1 zi7AdLDMhKp#gI`p&_JCT2cwV?QQC`P1AL(F8+;$7riM#!fQf~xp{=2UPiTk{gfg== zRPYUra5IO}7ND^^C)n|%@gPQdW>vg_LQ!UMs)M0{o`HcuUa^9%0!RjYLn(-Xqy(y> z^yL|5$65vk1;<v#hHt!%y^Np~G8IhD1(Qn|?}c8oU(4uzU3u?TM*Z+E_)g1vq1V8J zcM6VI87~X3w!O=E_`(F+r(j)g!Q@xQb^oR8{({-9Odykc!Q@n?$BS><&t-bCpVxjV zSY$1j+zKZ5G6^>?aX8A<pzG#v7A$fVOx^{PPr>91CWaqO3=PbT9n6dqm>Fj<GcI6e zSi#J&fthg!Gvfhfh7-&T7nm7uFf%-0W_ZEO@PV1(2Qy;>3quDB!vq$F87vG7SQu8Y zFm7OB*ulbZfQ8`{OO8fSW^ra-x<YD7da7<oQD%BNXcSc;IW;Fo!OexbqiOUXybI3B zFMq@$1WE>|>ERVN!B5x(yI5s4G(v*C;*1ou6<oaHj5Om6^^G(Y^c6q?0j`r+1vOlp zT@-W`f}LFyv=y8Kf;4BaGA>|cSi#D=gO%YbD<}!vU}bp0%J3D;{tG5s*+AmGU~(#$ zT*Nj>n1fMhIwKcFxe;lI&jfT_BQZmK%Vx6>u_>jUk;{RDS;&T{jE+`LfHoS&M;n5M z{DkvTOB6KH@@*{)FqhN4l{`p<OKP~RIT(e^iExN>d}2yUP-<~$P6_BbMI%FfPa{N~ z1HRzUkko~BQyICeI2eV@$g&=3i5<jt#1cERcuynjM~Q9!{FjKqye3928xCe63v%2A ziXR0AFCkdmkUg+hO=j4bTB0m@goF*LF*A*k%aVgp$du#|Ftyajj2M3KaBFU9MVdM6 z;!9R=eS|#Dy5&R}5e<??MlNd(W-?N&spWvjjFH7a#*C2#-k7mKi5Uyzn6cb)f{0Ah z!pLRE!7OA&M3M=LFV4tJD+x+X&nzxUEs8b(Ek`9d9{!EfjffJh6fzh?ge#CrG=0Zt zgIFPMaN8+9!aUNH<gMy+7`ZGs7==tov^OBy2+d+Cad2iyDK0RvNHvTHIV>KmN`cXf z9qd3?L*&SLsn+NKYo+3zPO0az<X|Q_JI5P<oJXKEGpYz6B3delj+UVK;?i7@y`X9a zHN%)d?+gL=NFbM~5S~ez$jC)fs~T=KaybUJ8BxI)!7>XAsF95v9mG{IWn2av%tAVZ z6H7t7A!xG5h+yUbwSfpHgKE-(0^BbFZN5Rin-?4uWDQjQ9GxEm4^$4J`Jv7Wdx*%~ zX<P~%%tA7t-hrWhCUgiYJ~Ph{scVV9No09#E)n*mLe{<_*^`@_oEx8*QWg)k)!E0} z)6Y<d-`CgK*VQQA(IqTC!Y~p(hCytJF^!Q+g@aKD-1~+42g4r!&=3O*i=^bhWj9E1 zsu9>(5EsQe`*?#iC@^|)A>4*sZ1`P#dw_8EP3KbNU>1@^@;``)+94xQTCb>lPDDV* zaLI5m3gMc;@f6~93UzaL4hiy!k1&WNx!GTe*K~h0)1e!cl1kIk<2@m*dXVkNp|@=I z5h5BW)W2EsY4bfI0<($2ekjsdC8QrpR^j`Cf>|O3N5W|{fQy%dL5S1Y$J@}rz}F*6 zh|AO836%W|jZ9E`ACOTZ!X}1riE%IsfyW%6xe%1vGxI>{jSJSjGSCDi1k}+<MtloP zhRQ6F<f+X>q%F!zUge!rh_EM#vXZxfk%4eSZ2*ehfZnh4DR#U1?hp~mk$4M6T*WR? zflKu=m$<_fsbA*qQa?{bNRr#oMJ{W}Z|LqOxOf{hc1^ehbXH*S5=_p?PtH~-%}Y)# zDoM=DE2)GRc$bA&?<H(V4<nZe2a}Ki;m!tVBQ0W90cmg#91>D|!Ko#s1@UPq;1!OT zi@tR?%_X81hPTF0%WQIIlp4PA!Ye0oW|Y`jp2AB2-1^CJG-$~qYjI9uayC4|K=T%` z2*YXF4z_>`Yz!aR7;+#pN_HHKLRQ4h45AGcM}v<|AZLLq=-PsTnp67lg9$dLROW?! zPU+00tM+RdHG(YmZe?^g=!DNHow;<C>^Y_SJ^1F7nhz{;ILfp=X>?90n`)<b4zW3< zm7qDL8K6N<O7bJpq!Q@pPh%r!_Xb+3VqG_Wt>PsSBjZI}+8oS6>O_<jfzjaMB)ro{ zyBmpVe%5mlT{<C+w#H}1Tbh86W-viiGG?HCs-~zt1;TB{8PL8J5xx$_<^rTKK8OPl zV|-@uo+gm)rcY=H%6&A%jq$ZIa@lh*3t5xtG^)*3kR3-Rrr<b2x*P}+M5M;iEVAPW z;sVS#LYYs3TpENqpXC0qiili8ek_3<H}J7!WC)HWq(e^V9ZL`w;EN?Zb4y?TULm5< zNJ=bWom?^p&6newla02?A);GR2ASj`F-z+^Mw=sMmn_JbU78D>jwRLNfM_FARGTrT zm&{{9(@UnYIHs4v_=uTaY5+~-GYgrK=)QQ+1QY7PKv<^B`Miml_k*rvAjSpI87Am` zVCY8#nMN8KnUPw?!B#SmWj#{XF$LR>sDvn;Xd-1>5@e={U~~{S(?s5ycu>Cs(IkKb z23ez>zelH<MyHz6@@=scC!<qMqf<@f9|J_(R1*!hBAs1zpNIxZGo}4gqybP!|CFra z_tofR6S(*tooqtgk~BKm#K<^<5%2sY73TOy>SPlQO5HQ7h?#97yRnO0+JamDWR<pi z2Gev?FC&*J2a}K?(LEu^A~TfR-M~RXaK35l=f5_v*%aI>AIX`z!Lx%2G$jGE6z3F< zkevd97f)hQQD#|UjzURhZYq2V=<L$R^qX%2&1n#HEPTFcz;-ZMBA?4m=N(LvPVgN} z=bN$5Hcj{pnr*7J+_DFC2h)Vl<j*$UNx5S`m+8wiK|DK{9GF~2cQB3A*{0DQOpK#D zm=qYjKnu*tn0y-D!8E#q3D4<T<nApS-NBTXSrTuk4_YZTx`PR{7gRqW+Q<?y#RS?q zg)zls0G?v9#5Ki4>m5v%gy#PAPP-E^v_|p{CQHa^c*yrpn1FgVpoL*H-oXU58fjt) zVl(w7mPp>gL@*YJn^z)hVJE1AftXf;1O-_=?a>`fkURcHcQ9d`a5p-wG&-$B>uDwG zucjZ}!SsNU;RU2n8{NUgCCkAmBnjGOh1hTf+Qpd(+I*+)kG!P|x@;9B1KXA7=@jY) z8s~&;v+QLY-N95`q7T{&3f>6{+n@^C2TH|_qoX^R4C9kZ)AapG-!(c=JD51Sh?!F& zx1ozPr9?qPm+%fI(AYI>ZUOh~%K+|RvP8PI0umB~b4Cd??Eni%a%Pm$-_dbK2{dm( z(6IwPqhxG2fHO+>Kg0Jg{WZltqa<MpIumd9K6$h=@gz*iKNC+r12m%q+E<ACOuX=u zPNOqQqcchroKT6heF<_F0kj>6Z~M~dnRtj+G2tCeu$AOQY}ZBI&}3+2h~<DUKJbYo zIi)3|XW}7^09r&E8i9_HAZZwObVg}(MhSkt_~@B<go`q=&%`q}BxXj**l>Vmlt32a zKhCQ6xf2a$l#C6r%qYR`jUcHgBl%1`nAONLN?@A@Zbpf4EYNO73EaUzs!zZ{F*>8< zMgFl&qccjQGfHT8CXJqn2R_-4%+XEaHY$zIC<#R>FnFnGKu%c#O?`j^9mGJ~cK|-r zAAHapY;FT|=K*XS4EN~g=#0|nj1tCN4{U2WQOCB8o{2YlCLU;@LIif+rMbB==3Ni< z9<-WK0yT7zXOzfq=n|e$0*zf0E>j0?MhVm_<qP)lbasu8Ff;*&1i=}l)QNBDzefo) z?Eni%a%Pl11=4Xw2{dm((6IwQqhvgQGfK6O;WJ8cZrEp(AbXS=dd1OZlqlJwWS)1I z;4Mq%+DB)UM(l}rqkEJ__bB;~?ok3a2S#U<!1scU-m)}$%TiHdNoHAUD0HV3(j@f& z-m+wDOw^2$@j%Te857#0l=0A!7Bfo5IA)YAV51a}0WZRHeWcGQL9IrgQL-4o86|?T zK*Jd&PzM8TMhO%NETFqX(bkXPJ3nR-+vpx8$a4PC8Kuz~rO_EBTz5E;v0i?3Mv0LD z|KVMW*hX(zf)u`^2j7hzd<S1EH@Zh@bdM6~QdjUvZ^p*4`sg!C<TZ4M!HkkI@*XA7 zjFJVx8KnU^^$s-cKyZ=<BMhIE`!0?k_xbnJl7C>a?I;EYn<RQRcP8~<USQMwlj z+N0!tT^Vgg>0T)LdzAbZ5}r}&_jeuLqcpllX>^YgzEK__=xrBdZ4&Y{LK<fxYu;jX zj}i@b!kAj3UUpAb%#7|)qCw1zo_YtJ%fWiJ68J7Td{--d<0R((o>DIGMU|wLXahK- zWMo9ljFOSj0L>_YEXKb_DWAcY1~W=VMp$N)!1u?}d`1aoHS&xS*ye$oQ6d}*w3|@^ zcQBA@dT>yT?ok@uql7r|it^jzM^C*QJ@sz%)VtuIc=W5c4N*rq%_0qrK<B=aKAk^0 zqcl3BgzXx;(W{h3uTmOHr{3*Sr_~-MP(v4aMv44}?$Do60`*D}dz8Q-L2yRt;HiZ~ zT)|A`dz3)a4g@D@@XRRjO?^VYdz3))76cs&pHXU;VqoB46td%B6tW`j7A$Oy2PyDy z2yyz0OLM_<tA?QabF~<(7@Qcq7^3KWlhW@C@LftPg0RmiIZg!Kqy#zlE@E@%{=JN# z?(b1X$BE?Mq;&8AzBwg}&V>#~nQ9F^M(319>YUOMVGc&285G@%Y8b1JbnqScT51a- z4!*lq@zM@fY~Vg5po5Xik%L*tmbk2rR#e32#T&#&8-iAk3&XYuTNq&O5MD89B@u&t z^;|?3T1d<K<1^#UOyWV;s3T1*nHfeJ8d;J$<~M_p%a(&t$dZ__z~%y^p+ATN5JP{I zo`2WM$YsyLEM!fl(?AiWfPX+1R2C9WE@a1%u>m-a3=u<ukRT#8j%JY^M-Ufa#t}dG zjKbW~iZt_hPs4c7mNHh~&<Hnk#JcxhMhDY4A~F;Cu>^M9z{irI@j%BC#0B_b$pR&o zERbVKxcLAPZOL{<E(Z=~Asb@y64p5<LsOIz&(IXJ#1oy@N<@iQ&SlBLC}c{ELy*cF zeaC1cbHwzIMWm?#skvw#BY8FlL>ro-+AJjj&ORx{1tu1$hVfvh#e<b8FnY1O1P7Q{ zxEdnIQ5avN1L1O}fsxCKgIUOo#Gr|fHvqYiKv7qnLd^Mi&_xc!xF86$z7S+_d}h2M zI0qRZ$~n+Q=0>13h9sSl2V3Momi0*42Vy&-A~K5iGys=zpsW3ikRxXM=f6Z`o^mcj z4rU=;BAitaZy2AM2MQGe(Lr3zL)I<F;4TT4s33hH^fwm=6S;>qF)$EL@I&RWrqO*( zqx+gh_chUdUlR>3m*1^^pNJ+(Go@Wuq_I&**OjbA0I#?>n8_`E6@jJS+X>@1P z=+36%68+?yZ17Q;WS&n--0e#=D0Rc$5Hk@)c4HU0v<2_zA*;0A!@|KL<fy>l#Sb}{ zv>>&(I5Ri1I2Y7kXkcXMWu)V2dA*EWrW{N{hD3BZKo=t;CL_?>{RF3?24r&+Xtn}Y zKaq1>p1dg?H#dQ%I|w=!J{6Ti@l=#StiCfjQ&C1H1Gv5E*j)Hjl&cf=si-rTKvPi~ zK^A+rGP)ZerlQVVB7Z8%CFCBS1M`{>EOI!?#P`i*bSi43PDQPxd@9NSbt=j@($Lt5 zYEw}~T-qGWLh3}6^MTReW&e2RoOU;ECZc;o_I!pVWX{P1QOTGYL>d~Ik~$Jg{+tuU z1xQ1E5C<TJ`pn`zP2fX)W}vDYa-32xBXL80<j-b+oi^~Z878LSu~_6eC$i#*{5dCx z3ozq|s5vM1hgCG4a{@bV;A6=MW5gVikV(x+<i`@k1^8mg0yb(6o*6`$bNcf43K7HR zq~s;6b57=<DJOjUoT6=Vh$!#MxGXprg-nPjV}ns=oG6@dnoIVClaUG9gp)Yhgp+w} zd_c6339g+^G@ow*S&e^cJ#&Tw5m7_(e3Ow0c(W6@j6<GpGL1AeG9xwjkUrmpWIa;W z0gv&SAu1ud&o>c{4jRrkf%_eZCIKWc$m)WR&No38-jB{Vjm|fX&NmH*`KGh0Xg}Ws z8ZSaBe!=}yvWnl)`6fv5J38MqI^Q%p-!z2ho6fAF*?beIv5P$41nYN@zPM@_%r}9$ zsqn2&kaj=8`KAH6o(VK1L2wEO&(^2P_mAke^$9emLC~@A`KE!}`ebB2fb&f{GvM=0 zI(FFSn;;i7C2{EN-O7l(^@)-Tnvx#ln{R?_eX{p+ADwR+sq;;vTb~$u8CQ&MeTw%q z8klJ)@V>BtpWp!R?a}u{o`xc8dm8x@91s^EjnYE)UP%!(4K=#;iKLAi<j2zJ)~C#p zctidCf>eBWI1Sv^Cv=-}Y<)5^AGoO~g0qAT*X?LB6=jZnDhjj&kseb~2<wpwIq=q} z0iB8>7#*~niUM^>P{%^Svx21e&PS)BAY-AUQ&FQ+QKM5)!(l3Fbn6oX;uy}Mvh#Fw zDr$5pYIG`U2v0?EbkS}q${gjuQ%K97tcm~OFcoEvbgvU6C<f<L6lk`B;Oq{bsi=m- zbexI;O?MD<>>!wmGBg|<Q&FIK#$NCVdsD&WTrjzm@f3LL)3*2OXj4(ADA@X>!EztZ z)~C^_C>Li}1@KPJ(Wxj(PQ@HOg%9Vz?C2?cct)*ZfipT4l^H)e6-8i*19XJg=qY@t z1r636Q6u^kK0~xkQ8-TFGaRs~C`0h6u=qBt(P%2l&=B`j6zC2Nk|uLW-xY;qJ^ECX z`2g;UA{-qwoQeW>NzkUEKv6L|6_r||pplkuYoH0*oee%%g}7)Kor)TriW;4Y8l8&5 z)|Mo68x(kPI_NxF(u?2GT~Uyg0Hae;qf=3%Q&B^BD(W2Vc13|2yU4quKuh4rYwQk# zsVGB3^r<NG!8sKLnynx>yE9m(qCnFf1RV>Xih97nz`(^IB$S+!9iLxXVjK@*lxJ4O z8%SXhQv`KDKv<LEqC-*WRTl?C2qVP75+n@5jt~(S2Tuqi#36&B5F`P@wIHH_k)eZ; zVFDw=0!D_F;E5lQ=vFXm2P4A)MuroN3|AS=q8B;cU}Ss<76++#0jgh_e!}^UOdwGZ z?qFh?z{E6ziD?1Te;8m~!NmN5iQxwm11BSjA5F0M5lIZmkDtH({r_Lt!H@yWFh=$x zSVY;u6DE~fw=BgmgAt2I?=ntcWSGnN@{E+-0!Bux{=Lfx_VEAz;*OXeuEObI<{3=P zs2*n7#Kc{cS)8h%s{o>Qq3}L1as6Q8iWLIi0H)5tD5RX3msygTn3GwR8lPC2l9{g$ z5(v*PN`c?l<t(Mb!6>8v5=T*(l30=$p9xk5T1g7O*Na2LCp5&&)=)Fc5tNYfOG}VK z7VIVm13d!+gS=t|T?GOvO0`=j*?|*GD`V3vHhXOIg}O!Q_G=mC6H;xqGTzhFgx}hw zTa>>4EI6TD1(SEd<Wn&D7EFExlYhZvD>xzbg2|~&pqQG=G=F!q-BPf~S}?g4Ozvem zS!QQ<lu2IwtnFE_$W<_T7fe0{lP^Gtj<JE6v4fd$0yD!5W`+gK3@ex!HZU{nU}iYL z%y5F4;Q}+`4Q7T1%nUD>89p#G{9tBmU}5NBVVJ<eFoT6*0Sm(l7KRNhj5}Bu4zMts zV#(Dg$}G;zOIJuuNl(=+DauSwPc2GKQAkeB$x(1~3BopkhaB(<)u7}65BjvkoE!&3 zSVR=07G>tA*cw6kwVIdcY~L*w7PrLWl1D7C5(mlYudoSz!X`M0RZzpl*+oHDAs9?L z2Lx%(U}apu%CLf!aR)2IRaQ_*af6lN1uMf>F#9i<Y-Iz9_kzi(U~&=LT2>B5p+-h7 zB6A7y)Sr2zp`j)G)Wf3Gc<1;)1x7CxP%MGQabTzH`6USuaeiAemn;XfkR;apXB16v z1vx00!s-Itw|u2>sd6w1DPpxGJ~JA!d|uxZ=>{!R3y?2KJw>XX3twRZvj=%#6l@J* zU=;T~S#JU@h&X30hf9rvSx5=LN2xXsmx<TA@UjzW=o9Q+$ik!`S43i&z{oIzk#PYd z&KO+8b`i$~BuF_4T>63w5b$^+EFFRpKj9=YRF;7bp`lN|YZXKk0BKwb9Lz#8psLbP zKQj-~tBTLeGek6E2wb4^H1Q!3_M~ztb1(|YBiWOio17b;m{JxGw$<6k+tbfbh~L-O z+1J%5-q9s2KEf~(e(@?KHGvZ<s8zRukpa?>yTHhBgAr*t@iazArod;9e`ts?hDB0x z;Dh}@j!HEGI}75XcxNAPkOl=tFD``J5P7GUQKwxAek1|T93+&^rO3f7B#Y!O5EHHa zg8v{tftTz=?4F9@lHp(!!W^75i3c54?<vIV6zb;g91`RcA7Max_?F@|-5<?#Xf>5o znwB2#3E71UvK=|}zWlvnL%4WI#ZgVc!cc+1O9VEZVQ7Tq4oL56Wg@~Zhu8`kxr+_1 zkjW}kkK(viWTX|ULuEj7`Q$r9lysDrs4LHZCBmL0%1YD*a1VI~xRX4Xiqj)bq4X(E z73D>UC=w#^7I?UdQ=$Tw>Sbx>#JBV)OM7o;5D{?1wN8->Qu14;kC+MF7y{~T6Ru_| zxs*7Vgye9v-=QsP^!BtAuSb4PN_<)hxG&~{+!q7Ssoy;Jortajyrl!y18+3rZS2@9 zFnIA6r52WEg8J$unYpQ;niXsSXgmYvUvlpCm~d|YKEnFRaWrT&nzcA5F*zIVSkQn7 zLCbcqF<xL}_`t>>A`Bj2(&Jzh(!z+TlJd;><ovvnqWqkk)FS<q;N%=owCOubnQ|}+ z84^;TR9YNdl30=o(i3ffyp)})15b-H=fVb_xbI*ecv@lr8hCp7H^gQuW9SPF_`uT= z1M&x+<QEy)&1LGfz&G&pd&iH_fv0SgmFmdNG13OQ2H(I_3mXTcPzNIyX}Je!{K?E1 zG%^Ei*h9-awDG6321dqSM&ibw^0+iOn1xgb<x5aLM(&21VcvNRO1Q8>1a~*AoXd=Z zQOJmpeXw#M+5o(q4`po1%m@?$h_NY<cL{e)CxGseBB2C=*^D$=1+kcVqgAzB<{ZpI z#w3OX)rPOCxX3G#5%n)5K*;KPJs%y<V)($wAT&Cjg|U=xbUbTxJgWpUT1K<+tP(CA z4rU<@LPamp!&_JO5Hq}0Ok%Z<)H#Dx`(zchPe(_&z(wuoC>QFoz0pxFMn?S8Zq%4G z7^$ON)GlGgWHX5v^dhrOi(JscdKYLD`rsZ0{yEw&1V_O@U4O!Tq%KA-V-6-E@M0a5 zMLB4LE3i%ybg%@yT~26RtpCtg`j3l&hFf4EK+d=rd-@jojf;WCYX~}a2OArm$Hh>N zc%bUI*xS<iuyHZa04BBtS+p1zo4Cc4;JBDjDTCeUf~?VTF_ckd(#FM#24q|elyG5% z2=&Ir458y<$j3^<YA%xYxRN|B2DKUgxR@biu>okUC}@@evU;SKk+@Y{q>hV0Tr{BL zVxS@!z5XSuvo<;|23i9?Ixc32Ilw+TE;c$YHaad=l$x%O++71thmlp(j*g2#irUd} zvC(lc_;%JKqpQEb>vYMOc_41}*U%XkGekL5m4dn60UZ}Z-hvA81EF!TA-P^`Z`3CG zjf;WCYhYbkoP&7qaj^o(hD7l81B?kbkbom}08`&tikSUaMl@R%R<>d>Z1_tyo*nCc z#BG}q%fiyL5&IFhZ6<$N*fDu~g2P{`w>U<JzlQI!u>GL@h_#Rf<)AEqGVBi$faejU zAum(QNJAq7sx1Y}#j$w~Zarv(B|h39R)N7w7`EBN$P}}q3QDW6;sN)FS0<MR2P2l1 zXCUi9Ta=>>ji6&(rihUh(7r??15($1H4)nA3AYPrR10Di%4#oDN=CKvximSLg;YV_ z!-!pE|AImpd8r+ikpTGWWPI~cLd0#R0hOj$!k6^fud|~oy1>f}Mptx=uINHLoNaW6 zB6y!AX#XP_{VF=`P&{Nw%o45)V(Mt5@hM0hP1g9-iP3di-~x4Yd}?%jYIJ-GaxefT z<tTCEQxxy?W|>9I?nI&+r^scg1*pG6R$2O#N=vh9xKufqu$-g<YSn`p@!*jRLnGv4 z&%ll*H0CrQYq3CM9I$>G&S4xpr=ErDt*76Z6KIfxpksHiNkZ0Q5q}7lkwL6J5r<%z z&}`6&L2e~%&<V6S4%?b5S{#D4jm6WB&>>jnM}<cRordqA6A6c4fpQ7*$P@U?4ZI^y zq%5|=cL<hYv<Yay34WD;5$1RkD4D{F2HfLK1P;M6fetnqpbmx@S|SFUKwbhb1ID{4 zoWLPiP<zk@nhY&b2AT{g8E7JK2o}TvR2yX?;+O$Y*@-1oNgrYwJp>CfV?R2?G&;mI zI>dzQh^@gp#6-nIus{_ua@Po4A(PcL8a)IHQmBp&GmQ>2jSe#nnPDcX9fD<ovdjrI z>kDr4lioU|;~`ikXah{31Bvk;f;AumOrY@$SjUW<0j52sE9p1D1R4+_=-3@>OppO4 zamXQ9#vF`<_h}X<=Q!t;fQJT+K!Z)BYBHtS*wekovtVOSznXcmE_j--HqCx5W5Pes zIatoAh;y(etW6{P0QMP9UU(KfNw9?39cA)p-#>`X!3rKdfIZ%Tng_5qgU-R~1#NUD zIRhb$LxC4`n#0GTijfXu4@Np)yO(k9dvzjC^epDm<zN=l1P$h+EYU&RcMZwU(MBla zQdrhOfs!z+M8Q2SRmx?;!6;-vq@||NVJhT(f1oW8pi})w+V|H-QrJgB?L`{40-s2N zbg89TyeCDw{wlbPIGBa>hzkb;!}!d+Xj7CJAbX)y1s6FbGGasv5)x!}zTS*3ngY-9 zkB(@Kj%baJXwiK{i`tt}p4+PsaYzg4<t?b$j8xu&HoAi<JQg<><Z2!~H-m3U>>{?u zqid?b<?ZNT*XUr^=wKJU2D_+T%xWwk<}57Ii&;~Yp)d-XwV$Z8+^U0-%aDUfNSC-y z0eFPP6lrJ-;sZi!uNt!U(El7P&~OW^Z%EG2*z#vv={Gb68m}Sf*d1)FkfAXm_xpjy zU5VN62b$?}q0Rk+tEA?^hQFqH@L?VPf-Jp)90O0S`v*-o1mGF|g4{nSQTuxk4S$W^ zKUhWG;V&Zh`<cY*BaL>Mnt={JhmUrFue(4y`W>`HiP)pxiQMl8UVeqx?}z1XCs102 z6%V+_xd`s}GlHG<Zh~m)o5JR`NgCTCyx$LI7t(kZ#440!SSFM#!y>rf59$W0t;8Z~ zNj11M#S*@xPj`*(_k*k(7#+GA9l9DFx}y8g6~+5hh+B+Bc)uU09g9?;g6n9q<^o3d z`#}oS(Xpw~v8mCqDSC}fQCyA^w-Afy#wm3cwbFIJpOFF5m=m-cLEuip0U2`wjd8&G zY2=JK30czd>~+u}2|>s1U=x9iIT63#&(H$21Pk9i_26Md(6K1A8FX6O1Rr$bVaGn` zv<T_$!Bfi+YpxbiaQC2JTM)thek`(oM+coo_xq)$M}u++^2ift7zVM<iqerM{QLb- z*HvNJ?*~ezu%ZF?coTvBez3!(koWt6+U^!q-tPys2YH|gYz@jl6D{}qK^#D}Q6?hx z`+>?%v>p*CR7oFV8r|;)=@E?%F^vu}jSevly&<N!f5aRqMO4=aR3W2xji5`Qf{<1^ z6R`wpbiW^@P#qm+8XaaD9cCIb!%W28|3_Tw6uBS;H^#{tuczaFKa>Ndz%C}VsL3>L z7yTDCfyOgn9W!zUm_DAO<00mt0TF_Zh41&H@}ed~+U@DvI~P8_q|k+Ze2Er|ni}_j z#+N`BTrOn-olm?LOl}2}dzm1Mni4uk$Cr|a@A%TNTGRwetFYn$_aGC&MNNj#jdPxK zSkwfw3wf*wG;oJ{wiIm_H9_4#wc#e>I!B<=6luf>>}j&<^wC94kj~NQh|}nZ)98rP z&>L|YUDU+o>F*RDVHjy>WP&=A136fgaFrgyCC0%lB$S+!9dD?gnFr}0$7kjlDlm9) z!LB_q&;(s;+rY>`tf`};O{1etqoYlb%rpcJF{k39CQw%&dBBPM#wlGFH5nprq$6%o z(}0XQfyOvsg*rK7PXD)Vrr$<7&>#sx$HEsiy@XtxM{uo@F=$1TDF>sFA)$SeDTyVC zPL(C8;3ZDR7{^MP*cxhPIf80Fa25qI$}_9t4HSwpi&Gs8^b8CP@`@F76+jYrRFwXB zya2YZju*!gC*&ch&=-g$PP#?p-=lZYDU9F}CyhYn(Id@=?-0}<&^>x}T*PD<<mFA! zA@zWw{DSzj6iSyjRdbngFq51a<3ZQj!H+q|a+nb)>B0&R+#^!uTxJ}MLPo@v1kuLO z1Eq|R#!oCk`wUTA&)|t=yyu=zVB{jH41(E=JX!^|7_l<SB;L~qz6H+&)Z(sUgzRv9 z6KFxi^ahdVc@@NiPm?mH&S_Frqy+=KD8>^0q_3DdH+r5F_<WPm@vG7CtI_eRp*MbY zWe+hcrihs32epZjN>p$KPu7gU)6o;7z$NPF0M_UL*608hy#}zTePR@G<5^@jU6Dt( zz%6*P3feCO&y1SCyP1eXe7isg+cFV8l^bm=1gWwB`+?8`s{z@;2O3R*bpgp4?Ar8Z z1N{cOK*KQv9lL{#5we3XUxI;wgHedolX$_m_t|hT3Rw{9@wx^3#-|r0R>l{XBtl2P z3}f}3UE#a@_%(b&LyT+<6?{TN%xsM{vz%~^a~Tmo6lNB(#-WyhVS!^S<F%Zrj*#i1 zsf?f%QggxNQpTvMr|s7=PC9dD&sN5#dG-7Eg2j)5#K9-5FL1od7_#z!?On#h7be&~ z1<Sq#lV2G-JB{rAg4wO$l~%oAaw=2ZvJ}U;Oj)6V_SjZq9X!=&f0U{1VYkCsu==ZD z@-CQs3MOALG5la+XkcdSU}l`a%s7LYaRD>K3TB24%#1sj84oZsoM2|Sz|44qnc)F5 z!wY7H56lcdm>C;b7&=%OCa^HfU}0Fm!mxscaRUp(4i<(3EDWbuay5!Fi!<}m6;e~u zQ*}#<GSkyji&9e*l2dbX6hH@gDkSIRQ*CwBB|`R5`ADl<EG)q}`Q?vTz!Qh4gQKso z34X#R*u^TVp%D`76=$TNt>EGnXQUZtsBfgHpsxTD2yjI`fn8g{IUq=L1}ozNR)!U< zEIU{kuCjs>)(uvM7px3l!R)_avXu=a-U}wDg2_c}m-smtg~&L8-2mlGD)0&H7VtBv zu${o3*1*Wv%eZVd3lT@d5;<Z6J%QZ-WyHoD^JYNB+8`n<CG7-uGthvUslF%DfEnbR zLBxO=$j5{S%t$<e9cnMqa2do}#BiAz@^G0MWVj6ElwQW|pZ^juTt?)$B{c9M?m=JZ zg=M>@QV}O@BEdx86Zsf?*r~wKqryNwr%fc7=p#o0*jhv+7$F~nZv=@1$e1N@kx)b2 z;4yL}m_Q=|en^|4F=kdc@b@$ku~5rJMqLUS$+bX@4bTb<(2@ygY=DA*aBNIt<g(^q z6f!5RE{EBV94lb!5wU{%asuLFrJ0e-mV;Tyk}QWoqX*yE{sr?K+QyEdzNaaoi3=_{ zNWR&WlGrgs$upoED^ORZn!?BVp#>Kx!4O+;Q4%|b=&@shnRlEn|0Sa1(a1<n<^hlY zgBzl#(PIRf4kCR5VDv(XA-90F^THk?@_rhZ0td5@45&+jx-2aoBm|kJXYj&T##mmP zON2eCT*@4bLh>L(q4wnFCg;W{rj*5l7sEOGczgO83i12;I{UgB#XGu$fmYiYAsRoR zj7wBW51ypOXODkqh%tsmQgYx~T9Bhsjlj-=xG3J)#~Y+UfzgW#;Wp&@*YD!n1F-%m z?)lSnE=3MzAz37MftaWbdIkIey`u6t5dj^;CBwleglmZ2Q;63o)Xm*FB*-T|!XT35 z@GZq_IvH1_E}MOXh$bYp$E_ACza%0kNk8cvG;DxW-h$e2&?72Hyino|1=9ly90@1K z04`n*1|d#oA8$hg17D9Qq0#lN$vN4Xd4}<sNu_D&`u@lP0_}!^Wa2#`4GK@EP&ed4 zK=RaPA`%qkg{|_=DMZ+lL|I|mz{oHtOWJ_muk<NtyZY`B5z3Kx%R*cwEm46>^<tK| zHI&pYX6;_wBqAIe$m*TJ8@Nd2t#PCwneE$yEF3ICjtUH3{NT|-g@V-L;>_I4;#^QB z2d~Em4SEtTSDh6YyaXXel;$O;7L_Ds=9N^!4GCF!U@u`qCNXkZaxe**lGZDP1_*j^ zNbv`!mXyNRpE<iKFnYn;|6rfBz7B+s-s5h-z?%wSJ)m(vut)H&-Lh9;@Zv2>EiBCh zjmVZ{=BC0!0yJt3Hh^&fBRNwJQE5H!vH-V!avTjh{)DwSCowr2?pV;UH$lhlVB;OQ zDF;x)gT_-1`-E2v#FWFXV`&7Z9C#PDk4`yIarN!!ltWs|=#)bSLlq<KM!QWhM($8A zeMPL$g^t{ToI>o#-RP7<PG(hVd}3)zX1+f5Ylgw|ETdBnsMGhbA%Bz!An=$!83R$H zQx3RWlN3w{jZQhF7U^S4w!}<1>^!}bwo?w^hA2`W#DMHB+31wR=#+y-TD~ooElZ<Q z4x>{JB_{DnrD^)3Qx2$?tBy`NjFclaMyDK#OY}kWwcy!W*d#J&u9k|EyQ5PMWEZo; zbjktLz@<w2cDPJAAm37C25#;T(<z5XsvY#3asUl`5AG=k&{oLNDF+6I(OnK$Rx&A6 zqnz!MmY9>{U<ljZQjl7dnV(_{+wM}UIl9XMd_c(Pl*8zhLs35Je&Eqv4xojf#LoMS z?s6F2<-o|WgOT9?Bf|woh8v6w4;UF<K*mu=ryQ_{3$1rK(0s}P+z_Qomuz&(0g_dQ z&@o4&yBr{g3DbHYY;>0cc(2CjltXHIG};i@=#<0glml`@Yjl@`VWbiH`^iS997d-c zP|uSAHE^lYz8x-84#u(Q!7)1J0N<=Y+|~>%yBr4pl!FoN4_4|nSw0ZE974>}3GQ;Z zeXwnGm&52T2QrQ_Lpqau^kAj<2t)8WC7==zdWsBaYXRXMucHSmA-D3$INfUWV5Mlt zt_$edFrx=6@df*MI=g~$BzTj>=)p>fC8>}-D5JX^DA?uDd}B3jcR7F?qDXxZL+H&G z@Qu}w3njpH3gZGsocmxFv5ihSj7~X>?s9-1`UL95Lh?>8<LH#b=#+zVUJ3YIi_s|u z&>}nN$!Q?w=#&F;XJmBBVRXu2^k60Q6;Bi#tORP{Ql))6T&5h1kf$8L&HZ6I<*?4J zoqoF<K*Qd|?{ZM3;4TM}ZZ8BkIY3zeV}FAY<Q4}b>fGXx-!cz2*&yhH{T7Eu*Fd*8 zRKHi>i+YR0qid(hzQy5&PqyP+rWgBp@!aB2r(82S*)Vb^8)lE*;s9MXOvX6(=q(PA zac)!SI5+(C=+Rpo2I(yh)$TKhIH;4<JAlnWSDF~>dm5v*B#lVEzk%dCfT1?yzq!E} za+ELVS|;RSC*p2yAo4~T*ll2-Q}^N70LxVX>9N|hiU31>PXnX~0PWDFQv{%1&H%O; zF~egN?`Z&!05UE#spT@~U=}habVe#H0;0i50^^7z3(Q*?A~#tO5f#;>-G_~6Q6i$k z6nr5ZS(n<4&Kiu)8jQ{wjLsTR{haX8>lm<X9bCjl$!*P}*D(-rn?Ny_EC-{IBxq&> zG08D{9RqlM8c_q=(-^tveeuocMuj1^QDN&cVy<H#=eB0hSSeK+wz~=5!vGq#gbf+v zTvsDRm3tU^$hq<vd7=Q)!XKm)1)vdUSU}>wLBURe!HXxcs3@~6F-HOA1_xE$TKY{C zfQGCIIu?Ed1AQk7K-+UhCkjRnLaI~%HDADMc0dfs-Fd_PAf(ZW0@(Q?qZ0*?F`3c3 z7l!fO3!@VSpd-piIg)C0q5#_^Bxu9(D0jh(P85J!lvL?YjZPFmDy7jAjTF#N7971q zVe}G((MuGlyuDy_q5x9fhT|X4_e8#48FsZ6QRCdB69tAC*Bg)&fTI%yqxUv5c!}ht zmMDN%5EvO?E`g!lL;<K}OO=N0(4QzkKE!BrqCkjBS2Yjji2@UB#}|$6C}3b<c)`T* zgNdPmnX!YJaRM{r3}(g!%nU1-88$F8?qFs-z|3%hnc)I6;|*qp2h0pFm>E7WGyGs? zY+zyNU}2cR!Z3q{VF3%n3Kqr<EDSqX7!I&7oMOq<D9S9(%u82DO-WDHEh)-OPfrEi zLY|zOlcV6~66~q~zNS4jMIot@@`3wHgzO8Vu6;xA#)Q#{g3*Zr)C1W^CkjR<3P@W; z4OzrJx}(6UvLtnMM*;Y<F~bqPqX68Zq)LBkbfN$<a5XwnFgj7-=n{s!A#C&<BJi4~ z(W?)rdG*2QL;<9{9i1pZz3)wV=M*A#A0}}rb1(|YgO(XWw@YB$|KjO4I#B=};>H}{ z?&`ZkL@19=6b#%%0jOn5m4@xmpC~XvI(leyqF^LU6qt@q6fl9Vu^7Et8C1iATi+nY z=#GMX{gU#`_~iV&lA`>aoYW%y;^Z8pBSJ^-IT$^9X!PhI=!yEEYx&GkMn?@PId6ql zS1XV1C>Y&QfaipKA@a_C8a;YQAGXB;eDn~wMM;(Z)aXP3WZ-IaqF{8QV05BD0@N>o z4nZd8WP`gZqZ0+A69vpdLZ~Ste)PhE(F+TF;U~7mM~q%rK-7L0s-N&?8n??9c9IJ2 z^K(kLbU2uWGzgup3CiQ3GGAffCJI0;TdFi{hyFx?>F7iOav3`yI|>-Y85lSih15A1 zg_JRJPfBV|Vr4S)N+TnSSbb+H@S$xO3PA#n;EtOa=2U=*EqKp>sV$XmA#mL@7j_H5 z-VA)V5S-AvyN7zW5V*Yp-9nHRDv0M60<FnagXk6lDzD)m-81l&6*SB97fiOYf!MuZ zaw?cy#P*n%gHfoFk&DROgf#qbY7uE@XfDMYP?TQ~pO#XT8t)t*sKDrjbU`EN(%)Xj zWwTj`SP4+TrNzN4qz1~CnTbfNwvjV5=&<|vXd{bQ1qLtpQQyXxy<}_V5F%_$<5J~d z6jH>n5hUOU8RIrIMLF3UJT+_v+Y4I72=X8J0!jva!)x`BV<SPvqWBCXfUpPYAa#f} zh;d~T<a4}DAnWEpR`)UzcaVAxml_ANkP^r~+ztSREpo6LVg_rZj1QH9)llEl1g%A3 zN{e7c8KVRTt0^K_P2)XH;KTK%v<X&>)6lU5>w)RfL<DOp;Z$UTat{F5yC$TDY8_<R z6TY-!f_#(=#1=%Ln#6k|4*iFwRZ`CKDdE!NU>4HCupezw5+v`M2dci2(=3)#|Ia)5 z5fQj0Ttr>@4zd-RV~zAZ4bf7t1tP3LJ|<i+^fGc8aWD$$fs94Tm{>duw-&veut0>p zDe~19&;$)~39;owE|)q7GnO_Ls&nG=;z2%zm%rwi1w~ts0uh0pi7!QiqBa`bGD8Yw zvq(cD(54KeDhA{~a7g371Nb5r2a`~w0)v-|26#^*w(W>TnZ>E#t&EzGvH)asFC(bR zB;1(`;Ns<A5aM+9@isIt@b!qocK8D9(pBP24B-;vp#Big=#cv8koxG5I^BoVQ@Lb0 zn1v)U3VNakcNQzZB%(ykA+{Osj8v$CoAG2_k#dBKgPGhyb@a&o(Ifju7ubXHKVoVx z9yHDy4-$hcLqzK2V(B)F)CKkwm!-rVy_12jBLr`qB9)`$H%?a&JdqzXgh#j$N|h7& ztGE<7n1p08sw$8GY`hfR*Ms!<eb7)VEY!$3e*fZ}YWf|&4;rf_=-3@>>=)P=KCm&c zQ)LXixFoS86`Yn0P{zQ;G<-rs;A7y1kPCSYsdFLkw$rm=L*f2m*oVTojX@Xk&MXl| zyO5XLnEVTQH}1_Lcp)#(rn1qY@R55V@90oCsEkJ$hyn>fN1jH9!c$Yg149jr484q_ zL*X}&=YfftVHh0>XMl|W5;tu_?V)h~&$UF1ArLtf4sJR`8-uPNHbfZ;2OSw>Xilr4 za1?8ihQcAXB8I}vkcYy}kjHb03wxr6!a=iv@S$)l^AFRk581(*b-338;Tj4Ddl%6_ zL$tfi3_+8xWR2R44uy}dE(ae=i#cyIdS3nLdG)kDub$%Jo6({0K|H@Wde+S7Su^lv z?&$5cG`_u-;<B{I>lP7R0$fAksI60~G)@T*h12nJT3kcn$o@w6^&lMz2Mx8tx*_BY zg>T+nPQRgW&{!=&$L?TbfeeK|U|?Y2VgPT3N=wQwEkR76Nr5&<p@}JiR^NcICd22i zfB*j%b})o6lpQQV!XWGj5fOIqgfNsHG8hU$5+Ga)A{rPOIv7C{xC<B=R)UuZfkd~0 zSvwd(_uHRfWVp&Wo8z~`4MxU?U~!O&7oe^O^G`Ux5qt&<NT!2{X#x|=3?`NZO#fkk zaRn2@2k^1&pvAfztO5)Y3@!}d#qSO|sc9u{nML|(nMI*_nI-Xt`p_dgpggx=-}wBz z)QtR+_~4-Uywr-4VC2DmcWRhp7^_bebBw5Djxm+YF^Sc89}V#wB2v<5h=Y&GAtIzk z(>SpqHCn0=8&U>TD(^-{h`T~+PRF9m^bCrsPO2CL3UjI$1S(jlVi2e-r;0(S)hAVq z1f_JU7&IE}q&5^sgWXUcUt@YSwUd&fMhh8ILTeD0?OassjJi;%GfEY6jAHevVvaGD z%rS}8ccDrdY(Oo845?)hD5$C8HBeYn#UM~%gM0qq<jnzUF)|3TIEDB*3qaUBiOKPa zDarB0prhYl@<qiZdF&8*=HlcWI5Q;?&MY@VFpUvR69m%~!88Xu0X*Ep4KbEIF*!ad eIVT&*6)A~OSMWfTa3m(j=M*L9WW&utaR~rD+tPUe literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.pb b/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.pb new file mode 100644 index 0000000000000000000000000000000000000000..43739e2018853a4c82894788856b620eff025206 GIT binary patch literal 276 zcmd;LGcqtT(KDRHtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z<zaA$cbUt}u&T-9Detn|tL)wiF*q>0>=kBM#pKZ{GV|#PyIx5K1_p+^k_;6l?n|XW z?6vX?3>zH($}=?dIUiN1WBKlIRuv>ZSB;@zoAXmO5WClifq}u{t`P%+rOQ&|dkI~3 zYb_WU8XW#wFfcGU9kpa&@Nk@D#o-j{=I$I4<a5@JfuX^Et~~>Tg5y&#`PKzw%wAWJ xNUIx&-Rlh^?|OrbS?U8~fAZmV4+(HJig)&K^z(D|SsMrv{Tm1}>u3;&4FE2`Pa*&S literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt b/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt new file mode 100644 index 0000000..11f66d9 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt @@ -0,0 +1,229 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed May 21 12:36:14 2025 +| Host : fl-tp-br-544 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Fully Placed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Slice Logic Distribution +3. Memory +4. DSP +5. IO and GT Specific +6. Clocking +7. Specific Feature +8. Primitives +9. Black Boxes +10. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------------------+------+-------+------------+-----------+-------+ +| Slice LUTs | 525 | 0 | 800 | 133800 | 0.39 | +| LUT as Logic | 525 | 0 | 800 | 133800 | 0.39 | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | +| Slice Registers | 903 | 0 | 1600 | 267600 | 0.34 | +| Register as Flip Flop | 893 | 0 | 1600 | 267600 | 0.33 | +| Register as Latch | 10 | 0 | 1600 | 267600 | <0.01 | +| F7 Muxes | 64 | 0 | 400 | 66900 | 0.10 | +| F8 Muxes | 32 | 0 | 200 | 33450 | 0.10 | ++-------------------------+------+-------+------------+-----------+-------+ +* Warning! LUT value is adjusted to account for LUT combining. + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 2 | Yes | - | Set | +| 642 | Yes | - | Reset | +| 20 | Yes | Set | - | +| 239 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Slice Logic Distribution +--------------------------- + ++--------------------------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++--------------------------------------------+------+-------+------------+-----------+-------+ +| Slice | 263 | 0 | 200 | 33450 | 0.79 | +| SLICEL | 151 | 0 | | | | +| SLICEM | 112 | 0 | | | | +| LUT as Logic | 525 | 0 | 800 | 133800 | 0.39 | +| using O5 output only | 0 | | | | | +| using O6 output only | 481 | | | | | +| using O5 and O6 | 44 | | | | | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | +| LUT as Distributed RAM | 0 | 0 | | | | +| using O5 output only | 0 | | | | | +| using O6 output only | 0 | | | | | +| using O5 and O6 | 0 | | | | | +| LUT as Shift Register | 0 | 0 | | | | +| using O5 output only | 0 | | | | | +| using O6 output only | 0 | | | | | +| using O5 and O6 | 0 | | | | | +| Slice Registers | 903 | 0 | 1600 | 267600 | 0.34 | +| Register driven from within the Slice | 335 | | | | | +| Register driven from outside the Slice | 568 | | | | | +| LUT in front of the register is unused | 497 | | | | | +| LUT in front of the register is used | 71 | | | | | +| Unique Control Sets | 30 | | 200 | 33450 | 0.09 | ++--------------------------------------------+------+-------+------------+-----------+-------+ +* * Note: Available Control Sets calculated as Slice * 1, Review the Control Sets Report for more information regarding control sets. + + +3. Memory +--------- + ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 0 | 365 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 0 | 365 | 0.00 | +| RAMB18 | 0 | 0 | 0 | 730 | 0.00 | ++----------------+------+-------+------------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +4. DSP +------ + ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| DSPs | 2 | 0 | 0 | 740 | 0.27 | +| DSP48E1 only | 2 | | | | | ++----------------+------+-------+------------+-----------+-------+ + + +5. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------------------------+------+-------+------------+-----------+-------+ +| Bonded IOB | 22 | 22 | 0 | 285 | 7.72 | +| IOB Master Pads | 10 | | | | | +| IOB Slave Pads | 10 | | | | | +| Bonded IPADs | 0 | 0 | 0 | 14 | 0.00 | +| Bonded OPADs | 0 | 0 | 0 | 8 | 0.00 | +| PHY_CONTROL | 0 | 0 | 0 | 10 | 0.00 | +| PHASER_REF | 0 | 0 | 0 | 10 | 0.00 | +| OUT_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IN_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYCTRL | 0 | 0 | 0 | 10 | 0.00 | +| IBUFDS | 0 | 0 | 0 | 274 | 0.00 | +| GTPE2_CHANNEL | 0 | 0 | 0 | 4 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 40 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 500 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 0 | 285 | 0.00 | +| OLOGIC | 0 | 0 | 0 | 285 | 0.00 | ++-----------------------------+------+-------+------------+-----------+-------+ + + +6. Clocking +----------- + ++------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++------------+------+-------+------------+-----------+-------+ +| BUFGCTRL | 4 | 0 | 0 | 32 | 12.50 | +| BUFIO | 0 | 0 | 0 | 40 | 0.00 | +| MMCME2_ADV | 1 | 0 | 0 | 10 | 10.00 | +| PLLE2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| BUFMRCE | 0 | 0 | 0 | 20 | 0.00 | +| BUFHCE | 0 | 0 | 0 | 120 | 0.00 | +| BUFR | 0 | 0 | 0 | 40 | 0.00 | ++------------+------+-------+------------+-----------+-------+ + + +7. Specific Feature +------------------- + ++-------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------+------+-------+------------+-----------+-------+ +| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+------------+-----------+-------+ + + +8. Primitives +------------- + ++------------+------+---------------------+ +| Ref Name | Used | Functional Category | ++------------+------+---------------------+ +| FDCE | 632 | Flop & Latch | +| LUT6 | 243 | LUT | +| FDRE | 239 | Flop & Latch | +| LUT2 | 115 | LUT | +| LUT4 | 81 | LUT | +| MUXF7 | 64 | MuxFx | +| LUT5 | 55 | LUT | +| LUT1 | 41 | LUT | +| LUT3 | 34 | LUT | +| MUXF8 | 32 | MuxFx | +| FDSE | 20 | Flop & Latch | +| CARRY4 | 20 | CarryLogic | +| IBUF | 12 | IO | +| OBUF | 10 | IO | +| LDCE | 10 | Flop & Latch | +| BUFG | 4 | Clock | +| OBUFT | 2 | IO | +| FDPE | 2 | Flop & Latch | +| DSP48E1 | 2 | Block Arithmetic | +| MMCME2_ADV | 1 | Clock | ++------------+------+---------------------+ + + +9. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +10. Instantiated Netlists +------------------------- + ++-----------+------+ +| Ref Name | Used | ++-----------+------+ +| clk_wiz_0 | 1 | ++-----------+------+ + + diff --git a/proj/AudioProc.runs/impl_1/clockInfo.txt b/proj/AudioProc.runs/impl_1/clockInfo.txt new file mode 100644 index 0000000..133d479 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/clockInfo.txt @@ -0,0 +1,10 @@ +------------------------------------- +| Tool Version : Vivado v.2024.1 +| Date : Wed May 21 12:36:10 2025 +| Host : fl-tp-br-544 +| Design : design_1 +| Device : xc7a200t-sbg484-1-- +------------------------------------- + +For more information on clockInfo.txt clock routing debug file see https://support.xilinx.com/s/article/000035660?language=en_US + diff --git a/proj/AudioProc.runs/impl_1/gen_run.xml b/proj/AudioProc.runs/impl_1/gen_run.xml new file mode 100644 index 0000000..87990fe --- /dev/null +++ b/proj/AudioProc.runs/impl_1/gen_run.xml @@ -0,0 +1,200 @@ +<?xml version="1.0" encoding="UTF-8"?> +<GenRun Id="impl_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1747823525"> + <File Type="ROUTE-RQS-RPT" Name="route_report_qor_suggestions_0.rpt"/> + <File Type="POSTROUTE-PHYSOPT-RQS" Name="audioProc_postroute_physopted.rqs"/> + <File Type="ROUTE-RQS" Name="audioProc_routed.rqs"/> + <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/> + <File Type="BG-BGN" Name="audioProc.bgn"/> + <File Type="BITSTR-SYSDEF" Name="audioProc.sysdef"/> + <File Type="BITSTR-LTX" Name="debug_nets.ltx"/> + <File Type="BITSTR-LTX" Name="audioProc.ltx"/> + <File Type="RBD_FILE" Name="audioProc.rbd"/> + <File Type="NPI_FILE" Name="audioProc.npi"/> + <File Type="RNPI_FILE" Name="audioProc.rnpi"/> + <File Type="CFI_FILE" Name="audioProc.cfi"/> + <File Type="RCFI_FILE" Name="audioProc.rcfi"/> + <File Type="PL-PDI-FILE" Name="audioProc_pld.pdi"/> + <File Type="BOOT-PDI-FILE" Name="audioProc_boot.pdi"/> + <File Type="RDI-RDI" Name="audioProc.vdi"/> + <File Type="PDI-FILE" Name="audioProc.pdi"/> + <File Type="BITSTR-MMI" Name="audioProc.mmi"/> + <File Type="BITSTR-BMM" Name="audioProc_bd.bmm"/> + <File Type="BITSTR-NKY" Name="audioProc.nky"/> + <File Type="BITSTR-RBT" Name="audioProc.rbt"/> + <File Type="BITSTR-MSK" Name="audioProc.msk"/> + <File Type="BG-BIN" Name="audioProc.bin"/> + <File Type="POSTROUTE-PHYSOPT-RQS-RPT" Name="postroute_physopt_report_qor_suggestions_0.rpt"/> + <File Type="BG-BIT" Name="audioProc.bit"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-RPX" Name="audioProc_bus_skew_postroute_physopted.rpx"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-PB" Name="audioProc_bus_skew_postroute_physopted.pb"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW" Name="audioProc_bus_skew_postroute_physopted.rpt"/> + <File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="audioProc_timing_summary_postroute_physopted.rpx"/> + <File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="audioProc_timing_summary_postroute_physopted.pb"/> + <File Type="POSTROUTE-PHYSOPT-TIMING" Name="audioProc_timing_summary_postroute_physopted.rpt"/> + <File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="audioProc_postroute_physopt_bb.dcp"/> + <File Type="POSTROUTE-PHYSOPT-DCP" Name="audioProc_postroute_physopt.dcp"/> + <File Type="BG-DRC" Name="audioProc.drc"/> + <File Type="ROUTE-RQS-PB" Name="audioProc_rqs_routed.pb"/> + <File Type="ROUTE-BUS-SKEW-RPX" Name="audioProc_bus_skew_routed.rpx"/> + <File Type="ROUTE-BUS-SKEW-PB" Name="audioProc_bus_skew_routed.pb"/> + <File Type="ROUTE-BUS-SKEW" Name="audioProc_bus_skew_routed.rpt"/> + <File Type="PLACE-UTIL-PB" Name="audioProc_utilization_placed.pb"/> + <File Type="OPT-METHODOLOGY-DRC" Name="audioProc_methodology_drc_opted.rpt"/> + <File Type="PLACE-UTIL" Name="audioProc_utilization_placed.rpt"/> + <File Type="PLACE-CLK" Name="audioProc_clock_utilization_placed.rpt"/> + <File Type="PLACE-IO" Name="audioProc_io_placed.rpt"/> + <File Type="PHYSOPT-TIMING" Name="audioProc_timing_summary_physopted.rpt"/> + <File Type="PWROPT-DRC" Name="audioProc_drc_pwropted.rpt"/> + <File Type="PWROPT-TIMING" Name="audioProc_timing_summary_pwropted.rpt"/> + <File Type="OPT-DRC" Name="audioProc_drc_opted.rpt"/> + <File Type="PLACE-TIMING" Name="audioProc_timing_summary_placed.rpt"/> + <File Type="INIT-TIMING" Name="audioProc_timing_summary_init.rpt"/> + <File Type="PA-TCL" Name="audioProc.tcl"/> + <File Type="PLACE-CTRL" Name="audioProc_control_sets_placed.rpt"/> + <File Type="ROUTE-METHODOLOGY-DRC" Name="audioProc_methodology_drc_routed.rpt"/> + <File Type="OPT-DCP" Name="audioProc_opt.dcp"/> + <File Type="OPT-RQA-PB" Name="audioProc_rqa_opted.pb"/> + <File Type="OPT-HWDEF" Name="audioProc.hwdef"/> + <File Type="POSTPLACE-PWROPT-TIMING" Name="audioProc_timing_summary_postplace_pwropted.rpt"/> + <File Type="REPORTS-TCL" Name="audioProc_reports.tcl"/> + <File Type="OPT-TIMING" Name="audioProc_timing_summary_opted.rpt"/> + <File Type="PLACE-SIMILARITY" Name="audioProc_incremental_reuse_placed.rpt"/> + <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="audioProc_methodology_drc_routed.pb"/> + <File Type="PLACE-DCP" Name="audioProc_placed.dcp"/> + <File Type="PLACE-RQA-PB" Name="audioProc_rqa_placed.pb"/> + <File Type="PLACE-PRE-SIMILARITY" Name="audioProc_incremental_reuse_pre_placed.rpt"/> + <File Type="ROUTE-DRC-RPX" Name="audioProc_drc_routed.rpx"/> + <File Type="PWROPT-DCP" Name="audioProc_pwropt.dcp"/> + <File Type="POSTPLACE-PWROPT-DCP" Name="audioProc_postplace_pwropt.dcp"/> + <File Type="PHYSOPT-DCP" Name="audioProc_physopt.dcp"/> + <File Type="PHYSOPT-DRC" Name="audioProc_drc_physopted.rpt"/> + <File Type="ROUTE-ERROR-DCP" Name="audioProc_routed_error.dcp"/> + <File Type="ROUTE-DCP" Name="audioProc_routed.dcp"/> + <File Type="ROUTE-BLACKBOX-DCP" Name="audioProc_routed_bb.dcp"/> + <File Type="ROUTE-DRC" Name="audioProc_drc_routed.rpt"/> + <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="audioProc_methodology_drc_routed.rpx"/> + <File Type="ROUTE-DRC-PB" Name="audioProc_drc_routed.pb"/> + <File Type="ROUTE-PWR" Name="audioProc_power_routed.rpt"/> + <File Type="ROUTE-PWR-SUM" Name="audioProc_power_summary_routed.pb"/> + <File Type="ROUTE-PWR-RPX" Name="audioProc_power_routed.rpx"/> + <File Type="ROUTE-STATUS" Name="audioProc_route_status.rpt"/> + <File Type="ROUTE-STATUS-PB" Name="audioProc_route_status.pb"/> + <File Type="ROUTE-TIMINGSUMMARY" Name="audioProc_timing_summary_routed.rpt"/> + <File Type="ROUTE-TIMING-PB" Name="audioProc_timing_summary_routed.pb"/> + <File Type="ROUTE-TIMING-RPX" Name="audioProc_timing_summary_routed.rpx"/> + <File Type="ROUTE-SIMILARITY" Name="audioProc_incremental_reuse_routed.rpt"/> + <File Type="ROUTE-CLK" Name="audioProc_clock_utilization_routed.rpt"/> + <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audio_init.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/debounce.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/fir.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audioProc.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/tb_firUnit.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="audioProc"/> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/constraints/NexysVideo_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"> + <Desc>Vivado Implementation Defaults</Desc> + </StratHandle> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"> + <Option Id="BinFile">1</Option> + </Step> + </Strategy> +</GenRun> diff --git a/proj/AudioProc.runs/impl_1/htr.txt b/proj/AudioProc.runs/impl_1/htr.txt new file mode 100644 index 0000000..2498e46 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/htr.txt @@ -0,0 +1,10 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +vivado -log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace diff --git a/proj/AudioProc.runs/impl_1/init_design.pb b/proj/AudioProc.runs/impl_1/init_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..64724c409c7a3bacb9e4c5db00cc310d4e6ce2bf GIT binary patch literal 4822 zcmd;j&d61yS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5 zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4Shkkuid8Yyn~$npqg=nOYbbadNR6 z8=2}^m>3wbaxq(4m>IEiu^Jki8k<G28Jg>w8yRIV6!28=OkiXXVB)glk`78uD@rZS z$jnPu@C;BWN-fAQ&Me6<%1kZh5@3~LHZnHRVo%O5N=;AAi()gh)HO0T$zUj8tzd<i zu#Aao0i#=DW=?8~LP@?tPJUvFLTPbo5sG1z3hJuG>edRX#ay0g3MCnt#R@5zMXAXp zpx`Uc$S=)FQOE;n&Pz`%Qb<Zw$jnoy%r7lc$jK}&QOHk&n278)9fkCKkbNZ?sUVwz zQ%g!R^U^`aCg$X)D<tNnC={jU=9i@^WR~c039w1A7#bT|z<pw5!)2wPk)NAdte<6M zlAT{rpdS(tAM6<K80zBbuU}H2o0geVQk1HjT2h*lnV46i3sVMigpmT5oO5EHdWk|> zW?qT{$PL8>smYmXnW-rX1&JjYdQt44kTNo{$Y3a7t6+l!RVO2tl23kON@|KiSY}yb zN<PTtAoIWh4hbVYP@uCI8XFrS-0Hw(r(arJ1a_}}YF=?klA*q@tE+xQW=>{ag?<^> zEF%LW6FozHh{5_$UP@v~qJCxp#5Kl-#>f$u!o}m9pPQSQmtqBq7XcP2CR1ZAPKaqG z$vH;GT!uNBdD-zPsl}P;c?!BE`2`Azr74;D0Y&-A3c3Y}MI{Oq$>xbh1_mX?N$DmQ zCc1`EtR}jKV0&09SRlR*<x+Nmm;j3P)U?FX98gq&BCoh8xj3~1W<9fsvAGs!K~7?x zV@7IXiV-&#XK{XMQF3Z=ykQiZp^>hMv3UkV0o>pSE;U>RC+FuCmlUCzZGvVtR1Ms0 zP^yNT?aIXuiYZX00Q*z57?c+bP0h8~Qc}w@lT$(Y6T|atMuxg31}LE%&7~5MSOoT? zLP~yWu|i&ci9$wVS*n7wtD~<%PG(Y3Vo{|Y$aG6HGrXp=8yV_a8X1AY0G|6cFmmYz zr6#6;914x6<c!qh?1KEvyb^GxQ%K7%Qb<nC$pP~~sf*dj+*FGlTB;a@afN^r7Rec* zxF}gavp_#NCp*48vnt*I!O}}fE&!D}ASuHrRzqDAQ&8Z-9sOxg6tFT}Qhuo=-~y&N zzX+Tplk;;6a#BlD^^l5M0|O&oE^bJ%Yha*fU<fL0jSLM8^-K(mK)y84GcW*`wWemK zAiklop`j7BvNn`U*D)_Kr?LtZS*pbfp?R6bnYjw7IjOm+c_qc*h$>1g$Vp5F3xJ}E z$<SPjJrCkaP;qW(WEjP2WT|V2k_aNWjG$(P6eZ>rr{x#rCYEI8=PAJalL9JFRf`pz z140#wQ<L-aQov<9laU3&bY?C_1F+dfpfU>{S0P+-uuv*bELMP2Nhu2DnI##ZDiM+| zj4TZi35tV@4N?dhad5F285o-C8H3Y+xg~Px<ISZRP?TDbSOf|Ts1Ly*kdvRDnXHgs zP?DLOSq1h6C?J@PO)TM7fQ&OWH$XOSFC#dg6@yHRaB)^}%gjkth*m9*1)GVK{8^-! zEle!5xFMOPv?Md9*eH%GirQHqJ}E!3C`GR#C0T&ch>wd4oE7vl^NLFZI4u~X*o+Kx zEx?rnyu>-i$ffI+nU`6dk(#0qkXS^dSIh^8S3v0z?v?G7L`DP+$|Dk@VKpO{s!M)e zszNcOMWFynO`x=xm#zS*F%mQLN{YeNG?THZ78^v)h<46q<6<&36JWAnbYP5PHMY<- zHbqYO^BB3LTvCfN%RnhVJvA@2D6u3pMIkvSKRFwm$r+94>_!%23tdnf6JCTo9u&nr z9~V!0YDqjKV36C=2H^HHq<}Xx0GICOCdPV}MrNS4w5h4Fo`t0asPHv1w*;5(MrH=a z*vj_<RLQ}#OGvniA(XtkfRRfKYw5!!z#_$LXl{vG-o$f7lV5Zq<tKf=)QZaDu*{Uy z{CMBQ;*!)NNZAQ3D-FSw2fTn;$;c&-zpRA17OkMCn`=Q;DBQKj8M%Bz!NqxgQD%B( zUSbZY-47~PkODvfR5zq1rhqy-p#B7=v_eX90iq3OY()Du9Jrn}2Kfb^mjk&p14_YF zlxnd;W?orpQAui%MzJQSHLqF>>HQUC=4ljbLP|(W3oYjSf)XQ8>jF$fu^Aic8loCH z4BCUR5`&$K72X~+GBbgc7p4{#*vgA}j9iM?+ik(6xw(l&l~!Dk=9Qr#Qu8X3D_lXr zQK2NiBryk+Sd73aCowNMwOFA%wJ24g1l9&jP0{00Q1JA33UyPkwSzJ=Je@+_bQC~> zA(~bSNV>T|(QRmGh+MnWak;A&D|qIm<rnLKntS1iMR}lZHkjjFlvx5E(}0PBd)=zV z3a&*(`9;MFsd>ryrFkW(MIeWOx|c>4$lXg8E+#`0P?|6V5e8r)ip50N1mpsE=JDo| zQY}Vm$QG9-C#Mz{r<La9RDv=&laVE|p}bt&NPSkYaiF>gZrsyBQ5W%Z@glhrxiT^| z2US3j%E-tP#5RH@EiNt&Ljw~FJyS?;!^jNW`!F;zwZJ;E!^g$tnwO#wl$xAhlp??g E0GYU2(*OVf literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/opt_design.pb b/proj/AudioProc.runs/impl_1/opt_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..7c206e021c27e15077ce4edd85b957c7f79140ef GIT binary patch literal 15253 zcmd<G<>GP9&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^E@TFDQvmNiEJy&x>L; z(KR$Q&R{5DsbHDF$RNPP)xgN*;8;?Unp;qknU}6mlCO}STB4Askdv95npd2vkd|Mh zkd~TQQd*R%psrf1u8^3QqMu)+kdj)KnG6vE*~)BeV4=m9oS&PUpJyb%#pjt@kdvC5 znpcuol9``p#Kpx?k!+r5WMEJd#b#)(YiweU?1%y`1NZzA((GiFVm3B3AjwY442A;M z3RZ~6W4LsJO7rqSo^}axR!A&XNK_~&N=?qsOUVSe859&C|0L$6z(Ur@2zSV`nCKcA zA^XXJONcADB(Vq_tg6KdA&JG=;E*yjFxKKu&d)0@DN4-DD=9W&<zjXTa*kp%w9qv) zK#3eXE*WGumS>h^D5w@Ilw=g8CZ-f~39w2r8JTD?rxYa{F>^7RM6ntf>l%SV7Vb-D zE>V#0(lYZhi!)MF6re_cv@#o+8)>l@6y;~7CYOL>$Uwohs3^avIEvL!*Tl>aIj~Z= zoC0!E6N^(7ic-^3i$Gyhl98$aGP)?WAit<YK?6d^rxYb?f)h<{eo?AIW?ou;Q7$+Q z=t1o?f!hhO2NY;<=dNYsV&MX(W7Ht(VdUy$49Uz*RnREbv{FbeC{?gkFfgzJAtMEA zh18tHg5uN^grK2<o`P>`Zhldvf`+e?rj<fLYGSs6t%8xcsfnJ2F-T8(VrHI#t%9L} zo~41Ag0(_gQEI9}K}Kb9W^!T<NU4bhOtdVssH7AuXlP_=4stO(|AZ19%~D(v&WXtx zsR|*Pxu8_%i3l5Paqr5d>XM(As!*I-0!~5^F3t)i5S>Vg2OO$Q#->_q5V<H;V+&nl zQ{=b{<6=@R7GQzr6k9H9*Sr*v3vf9HoK_WT6r3|sle3FUbFCDNObyZ!&C*g$xR8_h zCLFOfk&&wpS8N&R8Jb{=Fate9W1MkjW};_q42lhCoLS<GGI&IyMj0qE!=ucf=qMB6 z67tDU&rDYEF8~E^6*wVdi!5(09<G24Q2tOY1}9%BW<vu*)HKY(#bl@eE*tYQOEMF4 zGEs~}abY|W#<6j+80r}+7%6cnID@j9dum>45!itWj(I5xF5m)RAvm?9v;gX26f0uT zQiU^@Bg6wBLkSs3MA}$`BW-jua&_QL8(0$tj#Oc6U}mOgW^ArttpH6G26_et2CyuP zl#vb1EMcO^slv$I068%y5*y}53PvhiO5lK1a7Gm4;7|_+6@`AOB{`YJpo$JupreF( z99pQmayi37-3Ss_c#Ry6;qHMJB>G&s&>&IpM9C;bcz!*OMAyg2)lFKW(=)(TgHSu& zr4W(sSh$#s6pS>v)In8XkwR!eN@7VWIKe^d5|_l1L<Q&koSf8TP}>Dth9{<oV&h^l z0+lIzTs+7&VKWYAw1Esj)=WgSkynoxfGPxxir+xbz=TdEMko<62M$pq1tSSAG2){t z2rWxka+yQ2gpr;Rto48v<FpH9P}zwsluhXr%86)!;lbqw4Gd6Fq!N)E=pQy_bP5|+ zB2pj=7n899sCgfhT9R0lo{F_zYGUMy2+1!=%u#U8NX*PDRtU*ga7tAODN4*M2DRW* zQxw8ei&8;-Oa(_j7X`o4+@#bZh5R%WC7F4}CB+KPMX8A;sVNGH5cS|@zlE`p7IS_< z38<T504Ac?jSY1TEsRZ&o2r3angONYeu`?bLS|lBYEemQkw&p5sK23FtdNtRn4(aS znWs^#32FCQTA-WC1~S#y0@+YYE^(OGQ$bxhh2+$n9JmoCmIx!FSd9&JO;CD?PH3r5 zlS>_v`ivD|hSDr~85&}359t|L&?$MDaLE(!B%)h^+>}b@(t`w&YOz8xG&O*jsW~~t zpz#BRqSV~{GDKt)3$RKt8=09yVuFi{17<5YrGSYjP{bNrpv28qMy_T?&pd?!Xv13v z)Hlw9G`<w_lR(|tVo)knfcKYDQ$St(veZ0qk18LjQLm=}>))2<DIf++ApK?Cl+>is z^!S{7`1lC8bDNS{l9-vJrvP%jsi6T@*Be68xq%U=83^y@1`?NVO%#m8xJ1Bh%*4DB zg@U5|g2Z%i6CYcROq0yxhnD_Lxr`xEYXWJgqPdH9c>&a8$5stl(kU-EaA{+U2pk^9 zo-gt#$`?420yuks32b@9iMW(zs$j&<#Ts0mnu@ivXpfc()VNe2slZeLtOqR=EDcf( zEsTw5IaWZg*0~{<3_c%XkLLu6;u#hZ;5Y>lhS(z3kGP06Q!o<d5_Af6a|e}bnYnld z9lX&Z*@(*k63J!?82V`!;h+H=EcqPg;1IRDW42rx#QGL{<aaP~xkADXROO-9e)(w% z<r$gD;9=avqEv9mVy_eRK-G$|r75IZ!4`?2v;k>Mfmz^GVrB*!orG635yYhua|I(= zF6rQm%(N1Ppw#rt;*!)NY-3)aN(?@XHiMBXB{;}OAt*IBu>e%Zf}3mL7FKYOPcgL3 zRs=N;;>qNENTUv{3L%xBrU2t8BtsgYCHbJ*9ApqE9868jAmPBw#fao(h`+%tNdq&K zmSiYeVz%M3f<%hB0v>PDE?I+ysRlAxyK@<l?r-b~yo{pK4SzZVM<|#8r)IPUUkGuj z*+Ricl1n@wzqmvppeR2%wYV5G*o|jYE)XrTSa6v^5{rcb4pZo#Q0O(N;moB+nxC;J zk|K%{3GV0vrwuTHErECvmq08PjQF^C+%og<4#H;<VHg`1izR5lOqWX=Y8)u3LC4-f zqv()g4?JK8k_pa8EJ!WJ)_PAzOM`w~K9DpBGM@yqQBtO{xq+cYvO$V5HJV~L27(Of zHP{hLY<w6g7%6bcg{2l{rd5Ih9h&n&9hN-M_-I*XNhP)@AZcF6IX@3>7-XUhY9z`8 z?vR@Wi9$<;j$HPzWN4&-KS+mf%5y^t6kRTDXrL%Sb0)Y`K70c}pG(RgzK8@gM3|SJ zst{b7o10iv$%VZF(C3n}#RYI^5#wScS*D+nt4epE01nr7F>!ritWi*aq!9(|phiJK z*%>?_1{)z$KnMjv$HyQ-usO!m6!@rCK~XBUQLJJGg&MAb3JqNx!SRNT>l#~)0%E)r zTY%J{2^uJX{Ei}sMF>S6lVb=9jv56}!Czd0K2il!P7|9!Zsn>`fR2v9tU{xSuo>h7 zG+hL^Fq<(uu}B(;uo+|yk`5x6ARS;o<L*Wh6{#R|h_DVx2}lRXW?T(duu|Ijd9Y<T za>~&Fv?|V=%M`ju2y+1p=JKFn)y^cT85odXj(w;Er5TvWWgecHlcP|aomrreoRgoN ztpFOw&r1idlmiPCL#7%EQj0S4Qxt4KgCBXt;8`waLqiiSwu15^(EJ&AKH0z^ip|(W z*U%VctOs`#VmgwxQD}f`;tJOaFKQ3sc@e$Bi=T@Z+=Nqb&Ph$ogD;gri9IX>qtLv8 zqLtV%9ddnw0HQ-vluH=a+44_HD=kh2w~MiLjNUVH6{!}3)`=jm=u|C6nekLD#xc1A zRtH`61!kkK-9!i?R(yfh<?%={n^+(g4a;yz!Q5M%4_T?3oDW*LT#~8>o-+rnF9oeK z1<ju$vtbDqG;0E0b_QC+YiMQ(;u{(nnHzvtIh%u51oKqzKvo=M8D@f}BbXzQ0&Uo) zCJ!#Lpj6OWVo=u{y2>21t^l-7fXTubzDyNk5qT7=p}DRFC}+Zlx9hmvRf`os3u%kN zlfU7KMW6-U5RP+EW(jyHFH96X^{iS9UFEEhnwOkknpcuql$xRkTA0mbWP!ZA8?<i1 z7&Ovk2qGYZ&QUBTx+W-t;9gu(pkaP!qDfIGE=>jvIHr~6<bZlcQcOmc$cCb>#s`@O zD#GDI>Pr~8#9b>=lS{$#)3DX&u+U*OH8VCg(Bdq}Nz8N1NKH&JO5#dDEW1|FP0P$l zRY)vN$;=0hdxDl?$LAN6q^9T<6_hCG79?SnD@an%Eh?zMDpyoc5yfF>q-$hiVT7`# zVlpG4eFyY#8s=^WJ1$Aj00rm7l0?vCeP*#jX#r>+0<>HXG@rs^Xk=`p#h#pBl$xHJ z7sYO930i`JvZ#RaCHIS%xRgUOKr7{oOLIz!L5pNS>*PVRJ(+n5;5br+*6gMhX2_}I z8Y5SRenx(7YO#Knkx6!bL4kfqKzy)cykn?~r@wwl0Vsw`ic)n`OG;BR6Z1-RVah<O z`1KuOF|Sutnpdo!nOl$(Z>WzWJqR#L(akOk#wb=JT|+aJBt&}7K_qo>K19hmZwEzv zBE=;UUX)pqssJA6b#YQ~h87G~_-hkGLlZqSGXqfV0k2JrOpQT&Ln9+oQ*5<~2bUVi zE>I~7TAQAiu8;y+1X_}>kd&F1SX8NySd^TRS(XY)_sm9SX7C*XY{nM4CKjNz&+u~e z$)E^5K`#FAqWqFng@ByI<Wv_YvI5Nr7HB5e0`1kH@TfSKDAc2+ImM|dK8YpC8Dx6b z5awNDY~Hoy5`(3Y2p3m3g`#|L%>u6On2ih#wYVYs!b(drbBd$bj0|**4AH7LWo!m0 z<bZZXB<3jOrIvt-G8QRjBLhn;rWt_BR(SR1#f9Cp;)2xVOjOeh4X~JI2uieY(*{Qq zQjALk5|=^wr6sAsCHX~(>8Vx<M!a0yum+=^fjKJI7}T6J#+vC(U`<F<Lu?T`$kH#Y z-3s1FL{4@^c-IJ<cL!M%yFm6l!hDMo#fGR+Y=|d{Ens;TtDip&iXuvqOB|H(QxyU- zDvMK+kSj7|ZyTDTc-zp37;j@u+1nYp6hN&e)ndpt(~QJog{0KfJcV?~z9w)ZomGn2 z%*YVlMd0D$N(OCFE6C5xD=|vpN}^%oDZijZFD1Dkirvs$*U;DkR7%3DPd+X#*Sr*k Mpw#62q7(r}0RMEE(f|Me literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/place_design.pb b/proj/AudioProc.runs/impl_1/place_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..07e2e1909e33aee9e008a27a9adcbc941670161a GIT binary patch literal 23010 zcmd<G=i+hB&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^X2e$Vp62jZaA}&P>mX zVl~k<G&Ig&C}62znZU>(z{J(S$mQTzQj(fmP?DLKu27P%ke*tikf@N8nVgzeoT`wP zU!;(hnpjd=l&YYvTCA>+n3tlTU!;(dT9%m%5dzuEY;0hm#g?3(o133!B*4YznOl&P znwy$el30?NpJ&9y#Zi%Lo@iuXP!h#vXs&B)Vvg*H0xkph{1VdaWR+qzHZ&l~PRk62 z0@eyvh{rv+#DY?DQWJ|o-iG=X5{j%+Ocutt4US?pG}pBN1tQ!mJ1&`^(!4y7NiIRo z3gww4849Y!3MCmusfj7YTmr08OhzVJ%qd05M$BA{CQ+<L#=1tJ5P+ND!X*mQnU<NC zS)7rY0y6@v)!Y&}O1Zc=3=~|8it>w!!O>}Hf$XbPE~fyHr&1M)Qqxk4K=E0Uk*WYP zyC}6Fzo<k(1475A6eVkdV>dUyC{-adFD<_)7aUo7U`s6wkSv8*gc5vlTsp{JPApbP zR46D)P0r6t$pl3?$YxM-NX$!tB~2qEBqK4BCX0!#kr8sD7=eMB&E@1<np2VqNjRY7 zl$w{Al#`kQjws}utWXM0w}}e5i4~c-rMU|EX`mG691vOzN^OQlTAX0zX*v1jkTl0; zY@lmqXo#FxoVkR!f=d#Mz&T&FSRo{_I2)Xq4GoO7xRdkqic5+TGxJJ{jX1d20zl<R zQ52h@g|49iDD}gOkRUD|u7Hfh;#39IVn`{(Y-nJJY5)rtlc9o<IF~5I7zNL~%#zH+ zoXje4l7pHGava>$6e3Jz<6<$?GgL5A=TgOLu7Y1`Nls>Qi9&Eb*pt}odC$mIq*@%3 znVYJhQLJgDkX%rzU<(RMYlYOD#De0~6bM&O!8bKGzo=3{!`DgEN}(V%F&iRbt&pCW znFnL16{V&s6l7EuXC^1+pa_;_7L}Aj#kd4`q?k=C5XF}amlVvc#SphDB<F+5(2`U= z1yJ#6U|<DC=xk#{LlZqSGXo=bE>;6Q0|NsiRxV~E6AKXE(8$Qt5M17xgR>S-1rMa` zOXOlwEf!#bmvJ6kZjcBBg(guUsZgWfoRONGU0j-LrC?;9nw)HwoSMpooPXCda<OoM z(*tT!=wsySW<(Efu-~i<3>1(<9VVz}V5ERO_+h?RfJXv23>2&t(Br|#!~!OYhzM{1 zA-j1bCWb;{Gn$ctkqMWPr@sO?g@7t|eFf*7{N!w80jJW;oD_&ak%9}jk$^4NxpJwx z<maU-6sMMeOP>fAXN8i?Tu_mRRPI2kB4bl6Hi%pltFeWyu_<yHmWP&<L%D)sN!dt& zbho0UYeO^h)YLT7lq8gNy$MIUp2*16hcjL4nVMlw+NPFNNZQ6&leP({!iJZpk;F!` zv4W8dmlR4o!ipx}{FKxjY|$Bp77Mmq*05MGR={l(YG_*|C0Qhyo1vB>YjA{iHzQXE zuFy8b7Sx7R2xn071TI)miVzb}3k)94VZ?^BiGq<Nm$);mmW5=cVtwDl<f8myY=In% z7RXjy7O+4zQNUr&a13F8v~V!vGJ%EztlU9uT@1%C@FgOZv2ZaNDHsWH3ApFvCne@U z0tZxVV@q5<L>S1%#bN|%Zt-*Ry5;2O7Zv0rf;&Cf%=1KxBm*uzNF;$wK+%m7Jw}E} zsVQb@2F55w?qDhej4iPjwxI4DybwqrHZ+YCjFh<)Lkm(8OHvg=AQfOzY8t3Z7VHzG z5Rh1sq2ONt>NJCz-6##@ShNs!;c|k7u#o~m6H!Cm%*Zg=EXl-RXoq?{v7v6PV5G#Q z5RhM7q8m_@pPX6@?o4IoDWD`wY{@zXEzq609ASZOOwhpL80I0whPes2`-ff>DLCgB zrD6-{bRx{<;9@h<GXa%I8eD3S6c5cpPLM1V0PBYZSLT&uq!wpltyfdf;?$eV6Bef+ z!-+E(C2kEZjg!+-(+mwM&QGA09ikfw?GRC+H)IHEBVjgW3{jelvBbxLk%EyNmkefj zD|qHX>K)j~0ZI{8#^vUgUkV-}Qt$~4@$*$E&PXgs1&tjg6{RL->wx;<KA|BBnR&$} ziFwJX#UQEVqEt|6iKGEEV#RD~YOKWp>Uo3W8Z_o%V8p`3WN945Zfpb^vOwuJOk(7U zbOKqSkW*TcmkS<Wf%Gw~6hQrw<f6<Ha1UO!SVy6xJYNA-AipFrM*$?2ms$=oAuUY- z;ws2s7poMrshJ_VYnZth4Iu6Uv7pW}F+uLl9AV^&bA^OQKw@#R9;ock%+0Jy1(~a$ zTCAr4b~KEu0~#bq%FKiCQ!6ry!JR49V$dk9LT-K;h6E&}%*{~UW(W!?6BE#wTwZEP zu|j?kC_0KEj%DRy_6ZGvs$k<{@d*t<k%4%`&=}MThL2}@b7}hJD`aG*XDFm4=H-`` zD1Z%41IKn|o&so45;7(Y>EoH1B3u;3YHXxyh7w(=Tq^zrp!h)w31@IJ00#^x7F3JD zCNUcsqInKHB9fO{0`UzO7Y8gcMX?zh=^7auAxFSbMy^Es5rAQ>jzUUmPHG88P~(pS z6GJ2)gPdjvA`Fapxw!LEOF%J@oSKsZ5o6<G!OsEv#M~Su$O^d({PIEl>(T;+vdsJ( z@IadaI0Ez(K>4aXu~;E5zeJ%RwFor!o0_6002)&_F+s$Hku;a2OK^ZfP-=Q+aY<?s zEIESB0$XZkZh#zo_0+IblS@4~BQvc8VQopiLO^CgYEEWeDnTa{Q^N_eT+(PxfF?hj zJ};t%wV-}?kfSfc<MgsMlq6g8xxD@I6_OM4QZm8qR-~*Anl4JI%uCG8Ox7<3P5vY+ z6s4wT=I1G7=9Q!tr6ne(f=U8~qSS(%%w%wAf>NES5mIRhPFR-U(F=HaGJNvoupDAE zG=z>f8(|%9cH+|I3NFpfO)RPeO;liMCLkwt&_pI|Xc~EN)`?5kmIT0s7~n=WMU0D) zWSLEjTyq$82QR?kp>s@JJD6$|P>M_iVnB_8f@4ZbYKnq$YEDkEf<ldgLQpECIe`#? zMj1?%z8|RN08;9bpI4#~l3$vf0oLhRl3E1L=n#Wkp_3{K3N>7VH$-)D1nDVWt}VPZ z3ZUkZf^&XuQYLuPmxwT}K~`e`4j3d66BBe1s3f`snodKoHfXm1B*z7gsuIv_7SseH zDEw|y0GW%`6f_AmE>^dNr505xcz~LPZjfdnR)diQ>F73;mJX5=a58D*Hb^j{#VJ~A z1i3+k-FXA&Htg;}7Nw)xoKPAz$d16lrlZ?X8(lcugDgx(x4A&uaKVr^9H;}3pQqpn zZ^QYef|}>>HXOJZLO2zYgb{oNs+J)k;NCZ~=>*vL!wtQNg&T%0f#xenk&T>BhhB9? zPGcwvZMe*l%N{XYW~6|naR(m*LK`GAFfcYVN=>AAkjw<ze4jDp^L;o5$&66OumaJ7 z!-C5U9vli-hdWV2!6?nlA|=Imh=+m~S|}KD=|e*SGYpWIju;LP?-My4XAGW>b4e{p z%p@=!N91h1F=+5xhD!>x3N*7s0lauj!8t#tG&fHH_e3(z*?N$1xQ#+hw`m4uh89M~ zMngOIMiVi_&Bn!ItOpt3h6PT3aS1eh71Tg|fgnd;tWygSXxYM^%NCL?KnCJ73?;0M zOp;TR6VnotDGqDXfh;LQi4ALG1tSSAF~_3RM1|n;!~&H5E4I0!Ahb}n<T8havateo zV^G4^FwNM~Ak`?D@=50b4PRoWYrtvQM8QabOAbDw3ToV88Qldh^hBxZaMt|BdM1bg zTCB#QhIfils%f&B$q-M^M3)_=;K{Mz+{Bz5g<$Xm7co@;p0dMK0iR*0VQpZXXl!hr zLZh%Yp}du40LnC2rXtOdN9_WMEj!E<j6}GEf>L!8i$OacK!vkHL1rG-(%BEK+%V-b zhLsy;3YbQqhO1GEMQUoIi8*b`WO{`w(Pf4?^~(%%lFAJ86hljs)D*L!9o{(G$;Jxk zWddq)8y?<IB_bQMa50%E7-?~7fY#?g77u_Ht)a96z;mn4IjM<xx}gQwngroQSj5J~ z0$Sf;B*i5GwhG!FgN|#1hpe#~O|_-VF+{kLgNw~X540-ZNR~?)>`M6JYm@-N=Fl)M zM?5;vwqT&9He*w>6r&^yV;rO4#FWNdTpVDRgL)_eTzpQUZte=6dBv$kpxr^(oa;~Y zZWIwNA<R(*sF5f;Q{spSVaU!DWiCZbBhi8gY6D7>D38k(-08xxV<)4sI6l9i1iJkW z|E3*gV+#YMsdw;3G0+MHbMSZrd?qxXOGC97G=C3W>I9ott5FE|3$|4)R;W=3figfd ztH#F2t75phI9-cNGIJA4Qd5kWxER2*c6w%J#vlfG>jZf6)eO822ySIGT0QB+<p8NC z4Y7~*AaCtKO;@JoiKfZM#;Aojc?;?djj;7)4D<}la5hPd&Gd{cK&=hvh8?hnVXHYo zD~G@<ery$th-#9|XX3ic2u{|Suw<=}nUb1Ul9`qXSqy?YL#_u+{;4SnAYGunhB^vC z(0OpEpn^+LW?5<xL@qcxv!Ea~MIogWydnyo@%4l9OTp`Wob&V2ax#-kim|GPrVp3Y z^rFNRaA}5BSsrwYR4QoSUS7IFT4H8SDtJFBXgvs%i80zvGNdI;kku)m#Y|vU6sw7u zt|_S522VKwXeq~>%hWY51+>cpOKt;gKSNC}DFzmnhK5O~ZC5gr3)Yo%26_hObV@Eb z8=J6F5|k*QNd&ZB0%ep8ULGU2iPz%T8qveZ)ro6s1lC<BrbGvUk)fWM5hw?N+v_A} z8Dgq`c)ekyV5GvOgjRz=6E&eadJ-d726mmW;u2C=>M6M87l9X}<${KE5|fili$H4& zK}*f@(iM<)#VQm)x7C*Bffldi7nQ_A6oGOHvzeiR7AtteC8!`Z1eK^!pbeMiCMekf z=e|2g8ZZK{AVzBPVDT+V21zwBGBUO>M;(J3ddXtwxfthG98iN2oba$TCWm9x5jm!3 z0vbP+;1UA|4ZI>#0Jq>vu{PjwPOE^7!fwoP3}1gDTCm`L3}k8n9JEECRt01eF}5Zv zF~e5iZU<;K0JIe_peQr1L;>Dz1Z_1-Pc6pPjK9Uor4EW8U9elgJvVGx!G{8{N--H* zAh$1iSh+e`xfJ5b0HBpG@TuDx*sPOteje1V3N;GB8K46Ykc1R!xM~z!GK*4^LB3I_ z0WZAF&r=A_tV)HNg;k8J2FZcQY?v@sF_;Ve@{39`Q0AmTb{SR}VilvzuHgJqy4j`R znpj+d)ej*5A!CqVDf5>?cq;Af0$1T?hA0cf!_iu_c3d`)zL1F?D8Jy&E5osL@<s~` zBQ67YU_hENNM+G*3;-fG`<m!MHv76|<{|IH#8>|f`DMb8&Niqy4DM!wkEJj$1@R4y zj10}N9ZNCv+JQ-E8Nidv9hw2qoASs#7L?ITlx$&`VrXDsXk?UzGRi&l!o>wGT(r3~ zVf}vaF*4wzW>9^eoNSnAX>MsU#C=}J<*r(+;F*_}Uku*87M@rHS|SYLIKy_8!9>9+ zLA4lqo|8gqUUGgZXpLQJiXP}79ws9T<Rg<<xR}f=KtnCiy+WXomnaq!T@#ccNgpmL z)nd@bumZ@gq~g+K&<@wM(wv-1h@mLwNueJR1~Ly+<H7ebKN%DU<Op!_f&16cp;Rm6 zLvbvT4#hDCv5gI(r4ML_D0s+%nBu2{kxLVHrWNFnEKn$dPFE{dP*N>cQpn6xC`c?y z%*jd30rmP>P0fsrO~B39JjaaG#1zo+C=iYD$@zIDMfo}L#i=F5I<NtQ%zQYrv?LRL z_z{Ppk*<-6g)zzox=1c<G`FOu=0O+I!%hc-9WVw?D`3|^2J4`%Vdi2qj)EDEl0-Tf zxx`&7Qj;OWh_GY2K*NKe{efVU43Pch#^r*=UkbWqsYOZo#i<IqX_+~x3W=pDnfajo z)F{4>2S;0qUQs~_%rQnNKHbI0wS*Ci@m8Sy@F<5e!PZ*p8G!cSV{D5x&@;rnGZvB{ z2%i&VXbvCVLO$ff(83JIT*yKa!!n*L7V24~G=LF;NODL4sGwVrgcQtJ6cr?459Dmp zB3zS89qP!;d<?f`<|8=?yLB5Fxn{xQG&3JhOd8>cNn^@m(#Qfd<O?3CM2SgDQyekr z!KD@sDxg8fAVN-JNJ%V71noFZ%FF|8T}muU&d4lF1?7EaBQrDjsYGnX7P=-DC>tT) z4vIokic13Iqg2SM6Bj4YmKAWVfKq%KfQn35-U6}V#V5*{ViqQl#+#uf*2de*LE&RT zF8=VM{E}2i@59B(O2LSSiwovCJtNTmS~$-DwX#6<EqF@I&<KZbUkwW1igSrVeOsDS zoSNd3SdyFp_A}^^NSp`8L5f09WFlJT7SIiRhL)z-TIRM~VxWWsnz)H@adlHDg3LgH zD;{PeLqjcY$YyR(iBufLW@Mmi1U_mI-iYwxQpRS0LQZ}<c+w5Dg%oO<fh88x3{Vax zz-3x-L27blVh(7BDQGu1ixjhwp#c`t3_*Dn?zX|v)e_?pfy5<fb}u!!B)=#zJ=ID9 zHNl#qa*gohR{Rk<$kH$DfHCL9<P4CfshxfYSrkLg#Z!R!mY0hgQ6?FpMzJBDC<Y(; z2r8nm=Gl*fqKJ~@5(j1cRPaiHlqBRD2)ST3M)9?=G1<P}#mJ=)k^wr36mnc~Mq;r- zQfg|R0<`%I?pd))F`F3~!uA-0YKUaeVU`8?nRz8f>0GJ$8Tq-X#rj!BCfWG~1^OWY z@xhMqj-f7|{`w^apvG=VQL1igNoh)EVqS?ZOj$uuewMx?tP!kNRGL?;pP5^b6K|-G nXc|LX!zsxHQS651x`xIUhR6pf@Nsd0j-LohP0lY$5nu!WSG|ll literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/project.wdf b/proj/AudioProc.runs/impl_1/project.wdf new file mode 100644 index 0000000..ab99061 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/project.wdf @@ -0,0 +1,32 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:3131:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:466c6f775f506572664f7074696d697a65645f48696768:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 +70726f6a656374:69705f636f72655f636f6e7461696e65725c3c6970636f72656e616d653e5c636c6b5f77697a5f30:636f72655f636f6e7461696e6572:66616c7365:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:37:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 +5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3735323339383965366661323432326138643065396331663565653431323134:506172656e742050412070726f6a656374204944:00 +eof:3930703144 diff --git a/proj/AudioProc.runs/impl_1/route_design.pb b/proj/AudioProc.runs/impl_1/route_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..96cc9f4e843c6ffb32f38677337f331f5102dcf6 GIT binary patch literal 16818 zcmd<G=i+hB&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^X2e$}cTRjZaA}&P>mX zVl~k<G&Ig&C}62znZU>(z{J(S$mQTzQj(fmP?DLKu27P%ke*tikf@N8nVgzeoT`wP zU!;(hnpjd=l&YYvTCA>+n3tlTU!;(dT9%m%5dzuEY;0hm#g?3(o133!B*4YznOl&P znwy$el30?NpJ&9y#Zi%Lo@iuXP!h#vXs&B)Vvg*H0xkph{1VdaWR+qzHZ&l~PRk62 z0@eyvh{xl&bb?Cr@<5(;3366QELKQVC@4xz&d*E91i2X$6d?a3=B2>G*2oB7*s_@D z8W|z`%8pA0*@p7Wk_-jaVug~7qSVBcVlDwzDJCNmE#{P>WFuxSMw2L3BV%17P`JR| z=)xrma$8zvUS@GdYKj8X2(VUjOJv7zad8+ZxE2-V7Zrme+0p{puc=&40XeCO#i<HK zscET2Ag`5Vq$+^SE=n!PFDg;cfY9+NMai1rIM2;5N>#|rOUp0H1xKMC*is7vBugO{ zp#-W6mk?KQNn#N=EvXhOgd`ScgOi7$fw2~Ma(-TMNl{{EUP-YLCl`BAekn*@6q})i zuAu=+s?6qc@-59N$%KRzD2`I|5|eULQ^0ORPSOgc#USZKh1|r7%-qskh5R&7AUg+y z7J~xV&|HfXtUN6zzZ??GY{sU#My4jn;p4-_!xfML3Ln*CNIqgVG%!SUFbfxxp@NYh z7r#?!W=@JiP>6yHBu_z{Z-^Z8o?J|-#R4qw#A(2#2Ql7I0Zq3;je>JVYI1gQX|9!m zk#S0@aawY+F&DC7>lnFMxWKMLbx<!OR~KVQW^Ss2MzN-qLUKW=f~|sqft7)Qm8pq> zwL)r6VnK0g3S7{{OhHe<H#IlEs8T`0*Gbb#p&&IeTftVr*x1-i&)CF3!CE0bF*8rW zR>9EROwYi?SixE$ttd5Bp&+BOI5Rmh2c*`(6ee1hSyWOA7Bn<6Ff>JWau9LhX{2Bz z&Ls*;2B}2~o_U!inTa`>Rp8_XO%y1h8cu|%Y+NixdWH%{Qd|;lnH35l`33no`RSDk z&WNOk&FB!c2(#w0ghUv~FkA)=y|@D<3vi6$iMs$|L)l2dNSI47peR)V9D@qZIjM<x zr3Ki6*B32#O}LC;!E2;|VZ!hXS7OQ-aOyQyFyiOp4J}AXEJ;-e$;{2nOUD+bILjC# zJ!1tF-6-K{Xklz_l$>Z{NO2ishOLaTz*)vvnCclDg7iSk7$YN7Jp&_9p#x5lNM($X znI)Do#?aEj0J)5*<dXBuD@iTNO-;!}gp@*XX>M*}QKf<^sQ70#G&9j+1(*Lu7F=dE z3gLdiwuX9UCT0o>H3}hq!L|l^1_lNoPPj*~t*(Kdp|J@_2E;Kk(K9o#sNsqNRTw6Q zX2=zWKU#t|<1&FJXh>#2FV0Y-AjQDMG|en6mEtI{AT0_kjr7b7%n`-Bk+G4Ui6QnV zFv1!I<|wtaHxWez3m22I0;omko|B)Hm;(+T94XoZEl~BjbRmIitbnR{c!nl11q2Hh zlL@#H0<A6a1t*c!r3tjUgqi4<S^_gwAt1517+cwcv$_NshRYz7STi=ZNJ}$HGdH45 z9<an&3{g7|;4FqsU@aPWXd*ZDhK>LIi7Nw46^ul<gn}{)bV~~q9P?5Xf>Oc7GPcwe zNQ9AWTr8%bge$@&1T7mpOHzx#O-BU-Y=%-&T^N~wY6y(#!cX5(K>;k|5o`<NV686v z&`LH_E@Mc^2J!=@`%!X=kwJ=~d5S?Ig*nC0$^cZ*qjw5S4XBY*OyOMxq=u!Tr5UJ` z1s`efK??+9E<<P_C}5_G;TZ%GM5JsME+#VtBUvtKm(-lZO3-kNLU49!xq^Q|NoFpd z-Vrg?2OAfQ87SBAbMZn<2e;Wnv9{U$h;S<h7n_-$A=E^aHYc_$=#7>DjJOOS2>@aS zihk7iH%>G%PfAXtuwOjzS%88<$lTBfT-2bqSz+alLI|Nk2xm<NN)jl^VR#097!e5? zoI#8fj3l|lopbV&vx&=k!DtEFipv6)u#FUOm@_=XI20`;Y`CnTA)x?CVW81ejN)u~ zhJ_aq>5YYp$sF9>56CYrQSivmNl|ditiV=y5?Q>PLknY=Q3{}D5jOK^P!t*H85&xG z3n>po#~N#U2xn0Qaz2XFQIm#|QL3qFl3@~MorZz02i(!Ji5`~@B%7Ekpd^Ih>3brF z4J^RJ29UAEw9Guv&~PfYl#FxOzyjR!N>zYq9-g5|<XF2Uc&t4vwJ0;K5;S1~?tP^w z<fWEi?cw7b;IdS}YR2#kTVgu5tX#~72H>G^m(+s%;!Mz#79`<e4OpDLAVUKM3<FR@ z)gsk2)zHk+VyKsX#H3hIs2VC5iE)X53&en;{N&W)VsN<P7(K+9Vhs%;g({jE!!vA& znY{sptr2)U1{}8F61E7>OhYM`jB9a8W^Q6hY6?^t(l9%CUf9$e%P>2xE(?x!0;{p9 zuBkclDEl5pu33yB8PF130o4D^OIOG%%}q)zDpp7=N>xZo1kG^f=P7`uv%y7LkwPlm ztxye#d5Jld#hJx=3U2vD3W*BI`JlxKC8^-Pbz*XIX%T4t0IC?YOd>xmO-G>sI$>U# zrvRNBhp31zhRiU4C&ZZzjbJ_pPZJoU&fnvl_cAnsq)C*pAD$^Pl89z7C`B5B2R%S3 zQWqR53a(|Tc_j+aT#F;qhoLp3Y`Lr<4JkuoZ~)^l3N@q+3@lSjEe$E05gYhcr3057 zIOK{HoS`W^MIpE}8B}nlmFDDBf^sUO5z=~yC{UI|o!D|k3s4;{E!VshP=f(vG>8T* z=@_2j=fNcwlnToAAa6p~KS9=2u}U#n7{ga{U@UKnVl_0^wE#_x!B_6oak;A&D|qIm z<rnLK)}w?c7J-%;K{(DunI+)$6fjY6Nu*i~UBIJ|nwOkk3YyzYP0<6bo?$YwKw5TV z#KOg7VGdf$VhAD(z(f>_iLMFCY6%}MDb-?lS(l<vjFJ*qq?n9Q77(GY6a$%uvYP2B zBUh1XG5UHF)nb$>NY!E-!^vQE(3xK_8-3CjA&3}41}%EwkzzKnKw0JmF6N;N+N=~n zOUpouUJR`aj9_d7D^o)d+t?T~+XGr`1fA<NV&!5sGBq}0=VCPk4N!wuqnU%(ZShp_ zKvsq=XXFxhtw>D<uTBDmAZUS|0xTu4nwl9K8)$JB<Rs=fW~3&j7^QM0Lu-hXqGSc# zw9K4Tg~Za7%zRMU1zJ%R4|Z~jUQt1bf^I<)cFBSy1>K^83ha_a1r<>ohDN$ZCKg60 zE4!vK5?TUCC)Z)FEVSd2^bAmNPAo~x$xm0vELJEj04+QNEo;ydV3lGqG%_~QVo%O5 zN=;AAi()sl)HSp)Mp;cp`2xBnOkB#K21HS6acNFTF=%lZXwe&}>5!SH0FEiuVn|JH zYGH<)$!;)mW$I_-=cX3xXBnAf=NA;{hXlk2JH|VPx_J8QmlS~FxuhsnH?^cRB{MOv zL>H#4peR2}-w_u7dPSvq#rm1K1v&AC`nXbs0HYNBEVE#YVl~n=G($;8UZj;4JX~Ce zBp-!QR$L`92Tfw+>Su%+n44OXk)HxtwFL@e<h+C=hd*E8P>7kwa45u?-;NN>Z-de= zn7PxHOVuSmFIAy9wFKN_jc{>>HL#JEX@au`ld-858>I0Y#cFJ!Yix?#NO$K_fvs}& zMT$D;QdN}IuI9+AUD=F`bq$SCD$O%YTt;ZQH3+gi71?BLnb*t!r84`#$W=<i%!@P8 zln5|N4UEMWj8SYxx`w7kpfytPR!9(Oxmtuv2s0C6=I-w#=I$+wTpMAz8<LD*eJBOp z+{B9bg2a-HVg*A3jH(k=G45IvyE@Fwj$Iw7($y_bEXs?|&x<e1%+G<WBEVkXQZ3sg zaEU`EJRvLm0uqZ7bBnE@l@XJrAuPuksdK3+1Q(>HrYNKrC8nfWDd-yNKqpf|DhpDr z6mm23;&T%#z**B0)C7b_e-@WBo>kP4&`>CaEHuQsjGEcd6kY^?nwut3Y{nM4hN!kp zVdT<;l?0Gv1Zz7LD=4WJD=B2=DHJ3YCFbO$=71Ui;D(e5azo02%MPM3KB=@gzBoIz zTnE<o&df_Lg6t>Ai7!emEl!2YfZJB_#U+U)rNyu~Ge)V~BDu8D+?Af12U{$HR!)K2 z+hCU&BfE^5i_sWvI4F$as~S2<%na^au24T>cnKr@W2ivN0x;L0HV4zWoIDXBr2ty= zu8>)*kdj#pUOlg;;Fqs}WU2x<C>2266j0L);xJI$Fq;@5?<0v~Gto6PFaz}m;E8J* zi5?H(3WAsq@dPx+F#L=homd*oDAEN<*hAq0iB1Erq=z~Vmhu#^6bb0f5tv$tkFd4x z(N$oUN$4tYwmgPkfw7&$Xe#9@hDK6BetBvUM$!R`;*JZ5G<5V1rVKMeAj-h$3>2d{ z`d!NiwgU&qwU{kEZ^TBYu+-#|{36{P&``Q#a!F=cW=SPzgsmVk9bD<@>4D2XCR0N# zR&Y2(u^JoenxYhV0bIshZm<nWuyU1<!JttLM!2CY#>ToRX4cbiv;;J^Xh4(U5^&Qr z9uiI%T_E!7g=Emellan-OxRu+jD(J%0+yPK3QDk-hzl9HvfL6gK^v$_@<9`#Dc})v z|Dwd?oK%Gp(1=BPQGRIwWQSQ^VlHH7pPoWUMrJXn1}RD{EX^!}?!SU8X(>ug&&<y& z29I5ZLH7cs7iFgCaS5<VF<V-iX|X{z2^tA;33vnt#XI?j`nfm;MaGBt2Sl+O8R}XZ zgPW^t6>N}!7gsJNgd;Oy`+-swP)r9IZf*=W9Bh!0p*d)&A>5$PgJP^oic2EAD6=G0 zAvhzkAl1bQJ}hgcV8qMCZ2;b?WniFZV2IA;;^HtiF|p7yH#Px{RDm}sfJd&3EkS%k zLrYU5Y$I15TxuX2LF1Ru)?G?sNg}9fPs+>#?TSb&O3uhEO9fZ)MrLNPMkcs9X9C_| z0k7X*4T>-n<l+x6$}dS(2*^oHPIYlY3pztH)Sxp55Bm`aI%8}>H#od2&Ls-<ZfQ<& zYKl){Npc3*)1dvG(DVZGA}sBI*o0Dw2{!NAa*4rGN`#B6n*yl5%gjp$7yryghK5?) zkR8LIVyHNZ&B#F4$PlH-_To~;W`IIYemZz)8?>+hYMOy17SjwsBYp5zG%nMM3sRFa z6LY}J6riRV8elQa5Y+93oAz!{BwaBs5lCEuW=>LrOY(~n(^IV!jCi=XV9}?Cmh(-? zj?Y1seEm{Oax#lc6r2;2GeCZ(cJh5cxMJ7^vKb!cTZ#*JtXcNspzyOKmpCZjrz!+w zR2HWsA=hch3ELQ@Ts9^@Veew(QUHw!sun|zAIL~7R!B-s%~OE(;lWd6tWwNoMuu9j z^9OjixRODestfWn^Gb};xl(C376>XhQ&aR(k_)2P4b628jV(aMB)k^o<Kl7!?{-Pf JFG>+$1OV(xMY{k1 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/rundef.js b/proj/AudioProc.runs/impl_1/rundef.js new file mode 100644 index 0000000..52db31e --- /dev/null +++ b/proj/AudioProc.runs/impl_1/rundef.js @@ -0,0 +1,45 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;"; +} else { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +// pre-commands: +ISETouchFile( "init_design", "begin" ); +ISEStep( "vivado", + "-log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace" ); + + + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/proj/AudioProc.runs/impl_1/runme.bat b/proj/AudioProc.runs/impl_1/runme.bat new file mode 100644 index 0000000..637899f --- /dev/null +++ b/proj/AudioProc.runs/impl_1/runme.bat @@ -0,0 +1,12 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +rem Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/proj/AudioProc.runs/impl_1/runme.log b/proj/AudioProc.runs/impl_1/runme.log new file mode 100644 index 0000000..ae743eb --- /dev/null +++ b/proj/AudioProc.runs/impl_1/runme.log @@ -0,0 +1,743 @@ + +*** Running vivado + with args -log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace + + +****** Vivado v2024.1 (64-bit) + **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 + **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 + **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 + **** Start of session at: Wed May 21 12:34:24 2025 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + +source audioProc.tcl -notrace +create_project: Time (s): cpu = 00:00:23 ; elapsed = 00:00:38 . Memory (MB): peak = 1680.582 ; gain = 325.840 ; free physical = 986 ; free virtual = 13536 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: link_design -top audioProc -part xc7a200tsbg484-1 +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Project 1-454] Reading design checkpoint '/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.dcp' for cell 'clk_1' +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2101.402 ; gain = 0.000 ; free physical = 565 ; free virtual = 13112 +INFO: [Netlist 29-17] Analyzing 121 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2015.3 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst' +Finished Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst' +Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst' +INFO: [Timing 38-35] Done setting XDC timing constraints. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.xdc:56] +INFO: [Timing 38-2] Deriving generated clocks [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.xdc:56] +get_clocks: Time (s): cpu = 00:00:08 ; elapsed = 00:00:10 . Memory (MB): peak = 2743.926 ; gain = 553.898 ; free physical = 279 ; free virtual = 12603 +Finished Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst' +Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/constraints/NexysVideo_Master.xdc] +Finished Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/constraints/NexysVideo_Master.xdc] +INFO: [Project 1-538] Using original IP XDC constraints instead of the XDC constraints in dcp '/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.dcp' +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2743.926 ; gain = 0.000 ; free physical = 264 ; free virtual = 12588 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 2 instances were transformed. + IOBUF => IOBUF (IBUF, OBUFT): 2 instances + +14 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +link_design completed successfully +link_design: Time (s): cpu = 00:00:17 ; elapsed = 00:00:29 . Memory (MB): peak = 2743.926 ; gain = 1048.500 ; free physical = 262 ; free virtual = 12586 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2754.832 ; gain = 10.906 ; free physical = 248 ; free virtual = 12572 + +Starting Cache Timing Information Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: 250fa6fe4 + +Time (s): cpu = 00:00:00.14 ; elapsed = 00:00:00.13 . Memory (MB): peak = 2764.738 ; gain = 9.906 ; free physical = 246 ; free virtual = 12571 + +Starting Logic Optimization Task + +Phase 1 Initialization + +Phase 1.1 Core Generation And Design Setup +Phase 1.1 Core Generation And Design Setup | Checksum: 250fa6fe4 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 + +Phase 1.2 Setup Constraints And Sort Netlist +Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 250fa6fe4 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Phase 1 Initialization | Checksum: 250fa6fe4 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 + +Phase 2 Timer Update And Timing Data Collection + +Phase 2.1 Timer Update +Phase 2.1 Timer Update | Checksum: 250fa6fe4 + +Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 + +Phase 2.2 Timing Data Collection +Phase 2.2 Timing Data Collection | Checksum: 250fa6fe4 + +Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Phase 2 Timer Update And Timing Data Collection | Checksum: 250fa6fe4 + +Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.06 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 + +Phase 3 Retarget +INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 3 Retarget | Checksum: 250fa6fe4 + +Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.08 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Retarget | Checksum: 250fa6fe4 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells +INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. + +Phase 4 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 4 Constant propagation | Checksum: 250fa6fe4 + +Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.09 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Constant propagation | Checksum: 250fa6fe4 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 5 Sweep +Phase 5 Sweep | Checksum: 2590e1832 + +Time (s): cpu = 00:00:00.14 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Sweep | Checksum: 2590e1832 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 1 cells + +Phase 6 BUFG optimization +Phase 6 BUFG optimization | Checksum: 2590e1832 + +Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +BUFG optimization | Checksum: 2590e1832 +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. + +Phase 7 Shift Register Optimization +INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs +Phase 7 Shift Register Optimization | Checksum: 2590e1832 + +Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Shift Register Optimization | Checksum: 2590e1832 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 8 Post Processing Netlist +Phase 8 Post Processing Netlist | Checksum: 2590e1832 + +Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.14 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Post Processing Netlist | Checksum: 2590e1832 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells + +Phase 9 Finalization + +Phase 9.1 Finalizing Design Cores and Updating Shapes +Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 37018c0d3 + +Time (s): cpu = 00:00:00.19 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 + +Phase 9.2 Verifying Netlist Connectivity + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Phase 9.2 Verifying Netlist Connectivity | Checksum: 37018c0d3 + +Time (s): cpu = 00:00:00.19 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Phase 9 Finalization | Checksum: 37018c0d3 + +Time (s): cpu = 00:00:00.19 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Opt_design Change Summary +========================= + + +------------------------------------------------------------------------------------------------------------------------- +| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | +------------------------------------------------------------------------------------------------------------------------- +| Retarget | 0 | 0 | 1 | +| Constant propagation | 0 | 0 | 0 | +| Sweep | 0 | 1 | 0 | +| BUFG optimization | 0 | 0 | 0 | +| Shift Register Optimization | 0 | 0 | 0 | +| Post Processing Netlist | 0 | 0 | 0 | +------------------------------------------------------------------------------------------------------------------------- + + +Ending Logic Optimization Task | Checksum: 37018c0d3 + +Time (s): cpu = 00:00:00.19 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 37018c0d3 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 37018c0d3 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 + +Starting Netlist Obfuscation Task +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +Ending Netlist Obfuscation Task | Checksum: 37018c0d3 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3066.637 ; gain = 0.000 ; free physical = 169 ; free virtual = 12270 +INFO: [Common 17-83] Releasing license: Implementation +33 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +Command: report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt. +report_drc completed successfully +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 253 ; free virtual = 12255 +INFO: [Timing 38-480] Writing timing data to binary archive. +Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 252 ; free virtual = 12254 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 251 ; free virtual = 12253 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 249 ; free virtual = 12251 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 249 ; free virtual = 12252 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 248 ; free virtual = 12252 +Write Physdb Complete: Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.12 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 248 ; free virtual = 12251 +INFO: [Common 17-1381] The checkpoint '/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1/audioProc_opt.dcp' has been generated. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-83] Releasing license: Implementation +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 248 ; free virtual = 12251 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 27ecc6cee + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 248 ; free virtual = 12251 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 248 ; free virtual = 12251 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 167eef5db + +Time (s): cpu = 00:00:00.56 ; elapsed = 00:00:00.59 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 243 ; free virtual = 12249 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 18bb8b76e + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 240 ; free virtual = 12248 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 18bb8b76e + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 240 ; free virtual = 12248 +Phase 1 Placer Initialization | Checksum: 18bb8b76e + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 240 ; free virtual = 12248 + +Phase 2 Global Placement + +Phase 2.1 Floorplanning +Phase 2.1 Floorplanning | Checksum: 21bed6f03 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 239 ; free virtual = 12247 + +Phase 2.2 Update Timing before SLR Path Opt +Phase 2.2 Update Timing before SLR Path Opt | Checksum: 2621c6b40 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 239 ; free virtual = 12247 + +Phase 2.3 Post-Processing in Floorplanning +Phase 2.3 Post-Processing in Floorplanning | Checksum: 2621c6b40 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 239 ; free virtual = 12247 + +Phase 2.4 Global Placement Core + +Phase 2.4.1 UpdateTiming Before Physical Synthesis +Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 193cfef11 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 211 ; free virtual = 12219 + +Phase 2.4.2 Physical Synthesis In Placer +INFO: [Physopt 32-1035] Found 0 LUTNM shape to break, 93 LUT instances to create LUTNM shape +INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0 +INFO: [Physopt 32-1138] End 1 Pass. Optimized 44 nets or LUTs. Breaked 0 LUT, combined 44 existing LUTs and moved 0 existing LUT +INFO: [Physopt 32-65] No nets found for high-fanout optimization. +INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. Shift Register to Pipeline Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. URAM Register Optimization was not performed. +INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 211 ; free virtual = 12221 + +Summary of Physical Synthesis Optimizations +============================================ + + +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| LUT Combining | 0 | 44 | 44 | 0 | 1 | 00:00:00 | +| Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Shift Register to Pipeline | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| URAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Total | 0 | 44 | 44 | 0 | 4 | 00:00:00 | +----------------------------------------------------------------------------------------------------------------------------------------------------------- + + +Phase 2.4.2 Physical Synthesis In Placer | Checksum: 1900362ea + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 211 ; free virtual = 12221 +Phase 2.4 Global Placement Core | Checksum: 12f68dd3a + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 211 ; free virtual = 12221 +Phase 2 Global Placement | Checksum: 12f68dd3a + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 211 ; free virtual = 12221 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 1f0618232 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 211 ; free virtual = 12221 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 24cecafac + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 211 ; free virtual = 12221 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1f390e2c3 + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 211 ; free virtual = 12221 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 1d2e5c64c + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 211 ; free virtual = 12221 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 103a337dc + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 205 ; free virtual = 12216 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 12d8eea47 + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 205 ; free virtual = 12216 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 17d194ed6 + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 205 ; free virtual = 12216 +Phase 3 Detail Placement | Checksum: 17d194ed6 + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3114.660 ; gain = 0.000 ; free physical = 205 ; free virtual = 12216 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Phase 4.1.1 Post Placement Optimization +Post Placement Optimization Initialization | Checksum: 1356d2b83 + +Phase 4.1.1.1 BUFG Insertion + +Starting Physical Synthesis Task + +Phase 1 Physical Synthesis Initialization +INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=1.663 | TNS=0.000 | +Phase 1 Physical Synthesis Initialization | Checksum: 157a5c336 + +Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.06 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 205 ; free virtual = 12216 +INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. +Ending Physical Synthesis Task | Checksum: 1d08911bc + +Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 205 ; free virtual = 12216 +Phase 4.1.1.1 BUFG Insertion | Checksum: 1356d2b83 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 + +Phase 4.1.1.2 Post Placement Timing Optimization +INFO: [Place 30-746] Post Placement Timing Summary WNS=1.663. For the most accurate timing information please run report_timing. +Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: e4223872 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 +Phase 4.1 Post Commit Optimization | Checksum: e4223872 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: e4223872 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 + +Phase 4.3 Placer Reporting + +Phase 4.3.1 Print Estimated Congestion +INFO: [Place 30-612] Post-Placement Estimated Congestion + ____________________________________________________ +| | Global Congestion | Short Congestion | +| Direction | Region Size | Region Size | +|___________|___________________|___________________| +| North| 1x1| 1x1| +|___________|___________________|___________________| +| South| 1x1| 1x1| +|___________|___________________|___________________| +| East| 1x1| 1x1| +|___________|___________________|___________________| +| West| 1x1| 1x1| +|___________|___________________|___________________| + +Phase 4.3.1 Print Estimated Congestion | Checksum: e4223872 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 +Phase 4.3 Placer Reporting | Checksum: e4223872 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 + +Phase 4.4 Final Placement Cleanup +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 205 ; free virtual = 12216 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1d100122f + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 +Ending Placer Task | Checksum: 1cc1a9794 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 +68 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +place_design: Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 3136.285 ; gain = 21.625 ; free physical = 205 ; free virtual = 12216 +INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file audioProc_control_sets_placed.rpt +report_control_sets: Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.12 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 175 ; free virtual = 12186 +INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb +INFO: [Vivado 12-24828] Executing command : report_io -file audioProc_io_placed.rpt +report_io: Time (s): cpu = 00:00:00.29 ; elapsed = 00:00:00.32 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 283 ; free virtual = 12195 +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 284 ; free virtual = 12196 +Wrote PlaceDB: Time (s): cpu = 00:00:00.2 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 283 ; free virtual = 12196 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 281 ; free virtual = 12195 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 281 ; free virtual = 12195 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 281 ; free virtual = 12195 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 280 ; free virtual = 12195 +Write Physdb Complete: Time (s): cpu = 00:00:00.3 ; elapsed = 00:00:00.33 . Memory (MB): peak = 3136.285 ; gain = 0.000 ; free physical = 280 ; free virtual = 12195 +INFO: [Common 17-1381] The checkpoint '/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1/audioProc_placed.dcp' has been generated. +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command route_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs + +Phase 1 Build RT Design +Checksum: PlaceDB: 36f8d0ce ConstDB: 0 ShapeSum: fd06db62 RouteDB: 981aeb64 +Post Restoration Checksum: NetGraph: 8c2b7ba6 | NumContArr: 2c668be3 | Constraints: c2a8fa9d | Timing: c2a8fa9d +Phase 1 Build RT Design | Checksum: 23de3fcc3 + +Time (s): cpu = 00:00:54 ; elapsed = 00:00:46 . Memory (MB): peak = 3336.340 ; gain = 176.043 ; free physical = 305 ; free virtual = 12015 + +Phase 2 Router Initialization + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 23de3fcc3 + +Time (s): cpu = 00:00:54 ; elapsed = 00:00:46 . Memory (MB): peak = 3336.340 ; gain = 176.043 ; free physical = 304 ; free virtual = 12015 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 23de3fcc3 + +Time (s): cpu = 00:00:54 ; elapsed = 00:00:46 . Memory (MB): peak = 3336.340 ; gain = 176.043 ; free physical = 304 ; free virtual = 12015 + Number of Nodes with overlaps = 0 + +Phase 2.3 Update Timing +Phase 2.3 Update Timing | Checksum: 18372ca41 + +Time (s): cpu = 00:00:56 ; elapsed = 00:00:48 . Memory (MB): peak = 3385.316 ; gain = 225.020 ; free physical = 269 ; free virtual = 11980 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=1.646 | TNS=0.000 | WHS=-0.134 | THS=-24.648| + + +Router Utilization Summary + Global Vertical Routing Utilization = 0.00016196 % + Global Horizontal Routing Utilization = 6.60939e-05 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 1204 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 1194 + Number of Partially Routed Nets = 10 + Number of Node Overlaps = 12 + +Phase 2 Router Initialization | Checksum: 1d045f6fe + +Time (s): cpu = 00:00:58 ; elapsed = 00:00:48 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 262 ; free virtual = 11973 + +Phase 3 Global Routing +Phase 3 Global Routing | Checksum: 1d045f6fe + +Time (s): cpu = 00:00:58 ; elapsed = 00:00:48 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 262 ; free virtual = 11973 + +Phase 4 Initial Routing + +Phase 4.1 Initial Net Routing Pass +Phase 4.1 Initial Net Routing Pass | Checksum: 338ff2f72 + +Time (s): cpu = 00:00:58 ; elapsed = 00:00:49 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 262 ; free virtual = 11973 +Phase 4 Initial Routing | Checksum: 338ff2f72 + +Time (s): cpu = 00:00:58 ; elapsed = 00:00:49 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 262 ; free virtual = 11973 + +Phase 5 Rip-up And Reroute + +Phase 5.1 Global Iteration 0 + Number of Nodes with overlaps = 152 + Number of Nodes with overlaps = 41 + Number of Nodes with overlaps = 16 + Number of Nodes with overlaps = 2 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=1.624 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 5.1 Global Iteration 0 | Checksum: 20d17d0a2 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 +Phase 5 Rip-up And Reroute | Checksum: 20d17d0a2 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 + +Phase 6 Delay and Skew Optimization + +Phase 6.1 Delay CleanUp + +Phase 6.1.1 Update Timing +Phase 6.1.1 Update Timing | Checksum: 23a27bca3 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=1.712 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 6.1 Delay CleanUp | Checksum: 23a27bca3 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 + +Phase 6.2 Clock Skew Optimization +Phase 6.2 Clock Skew Optimization | Checksum: 23a27bca3 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 +Phase 6 Delay and Skew Optimization | Checksum: 23a27bca3 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 + +Phase 7 Post Hold Fix + +Phase 7.1 Hold Fix Iter +INFO: [Route 35-416] Intermediate Timing Summary | WNS=1.712 | TNS=0.000 | WHS=0.119 | THS=0.000 | + +Phase 7.1 Hold Fix Iter | Checksum: 222e55b1b + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 +Phase 7 Post Hold Fix | Checksum: 222e55b1b + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 + +Phase 8 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.091244 % + Global Horizontal Routing Utilization = 0.108493 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Phase 8 Route finalize | Checksum: 222e55b1b + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 + +Phase 9 Verifying routed nets + + Verification completed successfully +Phase 9 Verifying routed nets | Checksum: 222e55b1b + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 + +Phase 10 Depositing Routes +Phase 10 Depositing Routes | Checksum: 28e5e1698 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 + +Phase 11 Post Process Routing +Phase 11 Post Process Routing | Checksum: 28e5e1698 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 + +Phase 12 Post Router Timing +INFO: [Route 35-57] Estimated Timing Summary | WNS=1.712 | TNS=0.000 | WHS=0.119 | THS=0.000 | + +INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. +Phase 12 Post Router Timing | Checksum: 28e5e1698 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 +Total Elapsed time in route_design: 49.99 secs + +Phase 13 Post-Route Event Processing +Phase 13 Post-Route Event Processing | Checksum: 2009d5911 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 +INFO: [Route 35-16] Router Completed Successfully +Ending Routing Task | Checksum: 2009d5911 + +Time (s): cpu = 00:01:00 ; elapsed = 00:00:50 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 + +Routing Is Done. +INFO: [Common 17-83] Releasing license: Implementation +87 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:01:02 ; elapsed = 00:00:51 . Memory (MB): peak = 3392.707 ; gain = 232.410 ; free physical = 253 ; free virtual = 11964 +INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +Command: report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt. +report_drc completed successfully +INFO: [Vivado 12-24828] Executing command : report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +Command: report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 4 threads +INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt. +report_methodology completed successfully +INFO: [Vivado 12-24828] Executing command : report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file audioProc_incremental_reuse_routed.rpt +INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. +INFO: [Vivado 12-24828] Executing command : report_route_status -file audioProc_route_status.rpt -pb audioProc_route_status.pb +INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24828] Executing command : report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +Command: report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +107 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file audioProc_clock_utilization_routed.rpt +WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid. +WARNING: [Device 21-2174] Failed to initialize Virtual grid. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3448.734 ; gain = 0.000 ; free physical = 239 ; free virtual = 11952 +INFO: [Timing 38-480] Writing timing data to binary archive. +Wrote PlaceDB: Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3448.734 ; gain = 0.000 ; free physical = 239 ; free virtual = 11953 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3448.734 ; gain = 0.000 ; free physical = 239 ; free virtual = 11954 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3448.734 ; gain = 0.000 ; free physical = 239 ; free virtual = 11954 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3448.734 ; gain = 0.000 ; free physical = 239 ; free virtual = 11954 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3448.734 ; gain = 0.000 ; free physical = 239 ; free virtual = 11954 +Write Physdb Complete: Time (s): cpu = 00:00:00.3 ; elapsed = 00:00:00.35 . Memory (MB): peak = 3448.734 ; gain = 0.000 ; free physical = 239 ; free virtual = 11954 +INFO: [Common 17-1381] The checkpoint '/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1/audioProc_routed.dcp' has been generated. +Command: write_bitstream -force audioProc.bit -bin_file +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command write_bitstream +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +WARNING: [DRC DPIP-1] Input pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPOP-1] PREG Output pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult output leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. +WARNING: [DRC DPOP-1] PREG Output pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult output rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. +WARNING: [DRC DPOP-2] MREG Output pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. +WARNING: [DRC DPOP-2] MREG Output pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. +WARNING: [DRC PDRC-153] Gated clock check: Net leftFir/firUnit_1/controlUnit_1/SR_nextState is a gated clock net sourced by a combinational pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]_i_2/O, cell leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]_i_2. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +WARNING: [DRC PDRC-153] Gated clock check: Net rightFir/firUnit_1/controlUnit_1/SR_nextState is a gated clock net sourced by a combinational pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]_i_2__0/O, cell rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]_i_2__0. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 13 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Designutils 20-2272] Running write_bitstream with 4 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./audioProc.bit... +Writing bitstream ./audioProc.bin... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Common 17-83] Releasing license: Implementation +118 Infos, 16 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 3770.215 ; gain = 321.480 ; free physical = 282 ; free virtual = 11622 +INFO: [Common 17-206] Exiting Vivado at Wed May 21 12:37:32 2025... diff --git a/proj/AudioProc.runs/impl_1/runme.sh b/proj/AudioProc.runs/impl_1/runme.sh new file mode 100755 index 0000000..7fc74cb --- /dev/null +++ b/proj/AudioProc.runs/impl_1/runme.sh @@ -0,0 +1,44 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin +else + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH= +else + LD_LIBRARY_PATH=:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +# pre-commands: +/bin/touch .init_design.begin.rst +EAStep vivado -log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace + + diff --git a/proj/AudioProc.runs/impl_1/vivado.jou b/proj/AudioProc.runs/impl_1/vivado.jou new file mode 100644 index 0000000..2dd76d0 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/vivado.jou @@ -0,0 +1,24 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed May 21 12:34:24 2025 +# Process ID: 1258769 +# Current directory: /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1 +# Command line: vivado -log audioProc.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace +# Log file: /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1/audioProc.vdi +# Journal file: /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/impl_1/vivado.jou +# Running On :fl-tp-br-544 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz +# CPU Frequency :2095.290 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16687 MB +# Swap memory :4294 MB +# Total Virtual :20982 MB +# Available Virtual :14828 MB +#----------------------------------------------------------- +source audioProc.tcl -notrace diff --git a/proj/AudioProc.runs/impl_1/vivado.pb b/proj/AudioProc.runs/impl_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..43fe724d5024c59ad1aba1b734abb54e8ce0adf4 GIT binary patch literal 112 zcmd;z;SzDJ$SldsOIJ`WR!A&SP%YNe)8i6gm0~tBFw<g7&d<%w&okoSVhhVGOH9c( zlH!sGPfbzqO{`QfGE^`$vNATeGB#2$GB7fYVly<?H8L>EU?^a%V4c9oAi%`M$HnEE Pm!c4qnw(#hBESd$@bnq* literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/write_bitstream.pb b/proj/AudioProc.runs/impl_1/write_bitstream.pb new file mode 100644 index 0000000000000000000000000000000000000000..e5baa9b9a360229ed7b101346a4d0094fa269229 GIT binary patch literal 10106 zcmd-|<l=G8&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZGT_oHFUl-QjZexfDK05W zP0Ur$P0KGzPE|-OP07p;D9TUPOUf)!&`rwBi%-kUNsVGP(KR$Q&R{5DsbHDF$RNPP z)xgN*;8;?Unp;qknU}6mlCO}STB4Askdv95npd2vkd|Mhkd~TQQd*R%psrf1u8^3Q zqMu)+kdj)KnG6vExs2J^z(R{HIX^cyKhH>ji_bH+ASX39HLoPGBr`wHh>MG(BH29A z$iSc^ip|hm*Vx1y*%1X?2JZPKq}j<T#cXV7K$4x784Lxi6|4}Cr*Y{7mFDGvJna(X ztdLl&kf=~ll$xBMmy!u`GbkuP{z=SBfrdVdp|Odj7H2_DVxD70YGR6!AQwMc_(!oD z8tH<RA^XmbOVTqy!8x%cF(*G=A+uPav;bsyN@7W>o&c*9i=mORkrsP$eo<<AYF-q( zp{1^&g)xd-?YLx+-CCYmlA)kltWc6sl$w}Q%q74o#bjin#hg-<Y{bmPXcEP0WUOlh z3Sqc!cd~IcvH4~e7lZuk?B?zi77U6>1!sRhH&6HYFn^yAM|W2Rm(=3S^gM-tqWpr? zqLR$iVk-r|)Xb8M)FOqFj8p}v#=QI@bd6>CIVFkdsR{)Uos}SO7N?ddWafc2CYKf! zrRJ5yr-04ZQ&4cu&r8cpFD(Km4~3+}yle%S5yh!FsmUb@8W77g6>>|9OB9k)6+p(7 z<ST?ZJNql-7b&>=x#(c?0-AD=vy=0Sia<stqgV>HPY2}2{Gt?)7xNWTQcF^cax?Q% z!Or&7_eVIZw4flrs05rLK!z4%<`sjqg57SQr=Z|j0`_!idU|ScNoooxii-<UlQYvQ zL1q=FDnJ9W6dVU2Bh+0}GD{R(AUO#U=IRP*rFqHVGzbko_fSuems2u9-pHv0Sqsu1 z;o__S%GL@9Bh&J8a`MYTkz8DvSCUv^#l-~*xOjNHKtffaEHS4v)leZCHDY496qL&| zQj1by@|ndjS0e>GhLNag2yC<w0i%sTVFmI7G!{S!rz|rC5^SjP1PNd+uAtO3NF0H* zL5m+$m8He0MGEPqnJJKBD>uI=RUtDkEx#xioXqr8iv`%Um|cRLjl{SZ1mvUyT!Nfy z0y6Uy0&)_;C4mKF6bB@LbPY2Y3fL;xAZ0}-Ggq2tUO{PzLP2IhYECAo)UZ-;2@U|| z!c4G)YB9)1dHHZ9sVRC20dUnI#U>UC5Vhr*IXMcMxdlb}WvL1UsYPHH<|U^hIWUcj zK`Dt#FDEsv#4WQ(KP|H;G%vFx-cTQuF%wHN%Tl5IVCVS6l$4;<;?kTF0Zu6rbr=<J zF(~D5nUQ9XzGJkJrIkUf0JD?<sXC3c!9lMI3VOfPlAO%qk{Zvv;*!L?<kT8yc%|03 z1P7Q{xEfk8MzOgBcm|BdMnNj5sKgrU`cBb?=H$hO(`anq4p%bshI6zDnX%zah1lq& zdr6hS#h{eJrC*epo<UksMXDB~A}$7{JT7w*Z9*!n3`y2H8YB4X8**a=QDBiABh)Cd zM)L@$A%Wa*&_@(nB*zFfO06@jTq~FZf?VAd{7Xx4G_&#{GFaMK3K}4-wg#Fg4K7eC z5!{SWfa=86>H>En^3zIE^Aw5`%TkLK3i8WSixi6U6*AFU^yL|;d8uWoMG6J^#l@LP zIjNvFep+cxP9>~e2<kRu7Q@@`pk6_mLP<tuF|_>$Z`hY7f;tarsYOMpDLNPym4G@T z#mPmPNvR5nc?yXskS=LrjzUptdS-D6$a&!IR$>~64YmMgG|bLasFe_#Kn<*t%tTPX z1lp&7bj7gR4en`Wq$+@dRUxsYq$o3~v;^!~!y(f|4IsN34j`k@_eX1@UKmZ#nZ*jl z$bI3I)WM#h2YpKhk)}y5rKypqce8U%XZ6KjO6Qj5lw=m<WWq}*tmQSx5Nzc&l5SXW zrhvED21|lUZ3WP{Rc2ada$;TyZ3=KCw?T?jnik%mk&9x5ynJ|ppPB-y22zXk6O)rm zb4zm)OF#p&@X;PnZ3lH>CA|94Q79?TM^sJ4pemzSA+abGHD@M*hKv-F@=G#6162y3 z$N|@h;Nl-v;S_`Fx};Qv(qhoShJq)kTBERv!C@o3!U0=pr~s;UVWT9Vfh`Pg7LQg+ zkZ~roaTEUlT_faCto@_;7g92f=HEe^e@E+J)Ui+WJba3k>l33pxE-IIlb@Wekerd4 zoDG_#DFKf~gJ!YPk)-odOB9OpON)|IQxuXa6%rMa^K+9j^T6Zbi8%@dnRyDT#X1Vf zsW~|cs>ON=A)vlWCaC5qQAp3vPf>t$6BG)H5|c|n6MmrSFmSD#lbM|gnu^FRNK7t) zmCvA2Ts;N1{33;5A5Ujjc<oiJ1D-cYtw;pT3+jMs5pZ4O?5Y5ALP@?ta(-S(QGO0s zG$%hX1ys`KrzxZ)mLwt-?@3$?N^x8|n1jwx?XW@dp!mGhijv@x#FA72b}5q78%<{9 zVo>U1<Z>Zoi(9a7e12YPMt(_rFp`DwMXBl0Cb99E@kaXo0?blWF~_JM<f<-4E+@)e zCBP{~1yhiFNdXy^#hJ;8IjGZmHO?8C1qwc?WvMx!iIo7CAZJ}eQ_$=)d}8I!$WG2F zTntJHT)J3>CGn<b(li*&1|^+oj9eZB?IE0^<Kqp$iJEF=8BGVdZW1Gx8+BYKz$rx~ zlc<uwL%Bpjs|(UH^D>JwQd1P5Q}<lpd4Ed-Q{?3Y;#{Hz3a&*(`9;M#3WmlC;fY0{ ziN<2^q5@+>OOz!6nOsf*IjM=osR~8N^P(Wjic-N7)fx~wKBXvG6I}XY%!k5UVTR-i zh-F3w2FPnA!nrhI>krTtJit5zTZ^CvG1J`CK#Lnvb(EH5<`hGgC9oSA=o%TBgBqpq zg$edtVm{E~9#qzY=d+9T^z=ZBN>~hy%neb@0lUu7(99HdeT68@h~mtWR7jnHFu@qB z382*x@I^34jws3p4RwK>g5(GztVWn2JHnnzETAYqITf<PBEJAMeUI>mu^BcaObtzt zjj-Vob}mXy1h2zM$}GuEEI>HH#1fkmOhB{La9@~ni6QHUtO$Y{!Dz0<311}?#bRQh zixL2SToU0$nJ}9nIzjCLNGLKH8Y1ZiEql?^M_FMM#cE=pYlz|)%1q3|Vxk+Dyi;aL zdTO46b3UjQ0UFB;E=>jnId}{fn)J*JFp{&Og$YWU^WYK-N(E&eP$L7n&<V1{idBlq z!Wj3Oq9|5Fb6pEi4F*rY4UAmws>KSPd1?8@I-n*PEZ2fL&Y(aARg5rEaK~D;7@Ex$ zQuC7YOY=%ni&9g-DW1v50(pTKD;Kk&p@k6(7n7kGC<_^Yi6|BmUDTNO=aNz_1~u&p za#BITQj8QVpp3+1WQlAnmUT-YBSB#VPfRZuxr$VaLo#zy6*P)9trU_AN)>ED{<Bs{ z%}FdMPECPu^%Q(lbMuQT6*PREG_4d0QWLWw64naoiJ5sYc3M$tszL#*nE*8iELfIV zR8pFl16If-z$3+MVu4uICCnv=R^V7EfEJS(7+4t?SQ%QPvyIKo4fKo*O^rCY*o}=0 z^-L@bj99stjVz3e*tu8@4b6;<!0XS<jX<8|so;UE@8IL&a?MLo2ue-PFG>+$1OUz? B@P7aR literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/synth_1/.Vivado_Synthesis.queue.rst b/proj/AudioProc.runs/synth_1/.Vivado_Synthesis.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc b/proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc new file mode 100644 index 0000000..ba23bf8 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc @@ -0,0 +1,55 @@ +set_property SRC_FILE_INFO {cfile:/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/constraints/NexysVideo_Master.xdc rfile:../../../../src/constraints/NexysVideo_Master.xdc id:1} [current_design] +set_property src_info {type:XDC file:1 line:8 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN R4 IOSTANDARD LVCMOS33} [get_ports CLK100MHZ] +set_property src_info {type:XDC file:1 line:14 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN T16 IOSTANDARD LVCMOS25} [get_ports { led2 }]; +set_property src_info {type:XDC file:1 line:15 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN U16 IOSTANDARD LVCMOS25} [get_ports { led3 }];#[get_ports {LED[3]}] +set_property src_info {type:XDC file:1 line:16 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN V15 IOSTANDARD LVCMOS25} [get_ports { led4 }];#[get_ports {LED[4]}] +set_property src_info {type:XDC file:1 line:17 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN W16 IOSTANDARD LVCMOS25} [get_ports { led5 }];#[get_ports {LED[5]}] +set_property src_info {type:XDC file:1 line:18 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN W15 IOSTANDARD LVCMOS25} [get_ports { led6 }];#[get_ports {LED[6]}] +set_property src_info {type:XDC file:1 line:19 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN Y13 IOSTANDARD LVCMOS25} [get_ports { led7 }];#[get_ports {LED[7]}] +set_property src_info {type:XDC file:1 line:23 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN B22 IOSTANDARD LVCMOS33} [get_ports BTNC] +set_property src_info {type:XDC file:1 line:24 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN D22 IOSTANDARD LVCMOS33} [get_ports BTND] +set_property src_info {type:XDC file:1 line:25 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN C22 IOSTANDARD LVCMOS33} [get_ports BTNL] +set_property src_info {type:XDC file:1 line:26 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN D14 IOSTANDARD LVCMOS33} [get_ports BTNR] +set_property src_info {type:XDC file:1 line:27 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN F15 IOSTANDARD LVCMOS33} [get_ports BTNU] +set_property src_info {type:XDC file:1 line:28 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN G4 IOSTANDARD LVCMOS15} [get_ports rstn] +set_property src_info {type:XDC file:1 line:32 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN E22 IOSTANDARD LVCMOS33} [get_ports sw] +set_property src_info {type:XDC file:1 line:34 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G21 IOSTANDARD LVCMOS33} [get_ports { sw2 }]; #IO_L24P_T3_16 Sch=sw[2] +set_property src_info {type:XDC file:1 line:35 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G22 IOSTANDARD LVCMOS33} [get_ports { sw3 }]; #IO_L24N_T3_16 Sch=sw[3] +set_property src_info {type:XDC file:1 line:36 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33} [get_ports { sw4 }]; #IO_L6P_T0_15 Sch=sw[4] +set_property src_info {type:XDC file:1 line:37 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J16 IOSTANDARD LVCMOS33} [get_ports { sw5 }]; #IO_0_15 Sch=sw[5] +set_property src_info {type:XDC file:1 line:38 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33} [get_ports { sw6 }]; #IO_L19P_T3_A22_15 Sch=sw[6] +set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33} [get_ports { sw7 }]; #IO_25_15 Sch=sw[7] +set_property src_info {type:XDC file:1 line:91 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN T4 IOSTANDARD LVCMOS33} [get_ports ac_adc_sdata] +set_property src_info {type:XDC file:1 line:92 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN T5 IOSTANDARD LVCMOS33} [get_ports ac_bclk] +set_property src_info {type:XDC file:1 line:93 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN W6 IOSTANDARD LVCMOS33} [get_ports ac_dac_sdata] +set_property src_info {type:XDC file:1 line:94 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN U5 IOSTANDARD LVCMOS33} [get_ports ac_lrclk] +set_property src_info {type:XDC file:1 line:95 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN U6 IOSTANDARD LVCMOS33} [get_ports ac_mclk] +set_property src_info {type:XDC file:1 line:202 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN W5 IOSTANDARD LVCMOS33} [get_ports scl] +set_property src_info {type:XDC file:1 line:203 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN V5 IOSTANDARD LVCMOS33} [get_ports sda] diff --git a/proj/AudioProc.runs/synth_1/.nfs0000000000db377400000226 b/proj/AudioProc.runs/synth_1/.nfs0000000000db377400000226 new file mode 100644 index 0000000000000000000000000000000000000000..b20be21d98c626ae26c0cd3c956881e98765c42a GIT binary patch literal 7813 zcmd;j&d61yS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5 zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4Shkkr{$*Vhmy%npqg=nOc|`adNR6 z8=2`@m>3wbaxohjni;Wku^Jki8(T)P8Jg>w8yRIV6!28=OkiXXVB)glk`78uD@rZS z$jnPu@C;BWN-fAQ&Me6<%1kZh5@3~LHZnHRVo%O5N=;AAi()gh)HO0T$zUj8tzd<i zu#Aao0i#=DW=?8~LP@?tPJUvFLTPbo5sG1z3hJuG>edRX#ay0g3MCnt#R@5zMXAXp zpx`Uc$S=)FQOE;n&Pz`%Qb<Zw$jnoy%r7lc$jK}&QOHk&n278)9fkCKkbNZ?sUVwz zQ%g!R^U^`aCg$X)D<tNnC={jU=9i@^WR~c039w1A7#bT|z<pw5!)2wPk)NAdte<6M zlAT{rpdS(tAM6<K80zBbuU}H2o0geVQk1HjT2h*lnV46i3sVMigpmT5oO5EHdWk|> zW?qT{$PL8>smYmXnW-rX1&JjYdQt44kTNo{$Y3a7t6+l!RVO2tl23kON@|KiSY}yb zN<PTtAoIWh4hbVYP@uCI8XFrS-0Hw(r(arJ1a_}}YF=?klA*q@tE+xQW=>{ag?<^> zEF%LW6FozHh{5_$UP@v~qJCxp#5Kl-#>f$On~{shIX^cyF)zgm6fpuUQcR}CTAUE$ zN|JMoRx)xeV=S)BE6IpYNiEJy&r{GX$uCeyEKSMG4=Bn{R?sa-EGki`NH$M2GB7A9 zPD(eiFwr$s&`nFs%P%d7&&kZqEKx8qFi_A<E6$Bittcr<OfJdH&r`_HOO4OSFHz9V zPE9R{Pc1CXEKAHu%`1s7N=?r!E=esaR?sa<EzU13N=}V0&PXf*rOy1cGzHzf{P>(? z1>NF|qSW;G+|0cA;>@a41=A>26J0~F|5z$mAfau=B^F$gSOiKI3do+|5@3;HHZ-wB z@+nBSv5{d0Ljhd(8YZrVj3F7R;DoDCl30|U3QmZixK7K@$;mGVImt7iSRt_}RiU^f zF(*|=p&%zUu{c#BJvA@2D6u3JoG|iBOA1O$6bg#+Q%aLdiWN$WK^j5Ez?8+qOfQ1E zGqXSeR0x35a8hboeo?AIQE6TtNE@<0^te=u1=ysR4b2RYykf-7#Tg8Wvee?tVxw@b zP;jatIh7X|CF^Gv=qKl7$CqbT#Ty`4dKJl;T;Kq+1SKbU;@&t^15AL6&oj3mCp9-U zuOtzaI_Vi|_ZhjwJOdz!EVEc4CqFqmHN}ccwHQ)l8JQU%iUDpePI!D6O=0Ak$fyM> zKvGiEGV?M)4p&fj1{nZKDB+n^iA5<28m4+in(7K^`QYMM9j;wnK_j`exFkO}vnn-3 zp**uBL!mf7t)v{3mWxs$so2QC&{WS@Qz0X<SRqj%FSR_iNFg^dE5AsgEVZZ@l#4U- zz$x4_K*2e&1XS!oi#<a#P#wbtsv#H!n7FFB92`qZQgaKyS+OKv0hE;z6>>6@Q}c>b z!H!EyO)M!bf@ZeFycGTXB88OHvdm<N5ID;k8(6?AN0da)#l-<Dg1}Xrv57fyPR`~s zaL+Fx%{o>oW@AG{T};3_OXOnJm5U!##)BdOTso>2gKAMjQ*$jgh>t)uJIuYXA~TB3 z$WYhB0F<HN6>Bt?N<d;!38-vVNXbtvR>;dQQOHOvOI2`ob@Wxp$xJFrEUMH4nQm!j zhSzj<BST$FBO_3-z>Ux6^6)LqDakC!C`wIC0Xa7{FEI&Ji-S@NazO(vvJw??6Du-v zOLIZ>v1&1>L`zOBE>0~5If2E*!pK64H9xVqve<~3i_s*C%|h4Qz#JuL61nVs5=--v z!EKF<)SQCUBA6-QR8U-ySPm}ck~1=MQi@XZ6d-jGOi?N*C_y%vLv4au0;*);{tDny z_CPf{2W%%Sod<ZjD1hSxWRMBeAW*Bx(8$!(!pHz>rYUlq9%AHTQY{wX(P9OM3#4L% zS1v&zJ_@cmiAniI;NnBUN&&s?2x+t#n4+{Gp@L=#*xQjtrUnLj=9b0^@YbY>p{1Uw zp_ziU0(z6u$jAUDifB_B8kw0GaUnPM&NFfuq~#aoCgvy<<QJ9bgDarSWCa`5VmpOQ zNCHbqP0mRyN=*T^z(C>x98xSs1}4U^fa2rg$&3dz@*wq^5kD7iegUYq%PdPR&C4t? z^5ya*zsku-$<a^CEDFubEYT~=ND*K(V&`HuG_Vk0vS4&z1Vs(VEg1|292FdpT7Cy3 zmp+o8ASEfN$jZxCNK6Jdufb(WT4HHVi9%UoPH8I0OQz-~$Z-$xQY9@MZ)kvUyrqeS z8M5OSGjfT78pfc&hX;H{YEh~Hs}zf=p{W@x)H%7>VNML@@~13(;n9T@%4}RrCP;y7 zVPT1qr*<=PX@epc61thi3YqD7`JhCSQkn{CgclU0mSyIb7AvF{73CL!bE&zhAw13S zbMYn?C1+&Dr)3s_jG;*|8$pAa-9p#U(8v^21HsFL>5N?JVID3%3Tc@+kQxOP;%J^g zxZTX$0G>F!shFIjKyEWIF+nNjS1@u(flHOt;>;>gF`S#9QVMk?w45@rgcW$8)*Zb0 zZN$XIKzUHYjngX=U^HUmVlp&_h9sMXu9=}JN~Q6FkxK%XV?h;>nK8T)KyR(|Gm@8V zLA_snM_89buc$PySRd?!ctd@?h|C;lk4G0&e4CmW=%(f9mK5kF73rFqnCKU!Cgzl6 z=B6T=ukpntrAeT&B?szTSh8oe&^0qgN%dD5xhz5DBqY`6rzzwjVg{6cO7oKQ^YT)Y zOHxz7)mLU-aY<qxw8;YMahg~d!h?gIi?t}VIJE>6gYae;sA~@57}6jbEzA+oXl`H* z>fFHF>Mt0%yir^Ws?w2t2P!~Sixr^$%goO!R)CdHItodpB?|d@IhCLxk@U>6)I6|X z%}wEnl%0ze;wzLiz|6&H4r&^h(cH5}pbQ50>?)En7!Ma0I7h^T+SK42=EvnlUdjda zp+FTH!aP_OGcrNM4SE(^$;hRf4C+M|mn4>eJ0+mB25S4ImFDDt2R1;llb5fMk_xWW zK>5zl)D%`#(7?H-hEV6STId>@qO^?W5?>&2aIuAidpeio82NB{P!^&Pb3nNm+Cnh2 zM7R(w7f)m4l7@zqLK3KZ1P;#BveY8bpi&Ab^o)$)6)n}BW^4?r|5+_`jf_CmKfGER zXs4OMO8UX)Gz+98H^@TG5-F_>E~l9o!peNi2;IWSrJ9nTmkJqT!qV1I&`>Q_RxQ>9 zX9z^g6On6~xfl&V<+mY?^RJO9N+ww~$jU=dhXhZ7c#x4x9d9_R79)i;i;0OPyyV8L zdJsWQgHj!>5<)4~myuLwa&d8_q$cH;<|U_sYfOp@QJ87)`Vv-9VQG@?B|pH?-Hixt zTKfPcu;(yxi6S??z;%6oX<mu|hZM7ku_df#2Xz9CisO?@a;V*c0;OqVq=vAGF=*Td z-p5|g$fcMDnc)Gqys?xXs>PsQwTX!(ye8%5;siH*KobSvR6+5;0NglOvOw#pfQk*c zo3RerxMk*L7H6cUC=fkl0~&2XO!`5GY%FjN*_d1CnVT6Q4cS<l>zP=Xzy@cKW&v>w z*_fJ`p$yqLbBP6|g2rP(Jwxb-DQH9xG)u%}VT^S^G>X;GT-O5B28OpZ>bTrhixoWc z((;RSK+X2>#3Il@8-(Lrlvx6vn}msi8=0!b3a;SZyh3VTa(-!ENorAQiXLbrmdVHh zdBTB(i^<3WR56%>2yhi)8pUFwYXa(Wz~@tJxVTh{6(FeyY=|++tOXwz4>)N-Cz`<e zK|X-%ui+AgPF6t;frJ8w6qA`HJQUoyT;X#cP-P0buvwYnRLF!(a(-TMeom@Fei3L| zMFBKh2p$$l1CJAxBxdFmgG0~^B@e`KiMUo|f=94bixm<}6jY1#^uUvK%ti)ga2IfJ zu|a0wjHI|E!c$Wed=o1bj0_bFjjW8!tc*+*j0}uS!K2hh2B7o`k0CxTF4w#ig`m{r J{Gt>AMgW7b@4Wy3 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/synth_1/.vivado.begin.rst b/proj/AudioProc.runs/synth_1/.vivado.begin.rst new file mode 100644 index 0000000..c42725b --- /dev/null +++ b/proj/AudioProc.runs/synth_1/.vivado.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="j24kopp" Host="fl-tp-br-544" Pid="1257427" HostCore="4" HostMemory="16296780"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/synth_1/.vivado.end.rst b/proj/AudioProc.runs/synth_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/synth_1/ISEWrap.js b/proj/AudioProc.runs/synth_1/ISEWrap.js new file mode 100755 index 0000000..61806d0 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/ISEWrap.js @@ -0,0 +1,270 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) { + if ( ISEScriptArgs(loopi) == "-quiet" ) { + ISELogEcho = false; + break; + } + } + + // 4. WSH version check + var ISEOptimalVersionWSH = 5.6; + var ISECurrentVersionWSH = WScript.Version; + if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) { + + ISEStdErr( "" ); + ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " + + ISEOptimalVersionWSH + " or higher. Downloads" ); + ISEStdErr( " for upgrading your Windows Scripting Host can be found here: " ); + ISEStdErr( " http://msdn.microsoft.com/downloads/list/webdev.asp" ); + ISEStdErr( "" ); + + ISEOldVersionWSH = true; + } + +} + +function ISEStep( ISEProg, ISEArgs ) { + + // CHECK for a STOP FILE + if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) { + ISEStdErr( "" ); + ISEStdErr( "*** Halting run - EA reset detected ***" ); + ISEStdErr( "" ); + WScript.Quit( 1 ); + } + + // WRITE STEP HEADER to LOG + ISEStdOut( "" ); + ISEStdOut( "*** Running " + ISEProg ); + ISEStdOut( " with args " + ISEArgs ); + ISEStdOut( "" ); + + // LAUNCH! + var ISEExitCode = ISEExec( ISEProg, ISEArgs ); + if ( ISEExitCode != 0 ) { + WScript.Quit( ISEExitCode ); + } + +} + +function ISEExec( ISEProg, ISEArgs ) { + + var ISEStep = ISEProg; + if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") { + ISEProg += ".bat"; + } + + var ISECmdLine = ISEProg + " " + ISEArgs; + var ISEExitCode = 1; + + if ( ISEOldVersionWSH ) { // WSH 5.1 + + // BEGIN file creation + ISETouchFile( ISEStep, "begin" ); + + // LAUNCH! + ISELogFileStr.Close(); + ISECmdLine = + "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var wbemFlagReturnImmediately = 0x10; + var wbemFlagForwardOnly = 0x20; + var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); + var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); + var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); + var NOC = 0; + var NOLP = 0; + var TPM = 0; + var cpuInfos = new Enumerator(processor); + for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { + var cpuInfo = cpuInfos.item(); + NOC += cpuInfo.NumberOfCores; + NOLP += cpuInfo.NumberOfLogicalProcessors; + } + var csInfos = new Enumerator(computerSystem); + for(;!csInfos.atEnd(); csInfos.moveNext()) { + var csInfo = csInfos.item(); + TPM += csInfo.TotalPhysicalMemory; + } + + var ISEHOSTCORE = NOLP + var ISEMEMTOTAL = TPM + + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" ); + ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" ); + ISEBeginFile.WriteLine( " <Process Command=\"" + ISEProg + + "\" Owner=\"" + ISEUser + + "\" Host=\"" + ISEHost + + "\" Pid=\"" + ISEPid + + "\" HostCore=\"" + ISEHOSTCORE + + "\" HostMemory=\"" + ISEMEMTOTAL + + "\">" ); + ISEBeginFile.WriteLine( " </Process>" ); + ISEBeginFile.WriteLine( "</ProcessHandle>" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/proj/AudioProc.runs/synth_1/ISEWrap.sh b/proj/AudioProc.runs/synth_1/ISEWrap.sh new file mode 100755 index 0000000..05d5381 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/ISEWrap.sh @@ -0,0 +1,85 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +# + +cmd_exists() +{ + command -v "$1" >/dev/null 2>&1 +} + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! + +HostNameFile=/proc/sys/kernel/hostname +if cmd_exists hostname +then +ISE_HOST=$(hostname) +elif cmd_exists uname +then +ISE_HOST=$(uname -n) +elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] +then +ISE_HOST=$(cat $HostNameFile) +elif [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi + +ISE_USER=$USER + +ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) +ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) + +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "<?xml version=\"1.0\"?>" >> $ISE_BEGINFILE +echo "<ProcessHandle Version=\"1\" Minor=\"0\">" >> $ISE_BEGINFILE +echo " <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE +echo " </Process>" >> $ISE_BEGINFILE +echo "</ProcessHandle>" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/proj/AudioProc.runs/synth_1/__synthesis_is_complete__ b/proj/AudioProc.runs/synth_1/__synthesis_is_complete__ new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/synth_1/audioProc.dcp b/proj/AudioProc.runs/synth_1/audioProc.dcp new file mode 100644 index 0000000000000000000000000000000000000000..dee9ba1289b3ed6f285a537e3b2bf716095b4d2e GIT binary patch literal 159231 zcmWIWW@Zs#U|`^2@J!hn#j%C&={ZIQ22NH626hHchLq$2y^7qN&=6JzX00{48GEP1 z_Rn$<X<Pq#{fvUEaXQ^P-Ma$KYgU@nNZ4J8n<&_3rE8QXvEtwFS5|4sH_c+ce%tf@ z^OGk$iGQl@h%a#5a%jmMj@m$>xaE5{ZghTmp823E^Xh1|(@X`0o-bS`v}C@&9MPY9 z+-J{?t7{o53i{O!Z8iyTR}QV%^JMdl!b#hO{12O6$c{;AxXz$?P%8C6tGdGJ1@<+S zLGeoj3(j(!n6xIw^z;GqWaUSFqIn5!4#g*r+WlCg#UFiU-v_NFJ>UCYGMt)pWYyd9 z)D<>getL0inzcyaZr1zeBN_>z55GixS>tQ6eNRd%>%wOrHkF)JDtf>ikv>C5^WlU} z?H#%k!i<xCSIvF?{PNw!b!Y$FQBZY0ew)pvFwv{CSt{g%<$a44r!BPvTi?{I*qxH7 z$9<y6>HGI(l6nFie(k3>t~pTlr&7pmqu!sL#X%dZw9THot46lmKf;`9FlGLg=Z?3x z7|gBDDvP{$cFPr}vv+s+MVB;)ygT~&`;Ymq51pc;bh{(oK42_0&e>yDr?Y$Gw9NCj zOiq2u+woS?QTlkQ*Y1yvE4LQTI+*w6`i1Y4`bADgD*jvHaa?)LS^m5at6%dT%8%QU z@%ie?l->KKj`hE{-<i4oyEG#1JyNztJ!jKtTgJ%1u$P&EL4bjiA+a<iGe4jxKRLd* zq%=vd44eow*XU-{PV&vaZNSs^{-3B#Uc>Yy=iJS|Tv2To^=?<lXiuEpG;xO83MI)& zb4>o%Et+)fQY!oYYtEC`Yg|06cqX^H=vArsgJ-v|-<+Oy#pHu~X6n7{3WFqu?@@<m z3EQkWIoW!GOvvFq6S8*{Fn#~j;5MPfU3E??tLzko|MtpdRkt+xx8xt@{1#R=>%odc zd{M8NJcOiV*;09PLjS02`26JHe4iT=`&$o2o#i@}bCU6p+v1Am-rDxHr5`qU-Cbw0 zP(9-!ds9TDgtqW$!Me%8xArw{pDSE)PtR(>l$A<`RSt(0k4{~of3o@2CCjp)n^QMG zy8L~4{AsC0>n7_>Iyc$wx<UNEJ(9h0^?%kL{F#vT!M$#llvc-k!^179r;L(!u63N8 zGpTQ~-z2}u=O!JK(Jv9{G+j_}ll$*9F^!|%JZ;4hkET8<6Eu^rymj>I^W74m%ic1T z@7BqPy|8FOrQ><qSpVDYKd#vROx~AQB=OwfWa6yDI_=SHw^`NpZ5EoG+<$WO@h7*E zKfN`y)K0FHUT8dFhH6~izI@I34l~PTT_vA2PMK&jb9Yyd&Ht>c_NbBDJ6VQ3pOJwf zoP~iw7)#`4q(Cw`IDRL``yVn8IeP!Q=DWped5xVb-f*%9J_=}>ArU`q-P#KQ(U;0j z?A!ac=Z|O9y<4BHzkjj0bIkBb(PfQoF_JIt-T(S{%j9Jr4q6EB)}FyJ=fH&G8P?5h zmRCb^H9Z&osJL);$3Z!slVY4coIa9aLT)9e4X!?KvREw=#OrhHaiQ4NS=*LB$u-pK zzJA;@u59<;I3t}dovsxIXG|Kh4n6Vl<neK5FkZ<M(_qVJqZ7v^!63YW|HQw8HY{$6 z6WR~#7JqWIc>Cp<cC}S2FS%7T{^LB6>9C*g#omTG;S0YR|6W^N>=mOJDa~Iv_0N&1 zpM=9t`^OmC7hbh-NxoP1O|i*|<KZXi&s*&;Ik^;M9zPr3aNOa-!3X^-&OI%Dx=<`` z+iE|)>b&<J4@HedW=92HShmigZf+e*N>5T~u1=myWMRwoRMm2`{gWmIt87v}EjL}- z<rHu6?3KDAr<1Q|PN>~bTfv~7I)iO-)+|@iSfhts=@<1;BPvpOYo;$F1A_-6dPEf@ z7A5AwOJh((F?uj={}+){5oT!N>ZAYyMka|MO2N?B;O&;5wpSasy`7`9!rkQ{&+d(i ztLIKQCiAcJq1eUis^ta&+0`-cJq}ElI3sm)&uX2E6DM;Vxw+(_?&mbom*wIc6uE;= zM<jhN=J+DJC)o6z^RF-5Ir1T%ug^ZPIP~p9^m@yRC!JT)Pb}6AIB`nv=Yyj=j(s}K ztn=;CZtv~6hhC*W@NnS1wY%Ns&C;{EHOu}z-tWt3Qv6j>>)I?w{$#64-ueqlEe~&2 zJz{NQZ{qZM)+;Rdq<6*5&WqfWUG}ARJ!IwEa;Dv9nuq;jg%j5ljT}rYCb@i?o@+U$ z@pbQtglP|gUD`Kl@*K9y%N9^Q6y(6qvE>RcqsCt)uMbJh%AMClDlKZgl#17g=;~e7 zdbRo1bVkD!-~R4AdQ#wWN|n`<x^G#cXZBY=GxT^gsb~s^V3d$+-XYXz=#RXw{mGDl zL46+s13z+p(JRhKEJ%fyg==Fu6T*&eeRgj`!RN^>5BX0Q{(q~M8~W-0&AZMX4PqU> z3$A{<Xv4B*Ptewe+_$f*|GEkU1c-?rsdWq#EI-QH^FXhwYlnWviUw^hrKS(htc^e4 zzhgMbezLo^<?}O^>F4ImwElk1_C9aD?wd<bH;aBu_LHzr{Il}E2ao*s?=F`$7yHXk zm$0b%^kTA`zf^g~qHkL+pPys#&wsg(-x9A$shzVpg@w-edHKkgXWluozgN2Y2cu=| zu9BZC|ExcqxbxHcCz8sJ<u8M3zswg6EIz^I%Jc10)7$xa_wUvIPw4;o_-HlH8p*)R zHX900<iBn+=U*WmB2m)$-256(wp{V47ax~*9q1PCNh$lX#BlNPK5d>q75@$_dmLKa zub_Uf*5h!)GeutJ*KOD4M2M919-ooE&3(e^*|T3sv0pR&%JJ#ZU1<?rUp5i;yN_&* z_WX*JVfiRGi!b%(!g>E9WsWSF_v+e<qs{L=DqStr(3+sM*lbJUg$cLjIVSG8kg;)z zg$7q`=Kqfi-1lf`Y4N$NE(ndbd7*jLCnHK^x>8xrmMXTRADwiACe=2_eYD$Z>bupH z`8C_ClGIsM+p~-oe(ry?pr7Z<52;MUgp|JrmN_c3%?}Xx(#x(RZQ^7k!vEy_Gd4|u zgLWU8?i&3tS5~}X9=9O#+6&1y>cI;aPnT;q5n=m%{Ig-|nXf#26W&^}OzU{y@X}bx zLL=@%)M5>fBgr!#^1l$2=`mg4e$ilo#*c|Pc}M?6te4o<!ES%Bgg4{g-v@0AnIpTK z<{ztGc}?-_*R@hz>EeUCV6OY}~fay`Uqia8^J2Un6a&?ylzfuVwh8KK|Yn;{3K_ z*21F)^&i<fO)CzT_#-s4;n7Fmse7B8A1n0~C#*_5(0TkjFJHH~d)TB&-#PjEk1L=0 z`ZZ{e{{{Pdx5J)K+vn)H$3iA@^Th9blRnN1wA}6Q`CX9xtdZ%*1tEQ<J7m<>_uUfg zb^gtg`tgUuO)=5$9H%V356=pX?qAUKQ|)Z`f*`+5nfVfyPAs810bD^7<U@`ZFHK0P zz5k%2!mvZ|?BT3gZdXjR<ZGLh9~Y|TOGSOYZKeB8Qbsj=O57gRh#9uci=91#Jo`Gu zPt8ATkiK``!Ht2Qn=CB&jLmlJEGszs;bE!s?58%O?4}RDu4L|IXEqW~PBYkQGvgVf zvzrO8Y*4g%Q{zXb!wG@kxL!R{QofMp>bN&%hjZKiY0VNBjU_~6JNBGlcV|~pbr$&6 zxt9IY>J=`0Pp(Ux=v>Rb$=|@_-tMhBi*=h4KQ<j?K74w$hJ;+d>D(J8YZ5JVx|`Z6 zateaaI@~<*=3@f;HHmNLZAW+M@kw3$y5ZTeUB7NE+@Ch}(E?4S;NK@Wa-@q)d)7Ss z!PPXe==8x~(+Wjd_J{H`y%GH5w@yWNt&d~>gO-YN&zX!R{C+*<(w8pO9QxS&^z5VW zA0v`$C0@%PXxsR&JyFnEM?&=c<i3i_zmiQpf3<CXmho)q6Z?tJe_fj?FFW<bnfW4n ze_!R5pCRAV`J7MIa?w6Jdx_ImJ|rqhi0db;+L`I{ctVuriph`fW@k%DS2nfroag^1 za^7~~!j0dK{CO~?;@yUc>Q};_vOg9Lohkok`l404S?l|ro0#&wmGkK+UoC4T6yDA8 z@6frzZdrpZ3)5V-Ke$rSx96Z3%krbln!aIkCgf;w_?<T}`dx48<F(Dl#AjxTn&dJs zqscQheK$568}*cYFh6|Y;C)_Z_WuSxp}{&9#`BJ!+0gW0ew1FVILrUDKCY71|H9iQ z_WeI@pqcq^e@<MW*_!GP?<>q*!$es#`{fNLt<=hl7nyUlYwBh@*NrQSdUk7A>=xCI zUaqyxrqfZB?Xu0y_~uQzJm&&sUUwzz5`Vu=??IyJ*4|IY%nl#63XNHxu&m}wptqxL zwr3gtxv!5ZUnO<1Kd*c^OR6U~^M1lK_3!z$Z%t3>E?if6B;jZAg#@m&>LPKI?~4}l zZry(@c5kA?{0BAq_kv3=d(|WqG>YGMU&TMsw*J1B{fx&)cbj=Ro=;d;!yv8DerJui zkIszeM~m4{EN^~z^k9vCT*&2;M;~q-+vWJGQ^$Tsz%03V>m}<nc6g|IpK}Yn{QeuK z-nU14rTXMA+NA7wQ`qvxQ*U=laqV6Xy@@M>HqTolaU<fYb;N_Cu4gCgdiJ>8j6Zvw zWunLAGX?J-Tnf0AvP3CDqj%}@w7*9#S?@S=c&^c`1x*i%^zY?9`4G>a|Ko1Kd>eZU zE&UnQZ=G-N{_Qw{>(cbMbEfO_iOsKiq_Od)aPkq~c@i3ZBIVUdYa*U@uKxKyzv7Xi zzs<YA>3b56-4Qi7$JwN}h`ZFYqHO|St=g_9$DVB7c)Y&(n~iIBr+kT}O~%gZ_BRz1 zI9%*Mu4sRE?~BXk6{3faRL@%>DEg?(f6s%?zpk;X{i;{&`_uBy*8Jj80jr*vmW3SG zKK5)%pW?W|h$D>cpw+&>Z@pmFDTSh{V^u5Mf1i=}cw({l!}@Kdw<e!>@`XQYTAlXf z;zcV&x@|9d?|IT`Rk-ZWeI0+1PIlXm?15@!6-jf|^>S@h@1F5_vBc%Mo1j(UzKb7w z`{sZ6oP4)LWYVKT1JP6a+<!KPE1Wl(H{t)mP|peb<UjwKqTVNNY-8x9^YuuP|C%pH z^8EES2Om{T;tZQ<;@MHRHfjBPk2kV2YTpX9%qw{-&~lpVBA?@n)`hZ7FK%ctO-z<) zN{|&;!|e1rquuhDBFEY_vP}td*Bw*jxW;(UN?}W1*^R3fEDNV@brx7NIU7mwPPk&5 z#{w*`8xmv%qTH>|81p%1q`nnkd0k@6=eU87<J!x#KPAR>4jHm9(+k$b=|A=RH?hws ze4laS{QvU>Zz^rK|DWG?<(R#E^=;#ME5yaC7e)LD<e9d6<{nm^&n}%6*MxhO_{|qi zc6E*}pMB<=!t(3Elgi$k6*=z}*}n6-?Z%73+_oDJ2rutgZd<rseftgOBm0jO8u8rx z+L*M*Vom7!o%dgF{km+)?(=uP?mGHG?!@OS=k*>`hUM<`I?Q}S-TsTq${$l_yy|>- zLn!_$OQ(I}?Ef=6x~Et$O21UB_HE|#SobN6GeEQR&)H0m`(1bPKc~pgwlTaudArEX zuNQYbwtLw*u_*qXT2)u_(Wmn)R)ntKyEe{x3uAdk@!Jc>W<FhZ!~ELp%X$AN7L`7X ztbglzU+m+2`AMgHPCs9}C*khd(-U2O-k4hVbo22~pPRhjPm1hieYyPItttA(Pd$sy zX3zedDyMSuldOl$im#ua+;81@?YV4x#a@>6GCpqh>yNB{RW-*x;#|nDtJl^=ubXAR zes)<ctH;wSMUVMQxgDNu_>wdsHqweeMQ^fr;~C?`6H8CGYfCb4)>$cr`8{!Is?ZfW zvNchlyv0$6zq!JI=g~idj_N}S)3!>pOcCBWQQ=;PN@bqJk=#T9??VcCe9aMaI2S$L zWzZpgo4aYMaICW99!btePotO5oEc~H*G>4yvCn27eY}fKH%0pj$Tx?~ys_^?s_+r> z3C}h%O};y$hCOA$oW?WhpO#kNKP7IcrhoHi(7YGt<mT0974FkWc*)xRR7g9eNVTN< z+qPRD=JeIN@#(7@@7b<&c(?VLkLJ<uOt^nWPS863zA@x*we*rxALS<4?3q^X_|Eir zfZr3>qCaIRThCT}i(z@_{i)ma@`Pm1xY~z*?l$r$sq1S@Vk|k!UG?CgTdA`C9kcUO zvhM6iK2bRR+v$n1Wfx=j2}^!IbKO|{!%>CGJ1=)CT;1`!A>?sg_N!Yqwrl0@6s??h zZQ6IoPg|DF47t2ZP{lv{msG`rOkaJ*mCL@?O~{Ix-WYPZD*l#F_AlECjigC)zb3Fw z<5DwkcyscJ<p+WEyP^u+kyXMc;&k>hq~xnzPjLN~ILY=)>fLfjA@7-Y+Lu;4ygQvD zCHF1)Q`Lmuo8LJr@F!?4fA1jr#Qpix)4Taa$__g>Eq(1Mcjk%S1p6e`caM(y#BuT4 zC$>H>i2Xa|<k^C6#%l3RUj(kjetM;S_sK)%OYa{%eC|_}zdwO>+P_Jj@7@NeO}G1$ zxUa^h;a$`Z+c_uK#-CjCJ-zjHLF`n6p8-{+`#d%s@~iOJIOSx}v^wrhJxhJ2Z7yN{ z6Skp*t7>(K<GYC|qTcpxPNv@b_Z9i>vuHXg`=LNZ+n`d!dp~2PiTC!}?dtwAhc6xd zP^~lh{NeY7KI_;b7dN>qVl&vu;kh=ob!+gYyGI<<;u#{hPq%G8-%#N;X?pgAoiW$l z*UjC1$aeA4)Lr+4F5hpfK6ZSjt@2sx&RfRYQoi++c<-)en<9KysruONiMGwVgB|xw zySd|1wm|=_>EX>GjyB9eu?PH{Lc~7=D|{DY(N=Hr5Rg`Kv|$t1>Ij|bvnA&Q%fz_( zoQs~W^%2mOPigYle=|;C&72ecEFa@itiskAIc}*D_dDKaAiTb1W`!kBQtph->9=^9 zPIX48bo5SCh~qHcXnN+z@<cT|KI4t5!Y;c))y%ewvuLaDa&M}zH<Im7F`CF{eDRa7 z#F1?V9r}kAmeo(<Oj0|smyPkMi=#>hzmlU*E4SzokqKHI>VmVl9u-c=vXWz7xlDO3 z=b}{6CDovu)H+eYuE*zilaGL|cxsc!dG?jpj>t^V>fm-*rI6M-(_;T#pAAKeG=z>U z^ANba?3{t4597)vkLHk>7Use(r$ZA3j2A92Ds<iL=wNwKS?I{K6D2x4#)kGhNqK4= z(>^<{@;Sq{vT27B&m)@&)x1onI0ZSAyj6t5(~Ks@)jf|9K9Z{tD!{KlH@_)@F-Z5! z=|c*7`T87TeqVZ6J_f1?b01QOi?ckfb7rf;)sig@A(ubed59iin~>$3!?N?b!h$&+ z?oB@|CUu^UpIB~eDr?>x;^@P=(rBZ^60Rgc4^fuMTEgYcA?IVSH$Hj3?n|h`)#B@4 z6|O%1vQ^>dPVK)89(C`s5@WyKDP{Hedgth_KX<Mc@p{y~^U`NHc|JCC+N$U4Ry%$w z4(I0Yn7{kd^u{+^x6jr-_u<pCC>`M|rjr-=D{U_MDLb?Kncjq~m3J6}R5LU^wua=f zuDteI*nfYX&=->vd(R4Kt5><Ey}axp(0{l!N~^yqWb+pe578rk^uN!DjN1Rm*VbD% zzr|6<F#{Ano%bdx*mbjftdcmgI#J-Yk>ir<O(BkZ7=m=C`WrhgnV+vOyk^b|Va`XN zPgMO?5q3E}%}3y+Z=%}0^DGnZNw9q^lQ^>bEhzr)dk91;&%7a<mJ~jtQ#Mg;Tl>r# z(>NEUW=k9if6IFC6lWL9M^}}O^M`$Wj$aH(O_K7M+Nr4!s&<ZPrBR`+$5ypGmYv%b zA}lxht90lqU*7m;Z}FL{8`aMoNlg^sHgeoD2b8iG`Ut$d2{Ns-R@t#el=IOX_xmO@ zEx4DQI-;r&DqwtA;T?bT4s)KQNKpFN7_ZWiJ5k|X8@K2YS%t5<s%MT&@)39$ZREHl zI`z@RZ%qkLkNlgcu&-}TXXZ?wC5L^s#LPMA@=IxgmM}OWF|KTy!CsW_xS{A#gNMLv z<(U@tbNW6XdbvY&PT$c)0rNJ;7<slrXOLBe2`U}=N;7v@ojEc!QB9A#xxzr$<yWJR zz{}K`3Q=i$Z}|zVnPZ_LbfnKi;CqYX9Z|NzYPF6pmkc`E5Buo2oY(4j`ZkT}RHutd zN4~P-nHF==BPA1l@$m<-8nP~#)o0={wbL7vRLzA0{A6S}lhRc<zAbCop}~_BCvjws zLC0rf$1OUX%@MaiIsQkWN=NKOg}hTN7dL4LyZqYaBcL0e-sBN4n)ay6pyMex0Oj4A ze&`jI+SFgu6FwrX5ULjCu*&Dn&ApddKI(Z)?YyfHDiH1XY$KER)$Vog9agdHsa<8h zt~cRTW45)&*G1h+Uo~c{dwe}`bV=2NUt&wDE^d{YxRPCO+9&4lUxFT2nZ@}%_P&T> zHk!@8ZvL0-zX!Hj8+pGL`^X&^|IMiUGJD0u36sSuj4WfP-rl=E%f;2F?8D`{I?o@g z3jLQf+h*%u$v0oW@6WHhjjs;8Phhw2E}5_|!+AB|ysCuFatE)Tj+?XA`ou)pl+T-{ z1gdOmc2?5&KA&~hvFc@$4}1T4W91jO4)XnSwVEOH@<H#}**@&)=S^jwlx=99?`~yb zed*w?4b8XHX7d%WmMm$Gcd?ow_42_T1J~X9CbADE?p2okV|3}@mJ=%PxzvBYtqyyY z+V%ah?8^riJlLPRxD`}dG=<Jg?J}>DefeO62m57Z<%-JEG(Nc_t83pWTsz3e*I#0w zY}6!ueSebPmfuF2ukIb>`{iymL-OT=YyH<|@}1jzrf0!|X4xq&Ru<-$4n8X2dlr*v zrSaxL*u<V3(dVwu6xM86@rkQE;L1V1pox5Gu2vOqZ*DKx?Wp<uu#aZ?U-!*v$*L#! zwLA#&^S`(;$gff*b94Oy@2mX%o+Sl7sS^!j1!hkDZFhU2^^RXNKl@&KB>QH8(xroQ zJlM0>Rh=!_Q1DRZu45s)^@^Sn1M^D<pFh;icz868Wv|r@$IvCsl@s~aom#RY^=#MO z8D%#e-}99P$i7UdThgq4_-+10*EE(|s~ZOeC-Uj_tXZUgSR}T1{!N=-WgD865A!Xt z(ckgyV*9r8^PFOy;XINbm^D*07|o5#4oouaIB?BbFzRH$oG_!1#k!Kym*z^oy1b32 zHS4y#*0pUsU$bs+)0OPHxs4|@H@fZ9HeKhrx8I4g=122S&5v#q+L7CRYTcxTk5;Fw z2)t!<r7BmlYu~h<)%&-}ojR~huIs?IIBBDG0=KI)L~k2i>B)^2>Cd$dnV4%kWpb`< z$b{TT>5T<TZ%$j7kaIeqdfVTH9J#L-O69&@sFQm-VE(q$+^^k6Ti0H1>&d>cjpt}i zbla+JZ~33*Mz8NRJ;IuQTWo7aG{0&=bla;fxwqZU#K>;X4OH8nx?=imBaw5t^(z+K zuF{xwdz0C*gGWoFuU)eU$lLyR;k&%k0S()813R~;YMi{iP2<Gvs@xMhqVivFRebzw z@7i{!eQVph_N{Hdv~O*@)P7y(*8AIXosT`OkLI^vh~{r#h-OP^&}DWx@Qr;<gD!K% zfo-f+2c|K)C7f=E4mNslbBaB~4IN44n>n@)w{mO~Zs*uOxRxV%A#WSc2}4!pMLs7P z6gQ{zsBZqsptbpHgWl#;hrXNJ4$Qt;)o@8uQlZR?e}<L!u?JSs{2JEL`~}w0`~g<c zYz*^snQwWYY+wpDI<QYi^6~y0TZV%<whD)GBrn8m<B>4DEgzub#a7ZeiP10VG{ct- zsSGo2Y%+M((-Ww&O>TqwwzwPY4r*TfD}<uiDmqn@=X9=RKhUYmJmbhVR+gjF7=st3 z9GImM-QcYwx!5Pi_JD7W?E}9Y$q6FcbSG$R%XzA$%>2aVCR1eMNr{}K*Nmk}uNmu- zUNc4|oo4XZnA>O&Xr$mjg(pDR``8TOX#NMn(fk@B(fVh+HJJ5|ZezXRa+1LzuqrXm z$f#}Jjj96+Zd5TWzEQ<6>xR*R;GF0OzEgNCM3%BY=u}N+=~~OK(WT3*c61x-y(7~Y zmnPk2DqED&uvo+PfxnI<b6}3GLQsyaLvW7m0lysEhR__z3+i5MAzhnTd5%splsh_& zaYoW@riDqT8|*fw9$0h3s3Bkq&jS%vW~rl-40VoPW41ebjXB`xHD->Z(-?Op-Dc`u zlyYE&hNOe$Hl7zE-p33?qxmaDquF%2a=BT$b(vLMPcnoCZac6n!ia6<jVg!LH>w)e z-l%F=a>IxrGDosO#*6Jp*C+OlE?wrZqth6-B%Nl6+?c|Xyzy&8`o^yeIU7?Q*4{93 zh|Q@#u<=F}!~Pppxj&LEdU9{K*|VLvQRQ&z#wN2b64uG5Z=JARoVjm#d3&Tj!&wc< z0HbL=4~@3T6&P=mV=&n!cffEP&jhJxww|6zjMj_)FzO_qlrT*`%`kss>Vb<lj1p3E zBqx||;|Y*dWo~gh%5<hjHTgr&T6U4%wd@wXYuQ)y=rSKTHjUA6af-twjrxXj5k|)@ z+^Ax>e51<Y>J1}>v>eF-vu*Ya=~H+bq?WR?^r|Ln^sZ(1>0QfS(5uT_aBLgvonzOS z>)cK@tPV6{$kdTk&dRZM$j-4%$jOoXV7yH?z<irrf{7PfMeil%dB>(PMkJqZ*sw9R z;noeK26r9F=KLJV3npG{Q+hYC&Nwp3aNn_O%omPLV=PQQ-LP!qU&iL-(+sNujT&;N z=w2|L){|(qP40u)Hn|4#Z91T2By#*4drt2p#^S|a4(&-v>DjjNE5q)MUmNysOg(Vz z#x{p1H;f!|rtn;lPUT+HtD1bFcP;yuUR`FM<I@<El7BNB9KXhV$?asrfxxPUI}t|5 z?%gnID9DLkP?#e*!EBrE2g_|d4brO20`51NDw9u2G$p@g>`p$-aB5>N<BpA~4KHsP zJ;<BFQz7$|pFzg^n1@U>{{)$6{u?sU{2QdA`7>mr#a=N^Tb$E)cw-9BiH%<y&TRb3 zaB*X5!~GjZ4P`l!0Ty0tC4G|^`;t#eOizBzxFGp8<I3dI4Tm=7Hs0O%wIMdpsG($v zT!7^^o)0qK$1G%{*$(vOa`*J<GPfMxmg~*$As4-#SMqR8j_rfmoJi@a9)?X{Z%r^% zJ28z>Cgt|Fg~tmNZ*N<BhuI<JbOU>k(Sw#L_6*HRMkgbzcs>VivUNLFF~8#se~owh zMQbm%kp4}~J?cuIn`OM)9WA}sHuP;`wgU0jP2)?>ox&p_lgfU<*X0@e&S`wk8B=&J zNTsstfcRGPC1u@?X-EnfpW+jk*>Q%y<eiZfPeq^+L*f+K3HpLF<umLhWshytko=%~ zimzc>#~J<{*|y~xk_p<U_$;P&oZ(NJ#<$pS3QvYeD*F#lmuKvEb!FKmYe+h%o#I<D zspAYkmyYbQ4h_i-%BT1eCW837vTb!Io=#6!Q2fKY&WK%P$yzmgjV$ju%k3_Q%BF-= zyZo?eJst3jYyCkF!Mvn7ZqdGV3b%xwYZ`REQdoS;_|S9BIc<{|_b+T?JK*N>jJ?pi z{h_!QTSVI?W<F)5&&^5R?SZ0RY+G8l?Y{A4d1~E-)0YxAv`k`jS$K^7ii^uL_Px5Y z#~d^yHwdn>Z%|Y+vVW2M(qN0@NruXRvI7<_DRmdjPdOji&!PBYl6cLAS@nWW_vbul zlbKezXY$hz|0bOduQlH%_W38*%X6={O}OsQKkv%CIp34cx#jn5%x_$^HaPr`RSQ$; zf`jjyUpyCIA<X~OQ*F6}Z0CyENk7iGG^Q0WET~!AcA53)7G@dG6}!_K3>Pq+EYS^M zm(aSxS8!;d(p!e(>aP@M-eD@uQ(7qVq1oo^m3tqS_!s6jFJ=(U&bQDG(7)SsnC0s= zU5my5`KPn`<*tNRAFgcm_}+Y&@$F*egtYkFW@V<g%dc)o%B(;6M0ABXPf5c&k$i<0 z4tF)<0xvkuI#|u?m2tgdp(A^CzQxhY{UsI_zb^Nea^K^<;J<}=kIjPluj)ROHP$VQ z{_v}j?;_8=$N+m;Q@&SUiqAf1@!Nl4UE!ML@vr;}-z|6En!QJ2!Qn0Ddn^|mF6EBX z4X8i+pq6Foi;oWqd_8lUg)NtsEVt+iUipswdAZhQ!3PcgPj9j1GpumheN3Ba)fL@} zc8Abe2Nh?oGHo_DD{1-GwpdbjW%LY7FJp@n7cbs2_1j(2lXp<CtM96=Me@bMU99ZJ zt1dbp=<H#8q4+?;ee15^IiE8YY&+;U;nf!NIn!3gZGWKQ(p<uQ&dVfX`vU`4XOm`O ziN9XuU5my4MwNGcmg2q2!&hSBQ+>Fzzb%{1T`KFsy@F>8AD43Txqgwp+Y~Hp`btb@ z>k7~EuFVp?7ydrj;HJEl*U#ol^wmShResG4J8aqNcR|<U#D&gVZ0fSV_P%3xSNzqS zcQCT^pR=*Wjf)y@dDVG;E&kTFn8P&NbWZ3C`>p0OX&GD63T`c&d_~~L3+Lo5yyx;v z!nQwH;PQA&_8f^9DOV4<bN!m!$B@ov<+}UW!j@y%`4(F*)Mv6Cm-@9itw3xM=Pr4f zrWg9Rr2Q02R;3lVFS{(XC-*~ahu?Lcyt!Y(&prro6jr^w)<IS_BgiTDV#TrLCubdO zHlMrj>WrVWlYSJ=`?oH7#?SV=gN+U6uIQfm6TJPw49EX#qi6h_o_El(^`HB8gU|bS zvAQe$GN0j8nzm@matk}g3z4%P7c=`ZJ{MiJyw2)&#-kXA;DfBKuckO$?vXv0R?@fe z@a+0od2c@Ko1ON;tS;Ku*jM^q`sJO+)Qg`>&aXJ`x4B2{-l5Ammyg}~*>-q#(XIm- zk7BLP8s?rkYnof(?*G|Gy7;rNbQWjH!iNEd3%YsQt5{-l-pts2q+mtn1*XFbg`B20 zIjaS1UeG%0@hhg-v|AtYX6vy}4_*<VUBbF!T`QNlQ@9l0387u53MvjQUVZSX_$qdv zU2esH_Dx!6YS$>V;5ASCEsNOomh0wSR=V6a%la;Z-u8gd+b`V;>K3lLcsc28q3HZP zqp}sBFQ095emXPH&~`zbuXm4s$f}oNkA=VHMrGA3V)ENAslQ_V<+satLo0V3zOgXR zzkHTlz|G~mdt|dNm27|6zq8up_}0u_*MGRU&VFZX7x;Nu>M`|Ik4pA`?A&E(n{X?j zb{TuG?5oRPCS*FZ&YmW1edT9n>%)ML%i4R@ignwZTj$6b&eA@()+Bd@%w?_1@~_T* zS@AGn;<DpCYFXF5?06W^x=j5*;+qwPmnSdd4K1iT^`-rmrERWBpy~2-AO00@FJ&dS zXBpXMn*_Qqd)}kA>iibB;+Xl%p8N8zNVv>>j63VjmqnS*qI0gzGP_duQuXjcE1%ar z{#T~FOua0xb@5BrMVGa+;!N!V&n~;}BmL^!mtB3cR`2=J_Oa=f!QRX&hp#j48N~&} zFWcTL9<t!2?BRuC-miPrw03-1_mXjI^sR)NMVEZuzcgE?e%b9YtEg4g{el&t7unT} zLT<lse$4vS;#SHp=c9dQ($-hLWOP3)C|cTo;Hl`UUHY{-w*n-W`S*xl>3bP`c;P4S z`7<p7KQBG+`+kMU<uGB%th--YH#(cn*=HiNA?3@|hYb#kbC0QSNdL0+Bj*vRTywj| z%*FXWa~$?w<a^Az!{XPqEsU1#*1YU{B;Fdy1f+eL`;z~M(XHHH%v!zQX8dc2Uc6=f z+lHLQn@x`2FrSrVvEclRoWqRmuED(QEfT)QG6kt6d=_8$TqJ$j+wV0xFP5B@yhQ4( z$zJBuZqKFk9XKvj9^;c>nw5I1pl#XB2T$&N@|=3kT;|@2i>z(TZPT7Lbc<>o(LZ}t zCb=ndUM6$ajAzY<Yg>16`$}IpTQV^-`CZawHWQQBq#C2`4%tSNX6u=jozXSPb!fhv zx{UXR@2)#r81H(9&oFzo&Lr1i;ib@Jy*pR?N>5l*(wmw5F6uJd5#8AITQhdw+rqfe zyW2;)*nEbWLe)#R%g62{U1ob?csJ$NjNOtlpPKY}Ux;01TVt<lC=>9nWc9-jYZ-M- zW%d~@xb4ILBd;OPJon7q#9JTqdfu^I-y5KK@TFcs#jXS8oF&^IHpDHf=4mg_FIm6s zak<9FIiB_U{uQ=Yt*=yUznDDBU&{F5dCrw*-<Mp7JN34r{N&qu!?zXTE#E>8b+0a8 z^wD9P|BMq{wO3wWt!NbKo%-Kb%DU=P#<s(+jWf;DoDOLRz2|uxtKK6WeNHE?)M$26 z?4I?G@}gRcx<$i}t*ZEaeAU!5kCw*md40rlvj5X_AN6Kh%iEe=uAEr4^`u+shZFhh zU)rUxRIBcjUYKeX-E&~s?}MTuT6>Ib6D$;NzI0s7sbguo<hI0JpVOwt-@i*alU(`c z&r-Iv2A?@{RBd_qs+k04URh*$Y|16&2L*STV?DJ^k6Q#qrJ6K~Ep_h|f8h7ha51Ng zx-B1{wNSx=FD)NARkUq0EfTC=Y9=4QbGeP#ZR)&4eb-mHy302-8Fx)Tb7tBnnTNiT z&sI!2&aGm#woUaDOOLs2wna^^)A^S%AGYglX}jGO!m4<$^gzeMt#8;46jjOfde|l0 z`O@@E!p2{JmYKu$%(V|0-YuKX!>(bq)>y`lYr%A0_80bhlPqc^T-Lw3Ao0t0{mTtM z535wk#w0GR;`PgKIIP;rulB<CG3)%A3(;zRH5tzN(tPtvE?Bo6Za3L-ui(d(wtJ>B zc1srU9#b!VKhvz{je|6A`}w37wTnCXO<yoyW?NxZyTbj5{LBk#m)rc)Gd4f`(8!Z( z825pbw>IV0hlT95ITkhhoaRgLvGV^Ckug)9;`_q*>_>L9FP0W50;+tsvP=RS7U=V| zU;p|=)goqs#;ptC$GGh>7v)y*{CUV+n_G4Kblk0VZ+CdO+?TMP;+E0=l2Lx*#qAFY zZX9`@yd~KEn0&+qy>Bn4S5zKcY&<Rhec$^_@9u?Fl<2JdFS_>D#bm#Y{~oRh@tpm? zAS{2s_jEn>6aRNTVO_1iH$Pf&zieH>@4dIVikoErDQ-OSBlx(#oPE`yAN76Na<#{9 zd|duj-a7Bl3*+N+tadJ`JoBPc_`FE$)7EmIHIr<w_s_ra|H(&TcdyycQvP4|lQ%E? z^5kQ^^zxs}qIO>I&6P2K*~2+`_Hpy+Q%%gLcf{P9^FAeRYD=YDZlQ_)!5F)Ct69?z z*(~UnJ-a$lPv6!2vyohN&%IKU=NrqH^ZxvOw5r$kWzWgU{??^I^_I(5KI?q^?@Rlu zz(o%Cbmzo`Pd!|!xc9kOWGL&I?u^B=D>rdHvwh)g{yAu#sN?KAXHHxEnD=GU>`GJp zl@@D@P4t^94fnpuI469)JFd{=`Nr?d!tb65-Xs2H;dPMO(%P4$?!|tx7p3!_Y3`Hy zzEJ$`naO)zeOvl9?^&u%;qQyL{yd6USR?VQzJFEapOur<y8o`+KlwD*yfe%hRcFrb z5qidciO0QoUkCr9nR(AV<G4AkuAj9zy1CF`?(?*`Q+xk+>?@vqCMd4ce%al;XQDEH z{4@GzSE|Oh+ArO5`-b*Us~?hcpJtpZU+SFEHM??B@b&(4WhT!}{}<nSHf>kXKCXJl zc<Wik@AofxoA+$$o>zQJ^JUIjmbPEyb1#<r{qW1$#g_eh?cbId`xm`e-E#Wup04Bb zmiXT}GkMSTr7wcbKL?rVFFh}FwsN)3;`4XUXz%=9bn8{dxxL{R#QUD@i446oJ?~lQ zp2*OP;eF3+zGYS2Kf7m&`D*2iS-10o&nDYXnpggM@2v7$i(kC`lbjqXYwka-;9cJ` zahcuE56U~g-%)n{_SKDFrmVJ&-+cVy<-CW>E&fPV9Xq?HC;S{k)Z2`6;l8@IuhZhr z?&V+dT;}Y~?^aQdGtO}@pLefluI0Vg>=%{SeY5#>aLc8u^V;oO!gli7ALafY{$<7N zO3C=I`<ETRbLO<=Pv@6~*AISPXV^2ZVC(bOX%@CGv(6vRU+`P@?PQyOk6$u|SIhm+ zf8iKjEmyB>`^2nP^WN5389QfJT7I`{k}K=k*D`;yTTplM_dQ?gy}w(|`uw2Y{j|*4 z%KH|L?+Rr0Sc%N@DBpFf_{aX`yJOy3{;XfHJN9kmpZ|{EEtd6%|I>(im~n3RGx?Wk z*I!g#&s!E9^LFR||4UTYecSV~XIb>!w)u~q%y-#tS++hduXo|nzPq1qNqT?ZHFNsr zpBB6Bp0zo;(|+c<LgV!hHvaEiSA14>{hi<!RpHh5Opjky3a`Fr@vl3tVC(bV$LC8H zNB;_{dFr#^Xx_7@Ise3WoxC__{p{eExBk>7ADw)x@0k7RwfA+u9glV^lsc>XWP9J! zwfC&%`FT!T8g=rYS37@)>`Y&l-m~ic^9?HR94Snm?e_oa7tY5!lqO24s$DwQ^y|jP zb9!3tiB?BcZUq@=l+D>ZJ0<AnOdomCE64hZzALwvu;sp;)Bi-{qxr8z#dcn9o%=6O zXPrB9?yJB@@?S!i$At6>rC-|Sw{wMz>Oar;@>8xyH9p?ovNu@olzV6WMf15ufo5KR z_}{*m(tRoLQT@x?emf^*zrMf4d!25%cv0q3+h6Mv64I|NmpK(FR~u)r!&p3b=dO(9 z6<-RUFPeC{dYk+FUCUhkc+5%-uP*kt67Psnxoq>x=UwW%XeIW^BF`(fMCwgdR_n38 zd9ruTvzKzdC#NsmvCBZ%<nZFPjc0Pz|8&fhtCifrd)(*zPPy8PD-E8!ne+T&cwgcM z{+%n&eadi8k2#TYX4>`m^q3R1KWqB;y$vyXB3jeve%tD)^F7_?6<<o1*PM{eUFUw= z`l<7}ua$DOmuF5n_4`Uqe_7eF)|fc)sx7;X^`5MKr_^6odaQNM^={i+mnFrEqVzv% z%)QSkUKA!?Q4&^H`$i&Myh?sgNZuPq^*b-r&$Jdr^I2^cf2Drr^pjY9i)&9e?rrj~ znO<Gu_vZP==6ZnxOVb?U9)?$ZN%Px1YpK!Y@TxCSVzWMJ?L4*kdhxgZubcdM7r1q- zww)E;am-M7-~EbS_hPQO$6}AVU(L4trSShmM5fr!{#oH+-LIc)o%=c|I#hGr_l)k@ zxl<#aTy*!_c2cXbxn@P-Cf&7S=BJ(usNdcsv@s%1&u?3(XW`^MZg+BmAEi~sTHFi^ z?})akI(^bCRB2kpmu-`CBUkRYy14vK&gmmT{nxB-hJ|+DwyC;)a+{83-t_AeXXkp| zd3dqiZ(HcoM}GZgJ8y<{?0&L%&E#Ay&3E5kh^pUC*}CKS;_q{FPM<ouS8ngCv}nb5 zlYeiVoLjmwLajW*{I*fye)s$xH>Y*8Ke>DC<hC_lHZLxA`)#{A^^s!#wOu#EI-knz zeUcWf6jrh2;Mr|aHg7IkncrTL@$tppJByQ2Ww-fGo6_NKQ+0Fg<0%m@FS@DU-jucR z)Z*oHb5467SuAJ!*y6#GiZhF^_8rswxWoOtmFrwBQU8iBQ<9G_edO6cuh>LS*uQei zG2K^FDsC-)J~!vI)=^`*y$>Wxwnn_Y$hEvLIQ3Cvf0)(HY3fId<<=H$_U$y4vwdap zpy=Zs_kK&M@D6dCsyi2V1nqfoQMm6|Xwq7D|6P*m+DE+QY#&T}6SVQ%;&xEbotD!r z=h@}5=h4N(eaAdMu5#A}IcS!9zXh*ls9xOn7mn(;KNWp!bN{~MW>|;0?XK&E2bMn4 z?SBVyV1D(MGZ%Nn?0J0gZ{D$@|B>%63N5c$s&#a?+}&3x(Q%q)6<e;HGz(R{_xVNM zamMK#y>fS_zAl-v{=ue~zva$$THc&qbn^#u|1zsv#W#OB_owZ=8D8*zw#}@!8Rt`9 zpOu^Y9z^TP#R}Zk56jt`{_xUU_f2ar<R(pil-!?J6eFtnvD4k$T55WizwNH0xw_$< z=WTW!Jh^R8RL#4Ky?)z7Rg+e`ci&~3vqmVsqNIIs;np9Q7F*w4v`#4g<k9KRleEoW z<Q5fL-dwio==D#F$~Woi3f{Jz^*SYbqR9OUlk;cIRLd$%?w{QjQuF#^Yu>ihq~-47 zmN&ze9ntGwW_|Ni^^0=3vjv-UeY@_;oh{ky+i5E|_jO8ipx!0BxzE$070-RjoHjdm z>5NC0bJTC2T4Hf~dGVZ_)m}$J=9gK-{+=qDG|NA8dEHaxOO|$XpQc1dX)XJ0a`fyr zpEDm`=BVH9$}+sXe5K#Esj81+<}b6nIW4^NbIq=^g~jVdHrMR3-7Y>MEOqUKu+6nv ziHWJ&4}aQCl@oY<`PcN`Em?aHEZ<btZM7ov{Kri1-%sWBw*30yDK<-E`L8dTe*5?S zna6l-jhyPU-(MnscZDuK(rQ<GZ(q_+jlzlk@!Qr%SbD|0xqR)LsJ2_Dcg?RwwXQQy zvf9;N-Iw%Iqj07F?OWkKy+YizzjoESTAEJ(xn=L)m7XUP<KJD5j?6e!82|9{?Z}K% zbK+lKwvNm=B@+Ae@-H2e)V_7!Uf$I)Nu9LrW2Up%EF<shzrMtY%_?Gye}4JcH`7L+ zo%fcXjm$W;b>E5Q-#1^FQe4vPFQ2whb92dJfBDpfn)(Zl+SN{IT{h?Y?3!CsT9=*q zbJni5r|QG^`r9o&-*oM4Uv0ms;lAU@a?xD#Qvvy3Gb?ZR79H`fjk;O3I3{lU&o3Ug zdyAIkeP6lst()Zw>zb+??`5?Q>6c}lo3rZ3Tszs1r*HJv8;Jh;zSP8A{b;P6?Q40F z*V2XaGxY__f0rztKXrXjVZVQNiHYdiMLVxA&(b`quaUL&^8M$R7k=At=6zx0v&+17 z|8FS1EstuGUbiDY@6OGa)4l&1eQdb1f3tLmm(7dI#W#QI<+3HbR;=88(ocS)%F&|A z?hpJOU;q94^Jv<ZL(AD~r(0KLF0F{jd*kOHyEJr>>$|Uh+oq;I5}aRVeKTxo*VIqn zo~1-bDTe*ra{BDH7@Jp@{nT%t3i>$1|GwqTQ|!x+Kdrrga@(dL8^!$1dE1^o@|^!| z$IY<L<xgU7pWL>}$3}O)*PNWmqK_o!?>l?;)hb=#)wNZ2yS4Yk*u1{XZC}88YWLA% zySx7nOQ!^_`IuSw?RxdfihIjTZ@vuQ@Q>SW?O8wh>cp897ng@`zTEzQNrZN~O7-6< z6`pYmZ@x_4qq*_i^68r{AO9Dr75DAs*Ee4$KN6iEm;L*nhWh)^`C+Nsd9;pX+tnGz z^+Y({TkL0@T=f6r*<G^Am3NoB&fB$j>h@iG_dW~`4g0vPqVC?5^L96T^-uoFKbh|T z|H2LbTUXmZ-Tow~Q~liYV_!|gxl5HnYo_~0L~1QRvinn@iTBf>jgEH7Y2s!@Geq8> zRNwLD%SN|TN8IM$JK?v#<?H?F{&#M^RGt^~vC(GkkGFQ!%br~R(rz?q&KjHKrl6$B zHj7ilIhFK9XFpx3RQ-3!4W;wiH(xJ5;{NH*gt>M`lZE7ee@QF*C6T}Khl||n+ODrx z_FHegn)+>}zxzAk?%DCPZmoK1JiqVcD=B@!`M<YB*)LocRe9r8@Xkrowoa;h7`$}K zqwPz!RptG?wEXau-`!{OvvN~EPxW`-TB|)Vy*t;=c0#PthN<dDuiNc?^O<`}R~Tc? zcg>|nE%)tiuX>+fV-?lAXv@@+c7IX!zYo?;6S@DZ#LMVg_qDfMiw^Ql*!OhG{Hjko zI)#t-PThGrqI0g@)fC&VDL0;8)~<8Z$&j+IywhRqFRxy-``E4y8BIwe@MeHrQ(nxH zKP`L7V8NCUhm8k2ijz0)S+;PJjM^T#jqiky%@488JH+xWP-Avhwv@ELj&Pj7>nQsT z+x$wB7j5(_NnNzk?}*Al(PcKCJhGNbnp`3ig&LK-To)>7x%BZQ-8doqO1pLaO09|7 z(i6Qd#&(IQS^WRc5a12lFzJ!9HOgY=%insQj0^&vj0`*|`$Q`8LPJ;?m^IhvW-xF& zeA$n*Pshl>z`y{sPscnZ)j%_?^y<>kc^kfkvAOUD>wLA|RrzrlqscAbT|BqaX8#IY z8YtD6>X;xuZ|Z{ov(7X}y=?LMm9hW1XL`@OqPa)E-S~Lj)*`ljr|yxZC+GXI?tSf? z`Tp|FyUV&_^h~Z^(fTD87jvdCwL8s7!+2tMXsNZ^BiE_xf{Ts_eOH`r^m~Qpxy`qI z&K!2ONqnhtD_V{zTEEgz&+N8i@APP2p#yDI$0B5Qw^bcjbfEvtKAq?7t$$vfXOe$6 zRqI+<8q?F>tH$>q<!{{b#>LzCPV4$3m$rD#?tJyONJvRIboIlp#t|3VY*@Y;JrjD) zQ!VAid(w8r#KPL=vA0#f>{!vAf8Cb**Dd!&CEwb=?ENe*yU18)=_Q}=jQ<8rss8sX zS6>!U%Q?A;Wu{(Bn7T^Ph93r#Y~uMvzlzN-xp1p~#j*E`7ytfyLO|NKejkhBS=m~% zUseWzCoZn$)>Li3!XvDty`kgfeg0FqVp25@<)0=bzrEVXv}MYKiCxYslGA)Ye4l#W z<mWw?Q<vN494Os)aAVB*&4&}@U$0%Z>s5}i_79F-u1;0g7xG%XPU>gP+7^}R^1Jfj z?f>2XXNfNS!~5LWS9DXdY#YO_W%C{$|Fu4QTFuoDVr9JF9nQS<uj_J-I$2TOt5%j1 z_<v5&{HVeiq7vLUd{6XzkW_VS{c!iZk#e18=bMe~Pb8N;oa@8$HoyIq)wVv<|5und zidXh@<^L6xiq~9ZZ_T^bded&F4X;Y)9F*OAayG|SN&QJo$HJQ5q%Mh>dU>h-wYCaj zDb8mfZG8Eh#g&tp<WKu7Oc(LwDOdc)XQ=tD=;-%@;<`^CytH@`J>%lmW#?ZTCfxHg zmsd%C+L^a!ug*Jj@ma;eul4!j=W~2fYQL3!M)Z>EpZFY$*-7%==7x?>mhV55^(w)( z>Gy95v2y9H2B#eVP5GyN=pa{g>e;<-8{Xby2{<1svvl!#dD-`q9dz0(qHRK1`Aju} zpJhMP`>}S*<%7x0?b}(dW%hX%Y|9GS^JU78HRW>iA}&7^kDHbu)^cg9^!JR^<XOLJ zWqHH5uMvEBKHfs>>fr=_22bf#d`6EGoc3@pVzS}?oW*@vUa3i(J8pq*@uKbPxi&1G zka~1Q=~WT^=nL<ENvJ(~|L;_uf(Fy2E&TOg-zS;g&0PKU<>d&wV6LQRJRPyucF()_ ze)0U*f;tC$veQ=Cm9L-bcW0K#(T043T+N9G&-Beq%aUx7D}ME9PC}!oh{cwQS*QN~ zx%&J#i&b{*?31r|pI*AmAbmdfg)EC@9EV>mo!*}D<@TAA72Zp{=dNfrjL?_*%D0&N z{N=Pm6E%3&JM%cR9Q&gntq{#te(>f`m4+>|Od02BPRq?-QTo+?qnT8NR8D_mf8??q zVmXs@k8G~_eaT!S$nWW;^GqQZEzZAvVamT|@wQ)OPVfG|xNfn1>d{~OY)y|&UCCpr zm)M)+c>PS|$F|c!Q$qeJN3M9v?-+fPU%5uIq`kJz$g8Je-@|%6!IOJGy4<+2F-#}Z zOnvFAgR^HgEVQ%x68TJcYsRUCY>$)ApK6=FM5^D`VTrS8Pk!aMXP+Kw<#4%PU2xC- z+SdZ5xy=j_o{uyP&+j}WyuEEhOGW>!iunv*C4O=#?n~Z(-6cxu(Jkf_cjp5W_MF)% z)e|JW@89)8Eg@6Zl})LWA27}4RzAlv|KH?A2RN?xA7}UF`Yqwo!;yP{f5{S=ItR@s z#q&5^53Dm&m+iY*STc#LbKaU+_wqeDWxLNW+PMAE(Vqe)(tIXorrv+Dh<$}S+lGxx zW(QA9cG(asIW6{bovT-?nTn!eyUd66i6_riZ&|`Gw7&ki@2(y{q4=|}%37vW<VbOy zDM^mpka&IfEY0LPzPQ`Y!W(W{eEwB``FEGIspzVGzJK58|KD=r3%Bg07khuO*%&sy zUlH)YSMk5(J)yM<B222sXEVK%5fR+`rOK?1<IC^NS<gDd0tEN2Kf1oHVl{8|lo^4s zwY&XTzl7_uPEW5~WAMiCWyg_w53l^OGiu+V&v{3>b7zcm`P$GmR_lu&Ui;l$^J@v$ zr;yKzt0N8XKe0ERe4(LK-}}<eN?DO$t3Ae%`;*tLdU9ZKTIoZd-S=ZmN>cRaPF;C? z`+<+&wJ!)QyL$T6%k74-@n3%w7kQ|%8<%^<bchzNp6XCNaW>P$*uUG`=D(Q#Pt>=m zkx^;l`PJ&ipO}jUC752MHzof(yK}kOTgED_qkG#WOx3)nbU)kwhjAu{?FB;xMU7;? zY=_66)s}7FJu|KU%d16A*ZsUo=6-LV#?4=!vdcp!Vc&;SD^!ilub=G7ZGYf&F#F32 zwT#;r96mqL(tpBSzHit3s^T}Dr*f4~-=F)%W|~<9m!_&xx%}$LLoZV0qA#7fu~T*H z)+dM0zFAwzDU}=GIz{_(Qbj%6mG5^yEso#SZfX@<<NixcKH|+f_54XU%yuShDLuF6 zm7GGv(wVZ=((5Kl?nt>d@y>1IOTEQmUHLB_UQG=No3*aJ<V(bdkmL4t)`qv_+ZwBW zU#s76KVC%i-Ui#thYI)fvfl2V%zq<x7uU?7>&Ha5AKb8UiulK+v)S)ktc*-~=Og{$ z-~GJA)OS4le`nW8?06DnvqJfJz?Uf;dknswt4{jdcSfIgdWE0`2hZ-G&omULGr2}8 zXjDW`VB$-kysnGIdBwTJUBOn4^YT>wKfLqN{Fv5`xpySIR^N<Pv5hT$cY|Fj_>!6q zC#!z#xldVk0sps(?u=gY-0nf>Ev{8hyY8*z7LWK9u)}-tq;S80C!Et`7IVv~KI-c` z`eBYw+}XFrk1HhHl?pz--Cg%(Pl|-%gDdqqH%x9nuPL@(tg&Iw*&lCiomY6C`=T>2 zENrrw^Au^hP&L8xmvaT3Igifxa?Y!UWd@gBkxN~u`U8KVF7L^=KH2|dEDtSR_OLx# zabNZGR-WrI3&c_$F4Wt1dG?xryP8rp7~{B3Zr3nL)q1#7WsA`71FfIF=nAQN-I~%Q zHR*fi?F~B<I{YW}7xk{!(v{wD)40Y^HzN8&MqfK;%FUL76?g9xHthSeV|wG&)%CgS zPG#k=daT{Zx9P#gE3;W%JkrW6{#~o@zHip@_}v{c&u=(Jgxz@R@o4|IFR{-VDk^V3 zti4i`wc*lw-XAY6u60S#XOjHz)m!jNjFHHRWo8BjkB#qeGE1smUhmp*OxLt`_w10R z8c!;O>TB!Yo9pd}Pwse~zGS*x!?(yaisv%2YIYP%Isci>NWJc{5#P&bW4?P@EpHy= zebj7c+;mvGcWULKmu`ikm)|^;IMXvT#zu~7&a};Px@#}3{JLP@y2Py)%I7iH-0z!N z_~qn2Bek__HpsJVE1bVRJJ+hJLE*@RBjFz#v@~rzK6<_?zY*>5{o?I;j|D4To-4mK ze15uiduEZ(iCKp|VpCfTo_0q5yW!83xBGT+&_R;|^N_tRZn-s^Y>XIf9v08AJ*B$N zzi?J8_w=>#Q&_?rPHo<{*Vaw)K?Bd;o!U;<ncJS9S@`;mKg*g<jXz!~)9*5WDvJ4Y z;rE6FV@K~3`Hl`unu}*MoU@uf`FHRX*)s>ry6x{fYj2#ra7Pqx!mT?Kc)i~{&+n>I z?fU$2rb1f?U)p{JmQ^Z`H|Fa<R<Y-qsBX&kurbN^Irp;-XE*kwH_eHd{%ULWvr3^P zZGnT0wi>q<Cb_$dpZy^aX}8e&;7kSK4paYmw+f7`tqy(rE?9fozASvhkB@g>D^D*i z<u<$dblc_wi?wfv&G_BYoW*CbE^JkwWx`*UHSvcg>z=yuW}9zKlSm%NeIxUwzb{;S zpx!N2bH@6Iz<m?hmbq8V6~aQ(@9+34(U;Gc`ora0-#(=u;#S{I>$&R9<L=bRiP7i| zxO?<g-Btapj!p~T-5!0N(HRo9iN78uIq%DfTt6*K^X-h?{tMS%eNp*G>3zlXt*i4m z-1UF2*QncQdp!3;ae_>!VZ?%+*Nb!|uCzU0kvX;dsO`#voUlTMxigns2+wbE2-kRd zAo=nBx018>t=?xaE6ILVW^akvj3cuP88+<C6}vy>T;&D17f&T#T}kxk`_t!N$&f1V z8#RS<MF+za84*#&hPxKp()vrLHE!-uy?sA8bg!X&!8`viMxk$C-r6!da_=;omAU<g zSID1z(z(}AMnLL@lX6_@b?u~cT0iB_D8KQTz|iF+Kezpi=j~e69pW3?ie;3{Vl~B1 zJ`wnwV^yJ9|Gg-G_v){2xhB~DYQA0~e0IA{+`VJ61`GP7dL0(O>sC^ak173oTD;$^ zZQHhu!rn*U*X<VXD3cd#{QuN8(7U>~NN%yeOR3V&zY=}7Jwm^j$SwVz#V?z(EO_gh z@Ok%nl<v)E*?zNSwjlF<&8v3{zV3K^wZ_o>`)27$ylZ1>xo<5E-0kSiG$Bp5rEf-z zr<lckO)=J=H)e~TY-?LoJ$>utrmOmY|5mkZa#20<*h46MqWMvExt*Dp4Uevh(NI3f z<sf~*seRkhx2_HxHyNB)CHNefshSt>SF`1L=&`Q$#oaw06)*C9;l0^hZTUxi!DfHY zBPVrdethFIf8J^xHT^uZ^K~B=ek?07TJup;Hvj#8t4{p|i<8`sypEn!JXvpD#g*Vs z(~f_TV`}>%FYC_O@1|2WKj>PF%htS4Gac@HX_QTwU%GDX?LBf&vI3uYMD(Wc6{f7H z&^s4*Xq(K7c`w?&sNQu9@OS1HyPd_d#?#{Y{FFbQ>${${#%sxa(t90QUHWaxwQKRL zX5l+`)>%(lsxqtad&tZ5!%YA4?5|p$|8dNEX?X1|7dH`6>E0?Pjf;;|?ygxssVhfX z+w9O3xA|Npe64}EmrRTiu&LublWfX0e>u;>CrOgiHacfr*jCK{=1QJ_N#&f+-#1TD zot%E0L-qIJb}6%-UwiLMd_QFzee05+X2!BTC6n#;x{Hd(vc1<zcbd0UsPu`7`^tZ_ zKP-}|4%#WTq<SZZYa&ld-QloD(@u(TBy(!Il!+eM*Za)Gz_OS(PBwCK`r{}&DFyGM zw{aIvNG8p2|97I&wSR~6o_n98*bTUk%sKjDNy5?gke|!UIyZW71r>TN>eAb|OOaP< z!Mk;xj4!fd#Vh=_AG*)3m-e>XGG9^Vru))gZ#t}}taeQQxObP_H@j&I^2IvzczPI1 z&YwDSe|F>(pVp-P@f|NdsKtv2=Dv?`n)iI;^=YD^bDUU&=7!9#o5J<P&r><@*7dDC zzfXpJT(<L}PwRxvN$mMsv>sJnI~*77&tmnN@8c`Km)p2P<dtWAk@>Lp#J7@f9Wg3$ z2K67B3m18)=KOP8?WFY0-r~S3|7G^-LGt>WPv<qI>*p(py=UpUD(z{1vXO(YOQR}C zcV+3U$bDO0w|ssWxa`y|c}0Vx(d}guuFn%pes!*E!=;Mrf89H>mVNZf+ov3AESa@T zs=h=fDD_v#&N|ch*4gtzAD&u}&%1W%e+mD$##bigOrGz$vL(IYbJ6U+%LZ4cuC!Qs z+-+yXB9$<a<<9pXJ#M?BkluW<O|z1-Ge6$uTS(Z3d7EaPh_vN!$US7*U0%LQH#6ev zTJ7nTcaJqCs`A(|O}?PAv@E`sf8qOyl9rz=Qe<*3taQ@<dp`7pzv{j(D^xGfVBvPI znCP-gYPlJ!uJY{Qts5218h5DjRI#LMRGsbN{jL7jrYXnm7KezEs{ZyhzVgw5h2r`= zb~Ao2`v1Mer^lV;(dVl03YL1?r3N}V`&E`qSXy-Q`s9f7Rxa(?Z09cssMKh*_Go6F z5}O&yba`RqMdsk!e|}rOGm=zZc>j|A!N}i{>0KLw9lAtM{0PhHJAb6@3d;tW_kJ(R zu4ewLZjGAm+_UAtj!mnx0-OD#Eg$w=n|G!or#8fC{?{8uyTbmdsrnq-&^4`5hb!9m z)I{}zznk*Hb@oq|eme2ng@kRr+dg$owk)>@e<$+Kbcx#G4{|J7C)9VXT|G7BMC;7y z2SwW|AEoN8DE@M2R-VEn3mxYduah=!*HQfISoPBJa+3aHj%^>@zVPelSgSF8K07<v z?SW?GsxB$(2#ddpvp$`eb5VAQ*qS`K(`C2)ZmqMe<vtkLe4q98k9`vZt&1<-Dpd@9 zZ@kMY?@Y+${M1gj8`WQ5yEKR%=h4`_US`>A+i!N7OZ9GC-BPga-Lk*8UVq~Ec)Z%S z<Y)SAqjnYsc7d+9mHWH>Igf^)XOoYwl}Z;=eb$h@er0d9gYX$0F3v}JwfCQ^o_-e; z_%c~}^#%L8r%fKY2kRLhc^m($&PspU#08!F+Il}+zFB*<3H*AUsX6)5sVUrys%w4s z`5T-Ikbj%gubo|U{O+W{+P4?{X8w@3nH~OM#@lRf5ziNjMM)3h`&nbPKVA{FJ@ziJ zU3Eou`mt#;q6OS*k7SD!N4j|heVKRUTJI$77fU){rWFS+s>tYUvwZk1xqVT(Z9;Gw zOWTb%Nv&t@+;!=l{wn+t%cD3}cCRU7Yc&JfqyF>!pZH|a`5>uH=H1_W9kc$W7Mk}x zy*}rMl(XLw!=Tr5nag!nRjzL5;|hJy@N`Z}W!5gG(|zy%GnHrm+0MXd^PA^Gl4(_d zI%|@>??Q7UGp`R*-2SLAL~5Q6_+oKU<<n(_ZBd)llImqWc7+@LJ~CV8-RFX7w-)Xd z&}~~K{_ao5Iithz9~k^hkL_R6bz)m&oc2$ipMh+(+v*Zlw%RAX{BC*4Y+dQ;&f3ME zHs<>ID*npyg-h5g?zv2nu_?J>m3}pMVeiZr<?<bqF5Piw`<-_(#pOb{c)Piv@fk^F zu@?;tZ`UyY>-%+mg}u=E>;0xdhaXI+duFA)Ya;ip<w8|=_M8^pD6VJH;yItQyU<eU z-;6l%&a}vvlQ&gQTN^oV;io6bHJ5J)Uwpc;TV>t6u-5@1%^&B;OuhLh+kDR+EBD4q zm->C_d!3VWTOFQmdHX`YyL?gc(aZbX7VWF96Jj*tl;aY8kQjFPs?~hQ4c`Adm!D#I z8g}qwz#C1g-jca|%^z&8r>qmN*F9=2-ZA}}7>giRwG8K}@}h4g+;`vJ4^3!f;Iuex ze1Dy1$*)X-^P8hrT)fP`F6DN_I_Vwa#ec*^4&Qd~`=B!AVChkZV>~&(dc1B==2*|7 zyv?|2iRB#LIre27u~A7oBbKB_Sy~j<?45DQV&#SDIjJoI7E2u_?{tqnx09>o|J->$ zjLwI}JosU5@gPnv-M4B9hrzLnClu`)VkWTeE4+E=S+J&`Q{TkDi}#y7>3wUuaeqMK zNpA+7sTmCVCG%eI{<i4w!VQ}H<?W7JXBGZ<czo%HJ?(3|9(X#Pb|`IVd(->>kV`<9 z+r~t%gGTq&_Q$I)%4D*?(bnv^+5S+)Jzt$yBL9*DQhCx?++MxEvh_#c370n|d-&@Z zeJ(%lKDYX=w$Rh{aR=8dx|8$q;_FMFgkPDw+%s#^nMwaE?F8m6>52JS-6g^yxG|uv z{Yc=!!)c9ty30?9zFze}_Mz~f!&@JfFS9kAE&q0=?3SamUVWK+W7mNTmu}Wu_^uC` zZWON6)Dj^n$o7s=fY0$=Y)|ls{>RP#o^Cw3WF}+B<XSCzJNK85ZZ5I$-#4B8!SAiR z&L=6YzR3DaC-LOQ${l-V@UQ=(A|QTr`6;az>lVD5Zq`1>(YW*fT@k@6rL}f3tEyQ( z*-zpt|5b5^pKWPQ)*YMQi?<~xzuWfw*yNAd^CJ&M2p^WZ`)G9_WBwPvuCA{C-uqIr zjbAM@UgbG6;LsJ}%An-A%WrS)H}_@U#CvS#R({#oOEdO`)K5;SEPU-%`6WZpKh!a1 z_pHUi5jDaaPM*6_DZlUo=bxzmE9|V8<}@ufUmdF5#X9>tGt>6dc`r}8T)Jnpk7dvH zEH>*_Wv@8TRd<RMZ+#50W$)SFzn_1(;|I^+2VVqppImvoL7Q9tt3%l?r5n8uESn#{ zO*a4dI`V1MI-{31E?b<F`2HGdt>fnEc**d%_gMSv!gD>@4Bnmdem*>Zu>D=bs?}S1 zJ0DdsOTWA^;aY*zv$H`Qo{xe9!~>0)twp_9PY5k)Pd|3j?@Q0KH+`qR<=&cexpwo> z2PF(a+qh=L87xWaS@z)8)1`hLUeEkbw5<B5>$GZ#+#icpCx*NE%YSd4TeMcU=wkJI zQv;4I++OkBJJKJYT6_Fj>t}_fPuf<hChCVAGr9Rlt$&%QJd@J@HqF+PXZ87P8-IA! zn42=rT{!==nRJ+P?#d4rk{f@$dU@$*%|4N+dhNobWvAU2{XWB+zP?H9daU`~q8Tq7 zg*b{PKb-tdmE|nwGH0E2S8sFlExMe~a`f%}CHnf-RTfr{q~bmV7#-br*!<uB@LH`m zCp5S$#rr2rnC~^uM~bU+>)EM){3f%;ggCG9KFIHXHGunEi!<9cUyGIpJEBC^UfcQ9 z%3RSYdy>dqw-%*Up-XNWX+B#g7_w*T3%BD3i=`)4dFrW^at5wAnzpoj=32K^f4@`* zy)KiDNZz(3*|5soVWnx;1c}lg>tl8G#TgcL`4;a?Iv*7kuw5!+c}by55>sc<fA`Rg zHLHAASN#4Km-rx7*R%F1H_vgVl2d+5)viADm1e83;%xl$QGaXkuS%iiI`{YO|2tP? zfA(C~w4;+=^JKRA{{M0EG;2+1exQD-`{KONkCA>StjvBrsJqnv(Dg_T?-B6>9(QM* znepk>JVibwsk=>c*6jUzN4I^GlCPEFX8zpkm%g{}RC~a<<3q{M3rbry?_=7eSY0o> zE%<i!f6t8O3ohRu#@b5NmNC!CSn~Asp`EdMDV<eIE{DH3>3giQa2wBtCGR-z{&~vS zvLK}Ckz8Vl&Gn@>WlR3N-j!?g&*R~>_7@7uF^75jcX-8dPxI8i*3)_{?<|kgiFIF8 z^j^woy|CQscuKiIZbrwm<RodSzB$uv7rE*GK7PJBsOGWv>A3>!z54H1L{!(kVEd|Z zL{F~LbGc-V3QLyQ$KvZkDwkf#OnqnIdG{ap%0I4GrDiB;slC0%{N?egYiZBIFJ7H= zv|sf7uaXxHO(%LA@Ajr!?{2-jveY~B%JC|RjSuRAT_&eV3EVUF*(=lHzT@EEYn<ZQ z;dceDTe}!)s(Th+;@O>d=k6RvKZbYG%pq36u5q{O7(Y$w*tk1~ll6e~e(A})4)3y$ zRh6!3H;CL}ljJ7)OyY2)slor`OS$1yRln3yv=8M(OU9)?+<L{&f58u@KMx9L8)~&2 zcjP~E-~W@3u3A&1=dQaF1~*)PNts@(-NLvg#gl!XT+4pOvrFHp{z<j9$(r69%bO(> zdMRDUh2fS@2(RCZ^^b*D+zxk?$=<~$D_vkzWTi6s%B;w!ZI>1^tpE3VwqMve^#{S} z8uM?o#&fLH+A6np8}kjeT<4-dhRBN+429Q~waPE7i*C!3zusRTuy<e9)<TsT?7{bU zZ~gk_Nc^<WNlQ0Bdm8gGrADDW?c3iP!E<Y`I>~=$b7wryp>s(sE<I#rj-|bHU%Yfq z+3yECcgg8S^EfFQ+bakKnw(O&xc`E#X-30yt~D-xYWr4P72SXMyw2mVfflZocc&Qc zU%~BF%d>o{wP^3+o7b%$uglpVbdBS?{4wF2&CyIj0{_FEtJq(j`09~;AnxlYz6VP; zHidI|&doX4aryp|q{m^!+TpebZg3u*oTRgDAzO*;rhZc?|MEo3D%FH1x*fqcEKHXb z7TX@PxbJd#KT{q<hKhpZZ>c*SVmX3Eabb-vi!BNo&FtqtQBzAhxO__QR+qm~oO8B& zWV>H!3oV;Jd54GPad)E+eNPI%F8wIltYrTu-FRNd+n&JuFy@I8f&10<zs{YV!n97P zR*ik`vCgIij~aTj?$6rD!N4g$<D_kg=C(^s#Xdz7CfFZT+j#oEU7X~mSQdMeKimg< zld|5r6y0`I4AKAaal+EguX_1AoDMX0oxTvST(+s_jLkG5{i|nf>-AVJJ>iZ|UcCR& z<yx=Wo%4F0aUFA3`g3=(e1?FZ&9vWpy-KQQ-TFW6TXw6|j~RY1*Jq1;TewLn;JIYs zGDfc5=f3*Ci@Y_lO?<`eWW_SJMCR^vp{Rrh#X_2O{;dCAt#&Z4>wdXwlJxdm(Z(|s zet&(-<2bq*!?b$6#OI#uP?Fo%mnJFVP;$sI<wV%L|2A8uY5ts(BCN`Ax20FG`qJjl z3!DExDbLPN?&91kn-b#xLRXWeX4jX+N)vP6T-kg68OQMsW%cbPOi|1KYwCyZcNc2@ zwtN1<-q|nD>`@fqF8X*eZF%hTTlS|SV`3(Kb-h02z$S|s6Rc-GOzWL~Wv(c1bgJaB zrE}CGrY(9CKP%pxXH}zycf+-RKb|=Kw|;LipV_}{uf5fohhLYydH&bAU=7on6St<B zy2^fDyK`LxbNoIv*)E~G?fb*RUaY$oyJ{wr?tzo3F3%1r{m`1WImP<HluKOV#lrs@ zG%`F=vu5U8Ne-x3l$9ENQtWzhOxAO!N-@FHt5s%l?%#N)tS!3ctKY92A%={bddtr< z9M14H{8WC^?c9Qt{AD{X=e~HTczurK;uW7C#EbhZbB#N*Y}>lUuSMrwJ5t~J%(Ldl z3yrL~=~~SmC){q^R~G0$e${!bo6l&T^rr^njywPKKFwyF*-&p@9uu<cu85EDxBM#~ zKdfOZS*`1v^-PV!Ds94bDIxcB-G(36RK>l}dMl>tw(>!x@|JBD;*;h{^sH2TRKG7+ zs(zDH;`C$M1zqk!yDXZ&TXcjNX>HZM_WY<r=Y`yN)7HMbcj9=vNbquRm4LN#{fi@V zP987oyd-;s=lg9tNoTXicTc~Htos=xr8fPGQ5C1=$%gaOLe?}NbmoXXnRkL|=S>^# z*v>yYPX2h6d?!AD_j0T^oAb#J{(JU_l?G}WbFOWj_n)OUYuQa+^JzPsjIMTGTewGC zw0M;&@5xE;z1m*?UAkye^3o)~lX}bD_U%n!h}rTwra|Yh<h6w+o^KzPY81PEPk1=x zxl`cAOuKOI&v6Gi?X}&E<Xb;#PCM&mP&r}e)TckCUN87G`)<*^vo6kG?p0p4krsQQ z@b~0(iSw?rkF}h%J|=p)GSs%m?tI^|O*Tc0KlV7jTdI64LL$9MC%+*u{K}u0n20B) zA4@r0BRS3<pDOa~BVWszy@_I5gO>-Gz7XzG&*CWx(rtI>5)n(YnYjI$hP4<o=f~w5 ze?2EIelL61VU_O8$;|5}*v=2kn5Vs1H$Qy(+dJ%0=T&Y!7M*p?UheHPS)~odH-vfD z{oYfo805%3{c`S>naTdSe^oPlBhs5AS1x~JcH#kl^ELPR2Twj@ZhEuCcdm4W`sOvy zTXT9Oe#Ndl+T(M7&Y3f!UOk3E)^E>NOc48h{zYx-8~rO@HvUy>9vnG!l>5og`i_>i zMMB(8%QQCcPzv@bn6vox^|1b(TZP-MOD(leI-S^bI>?&GYS)$ez;DXSKE~HRJ22(m z!96j5XE`6qN>*NSGF<jWeQocCD}_6+ulmctR4@PVa`mjOOLnzy4qhH~+e+{1;izM4 zGYkIk%-`;p^3b*OfR46L=^Cx{OW%3-y*_n8{>XmU3*K92%n#{zx^;e6DA(18>hm<# zzTXj?{%NPerZkt{YXZ}|V{~7vXkC)9>g%pQ7AJm$eP>T?Gph;-mEk^U_U28ef|%?g z&YP(>{ZHv^+3~5QF8gw9)V%2z!e{+sO0@iVbbkKX8<##$c*YWbkvB4R<&popn4Sc6 zU-<gmHnd(+$~!4<k<g{XH|IaUy(eZ9M@<XgLvagrHUo`>KaA!JUPc=K?^q`m6!s%{ z?nYt31xKeYj<{tw{jly;!JTb3%xe~O2=uc)GT-n?q}Y1t$En;3D{{9jo;vS$b^3w* zFOPPeh<u~-@Fv6j36cIMc)6ORcS{{Sq;N}A_rpG3)3v2{nLHaJ?G|p_JU4{()zLjA z-rt?%+aFlxrE#q|aC^pi+nz_S_#D+Qt}ANz5zM#tW09QUse{+Voj2%zX->AD8o+(> zeRQdfZ^t6Pb0zbfYFk-3S5Ia*y7jp8TCO)=)^_-*X<j-KHEGr46E?aVdTOrhnwqEL z<dCv!rox}^hbMeKJmKa{hm8v|)ErkVOuzeIXusw!gQtt$UD4e<M{uUXdxi6cauI(n zis>wVua=V}n5oKq>;IRn)7dZUTu<8AY^JyA))mHMpZxaDRB>N+FVCMd?&eX$9Ub`_ zRl0Yl+?XU9^y&JgkT)Hkj+ygUeZLbs-J-0VXOiww?p=>%SY);ZRj$sp_<H8)x+z~S z&OY|$gOcp~Z9Uo(ehHsz+i*-&K5C)N5zYHYwMv&*rOqn7r4}aTTgPPn`tr;*>J0sl ze!b@SmifT`-@~8+sS9h)T6X+RoLjq3&^T7$wqZ{AgLgWduB(0JoNsPFH2+aJ3y;*N z$IQQO^h`7{_BEdQZNc}W%F_=<KX@XvE3lU{gIBIrdf|K@w#sm>+h-DjUIbd?+w!yR zyRDG?Z@zc`0>0x?_t^wWHFvl&geQ53J2TwOx7)gYmgT%HtEJVy8K0Sx=YDK%&Sdvj zC+|JFb9A!);x)c+-gItAo2J3Hls$jTKY{dJX$8fq+B*V%37!_znsVZ*S^4Vj?gFdO z=FeN+u2x$#X^lav$IZP*SRaT_(4TRm^UPna88N{f{37Wxmu}Zrwwpw1Mi?bYikjN; z7OePs-drzs!GxE>oga!9e6SR(SJ{5F#4~?Jbi33YH^oU?1ll+*>(68lE_PLtbC9|+ z>GVa9t%91nwwyR~!(Dyin}15(@>@*RP6cy_sJ9+7xiuk>VN%-W<I;Q2oZ@&C@^$}m zr;`2mm-b#c;lcF(AXC&|x!2hfRvN#^UE%-C%PReEh17k6n)e&qZpyTt6V{5>ztF-z zdFeaeZ$1yKw|kwvdYtFUb`yJP=U=Zk_hqCi+-m*AUGdQ~v-G)xKGzwSrJgs$8LUOF zZuTw@z2`eCdQ;m?X3hzR4IRtQ^U6I7zGLn`xpAvMTg}GP9-fb!6K2N*-RHU){4HfN z=UUzk^CJ!?y(pWVcf;)u=lUt82YwXy8eNXtr@Z1$(36+veO6|-hMTk01?lwb{y$Oq zZezxu8T%*yx3J<-k8YULv{~NgjJDqUYw7k+&O`{wHb>{n#oAkKQ{lK)ml^VD#vNy= z?%uqw%pzqoUN3guWD(AE_=D)AhJ|mAxpK_>zEkaVHIL%Wmka9Tzif+q*O7ijkI!6_ z|6{4Q??kaIvA-(ii<Bm-iMTr1eh@vsXX7rpwZRk8i{)Qzdc$$XVehQPOFwCa+*UD{ zyQ!hE_d@i09oOcw{!!l3#P@E@(LGbN>2YkMz<0*grZ*b`^z#kUADp<F<~HrPpY89> zoORzD>T04sr7ll;JoU?><esc)JHo$JyB%f}(pq?5|GGxK`RwEj*Qr*CH!rGLxgB}D zT>R2L@#w6J&y)FIFXhsaGL=r9G-un#y}Ywn%zg<cf0JjuGe>#ys##L3j+a9AI5C;o z{?MwZ{<CnNlaQ3aNm0u?j80dR?_N!Rb$IqIezW2?OK$(2dvDFm&ZMe?wZ|g(r!BgE zJm2@+8P($<b%E;(#3dd*Hp+}$c6a4b?~{j>9b!1QDfinS$$VX#w5xN$+hVpH_xnG$ zE7yxoGfjM{s3mW*|G>FJ-hs|(o%YSwo-?d^qPX6}c4|b#+ido%*^RTi7g!&Aof=V5 z9ieI<FTd&2%qNoSvm+i&$$DP3g72ufaj?<}_M3feX%nm)s=8R%Yu#+aP8=-caV(2H z*S5LFvOPUMIOx^SS(cfXt4|iq_Fxi@{%dgSny;_gq#LE1vld@CdM`4`lXdH5r=Lw5 z@8sD1+WB1ZjL4nfnTgyDl}sy3wf5gieD16I(eTW%+JuUuznEU??`7C{c=hh1tM~E9 zF|F~qd1|rgxo;vYOB<Yg%#UjX{q6g9E9z!u&Pq>9or=G`n=Bg^o$c9@&9Q!BH0z=u zb{(g-2KKmTsTZAQ$V^|qVDZZ20FAG|6Zho?J{0!cys0W&ShRlS)27SI*DX4pSCv?B z;pDq%zc;>dub8&y+(z>sQ`C1cHaN>1+}-|3?&uw_b(eQNIMVRK{cwQc40Z|e);N*- zXY<A6f0VYUYA;<nQ_ZR^sD<HDi0%A8O1pdb^4HrInRav-uGlnPMP%)!HRqH%uKPDc z$&`JWK0oMwpiAQ4ntP8v^hJG0i4NG@b8gv&j;mpzZ|dep_H8@ERGMNoXVGlN8Ig%G ze_|A^&xgyhZS?p$@%hPpZ~PY>sENJfP}p0$Bx$eV)0$UbjY<_?%~6QorF8XJ%XG_S zXSxM1Ysg1Q=N_}(s>;AP!>QSN=gFiE>iTKUU0Ox&U&rkU{U-Rx{zL2Bq(^g{6<1sq zjJ&JRBtQ31A9Jrr!|fv$9mej)uLKQ#JnUJQ{H)`#$*B~fySm}I55!+8Jj(anVJv33 zZbsjwz^?(!SCg;tZ!JEfRp{%oIgeNH`jc}Pne3a^cP(ym-_`X_f8Lcgk?BiUE@Bdj zS3ISluH@chxbo@^m;Fz*W8-8NG<aKfmbHfbP}4ZUewM+vFK|W7rDN%L&91V4>Z+P@ zPU_fS4PmK(qeoVjow!-s{nG5#^b&WI%MU&nO`Gt{j%8+IPyW*vyVQj<g(g0^^<wt3 zG}A9qc5#RN-ZaI;SbR6*T#^4wCvnH3`{8EA&B4~+R?gz~5jyhn|Hsxx<x`X{RxDDN zU*9HcI_2PVL0e0Xl?w|z-rv{Q`$GD|g>9Q>O0%!ty4-O^p-y4go92a)F(1s2=G=L2 zAZhTYP3GI-e@d(xH|~ArcN1=uRXpP%=h0e{)f8uYv!_pF!jC=Y-(2(&bqTnBca{3x z*{|07y*HX)lJxrZt~QfX^Ooj0N~?1p%l71EIequ6%_NQwInGb6nXnjY|E=NUT+~xh za#pPTw?|b9r@;BLDdP2KCrZrFp46<<yK7nOrn?nutBay9l&=c3{MGQX-i1rPwD4)? z^L2N6%-Q})9A@eN_Tj@iF3u_DogOAH_f3CMbmgY?4gcreDN$Q>#BVD82v#kLOnw{u z-8SsGaJMdllIYTFE4H+=JogAc;BxN@({)|Z<!7=c{V)G>BE3cB+v@YB`RA4_R-W=p z^;@UTiC0;T*ZkhOPWYW88@lkn+_r$6;uE3^UVOBvQU4GT+UT3#VXNQ3);J@dv;LcG z)6<eX5dpo=I~$MuJuz{;d!fwibxN6KQ5psYoB5mae=iC6vOZPyp(sD^^E@@xO>)9> ztv#0M>t-^%JMyHN-`#Av5|eCo*bCMPq1jq@RsI_8<_d28a?&ENey-m`_oBT|FI%qK zAHp3~+ApKG-gwu)q+=dI(_EPI>f93+c`)BCEx050N`1?jf4<L7CuJ^JRP0hyx5Kq} z!;8n0o)>6+>8r@q{?cCQxUAh^)y`wHVq!&al(C;(w!^MU{bFd>6~7JlXI$F;bMkMu zCD|@biZ3eX^qzU18Q8r%Be&>$Q1y}6u3rT^{F+SvJ)axCM(^W}J)7p(JMZrQc<mMU z8<EGewr!d7z*7Cjfvm6GmY3G7sd24s2tE1HBTV$?@@%=L)VXmIT#Y{NOWQUY2RbM! zn17R2w&a^DXx&xJv-w7z>jHnS85*`1XMdF{=~t|srhC$Ax!ZHyoLs%5Mc+JkUE)sA zn;`q#W~P|Ir8g~N3sln@mg{%V?*2M+N9F12&gHi%j)pJVQQ&^c$b4y3^1h49wW4m9 zi*DQeQe4C?U_)%l!<~y)Dd<PMocWG5c%i{w+qS>gG-_v>C+=*zVPW%*RjYH&QM)>| zOFJ{zIr69dxI8OQs?tjP;8Mn!XAj1oPnZ0?wnVb!(8FSbqhU97cFnk1a(408yS^;E zqObo>yXrKtzg=h37vl*_r%a7V{ct73yjsNQ6z_lG7wJOH3l`dMGQ89ob0W?3ukh;3 zj~5k=tAwT>IVoQ)Uaddjqiq_O`tx79KbcaTr>n1ge&$cs;f`(HTlJj;4<5-qEn0r9 zU(m`SuDI!%Sp&;Uo>!96ym@o{=b8mI_M7mp@;muyrSg**9^W6ex+UG*wv0tgwtDR) z>9)!xf0;7Y^!J|2+_XYasYSx0USg$1*k-5YQ~uRnSZ~p^Jjp-%I188Xs_8nP`&V2! z-EsZuhp6)U)#<V9nJfPFOD}Bw{Y^F2?33Vyi1RvLs>~l=ua{(xQ}@%pb%^2Niu2P0 zrpWOpy{fET^yj-({RW??n;(m!WSSV2SWYlAFQ}~e7xc=yU}9a_%&Q+AxhEc*qoA!2 z-}<@6?RogF+h!HIW<0CpOgG4M*Ve50s`T8h?4rtqypOvkY-BnUWhQSEBf7q1@dQ2F zsd0&amd<EaSTynP=fmwgwjFzQ*tzt?=4s!Yw^ZzY)N9RfAj(dT<Bi0jySpTK?~0#q z%lzZcp>v#XZQ50Xn_BXI-#f*#8g`!7sXpr<yzavk!L(b4(%-2TglDh(^MGB(zUuR( z$nBkL?1h=nZr0}KbShG;-PHEPTT0{X!bf}G_Di(iyCYm55SHco>)|B9=Xd_*KN7g| zh&kT*XY3VL|6?upc$Bl5WA@jnmR1%`tJCtEw6|@!VeXeZN+DA>{0L&0&ZEqI+4<;~ zW4mf%L?)(vU}#a0@lbvolYVb?h)-uKr?lhtq+=7i{^<2sZ=8H&n??*@nd~k31HH%n zTHgApiY~p`I>qF3%>(T@pA<I4lx^B~J?!#P`!DtEWsE<comH6eh~d&(-`iEOz035P zE1ODm)8>Z<tk8XzUK~_%qu+FA^RmdV%b1_0r`*(8dSvajSJz)vIW9c#<+af_F3t-F z+_)6(bk|=C<-4%YK2ey_aq*3k=~ua?&#Pk&iwpIdS@Fm0mupa4!ou=j?&Xde-7AE} zY=S;oIW+t^^D^jYjFQpW?0~5a8)etZOgk~JctP)%RYrXUa+T|EFZ*@Jh3$~ky7e`I z%y-UR2s`}sdw0|%&8s)RCED;T+9w*Ot!n>6*0$jK;XT`YQa`xlO}Zfx8|INZedqtE zwI|JPrN5dlVfZy&>N4N7^t-?Qu)N<CtGnrC`5R&Dmfae1?lSWpHcRaCO_6YKm2xf- ztm*j9$Mf=$2b=TF%%5}Prgw+^tlZ2I&EC8A{njfo9DNe4+bokFDppkPUFXIdw76Z6 zYt>zK?uD2BO7C4DXsSO|!h++`rx#avI=#ydReecbz<E!>HB8{o$7KEd2%D%&GGbr! zS3O^7x#{Y@bIU_B!`=lxsD0b}@5daMZ9B?$RkvLYXj>()^iF+erkeDD49Sx42=xo< zGe2J45M*0o#3v%yQx>qUM3(6qx39ELr$=z+f|D<$@|Vvz`0M$zzoy61HVRBpa^PVz z{!!GT!pbH3F6mVp!`s{9(hr{AQ7tW6+obN#xJ3S+W}mbt%T{kMwVc-X*Y~&I>(JQz z+wr)-kzacSKg|Cll{H7_^<|lJj*eF|o4BLZ4A0h|4)T{+E4p?c>!}->drkO%^t$-_ z#A|IV{<|ak`w`s_=X+X~FqOsgUebB;*5{$-`e&O`zkK5^+&10F=<6Qc?Ma%)x1YJb z*hj7V(7*K;=anDbBe<TcW`o?GoQb0L@6D|J1(^SpO=fIzU9)}Gl?&0HOa7jF9dL7N z53gfEpTe0NUj*H4SDWbFX}<VnOLo}*UjmvNQ;u3|+>ZInoXD_b`I5f^V&7bXd%rBr zGS|u!Y;`q#5;S|(>ikWnH>``h+oC>vdX#(frTI0tiqQKt2mO3CKP{;HYM|zHVuF6N zj>DaVZheL)VKLQz?<DfxyU85!_LgmIROx$pAFt=f6%q>yB>l`7&ON=TvApXJ)5{=@ z`^%@jo3#A0$0hE&tbadn-B4{2-VoA}cP(;l(78$T&b#cgl2~&`WB;P*!FGN+Yr7pI z+8TcDnRId64faxJcVU0`Lz8cF1x3e9xfS2l^nzPEecn$gUipA1StA+ws>D-onO`QF zpSDcCu>GDu-@-|~)7Dk;1_(GQ-CH|h=f}{9=S;>84aR>MmdZU@dZ_*9-u=fEFN)vH z-@*Ow-%iEdO`S*E-aP(TaZN-cf2WF?pX!8a=C0dI?DynoTIn^`&rUL&qZrM;;PXPw z-@eZ*j=BXKSh(8njs5b~X5X7^O?}CvOI*CSwI*-eJXdT+xXWruXNK1|?7#i<^D3<< zKNl(Am-KaAnPvgQ{a1?{+oBxWf82N`wEJRXrN{XR506fqw;}KW(=Sc!wG%2$3_r>( zS^fQE9Ix=KYc>b2K4Yx4nfGAP))%E`<L71U&xy~!9_A6ID7?cn+4{2XJ(b3*dxK{) zT;VKy(-^L!f6YSstw==dmbuw8QtjV_zR;Yw_SMzO7KPu;Z4Np4Pa41P^M1iCS~+c| zL(kG%Mlb%pXL-TMV!x47XWtsW-7EA&R>&Brafa(Y_E1(lue$%l>A#QCm-8p6stZ_$ z9GU5LI3p`M=6jCux#uObK1@2}bUiahTmDV)Lzx|WE_N<Dzv;!>N4xgl(-6P&&$gsk z?PS~Wr5Q~6Mi=k7D;V5LewY`U8@EUFlW+3zKc9tr?p$I#P^nWrpL6EE51*JWZ{GS_ z!+z1esY~+`_dXZ*Ik>6!=QCULlhu+>S6}_bbhkHWsr{T|?>Q9}cl6h@CG}jm`P-6d z;!^%1<~CNdhefN8X&UXk8~g5ASdDL`Vpj|AoMeHhw=&Oj-UTLF8S`d+x&38*lBZd; zg6#I|&sVLpWMBSNlJB(P*BU(ojr{l*Cw%%gN@dF1KZ&_;guhSy)RyC)rnYwZCtaHx zySpGe{@&S}%d#b{&MiD`{z+^3#PB1}olV7qoP966o5%LcsWj%wUO^ktw}HAEZLY#R z$Jjos-Sq7?PsH8`<A7^1Hwr#l#u-|#)DU`j$z_xKg?ZA}%M44;wJw&PxHM5dG0nx| z%I&129oM{aKJmS`cKKs`__*UiHea`hyQ15BFPe3J^Z0Z1T(OMm>}kHOi9DrWMXq;h zF&ZdJ$Zk9*b?dQ-zLjC#jR&P`4|nF~ZVit2esRzA&~B{{s`3G)tyTSnueIh!oEEk? zCSBP(^+xQ{D7`bg79?*yQL<N#L9eIWYyO=l!HL&;TtfF01lKd9YWSy@B*by=;Qe%D zc8gv9nNN=+H469^EDt@a$Qmnnoo#E<dnLQ;J=_z*CN-q3ojPmf_T|qvPKh>|vB_fn znGa_CUpSSkE{ELd^J%|TzbBDF@W`M3Elts4YWJAVXQkG!<2iD>ea;K>iI(>=9S?1k zzIt>r52I)hyTgguyY&VA_UGH#Wb{qHV6(C3)l}<CA{GBOrN%d3D`e+m+m*&;d}w#o zYCWk5heGukY;{+BPINx@V(*MkA{n03*ZItUt+;h%i0QheEZXa|dAC<iFuzwY@2~xY z_Vuq9r0r&q(QWIt37-3?bIuXAi%U$L>!tpkbM3FKiF!D1SJRuw-tP$<!Ab{2y1)OJ z9#Gg7F7ca3vAnHq=Cccj+(RAq|11noaJpIZ%Hh{fe!DxmDu1_MVY>Ao^28w_M$6lq zrYO8u<-0T|^wIXS97^`jZZCfJi?!OL$#kXao8bJ#hC8YPY>(NnZhIxax66F{iFIb@ zzC4}&lc~1PD)wslnp=9Q7Z*0`o!xFUQ%&bvp<==O?<cH8SIl^5XT@^jmtE?EKr_8Z zZ*4mq6Z|728xm97TV@xZ<XC38rto=B<+E_1&u@f(PMyMcf9a}|5x>-#oc&x4SAEO4 zza(yEjz{AH^*0mG)H+{3@J92EU4}+u^7LKDr#w>n5I4hn>%qe_y)}#89eLvY%5?QV zi}^0rv!`<YYhAAU$9S{$srF4BJB#B(PR4pXXj-+W@0I%w_o#p8W!&PGSoW<G{`y#X ze!9)I3FlMJopW>*uc)=@?fuRh`ovxNp+IfO)tSF`Ja;u_U0UY5S<PLBH!DLw*J1L8 zI3MrD9ks@Jl4_lLD)W9Rr)Jr8J(l?4;Hf{Ck>9Liky6z5&bt)_+*2P&+*jnew2JAt zn6Y?6)n)6_Ew%w0JvMx2FMbrX&#q?sqm#wOToOD-dJ``>PP|j8ut(_CSFYs?B>ql& za_E!k(Ux<vZ}rU5_AzaVz7gE0U{M&d-+AGlGaIMQh=0T0_~PNdsOJ~AvSvh>?7dol z-Jfr&$KU1qw2geHSlP{~pOtc4`}doIhdnRMd(HfXo?4o2sCs{*#OM>_PEMb?D~4N7 zT>H-Qe~*IFnx;7n&Pj(>ek@(wIH}G)^^xK_6)*j)pB?w3!#&>ZJE~N=snCY4+i+LN zFE-1{#TsX~Fh-p}`<FedW!9nzFCu<CI{qiJ_L->htf!U^mWuqhzo*YnTOYXM${~Z4 zbvrC?zv4bAAIafuyEApIhcRpZyz{Fr%Dw%Y*ApaK_Nz&K%eIzFGaJ(OD*7yAbC}Q9 z8#eJK(+`~o(@(gB>IV5MX|3`N-2TDjPRkVuj+tj(e<=wLzi0SP;=KRtV-r;83jE)6 z-1G>GoaS2FBM%&%AH3Y+eEb;8R)O~ukFC04c4r~0=>n}~QpcVeWL+)3TvP5^b?|2A zt}H#-6#>_6h4%{j{s<{s{CCpA4=Jl_B6?1KtgzgFH1ft#!AES%QZv3zOJ<(b>RR5r z_nWv9|CZ;``}NPWB%i4LHC=bLw4Cu<NzIB?51ayC@AjWGBh9v3?}(<0&eis)`B`e4 zbCqKsTg?xB!p(P@N41A-!9FJU&3{f>SY=;ywC}yt_0G!K&dKlc_d3=(^{=VBJnRaV zzOXAZ?z_)kT=_x8N0B3H6~`@>_eXj<be5dcvpMLfJiX@f4n>cr3j1zbu*D|tU3va8 z!^wjE7e&Q`F8(-@X76PG$x?wUR9)~LXP?i$3(O~N_;=~7J+!YOO-du@i_`lw^%Lc~ znv12lS#~vF*WQ(2DydYcvu&RKv0WSd`LtR7EwG+w<F8QVGd<t_e39&YLx#s16&s9} z)^IgzpDw80U+kw9eAh>k@fE-F*WH^6&xEb;dHD1ILqNwzuhY8sf=f;__O15b=5ly} z&ITv8&2RG8iD;~K*b%WH%Dewn($3z*eu2N!jb}aD;2AR6l>hqo`(meEANe@3SK60; zG+>N9@p;$de*LtrsFh}t*4LLR)h??#q^kWRNq2+w+{6EbB_G?`&0ZNLz;XNDG=<RG z_1h0eq#DM(J9e%uQA{wiEh%GfCEHG6pO^6wB}~QH6S|hmvAznq!L-mk=X-Ea-0OWo znLV$kHj7Ket=3(=!C={HBc1!V?406-A51KiXj~lUd`{z$&0d+fJ%L_p-prQ@ubmke zY|;2@O9`9Qd>!?REOS<`S#s|5Io4M~$&y)1zD-YW{K>y}9;3#TYu~qYJ85+&-gK3n z{ObQ){=lRAV&mN23SD_Je@2(Ujjt=u8gB9t@RMhi)RO$Sa6$r0zO1|Dk!w0HzSx>g zdU}vc%%)@F#q}=DALUqMR;=v^|JT%_dNg`*=Db}Ye~!<+`$NNuA$^rv++2qFSHn+5 zt#`k4lz)e)(uRYRI^y@Z?DCuZXREGQoawxY?|whp8Gqnll)K-{nJJ!p5fUuc>RMZ_ z>!r9gPUQ$x-6ty2>9eKyFgx4Sn<@FHuQ0`R`(2+DezxLgXH@nk-(OnmtfS2;+H(Ko zTOCPNuI)6n4^n?{*yCe+eIo06+e7D?U(V*8BFCc|s&ee*>bYCZ51hD=Z@Ox|jnS-_ zw(!|ywag23-M8H2n10;g_agI^^6i_~iRRVMSm2dsWb#v7z(`Bx(vLfBL6dETqAoqT zqQ3j`v?Whwg}B=*FmLYEIluY%d(myvPu?xQ$MI0g$LrhnOHsiF)t5Wh@JfhHSpQ4= zeU@0^mi?;&W2drjdhVXn!saSlCSkCa@$#Y{TZ~t^?J0a~F=bDHR^~Tm3&V9Yw`NVt zsw*ihSm;o&?de2`8ST;c^)tKodRLmY*xYK|baYe7X-|6rW#N6Vw~MMB__OG5+57G* zGmgdVPgZ??advdot>`D;-6PWjn=Eaa>UYgg5U}H)m35Cr_WtSkj?GC{Nu}Y8ORhIQ z%ldx6Gd8|zgJ;f_Y_pR$ZceCq=D+dehM@4Xu}jPz7W8!K-+!U*us&e>rPzt{GTEy) zN66G)4pJ{q-m|Frd_}WXAj_JPQqxsgF;mTZ#8R8~ud%x|Y4xkHu-%`$#dbeja_(bO zN#%O;MfH9qnNL;-J38qJE_Z(XBxXzfHoj+;fiZ!_k7V9^F^6B@dh9u?#cb8)pN;RB zu4=7bah*}QWd(o0ixY2N_0QSBHm~XO+TeXhjx1#{YJGF!c-Sg^8R2`oGy_#%O)gq_ zAYbnF{=6Wg`w5SJTz<R7Pq_T@ZpO`Xb5E|*vD>mCO!wohw)5*wPZL?)C(;vs<wm)( zu-L1I>o(RNSx|cQbV%TrXTSdo?t1kg>Os@<=j)DU%`WD?T5j^}Waf^Gg*xvdjJKa{ z>3rMc>Ly^nw`z&_*$)ho4$t<-JbgH4K>+{mbu;cSy1ABvN#d)_dixHp^_Qc%lh)q+ zclL+#yX>4<(X&>j#%@kKcWK@}ss9{CX7Qg6@<j>k{oSzt;_7d)Ce^0$c|Wz?8E<+% zpEU3Mz3qFnmn`G^V{v;~di*oikJkeIH(I$YoGmpq_p|SDgS$pAycVCbUi<o#fqd18 zQy-0kR{IrKAKlcH@xx(upzGYH+ixv<e7rgG{tBMI%UkUd{F|?yQkGuL?0vK^lQ~o0 zH(RZ_`kl?oo{aC}CE8Ap3pr-T|2+R;ky(z9j`s3<;yZriDST)8q}sluyo|r?nd>S3 zMKzJz^d=~+oNxK>T=lH5GcB_v?sxBwlJ=g}eCv~3=iD0ylB(`GwY}}W%X?-GXI3_w z=;M5k=%p)O`yW2DsOM>_ioVgtWCt}v|C>g8`lQpvSeAQDa+eW!;Bsz0ug7IkMjgMq z)wiyd-j82h`bFZca?9#K=XnZQC5GycoHE%J*|s+<d8t!gCe$nw_0!qqe%WgaZ=G04 ziSCY|lP8$?#rxK8@pj!jgFBgR?t`+(9P6Y1oe%!mWLZ}D;jifqR=!il@A6kJ;S)GD z=gXdu$d%7eOY5jetPk<}|F7!1!!Lm%9?^P1K9Bn+&cwV4U-YW3{-o6@yRuJSXMDe} z<;eW@Z=vx!_HL&9Dc+BYzV2#f-F?Y&xt8pLM?X$Zv1wT~o73^g$9K+-D`LOjxL8ov zrp~1+u=e2cTXC`3&p7M8H?e861hCdubAK-u6Vwp%jQMEa&i#-r`~H3Ingh=t_aDfy zF%Mc(amHYOm&yMnspiiQFN^UuRK4$78>hAWqR#Zne9i30ik2PwnX`6vSDl(CXdLpe z_5ZBkcM4CJ{e0HwHKki(!R}iXI;F4v^}G^Wo7=kO?DZ4D598FfKHF<=rR(v0N^2<B zIZ-tORehVc&Rfn~N4cF<dCH_-z$^ZEVRe7_^gr$2Zr*?MtTJ+2-WxUheD`CV2I*Qa zZ#O-?t+CHvK0$QB+Q^fY=Pvs>K6N<rKK010KNmwy7Z}&%EjXO_N`QUuvAAsM%fAmW z)*j!W!nbv)m(cqq@p{6_KX>jG&pl&wap{4VeXhd1^R^bfdvDjEcFUqKc;$we`@#ky zU&Oz!&ldXpB1HI`OpX4(Q<B$p&TW6acvYtC-o=Mmxo@ikdd~>aU<*E4`iv{@aI}!k z0o@x{PwAMy(|r*qI{92c+V!q4DzEMoY<J7MF7PR><<)=R9qIX1hh8id6y~@8{$Den zHCcn@Ok%g?79OLrMQ3hX8kFc;us`=PS5@3>Be+WFj&Sp`WBZuWr`Ao~v~9Y#eCgjQ zT050vCtv=m{pb3Ot`_mXj)qb4Jl{Um#NU!ty2Meob*1dW2b26A<=U7JyzdD(kuC2x zwV<QU=ee^+=G=2_^ERD!N&L0N%OQbzZfjA|5oNaL>gJ7CR@h`Q*JdBi*xY*k<E=Lf zLl5q_?Xc-*<g}cH@i!+NiwOGn(7Ky<#<y&ajr?JX6P!&}_P3}wOUF4di{|bF-Q%Wk zQs#c`w{!nmvW(7ci8>?l>9MjckND3M#ic0^%GB9CqaLj4X!q)8y2m?B!Nt}jv%{nP zQjFb^G~ZzV*Cs+a2Lyup>UUk^I?rNLEx3wviRqt2W5>`{i%(~M_RC7y(;}&{D?j|X zaz>s5gZ%2{FBYuclBBTf0Hf75hWV%UtCRb)Pw5Gs-t~y*np(rI@?C{3KiX!#escQi zhG4^~n>H`kE@FM@yRyIiL*K%#&X{<Como+P9rYY5&81(jQ3^V~$(561QS>1{CbNg` zmXEI7dd#jXF-hj&LZ!Hvn2IfrH~Dt@&Nh3rT*-!U>iv~_PA@tW6}G%E>QklSu74{_ z1?*!sdvxqioOksTkI<Ff?P5z6*0W}xh}M`mYucrk!IkX&f248`U2lDLf2vzdM2}bm zm(SX@m)nb(oH8fByqFluzrFWg%c<%;wrkhd$$CvuwfX$%{DwP^4236qy_+Yr{-8xm zS?~(SxcJ@oTUwe}XWYDhs8elS+>NyIV$JK@opf`SdFt}lYk!gB+#wz?t>sF_S?AZr z%>1)57A(E>IXvQzon^42|Mlk9eI`k(3w;7l{@R=|@x$)<?i?ACn{LTW+>+rgv_Il^ zZ23K%WqeOm+b;FGUERLM<+G<N&(k6~r(?4!H>|Hvc``%Eq((qU&+~(Shfhr4A|~O* zPYs@Z(_i0yQ8#N^P|S^mEYIh2*9Lr6I`H?1{r1XZ8HbD=^o0+`me&4!rpA&m<IswE zM^wH<J-Q>+H{E%r-I}WYUiNO*z52}RidqdF_nmj%`J%FS%6*IZ4a)Bx?McY#%NJz4 zn_VF!-aNJM%4T)5EsywCarGU_sB5z9&pySj8GlIgZ*{=#?wPkrH*S8yY%q6?fs(G% zY9aAczMX=v`)uVG)_1Rzm6_|<@S=TU;_eAc+bSQtEp2m}>%?OIK4SU98B4mQezG_< zIhr;cKjL%bO3U_J4F5U0vd^Ddy>ZKg%rYq_w$oxtMxR3)9saMjUF#X~_k`a*>x-ff zrafZ#A}Xvn>vxR6;ZnAM3hVd#@3WQ1N#4tU{_~^V?+Xe>(dQbEoELBu3b3%7b793) zS(o)Q6_2dG@Aa-a_+pH2g95M8hLiVAzaE{Dc{oOPmY!sMR&`h}e@x{>&D{Ibm%L7S zoIFEQdfnvTesYF0eDoF<Bq{nFU6=oFk8QR<@2Pt|<@wXwV>va01)dgmo%GprtoB~T z%sCaeKkm7^RABll?oa((GD^m)pKj8=@Xy6qcz%yanMjIPnfb&Cu5BKVxO`c!xEZ;K zZa*}m@SjMHRook;q}6|Z&B^t8$q~M<QYG_W#q8GHC+j7ZzW1;Ba;)&5rN&m~iiG38 ze({;Vy~_5t;P<1%C%w`=9!-{o%fC+leloTF?UR)qYfhR--B}dH+G)D+Z^d8dd%u)K z*V#$+YwS7B`R%w*g@5v~yS6V1CTRNCe4A7$vAj^R^0V9u$+MF$ZEiI=nYhK=VB?0* zu?+3$Q=hDT`BCETof)6gHUFlo$!Rb%9r=B<kD;$(Z)9A>g7>?1Jd56K*}mO)ZYNjj z><yt`6&_R{bUza@)hzA7?rBZjOM{njyeiR?v3<1L@bD~4kHF3Mul)O$)qeCHOMmfe z!P4)w*YY)kHr(Mo5q5CbwXVCb-2#s29L&9VT;$q@Je~Px(`IgRD}I{C^Wkl7xNk$F z@QDj^4=_!si{gqoQ4#Kx<HhlD;RV^y&m~N?lfLcNdYZm(&(~}5p}XF`?lhnMVQsL@ zOh<Pm=4b3K7OwZqSR#(^nXz5&eDAE*Dc6g0qt7z&&-+#}lb4~-u<l3b!@JIm?0;UA zrZny8i^?;9{g|WsllII@BKsFD%xD%n?LXmleZU{pJ8Tb=lX`bgZjVe1?BG5Bxp4O% z$$Ps$hZ}sYYVde<TR-FAf-;l);g?*Uvn-#spSR!go?*?jRs4MV=h-r!9BC7{ve*5G z`aXdPJs0=P>AkbS!fL~Yd2C;ge64x^$^GlZi@(;C{H_*rTk^W_&b5R~Cv!iBMI8*j zV$`<#(WA=EXILi9{Oy{c5z8i8Xk+{|IO|#VJtcu1?v`xVdQ=x{-_*UT_s`U*O{JFE zWx}}y2j{%m@z2#_PTiJA%(lwM^u-v<L(KBEv@Xd@N<KZY%|UTN`Lr1cN8>KLF-@pj zd-?k0AMwZIzcZfAUi&{a*>Xa$$qCn8E(VpdXGM4ETO^j8kblnGVOzOj_Rd=s^1J^A zY%qvFD0t>*tQ4OFi^4CKquw7Kc~}j1dtJ;9;XTPc`LD!>CYjy*ZLfbi&2BihxYTlW zDaQ|v_iu8q8LPiJYoF(+U9ph2NMdI7r;Tm-x6Y&nPByq5vLN)E%P;SA_9Zuptd8bf z)>+ebNa+96Nu@ECpW@rYJ3Y4)DgDR~{{2PK?h&gyPjAoa8CPAcgj_DEGm32Tn`?aY z*8a{vnZ;{7bPY2zSZfac(C5iqvU=}DjmLq3HAju^POLkq>*C}4ti(mmLNY*p`tSHx zeR}`TEht+M+qLzt7VD~?Q^fR-l_Z-izZ<OEx&8C>KkF0^eD+_H%f8KJmrmy2m4fH? zZF5_@h-0H+++k+v{+9aw_ww0ZZweiDZEFy&=+FvKQfgr1$n2>LPhGY3i_5c%ZNIO+ z6Ay{YKHr#n;rv3OJKK`B2JF&v^EE12laqVo!1ls=^Rs^wbdP=cvD){`k3#i{=G?rT zxz>Mf6y6g2G5xIkN3Z$%buO264`>N*yA-Cj*|^v3BhQbojh-8p>Nzqz=r0fEPAJ>Z zc~Iw-b?WhnmdYmoXHD1qaYZZkrgojG4)eSER*!89uD?F*Vz}Dkqu&226{V@wI%-9N zN-~;GX}h1e9d8h{OOfMdPW>!3_x({}zc+pdqtxqHFlufum;UkKeN9e?(&dLQ47?0_ z(;16>uRVM)rBNdK->TPaOEyihTiE{UNf(1u?7hz)br08>^)K`)`hQMbNmn%3zBKk< zy>b!%6;<os%OZ4NOl(^HuANIRD)MQ^RqyEsWC}L_TjL<Y!>Xxrw$-I6Qd@S5&DnIP z+`SHc_S&1W><@a}UBtG(^4AZ32CZX%0;iap`b=EXyl@Niow-E{HAStV{N`?TKXy4Z zyyX8PAR}y)R>8x5L_Tv#_KM7Y7v75R*3V~eH#N<^uxQ<*?eblhb}o`pI?`@-;hy`v z1IhMRq`ruLe(2hGWZ~`F!&a*4GQk-PTZHrE+Frl!KFIt{-f3Ce!tQG=r`E675Iv8R z?QODzdwtVE^Tq4?=e=g@{KWP<k7o^M*vxr$Un873?|sNwE<RCGZE9tJhxOdGC)fjX zZYnQrRA6r?+0x)RyD`T^Bm9=*a^FXphFun`?SADwHhB>C=3Ncn1M>^(W+*(JzpF*S z>h&a*nka>Ux>*`UIzG;eth*P5r2BJkDp}7d(f+YyqU0p+_p9D-7C+^~WYA#hKKYxe z5}(M`Shu6fvF1mgTxjD~Qj=JcWO1-3MX>T}YK6yT-h3Hbrk&U4xUj7(^*^jV=c>Ys zqk-<?S1;c3Dm%-eQ@vxi<?#>K+z-d*ZrZ!q@PM-C#S`gE=iFvI+vu74yZPMnNe;IT z9_W`Zi8`GmcVs6|$&Ro8ie~(moBw!vLd1=Iq9>={J1H|^)<Z$h1xLRAIUK9Grs~O7 z;WZzmgB0esSviy}a1+UH*^#mJIzx(nyxZ9lR;Q-Awz*e(EB@%^bbGhFlQ<iY5%x2m z%SkDhsd1(5AIJC1yQiOs<48HkvCz=%=G8C}Zm!NpW(Lg+3s2Sl+PrH`QDG(5|0%1D zl+)%PDp1jj53aJ*XKqkt6>M6had5Nwyof&&v|EDP+ZZRPd&rCPhc?CUJtAwXBYaBT zV`-E3;fqSUtNw61-kB3^dR1Yc{gOcQWCrC4{Hf(>(rUY=>K|#FTiAbgpKs^R7Y+Z{ z>Iu6337z}p>0%KvQ&uJA7mIYi7hNi_{q26U)W|QFwWY_1Ej7#cOXqgGqaBQMxqi*> z2uwcbpKHU(9hauKd9nB56(=2jlyI~xRbHlDk*2Y#!Ks&1o%_3C$DY5T$9Bp8Q?yjo zT`c<S+PgD5ZTt&77M*F|J<D~`4DLVat@^tzD84!vwp#yL-Pf+VTHBoPC9eWxtiCQ) zndk7BO}zEHx7ktVa`%L7uOC`J7QOlPsCllU($bKw7t87xgN~Lx)Q+<EoZh}{%|h=l znJO<HRk)fSxzsrOmb$LW?sI`1GBF>OSCw7a?Z0H+@p`sC@u^N-Dd%Rqf26WChrMK< zx6G~GJ%+QBbWcrCmsXxTgXLW55{7+CvIV{$*ETK<6<d7UZ^p;P$_2)1D@!IuRy(>_ zd+uXMJR+3hw{>U79>*W`F<<>;I5)*7?b+^Fbhgz=vVVHd)0wY6X&Ptyv6fWmSWbR; z%kEq6%>=WC2NJjMe0~}oDHoG&wen1j<l{f0ci9fG%CuPB`1Q&B)C#*9_wMajcj*R$ z@W%BK@qgyJUYKx=qf+~+6T|XJdO2qEc;~EVR?63W@PkqFVo&|1gTF0=%{4^sNfl1f zb)2jcnZ9RfVeHz2MNRrH@;@Ffeb2Oc^Y`0J-l=rXdH8kNuJsQbKG=Qg+S|3}ShQMB zihNH06MyL+daP^w-^<iLy~4Bfn+;QqzL~0*rr1l@)d!Y8ck!Nkal=)`JC^NUH#wAM zNEsYX^J*$Bcp&BK#>CIGD%WsDY3#|63m$n46N4j^YHNdwdOpUTI>i?9NVLg&;)a5& zUo&5AkU9I_Yro~w&r`$F_+pt~Bph1)z2Js<aeDo}pVfD?tK<LNbZ4r$I3cN9YOB&T zyF1c)VK+)9S8VZH{%l5JcS9P7n53)rMaEkdLhN^HwuRO!m;G7R^Jh|mwR@P3`llNi z6JlJR%|7vcBi}OJvlm}+zIK@H#S}L~$YsV}xj*MKO&91rTP7m!@SI&eM1y~N^V)@y z_uLL^d2eT8Tk3!4WJ52@;Wbl^zT0z*ajD_@s_(x=Hb}I~J{NL-XT3;p1K*KM4uzG8 zS47S^No`s@Vej>KnZa{s&Rsey=-JMB5&yFrHH1w#R_D~KyJqe)mz;LJSoM(0ng5?( zKh7`en7{P%f^Qk=eMd6)u34T{;u2c1{9ju8m)h?<YT|pBH=TUIwrS}T^`*VKC+ouI zm4BRI{&r&aTBg-yM%}Jre7nRin7{sCZMi+`?hMO5^IK_K@77KcUi#t%Uw`v~w89;Z zsoPK1wWx7RD&<r<edL+sdAi&#PHNtE?`_UoK7R_YKNQ^`wkk+r$G=|@6(6go->Xeb zJz7|C>F3Fvhks@KXP3DD=v8U#Y#(X%y~hq``-Cx^THN^2>eJ6-DpfyU8_wq1aNR;v zB1`=c|L0bfww0x}#t)`x^?q+W6IQ=}%gdUS9aq)AX?|U>DN?J$c87h0)2nRTM*kmD zvu4iW+*|a4^Owxw%DcH@^R-lyc8Fi`-+#D!U&Wnv-R{m!Oi3Ak*4^E|w=(F5RGN{u zZ1|Kl<-C`BeFO6MPimVrKf=jl!xBv!tA83fEgP3Ut_@>KV;0F@(!!gku{@MVter!n zLO#F4P<yR_)QMZw?VF5ao<|&&vU&TZ%6Yl+a?hU)lOH&U9FtaN+*D}os2H%;IX&o7 zeVxHU%{$ZLW}lmAU2|f(qXfg{$zPf6<M%imZ7XR=NIiYmf^XgNl~4T}f3lx(k!kqX z-mP$I&1B9safQovs^vDF4Gy{VW=^tquk^OhGlI15y;`sKRIu#+jR)bMYgfH`qac~M zs^eE)rN-*Avb{!rwh#a8+T64G_sjcM(sR~6zxSo$%h6TMRr~zhedh13Wqs{#`c7-Y z9EMd>era<qpYcoQ`26*oS&x0{eK<jVYfoI!pWZvKW^6jx8*{@#c=DyYyghHW%39{U zefs{8+ldvn*^jihx6FQUqcd`*+#QaG2l}#Cm(MQe+tg;Pcli6=(C^ExhJNwrNWWgj z;MT`pX_;#l*zmI8w)@TAk4Jgl*~@NtzuUL+z=Lyj0qYF~E?Juv8q0QXdRBkun$W`; zxyBc-AGZ5+;OQ5Zn`fHkULDC_`|fa9&S#^?IUfY)KQMAr=AZXna`yGYhfD6Pv0Hy5 z*N0j3VSKye{EvH1i_1&Tc@c5=UEmv8y{mV=eBOOP+154T+jFTWvtOS#zImta|Ect- zlWvE0=EZ!^FPLXm)wue|>ud{ctp&`}f1TcLsk6RPICM{){szNaM<@9PS?x~DYco=2 zO1?JfPWAf#`P}cjd%V+P=G4E`H(?Iu(r17B`}yBH1v`0{zwzF&QfSXZw>_sk*B-rb zp(BRx=FKB^o8FoKN!z*T#_C0Dq<yz2ZCd`0Ct|1m%ue+@1rz_gTgEG9e{i1muejrY z-0`Bjt@o7@gR&<F?J#B5ROI>b&2^W;x46AM%YAu08*W!H+<D@zZg`Nftt_l$+1$<> z;ar?Q56yVC?VoV##|N2ix9=9)Ik9I|#y#8};Zm1p)xvztIKkAz>&7f6heGv>iEVdU zpUI_KHcy^#@^$aOjUI<0il24)9WhK$<UKV%<m9$O^G$;D4_7i<RrNWzd)!|vDax1O z?$LVbzp|aQ^2-XN%lvh>w_cV0+p|i<?xA*Ohs@$nr(b)&ESyri%(5?i!Slyg#A8?O z+8Ob!{qoerU(v63C30Pw=_G!2S@}_Uy>puRTc6G3a5&QWdR1%tqui8tGx7vW56$^L z$>`4h$7zx`&tIy&njQ5<`<}ht8++$}9^r;p_P!OFKKcB6Lr=!zR=a-pcj;_)zBcV+ z<rLPCmz%YO&U>smC%FDvrNWA~6>K#R9Jc((QtWfC{}>~7@@CT)X^V*;m_OvkUYf8? zVmn8HiR_xG`(18Li)@kFWAX0&e$^{Zm(F!BY`wZ+qv46$;lI4xpRg|$xqn&5)_>Z( z4GF$CCZEjH_xE&{GEHm9>C5R@S^aBO&opL>ps>yT52rP-1Z|n!9KBUjuD$T{7x$$a zM;Fa{zGG{m{DNCr+qSK%p1pR?tv$Xef7Rjznvbrp663n;!@2RP<-4X`MX@W_7Bm!T zN&VgPN}w?=*+^l+9W9PH9o?P@{cDTYvI$xUlpNs~a}Aa8w`ra4<)L{|%6*kzw(}Kl zCas*!@-LFrnz>f4kog=ny>`+3hj;cxl=0pzW|$@SWa9-Rsn=p3`vap=zj&qVE#04W z;-z?4Rza_)sqci1H#M$?HF$m$*ZwLp$MH+cvC!Y9@+<~@Ya7jOCnlJ%G5(WLQj$2F zRoPMRlN%ntyf}HQ%*(^~f;;c2{pZQs*Yx`Qm7^RLYQDbL>U7ph%CI=s7>MWpwOX<B zV?$PK^J<@(kf$1~5{yePJs11He3?-vN%r=ebJaGr$2Z;M&7COoL8WN^MdN2%WFMr( zPx&h!Dk6Qa@lL~r0M+s>kCzD;d52%oHCd`5sr9xnW!8pQ{_(*=&RJOnT@xQ@^4V{* zUaR{p%lFwUgH1}8b#5(B`ka<`_8$KO;mo8814jj!L-7K0g_xzd+&!zFEqc%W@^H-y zS^c)k6bHTOj$OrpnKmLi?z8lF)CVqjmf81DypUh{<IfGt&ht$A8+y=vCi~0pX_qTw zDsOxG&OLofb9<P8Q$+Xt30VspoP?!>{6&T1`tsz(e46Ij)Cq66*Ks#rOsH%9s*1IX z+%>=3*>_G^Zhl0({Oi{(eRrAOJvi6<;Cxh3=T~;ccNf3^?J3A`lX$wg`Y4Zz0h^|X zd?d>)C2_SE@m8<zeo)zVYtxsXB`%@WUm8+`dz>bu_-C9Fy0-6$mf5x4`mdN)+%dN> zUHbFIIiY6)|0`8h?%V0CyWDswk>7XT^J^ZljJj5u>o3ck{g>jm>e+!UCT<_Ux!m%| zO{>|wdWxi+<$Jx`&t9M2>~z<m$e&B)<beg-9|s*+lkT0vD|%M1Xnp)jKbDySzGbJ3 zR+bCB3Y#md+8Q=5^HW=Ne(cxpX>*Ia55(O0)NuQ7g3h<Q{?ZFrp8qJ#Ssbua`j2ho ze3xx&4xD`#Jmb&#i0;+wTLpq2CMhxRSi5SzmQS*1)#R2aVUZ`3l;^ij5POs#KYe|% zwQhfE@q?=3)aMGXXZH%b9>2RJHYPDcGEDl6vlCDIB3tp!JuLStPp$22e=cnDu20={ z(>#&&ocdhdRSfNd{Hr?l>#`Vq<@2d(X}JF5`p4SuHkH?BM&DV-wxcDxX|nX(nEgVk zOkEK%)1Iy{h!fj;sG$6Ac>BEzCwMZZ>-Wuks=9cc6eCmK`8yg91<z=`6>dCnT&POD ztAAF@dxx2V@7~ENrYfxDS*|V4P^CQG^<Q;FWq#m^*E64do9%Fz^LCsXOO4FG;LS^l zc3%<CxO*`BzHOxLMr)U~*IzUp6+D=En*09i<}V(9-o<UXwQTploP)uwGJg`EJAHlr z;%wgqKGpOWU9D<2lNJSg8F{W)T_fG8J6lwA=E)O>IGn<Zt#|se9&nnuAf`gZ@+5zE zWd705$6DvIy1g>>iQBWI{zxZ#+1z6mmnvM<dVlP8pRj-Jf|Es&4~qTcrhHC`|L=8N zByhol)~|PeE=l6>Q<7!)rZ@ZS!-A$py&Idao)i4>QCmo|S9HM*SGk8j>gKOiQB!?< z`K`YTSEB0HSF2druKKpS%BAIc`T9&1KmNdW;XAe~M!#pY&E2yt^Ifdc0l&JF9}K>V zx95hvy*S^#k+JjQ4+akZiZq3f0m^dKf^~IuzuyV3+jLXzg!R;(wl>R+3~nv!<5sa$ ztoXUr@0tq_!%e04Q=(QaxiIa_<H<8QW!89}-28Ip7d?kZQ<iG59DK@?y8N_9$~UHY zPuhcIq_TfbU1uvRE??Wd>5(am)+@`@K%X1B(%W8eRsXiwxpqm^RUu*VU%LtvC&+%Z zPjb1svQ$(2z^t=ZbS6hlyi~gBYgYZ9hKr2fZhZJ$FLaQB>j2OB1yUVdDRaBu-!&Fb z;M*$fpV(H;)q2deVETtyPd0|wsa$_+lrVd0$BARpwLB9~dld;Rll$Jgym)tGqPKmB z;M(uH?{6Mcz4mYE?cWl8*H((N`3k*!v(KWNC2hrJK6y6|mDDVU|Gri;Em-dVD>@@v z`ZCte%h>j;$#uJ<yeqEB^##1QiMqb~a`5syhhEEGx@K*wWhh&#rnULlBeA_)2bZxF z|IA`~8G6+I>XKj88tYp$uG$=2bkKCqieo-^4^0cRpZr(Zddl8q`x^OwL|xT8!@*^t zx|vZwNK%07<7fGqvx>ZS-k$hdHbcd?_4B(sN)F5VdwM>+xb%NrS#b4{qEL@jr+Ifa zE;#O^zWVI>(@8<Szs{6v2tQJ7_7^Vu!V!PnD6Z4cjeAz9SJ3<eR$W5r99rH=IV~Oz zCR)y${n8>=3D(Ge){W&iOlF_T+?YOxbCGiEq-39t&xOzD@*j&9`u8NW@%Xlle`5B` z;Rrpgxo5|M^vDNlaaxBv9=x?#c;ih**#fRdO!}8D2dc0AAgmbgv*tP*!-t#+Z{7AB zm-@2QPBY?oa=-hU35$7ZIJa$(zkI3C`T3-C+=*;6KPQ@BVQD#bsl}}`Lv7B?hmFbw zD*S5J$=CF)Z>k>XTpa6fwYdMuhfnGM)XEn}d|tUKMC!Rh$`-YEC&Co;!#(!go*Wx} zxM}bI+|@Em((a$N>aX%MTPZyKszTs<XOkE=k$nwX3+mQ?dOqu^wq}~aRLiN_e_k1G zT56)$`0YyWGlLEvkpiwT@7~|dH4OLPyu0W2Q0;WATUeuQYU>O=CCh+!KX=dPT4K9t z$CVhaGH*V!Up#Xw^B6@l&zR>QU|2DImt>r^X-!Y+ww8*@#Sh*o`^-!h2oRgKBY(C^ z>g}lCz2_#sUQs>ej_v1;q#U#7aR<{Fugpvoy8cDJOKMy9r`y@-(`LkV?X?mqcQ}7= zyG6L^S8g=}Hv8Gmk-ba&-t=^A^e+(pvG<sd=!=<UaZT<C-yY4-5-PA=>UeUFoXzv; z)<K<8pN>p@%jkHs)^qFY6E~*K-Wp{g81eUH`@e>Tb8Pf_XK#?^mMM97yzFskK=6#i zib0EZ>b|ow-SP5FCvSz%A^};A<zYpyYp2YcF)P-~f959n+ZForzMJ&Ntb8A$^Xkpn zck_8Sm(Q2|E)x7U`Q55JuHrVqM|5tP$IfrQ$FjoHWt-9m>6d)JR_Px(5LVOruK9$z zkH{~sh5{`ot8|u6KQcZVojxh4u*vY|lZ@^yc5R7*cYcP&Ns6dOTsppjEAx|Z-QnAF zg6}?;zhW<B`=xTvx92uh_RDVy-JSR*OZP0R$Jsc)!oV|fw?6W|Kcu$rUe>xg8};8j zlP(r5jQg}B(Ejm+I-O(2y~n<`%n;}QSaew8#qsO=72?|#6|Ud#%tKdvPG{N#(^a+! zpKE#!ox1<lnR~X&gA1asDq5eqY|x#be=z9&{7mEP?hEe7II>o^RhIgTYEKDSvG308 zm2wfCt^SN(%kJL19~1Fgw$^XWciZE}_v1PL{0W_NaWT`CjUQvKNl#Xry?Fbf(?_>l zTBS8xEke-by;mBG<p0dCvnq;CHWbz!n35;P{rB(0bL`79^Ewl99k~OK?ml6+W!0%v zu{8_znG(DA-AQohu@TjD<8Da)z;|a|T-eLT{^Wl$KRkoq$CiB5+5I!8X622|8>;?m zE|gq5(c*lc@v$xQ=PsC%mY47L<Z^UC`&0Siz2!fD_7)4*l-5o3<VlvEcKq&&guT<& z_#f7rGr6Kq`F7swJkEx$n7AAx#yQG9*01g}Jh)YSck2D}K&u7coU3QvnweCQ@~<f; z@Xks8+B;mirn>rt$D|fz>$Tl@eV8Y&=-_iM)vML9A%A|&xOHcdc&piV{qWU>Eqdm9 zz9DO}Uqx!Egh-!{|9HfYA^L`Px#IFY;$Ii%+<mYugx^+V6~m0T1^?zV%`y=_H7$_) zdP??Y4eMtMJLdWyZ@#ocX6~tDU$`$@EsQR@t9aqg?y2t=mt-uF@{x}dahmjS=do^9 z`ze;o5B1&J%00pQ@1@<YN>&y7ldbC=zfN0m*ejRoM0*e4zeVkA=36a%<_6wb86Yp` z>uHl)kRW3zfBxU?0JGxBWwXqJcJ9lWw=qL{@quaou06h@zx4No9iC}glXO1(bk$#a z@C=*Uy&g*!+xc-D#g2Kg#%irOG(V+u_jc(}9<5GEma4<6|5q1Y)aU!AZpW5&Y|iT$ zopYzI?w_X7w_~M^4&SkD+#HV;&$A!qJhy`7?whMp?u|zOBtM#7|EDjJxiN0(A}^kA zjmIzD{%tl*z>Pz`c(stNgO}J5yB_`%d!Ia3ueaT^ym9tBn=Hx1sh$UUeX3)2xN+{w zUYNpn|6*~vz-HICCvO#g4Ra6>e0w`{YG-W_$Aq%^2Tr>8RvZ7leD;*?`h!K=cix&X zxl`|ig~61mfeZEh<z61!WS;x(M5W}+NiR?4%@JIF!NPf7-zC3uFALs%D4J$>S+HiY z-V#{{pXYLq{qNrQ>C_ZqndiPI@utkj)B~)p=0{R(4$CSp>b&#w_lL$q#(WL=Odow} zHwA79^cHKZ3*Im-e~Ng|hX+jOlAlz+6|#-hmyfP^XmCfc^>FHy7|lcXQl@_twvPV3 zYeL2P+MMa-K6}@CUzeKM=^CFqFSH{hq4rbRrNVa!i7F4TwtjP4(X7Y5-d-lC?bPC% zZ5vqS`fL_=Ij}59^m*X<-SXBft-Ym6J&SlZ1^kh{UtIP2P+H9P6`w*E2K65PHfgN@ z^O=4AmUG$#A{ZZkV`nm0GFg$0=XPgIc=GA#?{fZZGHBnmdrkKLX^Xe7H9U3H<)vM? zMv`gKv`?uwtVF~vl*!$FoN#`}^XiTZ4FQw7rahUaaMR{&kJp9|J^P-nz54du#^+&a zzkj_x*Hd>RQ$>gChRn56>&2Sa)Qaz|S|c~{tjWvs1|4OsrsAf&kJl|O^Hx2^GvU}I zmo0oJ3g=CG9C}J3BJ|XcsyRGsX5Z^6>0wX|=H>d8SCzcH?%?Sw54?NhH@Iw_->J0v z!f6MoNWb}QE+#fR_L@eChE0F=^<(z;`jYoocUJCrJLQXo@7H-%+T1>I<|e)3`$adt z=`d()zSwWzb^X-xH>vjm#B;B5lw9Z)iIWYDVr#tH^7!g*Gu2xgDlY|GbUg5+QfKFu zl$2&wuC32{T{3oy?VJB3Dz7Q`iR8Px#><4?8*Pr2@K`aea85Y4{E|BiubLLFeWIGW zydg^2CEqXQ?6>%$3+0jzqO)yUw`5GYUGk_~VshB=Xu;M{rac}rZRU40=D2@-`+wbq zcaE+1Cfxk-<K34X8q&wjkKRr!ITU)mr0aSNw|r*qw9DT4AJ(7VA2O}}<=U8LKfZvY zFZd3wj#huoF1XjS_OG?5#015jbYGh<O-JuWne(jparD!QTONX|ivKQ@QVB?{xm%hf zEc54&_gw=w##@^&IE!v^x>sPZ!~Z7ZtG?o98D;AUr&A-(9G{$^;VF{wJ3A|cYl7m3 zQ`?W1h<XdGTWp@J)9rL#cD=I4aqE-YecQY%mRt4JTVBwXvi*G2ZSzvS12GpFOzreC zwobUl8kM;qD?VC{Y44wh`9fAzR)4&+nL>VD*<{K7VM)`9uWN-~S}kF8p6+3P%J7t* z?-7eRy&G2VdHAb(R^yF_Hy>JDeUo}kA@*BNl8`!Q_~bXsE0k1k@3_JhwqnB-bNM*O z->zkmb^Yc09efPsg!FjK-tl<9%9gTT5^%?Q(du)D%IBwi*Gc32{3nz5!%Rh)0L$d0 z$J-Y1|5e-=pYkuuv~A*?E2r77-H>KDVo}>Yo9TV<`YS*FuztPLGCBFr&1Q*)AO_`} z*A8mGt;~|X_(k{3>HGQq=`PNP4E3hl*ZDE9+;fb3`>HBZR!aWfq-3MLbAR#0OuQV+ z;=D)jfX1w&w$ZX1U8YK`3z+Pxz&Pn|_QIK*$t-&=l<v`5I{ov(jN*?a>VNpd{^@ur z$7V9enyAf|3@=sZVSQo7cRi_HIIesBwNsW7*X>Qt_q(&7%kNA&&$9Al)saZy{aRD< z7lps#zc|G;JNmJde5LloimLRB!oO`r?Q3Ry5c#=9x1(1?@{e8k85yG~4J&?cj6YC$ ztDVPvL)}r?!yn3ypPlvprocmn>gTK3Um5ZQi|l{ppsGIq$z<pAwcZD!Z?s%o(3-iK zZRza@j{iO<m)!pQbHeW+y-xPFe@nl3eti(Imb37hewqyPBc&r|JWKSq&IlE)x7{uM zyk=JZk^BAS2cIfT_wT(ewQxauyaH40%>@pHZ@iU{?tZi0vvb9|N_D5VKV5g8{rohn zby4Y=?N|1Pm9fTO`f>4S$L8?H^UNn7&bh>UvNF0Md|LQ>fvz{@QS3r0f0#x0J->b9 z#Mk%BkE*&c9Nf>l_Q;`IEUW*&pY`eSUHLsv<70m8SzmbA^!66Xi&I1o@ZS($*QOS} z_PO1L2DMGbOHZn&@_NUXJWc%U(qNq`;JiJ>Nxbox>Xb)sCq8+v=zF)|%{F;PR%@1y z`$sNHST`S!ye7n>c6(l8Wyn2^*);(ZWuC;(cy-LQzueHj>7s{{>M8d#_R1Spb;<oq zowTh}q;GQYnVYLF$ZnYN@1mAubM==lp1PmL$Io!qPyH<Ut7@O}{%N)w_)iraT<~jU zcwmj&frI?fTV5KzW%*kDyJYdp%H_O9Z+Pr<G$OugdLMcDQrh+DFUzP0%UupKZEZfm z{7Y6+hSRM#XKVKH|KD5=KRLc?-kkvHQ+xRIm$0meVB}7`t+k0wa^vyrE~eH4CTz<m zw@E%-7XJSC*PmOi-%`7_;Lnr%Z@bEOcU;^cc4rR%ZoA{|D^wq5xo<6aAN{<sX>aL5 z+bN4T8!V~pIrQ)8<727KL2=CWl2HqCwHKEP)vint`d0Ctt>@a5+Wys=L5nq1KC1ec zSgngV<g+v<YhClcEy;^EPYzByQ#fhraVzH;ZVGefDXX(;FAy&{tF79q>t@k8uVG&7 zt%$c16Utn9N;LN|_pCZ|XTC@U$Ln{iEd7>UUo7L)z;(7cL+8hAKgVD$kM!Pk&)Kwt zXZc+y_F}yKsBzW~v4tzA85|3L-?;1Ey(b?}NPYLL3X-t4l{uleWoO%`o~%Q&zPTuW z+r+aiaE|w@*!U|`6c2wo(_)=1G;iWBzTNA^G#1>c6XIIaf42ImRoWJ@lq*Y9=e(5h z`N_Am$I_u=$KCI2H4m*nH7aSi6+Tt_Qus2^_H~V&*N3K{(EQWN;iYVXUs-~BKPa6u zsLyoWx%-sGuDAQ1F5FwX(khGX?|pNDS&TeJM)rG-u75jMXNzHAlj^NQZ0&E-eKs1u zcM;n)_u#jiQ;ROGd?I`6Q0fm|hJ9-FYrlSfy&(3%BK2KquX$?cX&IdPSET$*@Lc$c zQ@-y%?Aq|!_{O%nS7wfJX*)0E%<cVM)t8f9d-hM=8IcgSq;-Z3KRmpDxy{^^yJWr6 zET{gH{0nm<Pb}{3>x=ng8o+oukaN?79c%a8OlNv4d}~vb(yRBO{|bH<`}s1>pJOj3 zeOG9Hxoz*sUnh>Fb#2YJnJl-eQ!+5<)ag&piqyofq%cX(ieH<V;HRDWTQ5DkeT8Rn zV8p+>dGSvs{d`*TQCIuP1(VK%iE0v4XA55{6;ZkN!c6PQjBJ(N^@W`lCskQBeuN50 z=2|&Lzd9xN$uP+KiQz+2CgDB*9qu>9TRpD&qZ@F%{p**+P+o>3S{^dD^QK$(YEKEh zYTatxaDTR%?*?1bdHjbb$j;)t9;%o3O7C~zac#$V@q69u)eAKKaaI|#_-wYFd;Fsk z%iIm@@6SfAox|MwPi=kC^p76bCd5QszxG)4v|-a(j=5^9pYmI<F0!~=e<|j7#-Rws zx?KtX|F%EPYg+GTP`GR{=d+th2CoiD3f%u86Rsw6$c?qx_H(w#fd_J0PycE7ZE2ps z&%0B!S5xC)`|2|txf=Tx);<2Nw5P40<%M9kxn$v^2dAcX&#U-)f8N5hbrYw_Z<qcY z=_mG0nL***CI2NW))Xxe;}FWv6SdvRu_|(kj#y}jzq_GUYH-WK4*BX64=tQleU6## zX~Hd8X7;s3?d<eb2`aj?&F+YNUiNUW<83?jlvV5;aeH^4SvYt0te^j0aQ18oIk~uT z*NI23tY_s-cl@~e>7o4g_HFvBmm5bHoE2%!+IQ#tI&LRsqdQ?q``)hTG*-CeD=ikX z{`qwVFWott7_z(H<jKYez37-2a$=dGUhKY&dT#xxcjwj#JKg)6QBW~KeoB%09jV17 zTT9Fz)n8j1#rTf*|1n1ycf~c&9h@K49+r73856VVo!9m26BZu+&8r>z$KzRM>H?{} zR|%V+T(r(qTHb3Dv!6ALWnxwH!=)T6mgowc|HX00H~iT)?~1?1EiyZ9et2A*FR$Bh zJjQfWrp@<_2i#4{b2mQc`%tm#{Dq1mn^#X>65q3GzS*A1Df(ZZuHeg<I#u^*a%E|} z(^RqbeV?b;8%u9@t*FiT9$mUs(OWO>xWX>x!b-#6Pvkew__OV3QrMKr?dgZMTBfj1 z-D7<ES(j{UMP})|*UWr{6$y`m%%f(=Eo~QksAsR+Z7KHH)n#ERuezetP1_YAy~lUS z%x0URw{ywc_Kn6ytSdxMOO|l{JGb-3E$hPbcQnp!?|3wSdPLab_xoMsOS#ykqn_-w z(-z@sojA`tf9i^jKmVNmQKF=txJ_Q`kH(t=`;V`Ym_La_@8BPw|DpR{@8L-@aA@az zYaF8Rc3RKmjWG>U=4EG2D8JksD|=F0Z^oCiB5$vH>$NS)y?Dzr-0iTz-o%Y%-Oh$g zxpi*#ofAcN>3a6)y~`BTJ+5E;dSc4y=dICAujj|SoiQ_ZiRmrRoP&xgZ@E|8uk>|V zk>#^Ic5280zfARD%N4rOH$Dp8k%`jaIh!QxXSbor@)fu7jVOs^vHBakIwDg4@5^P4 zwwzLN@#>4MzwdN+zdY*^;+->TRxfASm7NJIZ&w6geZuLe^+5GL>$$0aRV4d(9agBX zy1`Z$&LPkl!4WvCEoy7jWUKc5f5bZeL|r>PNB6FJW$L6mzb&<U?upDb6z$3r4q3}Q z_niLLy>T}Wan*bOe<ZK;*61+v#FEF~PMnlCV1LQ?VQcx$ui~NKidFqQ`fg0jWU0&Q zE=aj4VUk@qUC_26LfZDll(?hM@-sdiS=V*^#=gBA3;%z8<+VrV-TRAQr>|pnwR)o5 zaDG{}O&x3bC2#ZKm`Q0R3wd;mWWBTXmzC``yA-$6MJLf!m~r{UewiD`B%%~pqJNsP zA9!oFO>RZ`c6O=2nUg-{>FZhZuidw1U&YF4)g@~!gqP|4)k{yxk*`)%C^~e6Ej#!% z=hQ8~?!Q)AJ^9j_=%mS(eRA_c7Dhd2>Y3vt-4~~L%WFse`}=S6Camb&|4V&>l-<1E zt3kJZ9@keEPH5BEuhA@9mmD{d>y~qNrFptVuq4Y%g><K*=iW{I6tHD$=KomBliLqW zW8)OsGuMu}YWnHb2BwTGrXThc6i6LBsqP~8+5298cmA=0pYP}0oW}m?B7>djtxYDK zVF#u^=2;wYGjO^eJ465TykFgpt7=!eh}-KcY5UCivo7tGQ&IVDz3!^ZGkc`^GV7Q& z+}JWV^Y*t1`+h#Ip4If#zr^>nVbE`384-2)>lJ%#s;)Nr?7S>`EV#<BW=hPN+~)VC zn{>9;9*7D&p2jcm`qRpd9x+^1ESg6}HQDltR@?loOG<uw+{0w+to?$f-yiHdQq8>R z^FGbHsr+qoSgh}MfBj&@G;fB6w<yQg;>}Z|T+Xid%{jVngW!jyt=qz{&AHJxY1W3N zudf`H`^{<`I$Ldp`D6{vt2<3<Z2Q7$-Iiu=zWhH*XliInkh;)<==15V8&BoA<UE)A z*l<sIY4|4D!^dhLm$C`URGIwiTyu8r`PM@}BGLq}7#N59eDb?zc`moMsKujTd++x3 zcdfR6S9gkxH@^LMdxfIR?>P3V75tf{@|>#0*YBH6(yiIF_xHCqN$N*6`Bv_j|C!e< z<;A(+qdyib5|HQi?kN}0e4!c_@YLNUJK%c&yZilwMNuEvnyL=lys}(p9cONwU)!er z)8#@$#ql@iJ^R~xBW7H5<qo-b@aFN}j?L*0Wb#AHrJJOrdDQ*W!zD}KNM|nG;dD)B za@W4sT-pYn&(2>++|8wazG!LtJWJu&&zGh0FWU%gf6hO(*vTROV{y#RQ?_e8oBd_F z*qb-=t$H7zB(a3k)c9K8(Z^kUj`O+W9<j09Pu0&i^t=E0*6lBgm@oJI+JC8Y<If$> z+nh?Kx3kSP`*U}#fez2kyP|K8`N-N=G!=JbIwbXPEp>~FjF8zGJAD!Br|BFQ+qUk! zp|0+|^wc-eRZj#Xtd&k}`YT{^ai(|R*~iW+1y0$_O0jY|$nlx+RgKSv)t%V_*A`gY zFZMQCa$e<zqI}kYxZ35@?cSBTpYJ~26?E{DnyL2PtGut5==GFrl`85^e5h!4aCO7~ zWhyqwwjMD;rk{0eSUr_CCCoYzZLb;AKl7uHaPjRGH;Nmk1y205(|cV2+f}WE6#@4m zm|pFyo|``DQU2P^b3K$iZ;4g<__V!@4ir9Lb?}als?w1fv&~`h85>sabPD8BDpTSv zuQ|5pqpIG6%|S;ayDs-Pm)hJaynBsp@AZ=}WVW3=FA}%UbBpHG*{#(}`>veuKJTmH zzb&8XZlT-f7d^i^pT9qKFYNJ*f?$63{oSE9m7%}-o*g<njps%6p|42?&&5n*t5*1W z*Yo(k4yl!DZDub|WhuwkiyPd#_aN)sfk(#1E_vB!Z<*^^->9|uB6s;hzy0B}vX?Gv z?-Y~!>U*2xp8KjYuKypdDK6i&s=RT=$4E1#GhL=UPA7Dk?#6HKxRk*klaid#t!`8^ zch0KPFS={>`ZwO#G1L9;377Z#69e40PS%@ncS^O@hoxmguAXj1+`oUWTae$mNX*i5 z0fRwxRQH4%o42TOF$llCd8j#tRVsYq{)`(9E0T<+X9OOPc)YE)e%(PY`_)2C>K(T- zQ&UeGgz}nxx>u`a5@>SVpO;atWb*R%rlq;{yEb~LA9AP+u3Xl3$kobns@?<Jv%mHf z@G*7%k5y{gGta2Ft-ZRhuC`Ui$KcA+D~S^ne76)m|98jBzo~-jluJzTKc}#uoO*#R zg)<$}t}^TnUX!l5cJ95Tch-!JhYUQw?$nVwbZA;`xzW2Y(OojO(uUvNEB`qdS@LN5 ziMthUW?}hzmMLW3qWokdo7(-03ZGZ$HT@O;-Bx4z&pqmG|2~`klwWxtdac_W)Vklv zoNv+<6RXz|O-TD%Zqx2vy{Y5j!h;$w4$TZW#GbKzs{HzYJtB7MDhraM3QmfuHHX<~ z<m?HrOs}qIe04r}*TLgXMjLmZd2wx#;xsptx??%ZLsu&PtlPUsHcD|(|Cf95ewTfi zJu>@mvm{yW=~d4^vRm(8-L?2N?P~uekLOCYww>f>-Mr$=99!lOW$E)`%d$T|*j=*o zu(^eCK99K=XDYXz?$$J~in^<8pQgU}7bM70ud*qHY0=mD(g|Om^|`qf)~VeovMasb z79*@OZCUX$H@9AoR3)(nt)s?On;1TsZ8eCuKIt8L@(iEDgIC?$(wj@fq+c(*rjivH z=*()lL1HyallPe`nxP53y>GuJFh5{;-L#Qy*SX)WQm3!&wb{kxt>9djxWVQi=ab1< zoWbXA_SW=Y>e#nk(8J<*oeMw1F%f3Njw3gg{*$^hPf?YH_3Rqo_t78xL*7My3*V8) z(`8+Cd%+5m)ys4CovYtgn|k``PrW-c4jax^X}dH%_u8g8vQs^O%J$AK{ZW3%eyQpE z%xQ)pf4*~n^L^pi`^dEMwfSEDAFt<ZEXjX;b9ZUNMMnk+zaNP^ncg#sa=U!I>*{vC z>k#YQ&o{WYF0~NZAlJ2Rv6p|X*<z!MoAz)j-Cwmv#VmbJ*Y=$(yh-8V+|w#Qd^Nuz zq}sjby)w^w&JT9{r>jmig>5a&^7`5tA)}YHcHN2#E0Zp6njCWM#rLx%8y4Jld;Fy& z_RVphb2gj)aR1PGp&R`>ZsnbSM=c^9Vq3T-KDE<0KYPQZg!0b6Tg=*TN>nw?zg>0J z?$XJV^Q&)N^WM9n@<*t}rr>vCOP?AwB|k~pDt}jtEo$9A#S?k5+94j-cFg_1K~}ra z=t-o=p|IDAv$i>1e4v?IchPC)<%oC*!wI{39q#;LoLcX-Hc((2M`f+U@o7vfW=}Rd z*)g^4a($-LU;f+aR%YAjyI<CsTd;k;z}7KuiP+mER#mTU6|V2K68xbrF1zyc=_zj# zes41|D{&G1BTzHz__Gt~&er>%UT2BF|4rpIgX*;pXLw5EnmX>!{K1&CueNUAsUQ2j zG&RmfFNn&&%p5Jn$RW(RNBD})+E3vd*y`^Gq%{?8&3jz^>7|wYRyILijqVjo4jFaM z{rHtpCBEqVheg6#0?nM9Mk-fkpRrrhuk@h(viyb<ehIQi4qw<W%35CG#5Z}T*ArW| zH!BmO7Je(zx~wum#ay{jeS`I}LpFzY2DI*Zl+^jQ=i&WsEwQwY^OaS5CcaJXtyuN2 zPktTOF$E*9`_o@|yf1X$q*|V1slrfrd&8gS5rQB0%M{!$k$<(Irsl8h^bKML5z?OP zM4ePw9iNxnH~JosCsXwCJpYTsmdh2dN%bwN)Vmjb-kMMEL0WvobN$mIjO&-ao%Q>P zuSxvdupisg94ilpAKLmT<-(e4cS9?-oL|~Kt(a5X^@TaZs^bhV|Mpy4GOKUOY;Uf{ zt7+TxgmR~uJj_^<H*;0N>5J_QF6?_4WG0^%h$-m1wp6?+vpMd9_mK}a2UXJMp0*A3 zetCJj_|jvUxAXXCNhUWxT-Iy$i}TvE-xG7+nn|twxFVln_Wa`l3Tu03tZls#`daX~ z*`vi3VcFu(=kIF_ny``EBShesOm3>T<{b`}AhS}bJJ0mQB{`zzu6>`!5$Y7SVdLo! z%N=#!hDF#_cNb4w%QY+LMro(BTUpP!e?N9eCh^Q!E;BvSZpoQirgxhw@{Zo}eLrDt zQ|A}`(6(jw`7PMWFHc_RwD9=V>r7LY);|6!lXK)?)}br&>}4Oy8KnN)%HsYyD$O)u zkD=S1lq%D!duofPs%^4<6xa~=_C}tKq=lDQ8_UE=e7*~gH2H?{El;qU&|!LWz8=fb zKaWx-Z$IMacg?P_KucKoz-P-)y*9<0H+r;howEG%1>5ECX67aazE8YZ78z6vr5V1n zdp@7F<CMMHv?;tZ&o#dO(6UzK`N9{Cw+n3}7UyQFFJ<&CPq;i!`qk1eZaE9nBJyU- z_PT!BtyI`6rGC9Nf$PDVjhZh$FclYG5%&M5yEVnS)!Tj3gyN+h)`BxTZgQIRtxBHP z=W#1<nLxZAci8U9d^1iOZ;?|f-njSK-p{Q$7L7;e3H$bI-!p1W>z<jd(_QqguIm%C zT*0RR=iLof*Z#4jpZRwrrAI!(VawSANk5IU>-y*4>{u2Uovz@wBz;cRx9meaa$*ZM zG3nke^fL`Ju(3Wb!u0X>ujrDd!k{akB+BRBJ^0ASJ*dO6t8McIxx*$Ar?S@{z1h9| z_3XCjWzSYfE4Hm$E8*)Ge!8#FgYkWLy2f;c3!zp)w}0EtQs15AdE;{F$`+R!>mM8n z%UKw|^m)$qiJq19%W8$4cBtEJnKCi-&>_B<d%~uM(@Nbp`Q}ePs3mkSAp3A~^OL}` z%SV;t4mNsLo;%Z_b$Fho-X>lp*|!!3(|#@p-Ya|jzIg4~NjJ8xIpKHJUFoIv*?rLy z1phs=oG!;@uwcS-Wvw-gtG1LLwd!I0VYfm5>w+$05jVc6f9{u*$(4Dp+;>Er`>s#& zja8*pin}M4uHF{g6a2(+{qxJa+Af`rH|`EI5804AcgvD#wu#K2PKfhO$aRU5zF2Vn zgOS?*s}HoVXzuv)+Rh<^&w~5zf~J4Gjj^YnFmO72+b=3`r|a9pt)ly?do{}y?z6UZ z$H{E#xq7j-eCPgJSGOHzOLR)}g@QBZ?wIVs(kruW?jfly5vlta7js;*|CC+vMRA3Q z+2Nb}D#G2|Z>+k_pz<S@wYSRo!C~gt|Gj-*P5f+Fma^BXBK6}s-Rp<Ll~mQ@lH033 zX~os|#<iI+G(^mJF8A1>P>E}=pP2OR;??@`lV@F3ogNT;AbG8m;`iHp6^le>2Z>Id zr*oU*@B%sS-2&@MnJ>-U<GeHR-tScLWpm^{uGnjS>!5;h@BED_oN6gywQc*ORV6xh zX58NV!H)A#Q09w2if@fi=r6uttI^XK85_W_Q=!JUs9^fEC!d=$JXc3o9pyi-lU=x= zNow}XiKPb^OK<!ZpO(JhgvfP4-7`E}wwmQWh}l~9Vx{x7XHIJZr*ZwbS<*M(kZtlt zCRt1M6BS=H4_~*M&F1l>=6_4MdFrG!Kc~oud8Jj$ZqrTtl-0Ls66-JNbssysG<m|K za$4tqlHm8gZu3#=uP96Kk0rl-KXP$4Ht_v>xKh<Dlk=)*eX>1=n5IKrRgt~!0}Jmf z)w(8T&;1=AKe*YxzI>V8jF0Z;kL>A|c6b@3tmw4HX?lqapKeY}54Zox)}Z5$Wc|<o z63{;vIHmIZ+mF|tbKT5X5Ix27Z_8(&Pc>y-|3#Z#KJZO^#^blFDo0o4@w9dN>o{vR zd(A)g^Tm>7Y_s|vFQ30^_emk;y72JVQ+WbzEPGTEwwkp!ZS#c<7tH@|ys0-m<=@qZ zSr@85Zrq$ut?B=WBl4c=5C4-%0ymEK&19OgDO^B5Cg~2JL+`xVk+Yqbcw~J&F)i%< zjiMBey)yND+DCS2chu)?HTv;$%llU52Mad{8p&MYJ+nD9Ut;3ds?_lLdH&Ny8Tm60 zx|c2b{LOE{4SUX+anmd_a!Nz~7&7nZexauE>C(>X*mcLFHh5lF@Qt~5aLE?aC4zbm zof{g{*BABAxBYQ|NuegYitT`2F#k6}pYn%g6>q(&EEM+%&AtEnP?h*{fhp{}f7nI! zEV5@?!*w-o*Ya)7AB)ar*IkW0)~;}9dc$E?>H9mZXI3X0-xe~tP_%u$?ODD}+=omG zawpaua0`;lIe8%WqL*e4&&Qa>T0d{UZGUUsn6x9_{wJGF+WiCDF0foLFMsOJ>0o4i zZikHWPx~X>`*@l)>aAs;U5=PpC{mYaR;RYi>%OMSzZ5>%Wp@u4w{k9?-E(uLRpE`R z8*(^B^+f!4^F~KcD4Q~aPjA7xU2Rno=d`!YRZy!}ymIN)JBBL?QO55%I!<&<{nh(n zZPT;10IgX?n?09_72lSQIHGG_q_}O%jDVRxB#n1B1*9xipLg|J>Zb>L<dXAl$NpOw zRlRTL`*$3#V%ewKZ>+JH$uqTch4Qr9-@7cXIqb3KZBP36Y@b8>mW=5sPePOTPcHqw zvWef9?f7<1HU?WJF;VqJ=`8mr`^K+$HM{9jy2l$?6Vt8nO%g9(-M-M>Ypu*{5oG*+ z?Jpa(J%%YFg>1WOR+#20yPw_5=orwH^Kkvibm@D-v3<TrcoY49Z$H@|+%-S?$L_YE zIJ>N}8|vDu23p6jBr!je7qeXZ!Yo{K<uSEmOT*eKR<UJ26XmZDbeHJ5R=i+CMpJqx z-`Bavb~QMKt^TdV6m>;%?OS!56SlwGjlWI4uTah(pz}X!@ASTHs}fJQUuRC%G&B9O za{iyd*22vb60e+__2xiMX6(Ta76+eym+=%ns^7D5QNock-wu8D_Be8p!D5-jqk}!n z+g9aCHWmIizj&s7kxBW!^)3hfqvz<obhehP_z)3)`;(LC*Q>=MIWMP5pILSN!uMU9 zm*3r970TLndfs{F8OaatF__;KW0|ZZ7q~m$;kM$weO?TAZ>~K4>9M!AawK=YH^+&h z&Enhp<O3AXGDN8}tP~HAyFS&wH1b7DP0HyvM@vr_Ju>JNJ8zPbJ>_`pr@jx*ra3!2 zJ|MQUb$uj<?UTcoUWa_%Y2I+d%ie0r^WPcw&t1t-6Sc5vSv~KsoY=LR2F~8rJMBTW zw++6nS;Riwzvcb4|Ao6;%FU`(&(7dpb7H0!!`6RH443Ndr>)J{rE+xI?ChvNN?YFW z7M`hlTAbpcV8M3WcmKkg?TPb_A3VJ8=gS>e-<mh7yq;WHbLp(1&3cx=>!+q^%=~oz z+sFCKGA5}$G3pn~O}_tIRYxyjF7NaC+_RXJ`q*mUuPcyty0p3Asc6eiHo4Y=+oM&P z6BH-!wp{nys6;u`tZ{l>ecG$pH7VNyy58+7?HBI#)kzdv))cq<rO-3w*3B+6K1bgT zF?+8USz=ll)%^Qh>c2pf#zl)$&oRsnS`^vpdAL9ECS!kpZlB7hX{A%{nOtF1i{5v3 z<HuYs!zG1zAL1vz{95v3(K=7BPZ5C<E^~ziGB@Af*U!t*bnEG}_rhOK<_PHR{9na+ zYLQgcw|kS@AD!3b_?DabaN4rvb>4CAPyakx+;~V>I?K>+ChMdGCHWhVFZB8PivH_b zSi7hD{KLty+Uv`TBNBIN)vY<U#4plC%Cg_8#n5QCo%lR~1SySE@vBoM(q8?rX?EZG zny=5&A@YMm@mbFKbJ-W!t^1@T&+dKKd`6a8{F<o(tCz|3y<>P5ZLa^>dhYC!m4@2; z+E=PNR658tpL%~NcG>wt)k)=Fy&t6VI3|2ryJ6nJ&%gg%wD$gPVEc2{n&W!XC7jcx zZ-nh#clUQ$M9t$*=O$O2^xG+*zh}+11&^5C>fYwbY?=RRQQ^%<?d#Tpx`i@9GS5Bd zCZy(0Yj2;owdIV4%Q>T@ivdCRvwem964;wR7zH+m?0;MPd3v$Qo%t(&&nu2f_?5k3 z&o<ZdYPEf)iH2JiWg4}l?wD|Q<;#o}6Z?cNsO<hVO(Q<Y;@EyY>;H9YRgZB^46jjZ zO|Dm&eoXX0t6O3L_aClFZtT~-oZ5J4`I>~ClXiF(KVdn)_?G*%b3A7sq&Qi!3om<B zB2*VQEqBva6MK)4cDDVE72lR$nPhlB_pJAN=KI&L>|i*rW_V}9mXxcBi?};48Ov{M zoLzP5QMQJz>SM>L8#m-`a`)2{x^e8@;TiTmkEG9L-de)2ruO*RYOUmn;a%SyR-Fp( zdz8Ptq~oBlpFxyI{jxTV{|wu{FRAH!`t$v}oeMJs7kW=iS`sdGW5u!#fm4s)ug>{C z!%bnn?^Y|$f2wBA$Bk4BMWi;*>YA}XD&zbU<B6)1*FLv?I`5A7r>y!_j&HOrOr5i; zL%-K+M(dizWla6y!~Xd9wPO>1ZaUzXa8!4eR%_6X*+nKxcl_IM@vDyWt-seB46pD` zTqSS&ZDm8uu?~L~v+K$W@BBL&8f8Ci<?XaHdI~A=WgCoUZHNks_<UM;O^#8R?ZkT* zR<CD!-tTm?^^Db*&?A{F6*@ciiw2y38q57(sQimTgO=yU(6^f3Ej(^9_@}ksH<}~G zdP-;)L&46gf*Q?t6>2rZH?@f+Zu9!x-W{}?ZKh7$%s>B}1m1V)-IyW#$5Qp{$);mM zGTS6iOj=hYvPt5ohK2B&gj?oaDh<>2M@$i@XSjKC!Mj;s)(VCR=3WT9?7Cv>z2oz( z1(eSet-QDD-wUtKj=lUL+ch(;u>8H<U@K?N`upJf7pjj<d2%drLz^m%W=wBcdV=5k z!sPk&Jw`3^cE_hE*mGYiyu9W-Q{$se%m>#eMQ>`;42^$RYNw@sp}6I(|I{M~8^YUa zY8rA_S6@0i;S1C6WP_9^|L%2kbM~khJ14UW)+DT-zeBv@>lZ8IhcnmR^O@F_?|fio z{QbJD=D(Soofo5dWi}q;`=>SC;7hLhw8xE4Oe<b4o|^dltN(+hPZobIxu?k%%si*E zXTQDEe!DHn>)TdxZ_SsBza)3q-!7Q_r10EcQCE`+`SSZ#TvK^8R5{$9Z)S8|v|+wn zVX14v4Vk8x&wP)+3+Zrw%+t8Zy-jnAc<9VcTmOEHo&SW}R{BN48$RZP@%sN-C*~E* zZ2Wkm^5(iF_j_InEt%&mI$e;_`+g9&<!inmCxJyz^n%4Xd9%1y7Fgc?|G25(j8@vd z()8Dk$IlBSi`-AwO565D_>WV3|Aimn_HW9LEfd@F$uRZck@d@N`94;vm|GTHVc5yG zs`*TjK`?_){?`7)hkGoqtq6R-&G9Q!qS=(c3wl28FsYdHFD9Z%W#UB^srkjRvwdC9 zM$U}ZuIPQY(5|Lo-_NaItoAGy-9GR2D>1L-_Vb+gO*Z?G_`<>d^s$y%opLVx-_JXQ zF5ktn;m^sM4JsmswcF!sp4jcL*I&(1py#wk%)t7p{JL5DPt~jp{Aew)V4t?2pP^-e z%M$K4F-Kb8Pd}O~_}ce{*yTh`UMV?;cZ^zUcVBQl3iwh}oXI<%dGC{pZaaPpm3zf* zNcg|)u1Al=-HFMY7;c{`<GLI8GU<6`x-d)768j4AJHJFV=DqH%T%C5#__vy4ciU#R z0A7_H4(t~NPID_Rec-kvBxBLsje?uE*yYw_6o0sM<-g}_RU^65rZXC*GdE|}@=W;u zo3VJ>p*=|nCxo{#`Yk@Ubk{ZuNvkXGzQr|PiQ)-nTi0oyr}C%ubAtJfnHih<<}La+ zHDR}I!=&(tuKLEjSvBf`%Ys)W{nq&WX6?0%FD;7|I5*q~4f&a;8(=vh(EFv?NoCHZ zslf`Jo%=pH_UqP1rRFDUZCPF6IA?Z~@%)ZmQM#oMID}7~W%(-c$2|S&%5UetL^m?o zGg!uCPJQ~iJmN(38JWL-13o_1t^2z5%JCT<j;i*$p{;i{4GZJ{#T)PZZn3rSMPb!% zMW*{QLhdh=PBBZ$%|E=(A}ZxjbkwaG#dc~>|5X0|W7WLI@8{X;xqrkp55%do2%b$U z6?hmhM`Fefm3^un4YwZY)ExHrSn@Db^R_74p9{J?0;WfsnjYM8*HU|vaw+xt!t?5H zs~Lil`xA7<{!CXBu+v<jb=*v9dyVSJHHlh+SLU(miWnNka*0>|*&us3T-$0#Q%FLy zuz1+w^iz|c%&Ap6we<Ms)z!KZ-My!u<X_*fSmZxp6JyZE9Uhy!d*APTld6<xIBThD z;_Z5Yb-x=prCPV=?lD}oJu`i2%1VV7Yo%H**&FqE2Yd^R75-^4ZO4`L;BB&Xdj<XX zX7OvJ?qiI+TyQK|yV9gFDB42!(%own{Q|F_7_-ke`gkBNZoTdY4r!K8Qr33QnEtf) zUs}v8@aUh}{a?$nowzx!1}iOQv}t{y|MQQq)rw{LmHytVQ*Ua$V2gRIx;j9JC#d+~ zXVDdxru{zUr113Tovn{_1)bgQvoX%vT+)7a>Qg2!-PE3+|1Ekxyi(cpE~}3@^yA%6 zan~!PjxSiPr~UDnOUtFMh3o8t(&l}A{``WQS?Sl?CA&N>teiFP|2fNy{qw~uZ}0ob za;@51_k-K{1>&o|_BOXK{Izk1QEtwn?GbB_ygPjK-g?=uJi*T&AM4fCPYMhZd+Hm~ zlDBn{X(eafejb6&oR@P#q7qgtf5p?6ne+Xzh21vUvojcjjb`@Vd0*$_+uE~heV~k9 zgt+Cbi+rLMh1N`=t_O{le=<1W*z-9|GVabXHW%d|4}1>qo6LRXZ^{(2YDx9gMn<=% zmt`KkIpOfDj~C8qq#v;Qy(V+|_jMYo44Ts73l&uL)+&B*oqBqK^uN?u(@m<^^>4gp zprl>DXszP@L+|Ao7_;9o=m&dV{>(0ID|$C$gT=X@)%JUHdef>sO(#vrPFNSWG&A;6 z+T@RuIBwd!`NBUlbnofV+cULS1yx6%-Z*_Z<NO10EI+^29&Yn9k2?S3w!7?i#%f1Z zZ#U^3!S=_SyH@iRx=(48aNcu4=<SXZKeja-{r}#?^z*4q^L3K1b$%T$cg;xdT=0Ic zm)o>xcDDa^&m%LIe5jEY=R5gr_uI@HpJn~tO7PzKxnbJPoSUzof9ajSra$87yTW@S z%5ABqx4cR_npgkQOeoU&YuSviC(4{dzR4H2uC0Hub=ir%KeW_>dp7&6y7c{U$K}RV zS56eAw{My(xhg7Tqn3h6x~9z9;~Ve4u3P)O@8=?Q6~2-i#a+qnoLj}76>L7zp+AvZ z<bUG)um#U!cpoisu!zuV*d?5nox<n9?DOQCicd55)(D0KpNpbf7JogRXZ%Rdl>OT- zriZP2=GbZ<;!V5D{iEaaw9FVA!z-dP@uH{ytg!o@<g?CN<Ex#UvF6fP)%b1g^N*-c zxY-kTT=1%A!*@sZ>Ax#%9e=m5?SC5Hop#_g=O-Qck3p+;$oB4Oo)wh7<Yah*+4fwA z$*0$+IP`tK#MA%fe~XCH>Xo0D%ZZ!|%Q$c-OX`8`%iCYyozt|k*sivyY-;P$kIX{T z%`YvNi<@=$SK{rL%C{^SLf9lOrAZ3(l`i{V8g)3;DQBzwcfGPN#`h%4S1|t%>6`KN zn2v*jpS6vQGuKC1<DlrB+nA@%RqYE_wY=kJyS8#g)QmOpGM&yJ?zg7=h+f<rcCocq zcIM5Ue`_TYO)qs$ed=|f{#fF~8Cq9PsXh)=Xxp@Bze)0|Z&^?Ft?28EI9_>L>F<Fc z&x!HPX{#1#ma6#Ox$E$6vZC7Fm$$Eka@cCla?ib#;dPF~eEA1U)iklEMg=yki;kYU z(qLfJ_Ng+BYv#2@d`GYPwXM=x`%UC|eCN`VnJN{3oR=~b{^Xl<fqmM!JqeR;uasr` zEfHlKTI99a>mQGtp_NwDakl@9UORkS>++!0@7sq9@o_PRJ0zU1Fj{GUKQq1ULha7J z`~w1f$#V|xoECp+`YX?PNwph0@8-SB<@TPixNG-|Sc#ej1%GSDx9xU;e>DDTpMARd z?%a>h`I#ov&JR_py|&v?_u%~l`I&1Sw{Nl(S6Rv;+pfRWEv7O&;w{IoCoEQ5Ze_b2 zdz2NK*&0;&X^(|!t>B7^OE>SSsQ)}G>yh#KAX7&L$5j4phZet1iVc;&u*kYoD8BTq z!dl^Fb4~e_rg`+d78T4?+1bwE=kss%oqeq9pZC39l6m;c1?ITp$`v=5<;#s<>^*XO zdEDW9hnC$ETlf6wnkkY=QX9VdvfjzCett15j=3(o<oAK~k1N(z*LU8`F{u9A@hh0| zklL?1ahsS{MCH6$;BsuT)O7VTzW+Aw(M&on)i}9z{+sU4_FwWR_xC2w+Q+{xr0(qN z1iL<o*K0p~*<7<wFN3l0t#&7KWu0nRu6#`KH~rSzF-zW@^OfIk#_UoPHt*9Xk%F|d zt#hj0o4(z>y;Nw@G_`}_RiRJ)-p=5E>3ZYSK9ifbrF(*#MI;X#xOJSRRWMJZR<@Yw z!G`+V2CMI8JpHz#<<`P$&aoBECeJ5mteI($pMS5AC;f6uu8g3BTlgLG;L^ouY~~e_ zzcY2i|I{or6qvZ+d-RU5gx0Wv`RBP8@H72kw77NgT+;g34;e0}<eH95<4jLhx%ooE zC2{5=b(baVelx?Do>7%IIn5w^r^98n&%7&rXSnD5kKL2%9mT%micFCJ%hAl|L5B>Y z=Imyv&-#?U+;?f`_Uz3GMJ12QrhQ?l%MIMT%++=7<x}Y^|9<K+TBeZRq0rYq(PHjN zKcAInJ>N5$`fFRYUNewSI2C>%c=bdhodaHH{{0vJp(c01wO6H3PdZ*dtX=>38HEa= zX|Y}rma-w|eh4k|{*d_X(*vW5X$!g^aZJyD@@r95{H3{;;_6$Mi8}O@HMB7q9Xt1( ztL1aj-bdN4Vr!Z|=`A}U63W23Q@v}>y+?wdzXhA0F_p`%5$#mwElAoc-ePT*<?`vv zzDc6Dcb?qbIk9Wqw+rdpcU<FDa^CCwJlNV^Wx=A)_T4O3!zTaT`HCmmx<IpcJ!dcP zil=Yn*ykwln^*7psOw$fu*oIX@}Z-j_yd#d*~=u=UL6vO4mJ&bxTA0O!<51hzXRFJ zqKn>Z?Mk=*ZNFFBXQe=(uGE4ojg@ca8s+>f|Chj3c53~^2^>$SXzlxWpw=<s=da7N zv%Y2Mo;SO6E4!YZZL;T$o^J8C$1|5qasBVm=MYuqDBbf`r(3nOtoLt+TGy{>FFWI& zDfnH8_%tiWuX#gc^o^-=+qizo@c!6$IQypBrE6xgSL*GU51MASY%p=XK3}zDZJDWq zzq&|{>F*hy&RJJ`*H%_*rL4Ksy}Q9AYs$erm%T2W;F~`~SZ#CFRsXZSTewdywc9DG zv_JRD^jDk>XHue!^Ut1fJW)`7%9}Mn@Xz7HPVpTw#s^Ed-iMt$Zy!*2Hc2?F%<RL` zhPV&e{uB4VP0?YISe&KCsWYcn>%%AR`=*_13M1FJ>@#+`qUUks!LKv}78w)Mv)zu@ zR@7|&TIkNYF4X1Mqh)eu(;WC%6(t2KrnWFea!*wK=Wn>=SKOf;TfeqF$$u&IYT4zj zUoIVAl-rR0=$uVT5sL%6$lbEHbq{Y%(0DF%P4}g@x!K#gt4#r~qFugi{j`4O9r+a< z2de&S*ZrQ@m3}47rTrP#+z&5Xy2A2>4O%{(c&v5r@ap?^M$g}`^St)IH0|@mLzh*W z57q}nD@JbMaK5lLF=?xA_<Cpkn)@jikG|OR;}>J4cZOK{v9){~pUcnI+IBKXYhunO z&H0!0<Cz`KzFenac;^0hp%;=nGoxe9eOA)xcHBEhKlSB}9DlVxk0TCmW!%5tCoG<& z-t=Sp?1nR3>>sDM#k9-!w$!fEwX_VLV^E(^7Z`D^OS@KGy(aI;*$?+7g!c(9VrFw$ zQI+xf@ARXte^=(au1fY#F6EEh`pQQ(`xalEDRcJS<Wk!e50{^saQ~~o*_yc9{*u$I zStr-tXU?#E<92zQcDc!&w=-Pg?7vO0c*3c_WWzf9K(_uJZW{!gZgxE7o6BXkZuX=4 zhC5gEuU!=qxDgc6sP(4m-VHO6ikojYz2I5+ZwgbE)(?RRlTJM5JK$=SGhel2*3IcB z#idR-@x1=a`X)=`o~rqZ=Z&fhxSmhBEz$l|{g?J{tFzxPZa=%D)7T=$^5mLHEVGvH z6gtGMdob%v(#5U+Vk2i6EHW~%_!!42_~2b^_5{({@3&6=nj9DNN@}UZl9E3q7aWDR zo8K`#bt<c5@rvC}4><12tebt!d|Os}OxCV_hk2!MO+Ib7^G<;9=gxat{ZE$~cV7wq zexZ80+}XNY>S0rEA6RxK;qk|Uzw=MtJGX_2ry@vE|I5QxYmeIw`ewF97hKkLo@NiY zSatT(1Fwb;3Dqz59N?)~u}<mF@7C(~?y0ZNo_w28xqPN&v8c$(Nsg;`oGi@I><GTs zxm`@??Dy}_ShOPQb2J3rajZFI$m#5ycd2#qZg-Ab!aJV-J2z+h=~pU$&)JxNE?czr z=@U+d^$lq!f2Exg+#xcrVsD%HnUuflrthwO*3)*l`{YZpqfwt8te;fBEK&Y`L!$4~ z#Z3*hPEV_~*<*ad7ci7&zP?*6p18s1Tg~NF)gGrxYO}WdnPmD(w(-oQ-C{kbvKQoT z(Eao|?(604RolN#-}=Afu8fvY{j;rBi|@XADLO~-d6VS6Kxz4JpC#X%dDzE%b0628 z^EYR4->mb<k6vC=Fq5gmvVK|1^EI|VxAh!gp0F#ToNc-B@!8s4(ajyXC2lcosXg1D zWK6FMUa?zT;BIFyrzJ<<WR6&^!^zSUov%Lo+Ns15cr4b%D{e;1vfXj{jjO7dUl>|6 zNv&@G!LQk}kZ1eUTJG@8S+QAMvwoXya64d_^vm|<)Yfb<b2X_69=>aMZ4D#eNSO3a z;QQ=-`1F>$OCLObZg41u+cx+1s^AAvvwbe}%=(om%w*tnAVc={Dcg-78vV-8=Z6Q| zuG62iC-CP&>&G(}ZJ)Wwcb;uT{i939GP##|{w&yVsp5?Bm#7o6E(=s6P4YK<n&?nr zy;`BEC~La(t*4$GyCbGv&1ltppRBa%;`FdLFHSdbE+|tEZ7eAWjJR+;!d0<(|Dpe> zs+{gc(<Zv!SeG$Te8Qe34m0HW^XEv<+pX#K=vBqpu(ZX~9~HREC@X3oclfZcQn)L~ z_J(T2rsqtoTZ8gn?wjqSm36fLl;k|ACiRCm_Gn%_yL9WaYwI2gg`A$T>*t!UAxUy6 zf46rDnPtWWu<kSYu<*0Dn47cS!xA>zu*;|K`xHzI*w!}7-AL*(>jUPT0D&sSlm> zqN*aHmxZlN<=8?+wi^lgwJBfal~y^Ts_-*q#~)AapS$yS^Bhmt3UiI*JDtR-=f!+C zD1E7J&eyMoN46TO&FnB`*)%Qg7*C4bzbDSq)UR^KXD&X)|Ma`H<BH!muJ!NQKc{eR z=EGIVOzX7P+V<pHFvj=YGP-$edTGh*jK{J!*ZW9JTdc#_Y8w7)s?Pg!)6cA9k9jde zD(Ov)Z?nO-x%%?Q3*0!{!j|(1pLXzAaz*~}l+r7#!B@*J+HS9Bf99jha^cVy^Dl1K z4&^U6DRlq-f{qNKRfjT_6syho*IPxN-4x5a``s1c$ckS^Yh0G8{OLHsedaCO!sf4B zp*guNX?0qfalM-lO}u!0bK%3XqkiUcPdp`m8i{S?GO~?cC${#DT_BgV;epFdpFT(F zu6}&&L+q}#j_luLZ(q`_-aLJ6oSW$DL+|e?aQ1K9)aX`p@4QuoM7Fd1-bdYQE;B_` zO+3YOx-sU9;qIM9&z<+Sw&Z!#npX6gi2r}9!4vU}WzK5md)rNKeEe-+{ZR1dtM@Cu zZ)jZqIQ02WtIK--R>xT^_PICf+vg=qjtU3w5Ljiixy5issNL^*LJBs9o%fc6|GZ*$ z+`#;hGQ%|Pc!9ICMZ~^v{kZXe6-(45v6^_<Ln=?J4Y#`QHoJYkS4UJKoh^EU*LF6U z{R@NcJUIEz{%9Q6D-HXJiky%1Za;0P7JI>V=i`T*&iqNb&wp&ple%YJ$~0q}3Bx{( zXPXl4PAr%caFo^ksKoq?o*gkCKb}|rZdPUY_MM(&FT1^V6MLnn=g(<f*1y_QghEpM z?uf0=aPpZ@@>b5DFS7cyf8I?aX1&eV6npMu<n7uvOVZ!>=o%@Lnz|xSmu)vRK0HvL zTU307|Hz?>I+G5r@Q?OhY+XB7-a&2ir?nYLT@Rn_jLR#GG+MuJ;vt7adl(jN7b<i1 zPnaP!X<ct&+i`)cu586UKUMrq*Em0oc>eT(*-hp*8!DH7{r+S-$NKLaH=_2ZwVyh8 z!>lsE?r_2!TWjw`mp!i+KIZHHZQ${UtKnVGtmc4cpGB9Qe{N9uEAzs`B14_G*|RrZ zW((QlC@Zt7i_gR6o#MxW#One{GuB*edj9a5qvT=tu0OAP)&z+L&7VEfD}||H-*z=F zyVaM}4`^Dk2`{&F+B!j5-ss$wdnuP6E_}9U$BhMALA-vGd*2`MzfqU`=2*brD~$VZ zn`!-)6FkA%@7m3?T;PG#W;HpPiAsHz-EztMgj`m-mN=OEy$xIPt9{ABwRdkZ&3U#m zW6|{EU$~z3Oxbnj%f*iq?Pl38Ig^-q&UW&LO$jPXAD<3R4M<+GWM1;TguHak&y{h) ztA8F33bs{vcKDr>-iNQzwf}x8S3de(dgRJ8p2xdfvLx1g4Oycic<kM#WrZFO+&2F> znEme?yVRf0cP4gkzu2ht#h_@0qWNUmpq1ygytLnTZp-%jQ*P{TTR&IVWB&4U(sLV| zqqz#TXT4%~licuxBlKQ^RapLt*34-^!q>knxwN?@=3tK?yItV18=6m!6fWCqxmIOL z$c@y0qW?es?l`}#%-&w=DU0qp#v3cvfAaWK@K-YX!CsMxe08S#etelzv!*fB>iwLC zm-lwmsTow=IZ|G~(9lwd*}G=e;RR<@moc+>cLp;Z*khX0bY<2ttJNPaEl$a~E^Vy6 zs_94RuItle4*1td%`0<YpSRUEadA^5lgiRd=08l?bL$_i4A{2nDl>EDDfOF1EFozO zPV#&W6P5R+Z@$sLcUt<+kO%raC(aj4+Rc%6@n~~M7}v_JEXU71SogPWfqRm5T>Jf4 zpSjV#n+p;m?5bB?oWRKQ+FwohZe#j<shb%kk|%U|H)We{TWQ4Hl+2T?bZUw1k!zb4 z@_#z%${qHEO)T6Xu-@!e*7mx?(@PxldhUI!c{yo$_542*b)IHz%S`{gW)?5wyz_qA z-ShL`+;gs(<QvxbT1?nC>QUAyo7p+dELknic}{;Hg+xj{O+EZtK4pb|+(LE7L(+3R zvt&Bi`YarGT{?Jgi_omu3wfF*NVK-iURvV$)~9}wpF_@V1<xmwr!Qs^DK>Ar{{I;7 z-R>>7cDnw1Gt1n;<fh2ug!s$*>bG}^Y0i>d<n{c{o1eeGs7cDM;Y<E@?J?``lht>3 zMV5L=mmj&Ruuz{ZKjcumv30$C#pyELh`dX&#<|xwo$q*j%==#OyNge3x$D_IqOUod ztm>N+UYSvQg74DA%(vO@2kv#aoeuIzd>kxT{q+u?vp&c6M^)e063w?1+*oGW$|20e zpu~M=j>J~p%D3L0Gbf&}JoBR1x9I0G)mm=X63I?0m6cPc)=itlDs*SV!R>7-p=*9^ zJ=HLKiFcTFW{=6f%1VW>)y&#jZOJ!e4@)L${z}?sX0nO<>4sYkH?K#;ORQs_+kMF8 z<s#`7Q_3CL+T1r?FyBzPDJ^WBYu21Z+m-E8)4#sEUBXqj>(ZAA&he+$gm;#%Iy6!3 zhZED5`Dc&%iSM<3*n9Zcohi}lb=I!jwo<}KuVMR}#>u6#PKU?}bkr8lDsFad*nNKE zmx9!vOcz>$7sqUR&BuK1<bN*()uqnA???Z;WU;^f;ih#gooQE9tC!3UXl>$hnrZv; zMc%vcLw&JsA*bsjq(442eX{uX?B`FGoMD`JyJLq)fwC$a|AX7z3nm}mWUhX0^RI56 z_u4C~^WObuSyQ>?$70Fl@c~=cw&Z`2?&3?C8&vd&_szo_OXlSi+&xilo^(w6(r0OZ ztw&D}MV9XKh%PU?t19DoPvXFtRBgduekDCOW|iD&;CQVxd+M&069U$VYLz$7UzoG{ z*A7v!i0Snw`PNLYysBz&&hWqItk&nuQJT@KA6(z&yllzZ9E<+2+?=`60x7F<5_p$Q zJM%b5<NFeSGlNA3dR^bH2s$*~>_KpXC|5?8re4h@`IA3tTTCPT`zmY9nFH7vtDS{T zTP}KQoU7<&^7^0A68(&bO;&u<qqwrH{}-IxwSR7;6U)j8hY$X&_#CZ2XR@TkqR>Sv zU&VJ@luk}dd^f$fKlJBGx4gU?eZdK8x}8cF!=vi2Dm&d_OI-NB?Ro556)8F8TbfVQ z-fZ;d);}{vX!*U%+~@DJBpkOe?0n2SMd{vevsJ<(3U`xYg9`36ecK$eQ}^o5ACDPl zPMb1$zwF`8gI^|u$;mZ@NGwh6_TF@LYnIygsv|zv4IT!vidZb_zTWqzL5Y3y$BmX= z73)&(`0P2ew|T?iXvGWLMPI*T>F02B%KK!>s=lsq)1qTmo8Ij?rv2)b?7AIZ@9(_Y zVYc$+p_$9Sb{_PsZ~Of#q^0gom#VRQaG_A&&&#i?-gEP+mS$ByXMMQCc_)um-n_`< z>+N^`=}f-QZj|-T<j@|chUVGYtxs=oGe=LFa%V}frQw{N*HYt(9=!WLUqPd1Td(yE z<7ZqiiVrzVs<-Aa?+Yj@^R?D-_IP@4U!zb|s<YV#f38X9E4(JWnVi<5w85zG`pi}C z?u^VE(=U}<=-aQec+M4gz(8c5bJ5)wzh!NU_iDIZf42CGt8K9V^S?fQS7y(DFemcy z>%}U)2M=e@SCP0KBez)2`XtL5^&U(8|KB4Qe{+0sH!8B__MG1fXJ`GKtee06Y4(|2 z(VVY61HMf9QJtN&FoxmhrM1Uc|J*WGS^71-a$De09-sW5LS7TYmCc!3cc)yam3(!0 z`$tZuPEFTsy#FO5_9^<?hNiptzTTX-CGzK{hLo3I1DB{SP5o5gQljx<UEHx&y#u#) zy!}(Kec6uZ^Q%q!ZZFSe3U<&j+rLEEs#D!)<8${Pd#p}L2VeVII^VjxK3*hMU-?}~ z5yK3>rYF&IJ7uRwY*FzPcrvm4@Gt-0-GWU^m%RFLxa8e}Z%M)yht>sURLqjrf5FRq zbp4$bTE9OWND=TbUvKT>t(X#Y*03>UW`=@)>C4Z8UEKG6Z@a*_p!&njK=~DQcb_Nu zoEGs9`j@ni^U7WWohTdI-=F*sOKCDJJybh^lUvH9c1ud<v8&E8d;fVR+}siOWu{f> zJ2SsV#<>e#eed^KHn*p&=;zcKZ@2WsFFt<u_X&gY%ABq9D&I{xZJqON_J?+pKDHWX z{tsR{xe|>NF3df*-iTXro{*DRJoCYgliSy|`%hALvUl)PYe;yTkn_jHQnLIrPpzNi zxl@}CKe%xGt=~3|Tg9#?8lCi<%S(>G5Es776O^34xpy&p#F?1~e{8zGZ{0!hxDP)5 z-yFH~=2$qyPJ2<pQ@3gl^GEreg@sJBI+N<NL>9ahv75;B>3$c_<HXF{Q>V0^)HL}V z^X~Yp>%aT_z2A91JTT`kZ~uxuk$>I!GuDfDP2`h*;^TViXUSxL^PRijR4+X)lOv<{ zOS?}`^w>AcsjGA{6E<C8U+ASD+Hx?4$>?hO%b&|Pc=_}$p2y4}!ms>zVu9`Ho-gxr zFMRyj**h=DJT6zVdivAmcc%j8Z02S7s&T2}er8_IvgE7T+1)j=v8y(%(bc?~?yn!$ z64I$r@b~5~^LU*Kokx~wB7uA5XYIc!e`iTS&!#wm1i^|W?Qg?g-gH;qxI#2aLUE7T zMwSbA6&~13m~7#(RAA#4eXShD_s<u4+bnwjPbn<1d2`dPCro#xjzr|Mw+rogC!^2% zp-g`B=|!(q>T5U6b`v@)YSHGy5b-BIano_*71@ucn2V|wX>p{wF8_P%{^OdGz;}58 zZLwYc)AM$h{cpR^9j%?=a$vcJ$M?6BZ8W8hyG)IL7`4~?pRU)L;|1%siy!}2zrtVk zzKL(dA+r;P-p9^9%y_V>UrTyPv-Xb-^L`wOc-dt5_hYV%$>gTfr@yXPda%xXS>?tr zGq@A(#tDAo_N;%&GiO7L=a0Gx##^TZzicfDyZs}0R&U?qoo>dgJ}VUL_dm}JeYAG& z21N_wgMl8#7ulb7Oq_dt!~7zfzh!?iOm%*w9r;to<X!!!OxSa_{fbuaw>Mv`exoVG z+aD&Fru>k_J)m<+**As_zN>iBT7I;@y3OI{o4fcx%<7IS`7^4I&D8gM>*R1hv2V`I zZwGs7qt0a+&e&O}cJxBb{!F&@>A`mb9$I;29l7>UIw;D0dBsmz<&5;D@|~NU`(^ZZ zzHPVRRL%W)_wxO}a;xXp6*<+OYL1g*7R<J=4qn3SnLCf=SEO0*^uW#E?s1)GoAmXU z>)xrgKV3QW^KZzCd9u!Q@pYOlx&5Bt@|?%ftRYrx4_3~$Z8|*v?s4(Vf}7Khu^m+P zRk>x5Vss&c*)IH@16$~~*Du117Hl>s%9>wOtG!XueAB)So6N72++fs{c<7ueRJeBM z(Rur(_;_{8ne5r@S7>Q^do{~Bi9qd#Ggfz$yHqYqzc}lgmg$>-Mov9xy}C#D-YUOc zEW1S_;koJd8=u~t;@j#PnRjGM-CSL+jn-^pPc8)s{|nFC^t<kO-lZ$z^B=lA-ZQaq za+%x=5vScLPU&Awe_wW7DR`ujFU5K$&xEZLF83FdefFNaRq0NvcUYbVSLC@==94pR z{@j|ruiaLA@_F{zPD-ace~7W3SY)?*rFeR(LH5VYwy)B9UJ~9#wuRe*{?%=)T;k^X zYJS#Vn=EF5OWKPA`S;KG`Aul&>bIHpan>7BS1em5e(m1w=i81qs0FW)oY%s8vdX@- z;hw>v|1ulC9iJhiT;DIs|M^PB<6d>AYm<3XlJ2gG-thC3vf^EN1?$~XQk7393x1ly z!S!X$hCimfE`DC37d;HB!fVRq4YeP3csW(`_VZiz{aoR?rvLQ0_NLSJxseBNYyU_; z%4ZRxlDs<cM5x00*G`FVT4rBX?411Th1G%Q`@h*IpN-m}^e4F?HShWEjjw*~=D(a7 zc4voW%efD^e_{eQWiMLu^vkhIf58g@3={I4oW1O(9Z3+F$$wXP#U}Au)wtmPH<rs| z9L~>s$Jyv~LT%<rPra9?y;2{nPdcUk&|`fEpTv~*M;-R&6=%1W>{Og2yEAhY(>{k% zy{2|91N-MQm^eP<2b?x~>Tx%4cfz%**7z3!=T~JsS(?5?F#cEMlhYiED(v$k->vt~ z&(si~$+X0tN1tcD_l*0dd)MDn+InZ>m#<29mrs6l>P*lY!RAX-{C}2hIkx4r>Yhlc z!-*Wc7ar_+pTpr)Vv?q`YD?TnhZAqrnu@u<WCpBXbn|=Xw(Dw#xjs#g*iv~gc;h2; zW#hhcV%hKI=j}dZ7oTWaeC_k*YKc3OawZ9!GCALvdzaPVhTrn%^S&3e=;W(Z_+DGN zd!b-i^Yyh|g6{uLbna6O@;=`c!N2KVTMmcSz7ip>I}Wd&skg|mv%W3~KN<UQd+rC9 zgyTI*(!MJ_cogsKKRZEiM%%YbAxjl)Kdld|@Hjoq;-72wyJo&HW3Rp0+n<<jk9HNj z*tmJ_%a=RX?~A;6c4nx;9sa3Y3qKo9nC>08#CuBnpX(~cojM6|PuIn7cTy_bv~prS zhtHX(DuHjp?;oGKqMjq*&xf4(7ejV5{yyEwzVoNm$3scm%YEgZIBmEm{n{fkmGK4J z&dw`&hn86-HuJBODyb0g%lKq->yT21bjUvrmzimzdV7+df0e&?Uv#!x%a63VnoIY4 zixzsWym`7%lmD#ZtGLIJ3UjBf__}P;Vyi|o7en2vKiRKY9xpfi+~+irQGDK(O9D5R zb#70~IQ(rt*Sz+Bw<W(!I(u-!<Kz3jv)p{WBd|R&@lI&Bhs`3+OBuf(h|TC?*kdlh zW4*|b@%h^B`L46KUHcJglaXw*K`k(Gov60whxxL<m!z1kTJrO6X5Y_~zxB!(4zT&` zaVWWwkTIF#XXkvmsyL4ma-qF38(LP&?{bqr{Lnf-xYXpazP|m7&M#f7Z9d-?II-vZ zt>zbUBIz2hr#(7&>%Xu0!j9vSp*p()+Fu^9S}$JmDCIPNu4v-*ZsF2tr`(;na(~8f z3mjg+l_9$C`>J#Q-c}0uruev<SF7&pyI&bn^#7~Vne#tAZ>~;Yczh&rK_E}iUTv{` zavZ;x_)RT8@jT$fw953j?ACSm$A2<}9t_<%=}h#OiQgY8%|0{#_rFp*jw1J$Hw%O- z@1GSt$QXLj>W9|!g`!IYLh}=HYVLmy-j>)9@yyrEO7~V>i<xey`vf7^hqvAN1Y1kI z*G)8Pv|oMydIR@zKaRs6eLbE2L|bI7`Zhgs>l<Z{tPh={W<e+J@2Fq3VRhp=zSAXM z8R`@NyY6g0a+8@;aUH{|2SSxo6!-oqi&?xkh&Atp@BITMcjhLZVZN@VICI+*J&Q>` zGPl~h#BP7J)!=kuJ=|IxcA{7EX-lI)kbZlRQrL!cW5@Ve58K(<c^_Xn*H@GO=-;J& z({DbVW&(HqecUr+pMbR1^oIBriC0aBZ&t0y%FHsDx=8VdeDO(5?H@^1a&LHIH!l8e zVtJwT@jB0sh5x>DFgca&4qdD)D0u7!|J+^sf+yE3-XY25S8Ed*!@EfHs@;;bcMInl zu)IlP`*cU>Z-;X0&b5Ah0XvwtohXt~KjJ2AoW?(8s`AXvkOx&!xo;IRZ?|!@C9PSi zy-Y%SlUQ5D-;F2ZbG3I&3uPAA>)rcNtwUf2PsrPz_sb_|9iR86#_#dT_wAMXyIMU& zW~Q85rrNn^XLqyc<D!&{+KiL3raWU&d3UA2U%Xq{`{rG?)tskfKTdmg{nvtf+fH2a zo9bp*+xL6Y%b)STy`Gt@3QL~i?9Fgds#-Yf#=i;Q)m|L6$bPD2KSk#DmHDR6FUe=d zT`Flfyhv*OnU_*Kr&sx;eJ<a4EAUCWrB?oDCclrz_iU56ntSBkk#$$<Q(v53&0MmO zo9#E}q?Ue#S8UyLyeCZcelhpi4BpjAavA&e^sFxREtqm&X%)NArPhb3H`&+bD2k-A z=`GxFFo(IpPyFGFXJ420dT;yp`Q*w={Oio;==S-axM#HbIxFuQab6$GbnlBHaxzg| zdm4)@7Q5b*)8W{4z^I6uslVp!8Q%B27Xt71<?ju;wENP-$s3p3iu62F={jjxd{teH z|Kj<y#P`SBl^7PKOz&aK6mM-$dR=mXU-NMA))PxNdf2l*I(Az?=e=&WAHVeTDv^Jm zjvf8cXZXZ-i)}@n*r|OhKAwD4@#c_?l4aT1lZ!g<=bc^7b>(-~vYy*5tHZRplL{Y8 z=I^?6^?;M9`4&UASMugj-}wqBIqt4~_-f}d&y8Nc@_B@lCq9c|Ol@`6xbo8=aLNbM zmPf%#DMj7Y6=hszEA4MqKYgCx^7hN>Q`41tGn-DBJ)5woYQ=>O8)7HjD#<;@)>vI~ zx<`mV{rbE0nY+^ebTT$6ObK+2&`gl{C(LGcQ#kzirVGN)m{_k*Sx~opbtb!&h3seX z4Y~Q{0Ux_MDuu*U8!tTY{v#|sC)nokKCP#^wtOctm0JxL8tuAvQ^~7va(u!s!v*vC z-`qDjH07#hTVsRFKiBE@!Zl(KJHGF2JDFrWX}*Aj;D_l;KJxrJU*j2H8hxOz=Vs8O zzb?1?o*bECz07#}<fH%Q-)Fh7`(fvW=^}>z&PY$v{jfdsSNv4xMCJR}AGaHNM$b9# zzbyTM&Cc8dhYSw3F*iSFk$={1cdhREkt>p}Vz!4@oSEja{YXb)<s6<#5)CgHWG)<c z)PHP#c-gC`ht9~VZF=fdRz2T(Qjh5JPO}RMR*RXu9>vVhQ0dwues_(a*(au%w_Ai5 z>LnfsKU=f-igZAS<(9&-iJBZ)OBDEd4$lfI`}40_%U;hT%3ks8eRGZ}lTuDv&X;4F zSo%sL`1ZyJ8(ZT0G`HvYd^qRBb@~+J?}I0N-kIfE-CWSUjyp;829LFDN8Xn5dRsfM z$%;nlqNVH4eK)C;Ej{&IOzuyJh<xbl27bnVzCTCZetdiLLyd`z|J|YWVWKDGY-Vdc ztvM`YcH%<+$LB7Glv#dnxNNtyb~;DLgO$5&_pizp^=&($K9eJ@z}f7D;zeCmk!hNZ zaewPs?VV?Te=+OAzPibK+gN2UEiSCxs9m|TQh&>J=Q4F^KhNNs9*zkW68ckD%2s}2 zpIEwd`PS|28ZUpm;j`X&rlaz2*tBVhm!Fg$T|dVzq#>tn{mLIc?=%)pT(7cissGIk zKdWya3qL;CapjCkvfj4z&Fj}?w9lxW#Or-`SyEm~<~9yynP`DXt;k45&&sR3=J%vd zG_7BkRPp`G`G+3%x3ATC+pf{jIH}oG_WJz0Vq1|~n=q9Fe@Z@1X0+HNm%!k&J8|c^ z$T$9Rxu%>CR!%s#`^Dj3O!}MFADfbLCuXmh@bu`E-P8VF^v&6R@6^ip?<E=%i(hw0 zot&Tf_EpWQc|W72mfgPlyJ7Phwm?-s?UkzG%^!omTv^d@d*V)x9ozS=HQX{iQ_wm~ z=_colzb!e-7;1lsHa=J-f4?C?cki`Le`lut`JE!AJjwHT%01r83Rzz{B%-DYvIO!z z%Xs@^Vr1MCYmes4qO)6OJTeq>zH!`1tMmJeyZr~woxf3XEbn2L*LEMtKhLg6U5Q#K zuKu^?Z<~$g?i9DQ-pMo1zhQlBv*Ew>{a9-mzy9g*$L>G+`E0^9500Yx6^W`&CW<>= z+wE=3+4i^0WW%C>4_AxI+D&#Z{qf(*GV;LfEh~43IYpd3m-fjnCMm)D`n+$7=dSKF zh&L$F;e28)IK}Kn*DB>2<%t~169qr%vT}$|$#HeNb4*BmQd}zUBq@0Xy+B{H>r9Uw zoji9mFV4Fo_*m~=^%S}2f|v(_Do3{7csfOY&A&ekhgRLOtdiK?R}k<_?O?x#@y8{0 z*W=oY8t2OPT~RhzFUE2>@EGguuT2kb|5MV<Nc*S3sTWl?ZL-e9$KD*%m0ezNsyyOS zj$Pk+%jxtlJCEO@HoPZxu$BcsZ&7vFca`hl6T5daI;KfXdHko4_xF*7eM>K^^UZ2@ z+iKMn7&&9w8K+ON0kJ*~I$W<^L$_QJRPEWoc{oto^8K2LoYIrjs>}+zbC$m3S?9c! z(L+kjjn6_L?tMRpnZ6Fkmm3kcSI*4lXF2X|xv=`{Wvc_WdVW`~?U(IsiCn*<Fl(3n z^r?rp1g)ruVLDy-C`QSg<M@r&{}<=an)%S?rFLWB1+9gX&RyVeuspY`uPk<^;-dIn zy)M;TPrmEOYd)>{^-@+a_l@#&r{GDG*gIEDsgU}%lYM2W*P$4jiX}Qs8!vBtI5Rm% zwz=&wN39!Yx&~9{Rmq26SAS#8-^o?Wx}^KhBbD=Ku0AnZ)qTA2zrh{XQ|o=tPENJ| zR&i<F%)rl|)#K9iOTQkuesZVh#{0tBkG|Tyxoz9AbOz_EZ;UhRmddwwYE~Y+u69qJ zrS++&r0b2v-xgX$x7(I<YR4b94`%<cmGANuo3FyFdKjni-YZmY>O1`K=Bz4lQQg85 z(-+?3+UIh9p52yd&a2IBU2fKXxqs|@{ok!uUMR}XxuxQ^VVU_l=F8jrZXQ}0Rla1Q zykGae6_c0e%?}aeUVYPM*ZY@?xa8&Cj>~%+bf&FZEi2LSZ4URPvxRFjBh0pSzWn?6 zZtcYY8+F&;_Z_EgW}L9x@H?CR&B?Eim+;wae63@y@58a`cCYl0yQx1E;w<;=TRiKu za)STqKSo*!%)yolcelEoEt<Mcpsuw0{tv^hmq`LSe^!{+bhG}dnD18g&`mg|*+lMN zYOrZnZAz)+!W!x9ux@XoZ*CtJee|@KaGD~y?Tl5>30c<9nqN(`o02%H=38Zc_6uvf z(!0^=Un2hmey{n{{%l;=qRO>j^sVo7|Lm^{&%ZoXTef{=%W}1tZ>8_&mz|Gi+-zqk zwy=MeSm#Z~ovF)jKJxf>amG`YKcTOaQ*+W5ELSslb2srQTgCkbnR&%c;v219b@b;6 zZ8@1fVdeK#d7pGYOuh0ky?#T$?<2W#uU3R-MP<+bxZQMW@OhiMekVm;QBU=|e<#dM zdT;y9_T0Qf7c9!k^@~I%uQ6Q6*P>q$!8|`Wp}t^kN!hw0ZYg{5aK0FsO#7ZHzO78A zpX48|_l()|ey*soWpRA>bJN?o{}Lt4xzpFJ*MIPI#dL`y#XN1RJ)*>F7aJ9SkT>06 zd13FH!<=Q?c%LwA?PnGIrSX56bnvOzHCZpO9^AmYhB3u;!n0=|(;1EUWFwoh`=?~& zy~~LA+0r9Exg=Z2M(@Y6FGtSbyJi)Xp7r<c#>+DT_<FW7TQ>wM3(Sc;o7}<Oys`VP z|Kj~hE(wn_DqQ6@hQ%-063y`a_TKPIPFIg_UomUGas7e=w<qo`*rIvk!*ip_+XJTR zOFVUP*`Flo=IiuEbb9({lgpRPM0WEj3!Ppp`ez9ryFmY~mA(^KJ^Q*n=CvOCiFY!; z3vw?h|9z^WB6endhREFs4BHn7ww?K#u`BA-7oSJg{u6RCu4XLZe4cA`?MLtLH$l8E z(~oU+zN`K1qMxpZt>UA}`!;{lk5)XaEvWQjM$*a4iOl{F<+@sb`X2WBtk*U}@X@w| zwgI}E%{+e0y)S&DW3}MM#l3E?v(s%aKK0?h7PMr`vSq@T{ok+eI?2E5d(7(vJL{WH zM#wGrd&cxf^PebFTh)c3rhfxFT2G4S-&>UU=ir$yb8T2S`ES)O7JpuMn)juHuz`r` zBANCgroHJK?PgtTe=2fX<|5N_gJ-!BoebsYW`(@*6w!1QJumnzx^c0j5&vtxn)XM# ztM<=IHs=ot=!@TSK0ZXCDvIgKHkKWe<?Wa)lg<Uo%}vb9?wEFe`j7v1OPF%jNxnI3 zuPu1vhUx--rBjR(E-T%6e^=P4@~JiZyolz{%`rRpCcK-j)N1ju_RH($n)~xNN7<#! z<ZxN_v#)UWi@ULhdG4CVX*eEq>|XIB{C)epmX6~#YIjBZ+O`%aZhAApB9Wi@)UPX- z?y7lryc2f5aPsxkDQ^FSqK`bUU3EM8^@gqgXEuAye5Q8SGUdq2`;ry^Ry~{$?UL)Y zcJr(6R+c_zKZi_cs_%Pq)$QlOe`jpoM*WMEwyIbrrzWp2cf(+>!I`U;Ml$9zuemGB zx7z-DI9DKJ=cn?-lpw8#+Pk>EY0N$EcK+l!+b<KQ-;P}D@#g39-sTz!fxpw9&doB< z@bY`%y>l_^>M*W$Ng2ta7gw941wuFM_!^iSYSkUW@A&YpcJ%^f+tUtb{}frRIRE_g zVY|=AcelvDVG>%uR6TIcsrCPSRqa0=$`O>USKq@QaPq^v%VlqSzJJjA)u>u{_(;E3 zqWP6ocQV+YIyfgt`tQoG&n!A{aOMWiKV8$;tz(;XEYE?Xx%9z1$CW?SVh$#@AFKQ- zcjbSsT=9k88>=E)8DtzD@6RYsOgp($h1pn)<*&Sx(h9HJkC#O5Ue$9W?5QeC%om}# z+fOY~v&g>j*=YmQ2ls7du}}PWZc(lbYcfyUW@E~uvCH;l!OSh&ChO&1bw4AP?Xk}z zc<qv>jUoOas+Z+uTg%R$%Qn)xvhc@Gr^Ma!MSgG}zq<DLq8XRoG_5|~dF}AOM{nZH zpWSxI&DiDa^d{&#cN!z_j8neBUxa)QoerPQ$H43M+H8{yYr2Dvz^wGBZ>2|BJ9SG} z|ERY%d%E(7zDUQrbA8K03?G}l*e?C8SpDdt{p!bit}u9dJkk*kJo52uv}@Nx>5jVx zUug52-<HxhK4Q4}l;Dzu^53iPy_)b!Ep09Hx&3X6e?2+A)LQZTj;j&pswG*{b$`84 z%03r)SH?TxN;$*aHyyugS3WP2wn{yExu<eTjfh>U{w62sBhMAiu+|4X`@HT8<28Qf zZL(q>VMPhrZ#KIx*7#wm&Cs#n(-AL*Xpd+u?KkQ3^DV9^Jp4bsA*Jql0^jeTy$?P# zt-tpt(xPPJ<gUq4UCydb2ag;UZrmZc_`>YBVRz52Z`4~UEcbS|^{%Os%j3^=E?BfS zq}Y<<{lkemp&Si$PcNS;C^g*39x<)e_WWg@zGWA8i0~gd?YLU)u=!8*{GJ)H*Jkap zIDC4c=%$mqKQ5ZG(n8+gM#+M9_T`Qu7YquXM(OpRaLZIYpWvarYH79Ng&U`Jb+0b! z>ic>85SO#!%D~$^T-zO#Hhy&!<Pr6V`w^^idF4YLLFW74!lKU_3Ku4=j+mTs`C-XM zmqRRTvJTv9fBdaz?_8;Ww!CLeBXthlzoWS3PqyUaK%V|z2i3yV4wPLi@GGw}b&}#Y zQ#-Wz*^|8w?@r=Sd%FBW<#AJ`J1N%>-Q3GNTc@}<T_V)9e?_GZTd4cxn0Box+oJ8} zewJx>SiGQ=`Q@9st;}YkdsKp!O#M2)fA7>y`%k94nfx~Ef7{w^t5@_Y@7!K$@6`0L z!B%{ZmBp;XD;FitUJ}uoQQhh5d~Qopn8`PRu6@(CPRS8yx4L4gf8Ov;+}aNtYSu3K zke4}g%FKn*JF_qPK05SN$<&l(smQ)%l}R#Fnu`?fGsRfcPGLX9Hbt&IT$lf-Pur}n zg?pxNVRf{xO?drWcGAv&$&4~$r#z<~T|7z8M{vtDE2e3-7kFIy19Cq4AF@;Nh`haD z=F7Q9dw)60W;fqn|8;Tu{*POj`fhRwUWjg~SUKNx0aH|8lKuV1l7ARpSZ3~jIQ6p3 zr-|<t?zwpU-)VcJj65f!Kr7cCNm<9@vWVO4?d%UPM)T<|?k%l)P*EW_L;8K*&(`QI zSqlY2=6+iGHOW!;i6?ti-6^@e3mK>7-ILBszew2obU^^yRUsa)tW|09Z|zoZp82ch z>Y}smj}Ls?)>xpZFjZiQ$>e+Q^Hv#n{8o&3n={ExG-9sExgSy!S&nHPay-1t@y?Zb zzi)o$aMN;q^~^JD<?+X-de~fJzh`#l{7v|BW!hPjY1%z@*B-r#J@fFentk!DhoOGV zmmc3a{bPZuvt#xA?#Q`9&C$&Vew<jsV*m5&(Oq(eM|hKaRv$d4x1Z~&SMkn6JL{}3 zXbEvknorNnp35bBOEb!$ZT;?Lf3gkL_+pYi^K(!6e@*R`@s78*>l9=e-OCSp$pssx z<T*R(C-MGTGGn`Y!@foL7_Kp!vd%okzf`zeGg5V_xB1MPu1#4cGu{*}EXptLwrtuH zfAsQ&ck8!=gl^V)$LRWa#+$`_Vtd%0?mX?hSYYq|zwVW@-~7Iyt6pikOvLX_7K?d{ z%r&8Jk9<NxPR)^D%Q$83o0{WKeBwl<CcoGpzi-)&lFrj5^$BYe#1l@phbz@i-pcyF z>>JCCTQhic9ol?$ovK_nt(8Ybm`C3Avb;x+?sZ1B>LuHn;*Q(jbXaQez&%0Rq{l^3 z*ZDrrM5PJ)ug{byvgi#dxq7kDMRB_Ksm%4Sr}bDoR*1de&$q<*_JVKh(G%vynsA!7 zdmVPXmcNYgMBfYTl}{{^?D+&g?)jh7rg&nW>7QAqQ+XC<m@E;rJeckLaT$NHRaeUQ z{!iw2Hi)}tHTp<ewr(i7c+@-Xz@0?hMJ9hwFIybF@pz?l)w>#Rrh8A{3C2C@IQO2B z)0uJhts_@YX3aCNe&toSB5Rd7=Yb1}(~<;hvkpAj6ZS*t+yYg9StBny-gA0^tKQ2M zCKo+fk?=p^zQOX6$;EH1FJ7?8^kez)>3qrkm6|?1E=}fKtG+It__a4A<?%e$FAuiZ zit+m$SNtAXv+nk$$A=>4T2EV=a>*gw(9GkOR>Qx!OC0Lvgil+P^8AKBbHu!Q$C%>k z4~ZxJXEmO)H`1JF{pNwqg!x4VpMMF>SYjVkJ!ewJ_h;wU`(Jg9U;Jc?^}M`&PhNUo zoOS<_*z>?ccSDk^;;MSH(;462a};GOe*3n}b7$3ON5@+p^8&ZN$_b2Pi?0-$+-nzn z^!xH{X>&|2&u_7Dy36l&=gOgjmCQ_ui?~CNt<zkz<elpAhBkT8cW+lMY5jE3-<u;$ zQ$_nn_PH;<+CoN($MR<gztcC&?fJa>)y~Y!E3aO6K61bMZ{|gXJu5Dq6UyG3x*^Kw z>A{uzcNne=dU(2h4?~Ca;fq$bvrM||{nv84U3s_Zg2>X{9s4Amnh)<d8GD<1rtSHd z8JjG*Uv2&p!1=N_V%bYm8_soWPL#f%+kU>)@<j8FV`5L)SDnAS;H~QQQyHmuDkgot ztJ`a}h2y~19~`Ro+mt%yFInrdRPgx2fK~F(3X0zZhyGmppz3scbJdKA`|`4r)SlF? z{1__L;dE(FQ9*?0cZcF7%MK>%Up{Mo^LshFz2}v%A5sqsZmvvzIE%f@`)tD!p$EK^ z_V38#mDgtBj-GgowbCw0e8OIX+dVsCv!Cr<`{jtp#|7VC?#fFIxGI_X|IAeDTp6K7 zYdG}Eo=$SBoXeQoes+qeu49*k^pe+&?!vbkUc6ZwC)(N7`L3?-`sayWhW~T!mA~`f zAD!zy&yCq<=dFj`QJGtP|4ux|JGcL)y~HQCbuy2WJp>iM$Rw;`vfJKJ9J2XI#<`$b z3hwMvxXPP8_8nLvc7MHv>zz$p*B6_rDVMZtxVyq#&hmoW1DVIw_UX_2O-uDNw|cA% zI(Z{ZT*FU)<vH%y{~St5`s#BeA6->?`Oxpmlsf{?L-YQ5Typ4fo!)ia?cB+_XIAs4 z^oes7zhc<G=G-0q8QX1|HkF$-o=d7@{qjz?h~;?s3x1aQKTpn0u{Ut7e0BNXrS0De zl0UR28W|iGeQ`2BJMc!Dk%N8v@0tEHY7_ZaKB;2$JNG>1f`aeQJ)+(Fzups=5ODR7 z-t8|TIfsOvAN#p?*3CP{N7Lqid?Wkmpz{8WGU;CLEM6S4Sk-A%%`G6ZQXsVR^>fRM ze2ci7ek}aUZU1xX<0exTj?#iXqS_Z$Oyyd@y3FjD!kyr|mMwyF<uAC-;q+phvR_y> z`C89W{#9|uZhkqbI%Pxg-s~yg`d4q4ee!JEj{ZJlyS2W|wsyRalxnY?{B^|N_|-hd zr{7o3p8Ixs`j0@TW}h=o(rlM|XZ@0&6Qjc|(c=4KZrxn}e{XNyUcWBWbx(8Ytac$U z8Lo>V%Ewnd(BKG@+Sx95u184hmCE6cD>5skte9CZ`&LcgV{`B-&)ePg++K+hUoz)P zOLNp*FqkcLc5S?o(wTdACS)jTeV(Ps$$D1oi^|l@8o8S$C*Q4|(ENV>oi@u}jS~&C zQbJf?dB-_zI>B+1jX%_DM(N%)hPS78O*`hj=Je73*2)_j`c)ehue4-7RrFotd3%QD z_OFv4-0AXf?sX^+ao8g8n*G`}tG-0{SU%xZFAWT1jW-AWYy5YRIdbz^kABVx_j)EV z{wlt4VN&TLA;YA9FG3d_xyTwS`ew(Ww8-n5pXf|mBI$H)$)%?a_YMAquGm(mwNLwV z`i<YwFJzdNVwFmdCU4JHH(ju6+48ejzkie$QoJ)a=nI<##~iB}c7~ihj*JtSq8yrQ z&$Dst??3<Sl%KGIs|@ctq1R1Q4y?G&biVP{7va;BJ@1*Q_q&H@zdR?~xAn|rx9#ek zb;i?{o#lGHT(P>wvCvof%5Pr#mCJb3HWh~2v);Q?DSJ)BxNmL9%x?@=G(J|n5uIyj zz47|bOF{qFF*j~~u*<i<=E$ZM8q=$mywlmI@y=49v-QE2)gOL!>Bl;4R5N(K>PwmM znhX2ne?-~-T(L~FHSI`LTGIt@<G->#mM^Ag_s4Frf2Lov`qs9<H)~feo7%H?LDhw{ ziPu|duZthL8Fq5s?j1i{-`|tFFfU;`mu<R{gL(<y&05D5Dv#YWn&J}^Qlc6}8KsW* z21{?XV(UKPZx*zwJ^bt|p?xc>?N^l<1T(o^%2MCuXQa<xF}q~vI|~-)C!e!wiX98m z_pu!PP!*<L7gnJD=82BK&8<m4UGhTp?4GGI*{(dbS0Q2Er}UY|w~P5+*-U-(z3%F9 zjoD{(`0RHibMzc>>0F$Z<zv_VpzKSW4EM)|d3#rDAJUF;S-JR$wN>{%{|{EHch0=} zVapkr)d!acu1IYvezJGwmz`k+p%wB!dw1n0u2@-Y@c*nqUem-bnIk?{o_|zZ&ZKb` zA2f6pP8B{>&7`=>g~$0O+tTbAO_omHtJ2NW&RWlieVgj<>2X`=vP&vQ^@24_#auI8 z?^R3AD_kZ}$FD2CGFUiw$_I|$m(9!Oc3qmz_3=W>QM0b&<{8>QoJID|-=HJ2(y%IN z(?{8<)kdmv>-I}*-#^=-M^t|fU)mHM&3(TO|G0KX+)uY(6Shk9=YlPv{MQvyKea?n zW8*H#n%rK|@tG-Y3%9_VqT9ZE{(ElP`fFjX@u5!()JtPuT~$<@-w@9$ER?Zdbhm)# zPRAMgkDIuvo6WDpF*9mT|L5CtI7q31)5R!H&|?3g{5DR<%|`#Z7o>GC+ovsCwD5v} z)~3>Z;=D%t7f0mxIIR0N%W<JyhwoeEz?TyyI<GtWSKN~IRB7;ptuGx`3nxyUprMxS zvrxNpgX(HtXD9Y8r`wu-@N}A<jQ{L^@!rN$QFXUJf1WhK-v44=*{1q@o~Kze_hl%W zglI6=e92^cYJBN(vb@35l$3QxU(ML?Y>wGy$&5D^XWth*`D}Cl;K4xm`BUNru3z;r ze0=D+_@mDH9Mi5d69hiizdtTKqjA}~RR!JGZg?c_IIyJVt=IbhdduzC9bT1~-!D|~ ztLop?xjz!F$ZPmm|2esx<G{Q7$5t&_fAX&)YYQiXYSzw*?5VpvqMsHzR9K&mo_6DC z>K%q;gTrBprgb&Da=h~U5*Bc5ik=~s>9Ctu`FKQcHOHiHdwH*Hcps?t@zTSYf4?c( ztXujo{JhT1DDyNikEnka8`)T1N3d_sFMZ_jU+SWdss3U9^vD@Eu88e8Ud(X9>&2(V zJ6I$|cPzNdXzOQx=ik~J*-Q-TS=>E`PV8eaIO@6S<?iKQzwq7fvgMfQy24GavZyos z;_(|662U#EA}<;ryIC;lOw*IZM=UpDIVb0>O#dieW^ppH_hx14g59SYr_Lz*bMe%Q zhe^`=FRxO5(djGxdUhT6)ZbtG?>Vo0zd!uQ7hi6rBitukRU-EP-!pIhp$?^aa}GrI z+)=1Kq-jz0KG<E&=bC2!YtC&e^8@*!=iK{n>hqWHYs!|Z-ZA(5^J;obC2x%0T0ZMv z_S*O7=A~wA`PCkAz{Di*@b+YH&FlLFr@h)0#n{(uzxZ|ObD85a-1crYb1a<qSwXum zIH&&li_YhVHQf(t9F8dzJKpqu!s9}@#OU`=*za$26S#WHWx1|e=}QyANz5mVq~^Ro zF7~}pW6hjgbCqqeS(WmFN+z!hQtvi#H=LZLahpF!FXA;DKcAS0S;CZaOx}~PXShzA z7+R-nr@CNa>*=fOYOj8N`GIXws>;u@N%6vK1+UKf{oB-O;lme={_a(pznCqaF@-k; z)Jg>g?wM$%miKxpA3uYCxyZ#^;w(|${+9mOEBoye_qU=O^%a?JA9Z}aRwP_i>5c#O z;$+aTwyZ3@{MOVpXFVOXWu>O=d>G<7cbD&^iCuF(KFtzW)ZG4igXQ04XN#7Ht&nDq z^Sr%CXI<Q{8^KPQ$6j;2oZytiCCapgJ?ydm74>E%_gi-q+#XLna944XQQ6JYDaT@> zE=DH*-j>&WG~|(hZH)Nxi&mj-&-hmzS4gdsf0wx7-HBey^pMp~x2=2SrX>nGC<VTa zWaVZzVC6ir*<`x7Na3NEg>$*AiUi-rtyuC%p;+SPk}}10#nQ`H+*CQ;{*r&Qxz6z# zy|a_Pi+uWGm-$=b;P%$1CUL(ye}_pHKh)m+;nKbjRYL363(pe&Ww)?=pY&t(`B}%8 z_-pLmy1R5IXZXdkOzWPj>2Y3ap-a}c8@#*`<00X7e4XLybv(vl%rh>m%XB~DIepXd zYW8&oy$fDd)O9o*j=B(YZ>H35na!sne{?gyyl!@ChC+Jei=5_<^GtZY)vVjUrl)L= zk?P;gsb(HA6BfO5eDa}d4zs9+$m#mKZ_aqmNw~Cp)&Jus)LK78?40y%k;Y<2y>oFr z*XQh9`Eqry)UH7OucsgUl8-MJ{_#vgxZ=spi6PQWbJ_RE9PTSR7xOERJ$`EI`KbxQ zg429_%dP%CW?59t<6d|^=XO_h<x$P;mhPKWwI-Du_vCuiV;<CS-7X^4?wU7ifN-=^ zXMET94`)8C$x{#4$kj5QZzGw$YX6eU@6MHZKM)Rxn|k`DPl8Tp<ie$2JB#?XOT4qV z8m8a1`9jXOKMPl#T)rgf=3$8dsa-QyZj)@^U^wCN`zIlseP&Wq*S$2DEnzJGXPwgZ zUj{oOb!P1sI?0sU{PD$(JBN6GczxJ<I&|BkVExXGQMwB4lMa8j67S8aT3fZd&uPY_ zI;DRT8~mqUblEKA|LLEs@@qD|px9X%opVeTmJ2LPzQSI%QhC>c<9?h|D^>dv|L7)6 zKXYQ1?>y(t$Ao51U2<%J+B!x1uoTAK>n|>EdKFM{U}fIV9Y^cR?i(-BVHM!dJN&FY zHX?0#v2&>5$GMT}PDh_tpRrok(^qxp`O5_v7lJfqGEQuX+%B~5+I5Hhn+{pMyRWrm z-|TM=cXu#v-t@)8J3sLJ^bJdDm}{jb9%yB+unx0oDlXl7;KPS4x^*U@UFz4hkM-R4 z@R8qFy8YDS=NE3@Q(eDBZo=<<*UEoaJ~OL#T_|u|<;Ik!wbu^Jw@*qD$oRMLNJg4& z)}B+$b8Nc4J#!1VI_p%yJB=w<{crRCE9bfK$9U@r<}NX(YO%MbYgjc8luZ%JP`O*V zy3@bSu|bw$wse+ja_Q%lesyvUO-K8CWQ2LWHmdzlVQ99vF(d78n!SPRp0uxXy4Byx zZxgU6>1dd8yS%2&px$Cp()=9)j>2iDqY8Fpd2F4qI5Xh7mVRNx*4W2-*I61O1FBdB zRaic434K$Z%^8<|Xsubq-=Zv4_uUT44lGJjpWn37IyTvlC0m<|$z*Y{XhH8Ir`3kB zr*4?rzjv;AceOjf(e{{CxceKPHJi6SX1f3W{EDy1jtf8R%h~(?d*pjD?W>=dc9^H+ z|8uZA=^5FOX2wx8l`;8_@%kCHE%$k(!}RSQridub-{qiUkTdg0^q)Dt*Bc(IY}~Re zIxbvKC}X-}i9L((-#5F3bB?+@|Bm2f-Y|!`>ga#w$0l31-k#<6oMqJ-r?ZL6RQ`U@ z^xTjfykha*c`a|_`R;GZ+@9qTG3}k&u79&y_g?>?DC?soE4^`%o9VX=mK}{R%l_#I z?|Rp69`rsU&fw(JK*PuJla_D2`0(d3@2v&zOLyPcza}w!$G%rr&)xacX4ZJ7`xwLh zW4bnV!P{JAR7+1X9BW)$urTr9KdGN?Tf$v>1%5Sr+q(V-+pQ1M)!&wcF+9vTFi(a{ z_|ck&DsPzk{_@NUWjb-x?B~Vv=L#O3NSrDZ-(In4q2AnzQ@KT}`Aq&x<cUfa$SL1g zw4vH8E3Zst>((#-<}JU~T0i@LME9r0$zHqUBiNq3yS?aFx$BK>w|s)Seg3Cr22ER) z_q8+s+$Q!Op>4)Y+7`QNgwHGVs(8Ed@7&69yna<MpKp{%=3Ga<y*DOaU7u!rP58J( z%w(Nd*4&x|OX)Q%ccO!OSEai@xw2MU{7kP=;{Es92~#I0EmPOFy0vI|{h>nwr4wha zV{r|gl(om#Q?}eM#(QC)p!(hoaYy36Ry{2Jr|xZ*8qDVsE>?Z{+`3BzY@&P5*e7>< z@;cS3-Q-f}(f+)*f<rc(B`b60?_amRTECxH!?Dl6RIhaDFD~oG8nei9slD~xiV_9+ z>n@*Tbz<{(x!+o3QL80+<m5ypgK2qN_nF=9_<lO-s0qWme!h#HLD}ymK74gkQOs%3 zHmYvg^-G{rc&77#1NY<I8ZIl$Uj6$0D{<A26Sr&g%*g!f>OHy5VoOh%UYY0iJ8bT| zUHx62geP4rId`hz)Foz{mJMEC>y5Ac`^NYC`P|em^PP)1f}VA;Xsg?;dcNr0bDlYE zKHZ8BUK*(9ik*IQa{9ShS0C}KrU>-jurc0yux00$1+(4XNPW3G!M8np$0056^RptK zp1UMy!1-}|r`p0d63LyPVgxzfY~3sUC*e?+&cwXZ?_J98S$53-Zf;sKwJ9Y@e2$cC z$lcd=CA-s9ZS32>&#O6G*;@9vJh4mk(665cvMgyPp>^8~h0;a4HYW<t_7RuMGJp6d z<PE>>((uK7X7?5tJbL{tq}*TgnDPgM%%Xm++S5CYJtStt?~{Ki9-%mK(gL0N-`Qlh z22`ySKH6@kxv8hTN90f1;k3T{Mg}#Khko(jHJ?;7Z}+m=7m0d8E^m^BqgOpV__XKd z4}TLEg^KISpQlBHITYPXwQu>rm@0XjMgBnNUyaqZJ|EK0`1;u#zaFHlYq`tIs4Us` z!S)=PKvu1^BlBK!|1D_yvQ2WL4%0K%DD{%G`Q{#z*pfGu-mtyy-*%*K(wp1%2g~^! zA8bCi{7B~WBp>!=4|V58{$CiazwA(P5#x$p+4*9#+rKC?u6pv)@1uP5)?Ek6Z+B=~ zYlVbdpC<1u>)5?`o}Hu2<h0_CddnDo$sG*ku3a8#)#2;#=+X)qmXc*TXLwzoC2`kk zAG`h6<cz?n_1Txz+?i&0Jbu8jBr@!{Ik(N(iN8x8z1*PWeB0*qCy8}BvGxV-^;fhn zm&BK|{9L5-P-BNr`1=>Vsiu1l%5Hp`U&h>B5h^>^VoJ@<y<Uv6RU4zb85))LKV4RE z=v_&#`>OxH@|ZMw9L!T@FECwxf6WWm+nk0Lu3B8;A4H8+Um3N3Iq#!f_ji_J;;h{b z`u;DL8D3ejEG#(hi<VFLgo(l?uNLl~eB^llXZt%kUxGI2x^y}7WMug8opS$`sQQ|t zrg+M>yP6%Fc?0Kq?7e@!r~7u^pZ@9d<vuXJ-^=jrk?KQ*vb7#z*`DFgrq}!_oYNWJ zqrF(#<Yd6UgAZOC>bq#X59vSlO_4{h@85yfzaQkEPL8-K#vprIiT|#fS822r-}zTF zvY!4-(b1Cqu3{qN^!uku#Mwz^*-K@drMgSzhnBXkf7F#5(i%~&y<mQSvIKwG1N&KW zde=7fKYp`Fm36T?e`%F=WnU1x`Bncz%cIi!I2J5_mAv3n&Dm_1q6;?z+#}uf9Gl;- z{;tV(F6`W?io1PpKd+Bj@5dfz$osOoLnA7GMf9!@;=zGJ?`Gd>Vcb`Bt+#ldcGxFY zH|8DM{aT0l3S4h;t=7J2S2*)|_nV7seft+~Y%4hajaB1s$MU_*Zu>M3Z}hnRWuwrd zLuyN-92`$Q$Sktof9Gc4$9kEco=bMFJRk8c_|nPnq@ZPI-4nHxlWSid5$Qb=7V2;< zc4z(zv#F)MY{|-=OPibDZ(Fo|c0zJ<jaIwA%sTcXigw>>P3mNsZ<RfNB)R72-z4Fc zzb7o7x6=0hzRec03XvNYMmbk*VZ69(;iU&{1s{2Hs@csma!WPe=02U1%~DwKeMyv+ zu&C4>p3fUrP5vPN^3V>8*6s-kJseGY*0Z_n5BYv^$C|qCeWu)rp(X!Qd|ww-O<Mlx z@O;VFYxd9Gw{`x)w)4}&BX?%qVDT4T9m~6y?~z8I&$pL1Z*0ggcDUZaQ@(P-b7jH1 zuXMKUsl2neY5HlgF!3)byO%wxVauNRMcKjY&AmUOENgyy?|6IfL+HHJI?KAMoZp@2 zqb7>@+?$}R_WZ@|%;mkl`|q7-GFbT|{khiULaUy0dhaYOSw9HYeA5cKbF40TiWl4K zu1hm=lAqo;W)tpk`H_;EC}TbC0n3?tWgd!;rDR1ac;l3-J-qj;uDhejTdTeK%e!^* zd5Pa#>*r^4M9euD*?3N<FoxT6&pih(zhcv@8xd=MCjFoMh9maiZq@u*CX4QD(9Jlk zpVl>RmLk(K@!-QTVvRvU{Czz}TOJ&XWUdoZowcUofy<AHEXNB|Ynd)j)1NhMTi1Gl zAO_d3Hfa(*(;D+r{%cMC`<Xke+AgepbKY^qO~Q&QAIwi=p5Ldp_te_}x!Ut`J02%0 zbKj`ZF-%b~JhxeTrFU$4$exzaUu)&6Q^j}uQ|FrfCq;Amo{HsrD~|DP=50P7mOb_J zAr-Dy6Yk#SXeux-YgcJFJA<?M$e$;E+f;-7PE7x<tz<s+h0e@LQKgD&@@M;e+u5o2 z`p~i&Y(i=;^YUM9sEMc->^iVbM)9Gm@Z(R5&(A*d^U(hdGp{hOv$k60wJuDXdwx>U zIf+HAFWJ~uB(6)XoqC74q-pK5!}@mTU%uj(>U+Jw=v}kd7rqZ%to<dK5x?%ZIz|ay zdD-opxa!FZ=0~=(51dJ>zOh5%mPnY|1CHLs%9GUDiyGf^N4un#=N-OjHaGuk8tZnc z2Hhr|uK(r2Qywhl{}x>3dU=njz^7Qp1)qeE%m{okdA6#!aUUB`dt6V!1?L5}dwBjV zd#u_Vn!Chn^3}<;_gZg!O?c(>BgS-A&Q`9MzgSfACSDNw`D@aO)ThcUUn9(fZYe#i zUN@g5;LC>7v!YEl>NfCNNZkw<SiY6@K%!yc3#*r@+SU;)q6HBO^$QDSxBu?9nAg9c z<Dk}l-Kk3t2lFiQJnY3jbD~4gY@_9Qty5x8^fqT0?uv>DF*V(CY}sz@oa3KmC)qAB zyWVlMHFRF8;0G`77r~~iIvqOORf3hvm@5iBCahf+;BsKCZNY|+M4!*S4vCvYXA6C4 zpCj*S7nOVQ_K!YR{~LC7Gt9O(9Q^exg7Kd?x0$u19RH_zA7;zv_2mak-b|m|wWjar zd7k&qZv=y61?|Ox^!Kh%{k>%2m+MFBK3q8NG}Y4jOW7GdkCYiaY{9nirMH}Sd&X3K zmyc1|T(YY5ZIMi=Mcwx6;Wd%kj}^+l?=ojNd3RQM`)2tz_gt%#tOswa_uZ0vcyrMM z>9{L9gU?QK)7RVcY0~by3zyG(*X_92YySU`gD+l%`KTY78OLDs{}ro(`RhYg_Q6d& z6=hAW`O&t8k9IrlKjIpv|F&BC){;qH5uGn@U){aqql4IGHw{54)8f>{yWIk(c)U7( zrnjo|<LS$tsa=}kbqCEv1?ySwSLW<!{NUP9!_T|g>HC*DEpF3UPc|Rg74!JV@}h&e z^_fd~y*k$w3W}@d#>7mUD7cKb&%o$)%xkN8#b&$3OpW3mCeMzty}^-l<;kZXl{GR| zytR34>IdIVdHY-MQ|;%d+imJ{uN!nLe#d4oTF<<@q5sGPm(MIszpkBPnZ7y2^chP} zKr!o@|9r3K?6IAD(>U^R*0cUguPrv5T)<neS**AywCZf7RMU5+Lrv`-D(g=y<DH(c z$k<A3<K!*t()Mbc7TXu<{rT+|p1p=^FK9bQG?rKGx%X=8$+@1BOON<ztUPph@4HXT zW)Bt%OqzW43&T#|Cf?(9K0lA9HcBr!c4AqxTAJ0$8MkA4Tv{0yIauW`o)Z0N(K5qx zkM}#3ojQ4ZYW|HN?VojxlMMQPAD#7L)(o9bPqyzk_v4ka`@09X<{0ws6`P;@-+KST z(r4LOCIu6-KWwhsA)I|wt9)tQ!i2^~e`fYKpHq*&G~2rUR^;*PW~&6Boo3t^>+0@s z(}3stuX53Ev+hWCcj(ohdiEm6rqFrc`t=$+I0YH&%2>Yr{QNBAP}`%&ItLWg&g6M- zc{V%et+<8tt*v|Z)n&ibaw_SZc4lH}ck7L*Q9Bwtm^FS{so$B%J}>gx{50XWt7obv z1YK8H#TxrsUf}kE<?qwu4X#SqaI1W1j5&1bz|&J<(|XmbrvB!*Z1nJyS)_vlPm|%3 z6+6ZGrDw~W7uNrA>f(bC2j=fp*V|*>nEE}tu%3GwKX*l3=KRpV<|cVT>Y00g-F}w# z$gJRQkCk=c{FaAhHpi1U@Xgq0XLy0Dz*|ZAXqCKaRYBLMlNEbd&gYz4AR?}!ohYuj zsz~_8ec6QkXdjKIcJdbw^KSW7#+78&ppeDItKZd8WVQ9dySh+`$*Tp!t`&THADCF8 zZ6aFY;=^yj7skcGSrYg0&{w-_tWAXpNe3CPwDN7=9aghl_}4|p1y3S)cb~9j6FA0L zC0faN<lp?S=f0So;&IVhH}Qv;-`=3NGbaja1;;o_@T~vEp*kTw`L6fcg+?l$&Muj* zej|`KNN)Gan8Jp3JvJ|6QO$SzL|2>DHZ^V+m?ZmJ%i{e%Z3*$c5%aH~F1#Kmu>E3n z&-2&IXWlsVp<Utf^tFx31rOd!_f7Aaeeb8g`jemo3sM#y{idAw{>80yvp45cG{mhm zJ-^hXzG+~yX;$|BlYKJfSKnIx;=ty9AB*{l)>dDC^h-V6-TZT^$iW<O%YS!nR$odv z@oe9e*Ngi_nSZ@ZK3uY8Ws_CmlnP(br)-|Qm-q@g-zEOu5;EzxyNQ6)hG@;HF6(t& z&E3{}K0S45i(f8(?N`=?*W2pf@m&rO_CM2H;`WdAaS!W{RNWTYjjB!Mou};Ud}Fox z#3XOa>#bCY$f*c3v~YD&P*5;3FfcGsP*6}XO|>*gREU#xX$t=J*HrA5=jFuMOs><e zcMrX;3(hy+d{Xqn+NgpvA6q5PRm!LvU3>jH!A+HQ%?$Asp`Ei^pQT+@J<@$)X~(Lf zl5?^0DXg*jol-`Pa@JPz+hshmyF(V+zt`8exU=_YOn7R2yP&0dwZM@Ro18fIoSPM^ z68EC~hUcf9cRwp>tWvx6qjK%E!yg|U{}Hx!zrOAU`~E+Us#i{}o2y^C<o)?;6Sm)o zK6)ulz5K+jBN{5l-AoTDb8gC+C;jT)@0kx0X9({q&f%IYe#|ST{^rM9mvy2N=ReeP zJ2{O*ZpBV{gB>!hXAW;s(<t;4X%R~9d~x37!2i8p1a_r)C-jws&(ApB>R0t9D6CLL zpgr>2@vm!lgdL4{FW}qnHrKB;`=Qgcb4w>hME`WyBlEW4BwKLm^G`DRTC=mJFI_hC zb-|~?e>c6J?T%XY^~?Ut6Z1PBSF^odtZ=oaP{+B|V`5yN!J>e}lKz&_kGYs{G+&e# z6p>C@?%k`l)7^YtVaEPX2L&g4KjLFk<lepS`NIj`e?QHiz4Gsi_2;X-66E*(G2R>Y z;Qh|-d6H|(795zWs%C8PcXL+WpLdU%7cDGJ+j_zFOUUH=2iGjCN}vCsVMzjeN$Ta8 zOSvX-6K|dFe);&>LA@lNA}PsdPL_{L6c715`{$^kapI%QoagPnZv^bVNpq{uo>yqG zaOJjQt!)1dXNuKrcW_tbJ^N;x_Jr%$Y`grGPinijG`w0NlbJDldzjq{?$zw9M;<z# zz8xDD_Uqc;vv(^LZk&mC4AW4(ekH>5vwi5=o#&c^r>O-7&eaz&_0m)l-^eB#kz^h8 z%k~m)pz6Ns2h_WdTCeq8EE=WVTv+6ix#^73vAtzgD+O|VjiocWs`T$n`{q6|B_?=| zXkFviuD?g8FHn+V$g}EV*y|HGU&n6e&!CLbZLTLP7|plEHQixm><zkiZ@c<=k)OR= zA6=fda+ezq!<#F8r#w!pU)b>@`N6c}xg2)EhOG~qKg&oa7<kUuR=iQd<xs(|jg74N zp(ZV9|K>fd){B&>es=u$xqn%`4PWDUCwA>Cy0CL{W@xLZZpmvm#%T%+EZo()8gmw| z%*?&-ImyY3#eK$`{<6A7cC3~&YD}`OdmYHX-dDTz=HF)16F0W4T=8XZCY$@3BQrQ< z?(+QQieAM2=+JFz5zTEU&6^yR7AoGVtiSSpO=MyMlm3M{>vlOi-PBpOS(WpX>Mxt$ z5lW9c#IDNR`r<C2@3g=}rbN&+E?@Lv^Btk2=hIlTqGAv3$}$XPe>AblGHpdrp8nYo zshi<nLLJq_eW$R*|6Ey-o7&V^rTnkOOTBc8){@DbRs6-u@8*Ax&^OVnuKY61?o3^R z(seWLJ0~m-Ph~A~{<f}0Z(&uv_^wB$TW&vW@R9jZThm{YQuBP1Zie~!;;Ema+&{Le zf0sS=ZOZ=LUp><gY>t|IV$QE=QC^`<dM0V2<#!%rMJl!2Z@Qu5Zl5;$!<{>Ivp2qL z6uZfMx+Ny@s?qOPZ`2rkRa#_q3QIFYh4h`o-mkk+@?+Wkvym#@wGHzQU%6GdKUrey z6rF?_&wjj6ypmC2d-CUz6Em8Rv+wTt7Bao?GRK8xr5^FJy)L|q4vDxutD5GPlvEa; z!?tE-?3o8W1$6=WX)0asU$f4>9Mr#F=cIP-9?oA&cM0fM=AW@uEvplZeQ*Adxj?!! z@XII5=lLP3Q?8hbCtXoI?)6uiy;j*JKKw)C9iQ~-Dq)U$DsKvpt>&IuUh2E`oBs{3 z3D4I*SbFzRp7Z<C6%)@syx-eaym^ZM?l)<NRRm2RczCBut^0Xqs=8fmZSNUZ^QwcJ z-_Gvr%NP3Hc=ZOC>9l#@=LIR{$xlgOJ6ai<e{Aw|J6)Y?@r}kW#R_(M+$_27BfazY zQ`N2Nu8RaBUL<lUsBE9|^udky3Ve4fic3nbswb!?RP4{~DGs&z@o@TU)%@Ojjc&pf z%kRak6<MydW`AXKm$iR;>PM$-_kH7CosUO+_^_zR)>L!e<&bz6-9*iNMzgmf3-+F@ zo|(35p8uDLTT3?|-*;EE&{E;x6z!_G)X8S?oEPSmd{`PQu*xdtvKHgk6qdJqXG&$C zs9k%#W%fOjxIWWaJ;!BYlb8D&=1s2Ume^CRdbeA=`@=M|3%hnkTi7rCAvN*o4?nLN zk{;`4Fwfs;x8>cZqu<N_)ZUunEM;F%k^1Yk@mAKeDH{t4mj$I6%rn>eEx)!mOYP;? zGh(b0-+ea^JTdd-10MF<#{^woTCbV#BRX$Jnd#c^+6NX1_gvkz`Rc8cnadBVIG%Vc z`*T~$5xr&nVmZbkZ>O^u*sVAy)q6zLaQ0V|rFZXc(%-piv!g?Dp!DQ7R^?pTLU+5~ z8cfh<G@i{L&Lp{Gf?mj?%x!N)l3G5M#6Oy#|0RF+)FOZRCni59hfn+-Y*ZF9?X^t$ z4nM9%8@J!jm=P1$`t;tTgu?F*FVfS`FOK3D4bOexuPd|qwn{qxSG{eMY`5!PX*w|f z{Dz)6`|8&HcHdoW{Iyw*^;6!)mx)|brdMhU*Vxth-#+EqZ{exlclgmCHiwr7OMe|W z)TMEb(ZeBg#SzOdx93g`e}3tFW;4HA(8(~iO;h@A`R-m9q;|tn{{7Mny`Svg|4lem zY{Hhu`Y7C|{Hs)Fx_M6MQL7Vr>Cx@c-}*T_PEUw2{P#n#cfV97<Bx2?ogIJjnnI(S z>-O$GE3taj>Qm3viX5kY{V4eL;lGBQtf@)aBL6~)?xpt~2&?UKwQamPsd38xGQs~% z#g-oVUzW`}9A4oU<3Ho#=g&*0vpP-iKiF+~>9uls`R`}vdEf8Qz5H{@`xnMGKl$vB z%Fbi=Yf!BET&EeHVE%r^lAOJKUcL%EmWC(J%l7zXh-4;QJGH>;n#tL&imHWgmqi|V z`<Ek+eM<+&gp4DH<>nUnHCF2uhrHfd(3tr2%~GrKBNbxl%CA1;=*~Yr>E5B$+Bsb- z7d;eT$QLdnc5^<@{tSU?N3ox|qBkxV3N0$~S@i$a?v>(8PR}SP$=h)%uwz=Pyw9%c ztrwkVsU%ulni%#aDuVZzfyER}Pa%=cN#A#!33?b<cDYIR#Abi_Ys{M%O}7dJW--J) zy*!cM<z@F*(^Z8V7hlp_-#-2L!c|{B-mtyVd3R#V#hY~v**@ok_^)%F%Ciz#G;?aS zU|#V_i8QxB4<EtXw{N%I{t?F0D#f4I*t?a3r#U36=IcS<n1h9zPJBKg<G3ZsXnVl2 zPuUvVbZU|~3&ffq@N5yv;hFVM_JoV$#|Zn@C;j)ovVABy_rPOTMUBv&ZPHZ&wfndJ z?_6H#KWl=KXG`VQkBg7o`<%bMTxw5*>c<r&4X@5Ho|B$&S?s}mR(S~vkshn_b%!Dy z&lHQ?>5W^h&*7uxH$6Ua(cxc(Z@+*3;OV?tz;5frBAaLbKHc`eQ7=`+zvk+u#u>-k zj?ep2Zst98CgbwO#?zj<RbPuMsjSeso7_B!Pc!M#l3Sm?ue$YvU)hG^G2_W2X?HrN z8=NiC*(SFx#pV8kvd24*ioTu^sG6u<Fu7VVrYmYr>`ZN?2bPN07@z4)-I;9nwxr3V z@9feSo>uS5=3aWA<6^#i=bg;SC%ODWP50mWR8TPC(r12=NxAXO3XR|H9?jg$H2s+L ztjZ(aKh|)X?wKH)z_~zrvY+nEq>~xiMNSJ8-0oj~HSdOT^1SenbQYdBl{pvEgY9cr zS3PanQxbf#MP#o?;m$4cakux^?TY>WrZfM+{D;Rl+&gcFd}WFeSsEI+^pxIeU&+5) zCFQ+p^=zHL@!g(#;9d>;60Id&T%V(#8}-`pl>TMS5fFB_JdhcjWz;zN=?RD4@O{~p zE0!#JY4y78@sWGo*M6}c?+!n)nceN~ImL$blJb{L-^Kgh-|*_ucWyX-gzM<XHC-}N zyN%b+sY+V1zM%MxS!#H8u4n6p@14ONMNdoAwzh6sEBW;P;r$0wZpmNY{o1!ZV-CZc z4Lm=L&pG?6o``PPz@e+Z`P07S0HIm6JI-&p=a?7nDF3Pb3fslv1vh&I<F`%ytK7TB z$K~(sy#3{ttiNwAyY*MWOS08W&}}7O;pE#KC;q%WlkUZu^wTZnt9|#{iN~bWgBM<_ zzRuk!uyV%~N69_52TcW6R_=IXb;NhWoA)-G^Dc?4j5_}KnERWa6ytA8>(A#d6Z4#~ zMk#x{{Mr1j$G*4iwRvY)*Own%(qYV&T=z_!-RyvJS;>~~-GcsN^Vp^<zTfX1-SVN! zSM+M@?nOGExp`)X%s#3t8CL&QoO9=f-3i@GJ=vH2HoX!2FqT8{@<*wUM?`id&-^}v zEAUX<ynxHwJ+sYHvVG=nJ$UKQ;pzKd%r440yw!czZl#iY^@61y%?ZEO+)>S3svWmW z%%FeE`^B1Z8ke632tU2U9MK}Y{&tXO`6au*i4ME>O?+Ims#EoTdq-iwb-g8Xwc7NX ze{A{sY?JA|V1>%{dmnTK+3Ozay8J0t=wE<PtMr15f@ybi;<p>f9NY7_<3dsMqP>59 z9(lgzwQuyt7dBJx7}&2lp&9oeUPIFH-SwJC)zX!$m63LNe|(sH*|H;bOWjSTcZgU{ z4PoDJSzv!YNW*pid6|f(tW_c=wKo^F@b31u@agwvn*RQ`bmc^$X<?-`N!xlO1&Wq? z#4I;96w*0j7`EtNUQ}+za=wL)x_xg|ZF~zn)f~)JZe;#jdyMPh&!Tki`4g5nZ~uJJ zcHi5n{}0xl*9=&0dHMAHm11S<)<^5zjWvGYu6RV^?5!nU+xl*ZhL-AmiI~0G)c4ow zmp&JRYf?6RYJI<GLA?AT#n#-gAIiHn*(<DlU2>X*G0=R|VT(h*U++A*IqUw^gw3B@ zZl9QQ!+oApnW)j#J)VvST^8+sw_?W>^}d%u><@hw2<;O)zq4tj(21x|s*{ffX#G8A za5GJH_qv91)z4q|9<#dTE4T327EY$ig3GRcx-%gwV|Vb@wv`_x40;~QJi7m1r%rk2 z6p4>P8KS3NeSP!z%Z|69GG{xw-t@UYb=J~w=%`CMu;7{GqyFu)FU{pY@_A)a%bOPk zsyp89+A>qOyxRNYlxf#pAKktmJV#!&V$m~g`NVH5Q^HH16n*{ln%6oh*z-cy@<@+E zPa@)d9|~OY{_m<X+4qh@p4;wETjok`iCfpQ_@vsk=hxNeEo2Tla&1kC+QIee!Jf|+ zzWaA?O=S3jET`wZ++0!NmMyz_ewE%)s`I{?@Mr$^C4t7NsuSdQJ^!hzJ-hg;l))~& z8lRIkSK{rx@^mvEs%aiIU}E=E_A{&xzLjQ`5irB$bDzi3H(?5Q_jDi1z0c{NdNzYw zWVQ07tHF9(d34VwJHFg~*JkeCb#|`#0#8L9^UOI!(&v5%Icw3@=W@sU<Lt;ATc15! zssD@VzLVAKkM-XVh@Sa8``Bh~HJ5kGOG>N`FZTMz{ZCa!aHhtQ$Scnd9RI+O7A)|{ z_ImUb24ki^tA_nwYj_{KzHu>h(m&SHeSTe#sp6eY?31Lv+nroE%lX%zbql6@sn+Y3 zmwWZjPW#DHwJ_~qt#yX~y`_KaCh2{%@aNeqUlI0ya(mus!F2+BLMIoeSMI$Te{@fF zQo_;i)%$))aq<^NO<RBM>h`@KSe8vZQ>i7k>HC)-T#_q&FMWKl>ioR#=aX)hg#566 z)X3#h_2jc(VUJ<!v)SFHcBj+#h`mTSpTBI%t(@Q&6Zp<0-{Uhla#PB%m*dTb6IY{Z zyS|v{ynlbIa%0e^wcPxQyeqaez2ErZd7`04=GG9k;0N1_Oq!zQSw2k*Jab1v|Dxg5 zA2TkTi-_@yc^vTSf5eU4Nj2&G0nUua;tl!u&mQ^4eKA}?Wp%Rr9oEBd=Q4zTl}$>> zD*x&jQ<-#r?grnYimNa8rRlnT-Nwhs%<;hbcK?#7xnd8sL_}U*oNMeoXZy3?Pjz=Z z$==nmdfqV$d%I;_{86)_Hy5T)_?-3b>J{&%fGsiePNn5-HC(*z;h(7H9lzgXwi}0s z#)KJPHgl*{)%fbO^yk!v$GxLp{CsI(A%FDAmz^AMbFSA~2&7(Qa5%ka$&=3ZP1`;$ zVV!WGsr^*o;{DUQ^VXe-b9}<s!ZKBR>A$+aOPLRDlC9b}fBLGc$2p!}`5Y;dqkH$G zg|2z-=YO`l42!18ZGXNa_^*)M?1M33x5Ill?L7=uEwSIGw=6)fz`JXnfByQMpUgEs z*iuU@PI;Ps`*cgRO7Uo~^_|@FO&;uyv;T6w@ejYmp8r?rbDu9~34c*q?2kHQANRex z?ivMmpTD^E@ps>nGlz>g);~!vSDbS*;_v_GNqkv3#)Z37ujkEK%U4zInk5l4nNLyZ zuG9Cu(YvotXVHtwlHf_XD6aW=W2l#0s?PIV4Mn+w6U&z!cw7+H^rZM)?)~aVZztT{ zRFgUR_Z<G*Th)p;6M9{O-Y?}^%F`7TdH2YcsU@qH$BNa2T>E&%___H{p9LHbBu$QV z|G$1JYPQ;xy!E-aLRzO!?_K8oG4FTcakE8tUcL~W_4dGwe?o17mTY=W{YLk${W=_% z|7`Z{N<InIozVubx&;zBK2EYWmp}EB*|vGduIX<3ADx)%z%3hb-eyvfLB#Q8^}XGb zME*MV7xf<C4SJ%x{%qM^Ezd<9F^0_|G1J<77r*<Up_29>;FIu*_ya}md!n!XI5y$) z>;v1rf3#g7-KhHeV5`>8r`)e<zq0lwb=p2k=i4F~U2{q3m1fb+iCX`C`Hke$yuH3` zkLBb!_x*fe?uHV-?`Ene;ttpZ)o6!u@NTPEd3(VJC(CKljhnOl7%v(8y5j9IlkM69 zj-0QFV&*Zo8(%Wo%wXJ7Qa3F#kmHp5%#zl3_S1hoxSJ&ArzNZOY^jkLuX@pBU;C5M z*Y9swt!xv+=)iSu*WT?LUS&(jW|+KCchmp=YT@&mcjgxoj%`U5?2Z)a7PuXx$fUKG zsko}U^;GOtE{+F3!|qO2zb1Zl3tLz6$v5wdo&BEdy+8l|#PfH}GB$7g*3X#8P`dbX z>P4Rg#oMJe>#SvcGAXa2R_vwi746#vwW5Bmw;moivuf7FO162@dGe<De;ThiU-_$e zG~z!?#a|PLBJJbrxr3kjUi&w>zf<r~`r<h|RDU>?D$jf5cT}eKM&XB+kTX2ow@Z9i zeF|9iv*`53b*naCoT+GG5Orc@$@JNh4Z<BanUq{(@9@dT9Xb?v(sh43YpY$X{i&pL z+n#E?`?Vk@ryz8@wU6T(u|uj)9xDA?yYDJr|I*L>uUapz3j34VcV+E{jc03H-E__8 zR@5qp-&5Np*PFldVp-MWU5&o)uBUw{e3Q~pvvHAu1lOMn3Tq;C?`~(0cq=6SCv!pI znQtr0cAS<-`XelR>C2|$6<SM}-^Bbqx=VP~mr$wvY0V3x7g`>AYky}c->0dW$G%3b zw%8@B@3Ch3<2(0QUnh58&WT#j<F@vSP<_vZ%g3}I&RL|=I{Cdp?}SNF-o}jiw|f`; z$YHQgm43+4`=TrRV1R0x+&a_t#)~YDiG~w$r$+})*(q4hvX5ic<(xxb-?2n9wmq_z zjNoTm_c-HOfsLX1;U!XG2`aB*Czu^&;N%m%Rut;;E#;tf^p;MeLOs8(ls^ZTahRlT z@Obp^(W)6Y=bD!C$%`21{<C*-$-1Y|f3Ifajf}edRWn+Qnx$XN?b%iCUuw3#P0w$O z_191FyjgSReG)k4^*qKetJPiEasG_cJX=5Sf4-9c%)^HtZEH(r{XabGBxh0ck9X4+ ziD-YZ-+svbaZV5Woy%o;D(m&Pi@NxT-anccE_*2@*rM8Y&TG*m6T@WTbe}b)xh?Nr z^8~M75})s@mN!lHS<B>w+hZO|GqcV2*>!)fs3iA`ZlgNOha1$(Cazdr=l<+K>7o1` z8QM2Zuh(a9`L;!>UHF%)v%;BGNn&Mh3_^Y$<xM*y{KIrvvCAH#Hsv4UT$>MBL|;2x z{=Dj!`-d~ZCbl;Y{5bU^Nmg^qsc(N3eh3LKkGv;X`Oc$u!mIN;_hes4eCPJ*@8^Fn z`@L%t;(bLj4S(cZnD?|G`(LQsdUhV!06j(j`%E3Cx3*QZCGY87{{C&5MEnf>f|T1z ztM@ypI4|^XT-g;Id&`pBe&d?S(#&Bh2LECTmmThJEC^(O*T38GZuP9E_s`BfT^yA9 z@za%e;y$<MMqT0$ar@O@K0Asv;DN~Fsb>EemTc(C_-V91RNt#{arD6pW}=q2=TDrn zL33YW$kbCB#UIuNC}<nrTz|dyfx+MZFDI<MD`=zf=#lJ(W6TmO?}mJE%1?^o`rl^p z!*zX&*v1{!sXXbgn;z+1obcMfep5t54%1D8`q@0ErE?eO^?RAC3h(49Gzh-IzpFGT z-zn8(-rJx4(iJOnzOG}5ud|<auu$lO^L#DWRZ>fy`uVJrewOhzEHBym$Kz9*r}r^@ z+_>$nh+l-&4&j3he&Gfe3!Fak&D2w<l_@uTdiU3Ah4az-7<CfOzc*Jf37!_c;>{-? zFn3|i`PtXgPgeh}3e51=`}_RqX&-a8eGvh_zU3)?zEl#nX^WKTf+lHU)d_Rer!Q*# zYA~sIqH>PWdO@{{ypKWim*sDeY2#b7QBUP>#Qrju&A#RGDjvL_pUl3xPM7z_$J<ly zep#P&=|X$CC3C<n?LCrvFG&P&T-p9P=X$QxNy>!pt$P3Olmdu4#liaK1erncOnb z@3uzH4uy*sc7<r}*MD5E>0q*kuP-=CRkV;pgr{^5i_FUR^E>`+nw(_w<h{}qiO_Ru z>2gL_E}b~%(53SJ*>=;JhM~JO%zE!=aov7iXx7U3ZpE7)8)y7ge8H*{>8u)YLs>|6 zkK}cxy1x%Dz86`)RFdh0oxE=18t?a0^!w9yUsl{zlrukzq3tL`TZysQi9MzBk8}oq zRpnUo<I<%UOZLj1a#)e;VsYf-J)65ZKfi~6Ouba1ntSb-h95(P$<3N|O|@H|NGToY zPUHW4Uf{RNrzaUaaz|Hk2V|UTa6F(W^0{t;1<SHkud1%)P7~4El~#4OTFu#hOY66d z>6Y~y%Pp4eNlx5kf926E$9o$aKkTrOSmW@W@4>rmqMxdEzF|+bE!zHg-VOD?GiN3S zh)dNfW={IQ^R2LxX6Fvg+C^UTj_Ve^pDEKHVH%vzXEgPj$lgwtBhOmXd(8eETjcL) z)ZUb#=Q(rZ&3)@^!yh`v7QAR{{-7c{%X_Woi{Q%L)^4d&w4Zw^CJAn9mvY@7KIKe> zLbjZ`*o`>*jFQ#>fuK7Rb2_EoC2Q<Dv*5X^x;)>E^_wR*9d8Zo$-jGbYHAKwd<wg| zq}1P#$CkVQEwHq1e3PnY!L@zbYPFU%_bSz9?A@JrYyJ7YMep(@-}FsUy_0fLH)ZXi znQXJpF3eEr{?EYc@M-_)8E+T8bY6cm^rou*fwPY^b@o4g`f*LSlu!3Z-<ca-cF)g0 zGlhK~58KP~0*1Nke=N9sD@Wq}YLTAQ63w5iZW&KEr0#2!4m+#s@znO2>{(4?&s{TP zIF7$ObzsfEqisEVma#8=T(G-kS$n0^z4?3>1mgDONxm>)PtNQ*DZ8?M)t3E14BYC1 zmD=xiKVLFcGFC}_M?9CM>d$9SR_!rKxMCd7_4{Vly_fr@&U~AC$hzc+^U{>rP8Zx} zq*fk2_Be6JoEsT>Y5$HhZ)N`Bu~)9i%GK~_mj057%4c#d1z#UeJCGS2Ftuy5+=Mmv z)%RV9dhWP+l6L9wz;D8OJwoz}l1^?>Ua)>WGpjXw@qwI0)5SFk{2$~`p4nu=b9;Ns z+;v(L9t%gUWZm&)*T+K!_flIXuNAN?4^3OW;{3LMJYTDxO07E@Xmj%_cl<ea+opSA z`MQs1|4b8E*?jmD$E<v@u*?KmKUq<sf{a)5U*3C|xAW<>Jk@KHbYxYQOj&$9X2asA z3ccYOD%R_?=P8-ypPQ@e$rE<vs^n?c*_+f|o_{H?vT&9SUEr$Ov#-wgQOE&}Co5N< zs%LIlar`;+lT{+B-t&$>*_hbu$;3H--^t9J*;*FI&dv66^ORGZ?;YWKd+O{5wcqQ? zRrRfQo?MXkuOmzGcGUE#ceZH<TrXE`cV1$c_RA{yR=v)tmp`v{UU_YNtBrN_>X%H0 zBHgpo8BXMUh_zqh(E4TP$sp;;_vcS<ORU*llr^o_<M}hqqkZi4nyZh!;l8+2)a>*; zAJh5+p_VtdZ9G>Q&%K4!_~6F-1&i4ZZF^L2nYLB*-ExkA<TSOX@1?n)pK&^nxaLmk zS=-gNbLKAk9kky+*D8>Mt6=vr?_)oLZ?S0xT)t$uT#QvgU$QlPNhee7i*GA(BlIR! zzFn~H(TtOmSh+5IoOYShHnpcOc;a45*Qd7W)#uBnY`!0TamNB?1;I`FhxS(`G<z}3 zOI8j!RGM(t*>-zBpXV9gi|Y@3Kb)v__@(oz`4d|Tcb8jDUH?4$-{rMGEG~v6PHoHa z4qtKS^WvV;_4C;Up3In``-4-5yLwrv%ygcWLgLT3Z%*+oE@nD=LgR%4kNBFMb`HKi z<yM-pRSU#6H5A=twsCu!w%@(<;VCQMo#MNj-kCm@+r(3O^Y!Mrv#OqM3~)NSu>HD# zdsXSF%_e`BTysbieAf5dTV257u0qtCqhE{vcC^PF;yiG@|Nl;@cRmVY$JKNe{Cv#4 zLssGrk3i>LPLUr?#~P;V{n#a#?jLydr$4`N?A)*X1xhRWj;7ykZ`~Uh7bt(eXNlD0 z`Ii_Nwd@wW3Ah^@)xtC7@stm&!L!;P>x%u{u=?0BpOfC~*IP0l#;Ug6TYqKh(NLc~ zL04@4Ftq06J=MEq;!t%q)@{erDGHK5zxpb?juD(AJMG=e*WKyc&6BU#Zj(|qw_=*? z!zs7To9p{db(<Sfmy8U9pR;A@dCgYvG3lD6_rM~yM*UCb>nw#cocC%nEv|NINxTxO zZLIC?`S|thqk5r}O3rI)Bt5>Z%zs}JRA9%F|Ipk0X4`hZb=ppEQy=O3G_P9H>(K9A zeuk|?VfSHe=gav=Z$*kXU7b4p*5k8>ey#p`YgXb4b+$F;wjbE`C7yj;ve07wzsC=r z-xNLeuZqR`kHD4ZKB+fS7Oc<`zc;JSVEKXMI)S%NjPI51E-aSn7u9{n`TRqlSei}g zgh@ATHkHk*EqYdNlpJt^)#{b<o{0vtA4=L4xNCUM|JNBST^1WK<1U9>&t<<JrAH_1 zzRY|TCzGyX_Nzte==vwT4}Z)%6OeFS<ZOH8xxlxNxu32#vekLK!CrKm^vbUv8;>rF z64k!9{mr4PY8ec#Dq=KiTQen>%fzRy?|f0Z>2VhO({wq9S8tzIUh_VfyJr5HrBhlh zcCPxgcEzjXGdP|}yyA77Js~JFxs&tj_Kv=YZ(FSH)=bTs8P)bWAlNc%Yf|U_mm0SZ zZE`BFb__00zVz*cPBq*41EQbRc@NfAWiL@xc{oKZC}+)oV^O)JwffS9`##FPS$f_0 z-@7e)iyOr9mZ>j%R^DVZE8~2R+@+$|_upJx_(3Md*>%VAh;1K4j~7a>xRA1(IrZP_ zOMjQoSRHY1H)~eZhXiN6E%G-!|9z=*>c5p_bZ^16?f))(VEOu2Vbx?FXX{NR-Wwd5 z9=`kSzSHN*5#>5#|HkmXcM*X*n;Kpms>nSu{~lLzP}7f9&iuc);`dzS>8ePKHhaz- zSne&n-mC9C>lz0(oug-`q`OrAPLsJgsr$ge|Aj2Q-Q2I!56Ory+z@Y>xT1cFq(oSt z$fSw+_P5?#l5%&PsnpcA@s-qsm|K_KY%9u~vI7_GPB6WwQ~W>KmW%s}>#v}w###NT z@6)c_|C-5sv-l&M<)^|;L3Q_o_E;+|VCXz;#aH;|bt>1=l~q4PGNvD%`M0H1GgRB4 z`OrR(58FPkvgVU*{x;RnX39em-${=AQ>>&<Syy<AMeTBOGydni=C9xz?MU~Z9O`?v zwiM|GF!lFr)VQ<nSm1{RXD2KDI(?;LTJ8eHDW6p<(mO?c{)P9Ns2*0=`qCcpY1Kiu z=p}o#oeO%GlzQ@?F<2ERb9M0yEkoPc7k<r9(i1Fuy)ULH`0b3uZBOq+9=N}3iqf6j zJWiL>!74XgUP<rh_GaGwX*2(PxA@t&TAA`n?<{_iS$pATOQcr$!bdD#H+v30KGGYJ z*Smbzv*~M=9octz#$q<DHRmVzIEJ5-miqMIM*GU;hUblWeK+2c6=BxDZ_%LX@nv@F z?cKGvLU@b{=H{_phz(77`}vG!67QFo1+DtRJ8kz_etx~wyLv<M$+mEn__IkbSa^;s zc6xW`?OyKhmp9*^wdb+4)zo(~+aDEn8Xx-er8NDb&13aCLy-@)Edrg&CfuHJT=$+% zZ@uE9_&$cu@Z6spp*{A0^cM0>T+>_nwsu>Hs;U0UYM!e%Leo_)e7hBKUCcZF{+hX4 zKFOC9PTW_cy3Ibtc;VKZJzIUJ-YQl3qQK7jZ*jx=vNGWvTc2q?TD)S-bL+zIv4{LB z{~wb}JZJV#<o~2K2Oq9^v+7HuW`n?<<h~b2A8h-$U2;ufdNwPI8-uKS;P+08tA~$? zHGcni(z{;k^FF6rE}3cjXE{IVjx?_jOZH-5j9js!KV`zVa6jhw^BQWiRU7(y{_UFi zB=c(YWK$QLd`8#zD<r0fgkSe(Pmc=Kc>V3N{<O=B=J%N9-H-pt63LtyzWvSXjTX;W ze-dpzBz1A)m-uC^HD|U*h&|Zub;bDgfhf;82C-MNedlv8$~iPAaht|FF_F`!tE$|j z&wM$nxh_Y++2rBYK!@{9$DSszg|7cy7Zrb{rLVJ8<?!EgqNcw25>MB!%5^^5{PBhK zhT}TNZTC%J`mf&}!z(#$!O=3`E&TsK>M-Y>NSY-XzsB?9iaX10i%yFcm{oI5^=;;f zSnUrv%O*I<3E3s=TXaHRIPzYaag%cc+X@L@E~!h~U-k!mjkwL5HhtYTW<%pg31?yt zHtsgeJNN77rd;cjmA1E8cV)3%Uwwu3&+e?-+lu#B|IoZ=e{FuuzVHf-;!WFYQabu? zPm^u`>$kaU^(rT!<xdr6o9s{KIr{9&;ag9_55E8NIreh-A1fZaU;a8?k(*}}%?RY$ z#_7lQ)rHk0_o&V}fmg=!r)=H%qH;pdp8pZ|4l>{R7uuBaD(ymvr_Qlkyh_LA7f3vL z%cH!dBq+sfd*hy6*Y6jpO=b_~`I~x5pySiIPghpImk_vTF=4v9QDIuy%p!i1=_#J_ zcZ&bL)4w`xTY^|m=bYw}RK9a}nI{)&Zq5ox)O=ZL;CkiZ=|{__+zCzGopi*_)F<8N z+mkBI8Pz;RkM|^BHsVsN+>t0M<rSZMe(7Z8r`)Dqa;@K@ip|^RpWsM3^z4Dsrcj+o z&#iX9@3P!kp=-$}vwzc(kmeVbXOe`A?kkm*trI%mc7y3S=Z$KYb-EH;811eFiCj6i zQtrjw{H4E&4yZF*Ew)rVy43MaM(6g)Z4Sn7KeH#^-v8=^!Nr{sXTrqo76q=o&yxAc zu=Awu)CYzV@oxQ}6Svv%T=~i){nA2j#g%=^jxOC-4}5%`s5r_0$>+rj4Z52nZ@yNE z_<n<PgWZj)<m;`^G^(q9xBPjjpc&G6;M&*krDtwGJa=^G(VyS<sCRCyw^<{;Z<YA3 zyGrHWk7F$Rg%!+MFG-#_J%3eq;hVV|E4||9g+0F<r6^u|Eb!Sk#*)&kr+2pbKbVp# zejte7tI09wo{8HhN8yWeCEo4rHE^5ycSp*`c{8>x?)BMNE4U}~xvB2k%`I&?I&Ve4 zeN+`rQJJ_y-_t?vj?S8(Tb<J)4!@c4J}bkK;c@WPqgUsAUEElCE&E)uNOR<a^+)zu z+Bv!T{j};jRU5VHq)K?7HbdUbhKL}=WOa!>%@tpM&Uks^L(t(9m4aenQy)evx5&)N ztW&F<P^iCc#%ojNb!V5X^;+$JBh&VP?;DHdPZ$)|#vZwQ)@{SJ4IS0jldNt(EL^ao za@y9|LrL0K?SHAstvplfaC?@euxeRn75~!feFtNneAy<b+9dP(Vt|6@^~Ce3bKk}; zO<kzEgKwu1=f<V!6GBhNT#|Ea)VB$)UHxV0!UM1FEJ`?KmF3FIVx$nTxGgH#;?>^s zI@Q;GMNd`Pn{_*!lx>`{Oo3s;nJZ1!mcbEHU#9O5HG6oWEm}BNI#Wyf=!|XW1CM0< zU6*^=r(DGP$ALRbKlB>5EeM#PBGbBZPViISLp&U+chlw8y6QKakzDdyq4~O?-P6z2 zHy(bz{^eXN&$4ZaA=g*4H}0Qvcfv`A@|%eYE8j?jcDOv-HJPzNAZ4=GGV6B_qztcZ zYPz=2X8DU%b9h*yM4t5haV_;>ztwf%<^Le(4<h;-6Zc==^5~G=YOkEkMGiZ@$sOFH zJ^wlX#A*9yA9GdkeXuv+&EpLl!m<v|3SF~ywZ^aNsmV!KU+nu)n>jJMxG`*5?~@ID z;<pxvtTFSJH2U73$62+;&+Kh8uh`P6xtp&4-{Cx?>CN|DPv;$HyZrr_|E<gV)-A6$ z_S}9ayH-ndQ}4F?RS~Sx3A<T1XTI`cdwDOfe#eDp3zQ1BL<zilbL#CPEoJS^{*SzW z=<;OL6t3HSJ8-tli_L$&KFR$x(QR@$>m3W9bt*qr#OKI{UfOyq+#tKdJ<Ubv@KmGL zhbcutzwM9i_nTt0e(Sy7?Qut#_uS+CF30@tXr@E5<UE$hr+#(2MINc<1b;vFLQ?X- z&^nO@LqU6w8iD?M_aDn`TKn|&9Jf!aT<SJ>#b1w8^L*_lBl|e{+y?!5GGSRpm4_z% zc$;uzlIEcmK4<2A_OyMN`{|jprvG;SWhpD=+A0ruH`K<YPvo4kTdmA%{(;&oi&yHp z9%-BhGKG)6o3Zh!@UuAIc~Kox^6x$8D%<&d(V|;zC%%8YzKyTWWVU$t!;1N>?aVQn zbB(U+6@3ZQxYt;ko$RO5J8x;}E0<8;;5?^mhlOe;T6krwx}Ul>ecIlM3l`UGVx7Lv zH^A3kxK^qs=bc!nUEsE#eD~%AG*p`#%dJ>)!DY3?r(0dqs*YEN+netx*e|_N{rK$y z>&5Xu10PO!`t#oz^NEY!Et4+k-qrTTlHvFPCw`t6*`i{+8>_F1vRw@lJhFJ@n}QF~ zC!5R8sD95;W(=D<>9gMQtIu>M?l`;Q)vpsJ@>f4_y}#?)x?OUudH3G9?268em-BVH zrUi#>5cA)2)-7~`*o8~S_4nRCUd3N%%YQE8gwyiV)h(TEnJ1>m$BV_UcskkY=sH=K z0^9ctnGd!#%w4(8A@L|z-52i%Kcc2R*!TA8EXO*x`r5P19M_CK1^-xXxq1()`4t|0 zUYDF-mlO}wwQ2F0P1FpJT^c;A_3Qr0aRSa)MNgaiGV^b#S27QKcvvLl`<83&LV=zS z)+Qw_?b+XOZyj6g;dh_*%&_dMPFlM4ghsMgaK#Gst(BfXqh4&EvgqdVZE8_1Ez!@t z|CGNhkGtS@B6$5?hHvk!EEC^zSU)-(d?8Oa@uqqE>|<Fs12a8jUd{Vn7O^jO&81~` zEjgDO8LWIiMJOj@-UPjFgQ$C}o+lg6?Qc0|R;M=kan3DS2emouIddLXoKE2P@L9t= zL+R|91txk;(^pN2HtQ+S`lV>CG~<NvQsqNt6Imw2sr^4Jx#Y*CW~tIOc^rp&EEJDF zcq81V>GkQ7k+0;0VyX5;2V4LDdiy7Q+6A$`xs%>s4c6yxjXwUdxb(v96S1o5uWMdw z>+-x>((qtOjK0Tr(fP;3AKrc-R<g~~qdGgLkzGo=GhEgud#ljxjoHCBT6b?t5Oe3{ zwwf2hw5nrWe30#xne+NLCi?Qp+X|~Xrj;1^Df6%-9R8B?@7jGu&d&}%_Fj7wHFIN} z{=Cb2yU(;AIK4`RE$gbX<D{)u=0|t@kl7lrqC!G1X2ZlKKZ9>@3KwwfnDfu1L~N$i zqQp1+0!_~~7c`3%>#r>hcy>C+)vUw%!9UOS*`24^h3>b^EW6yfGeqk@&%#fYoo~-{ zp2(S@^q|9J^M!BKcjomzwlX<$ylR8&s)r^9{f;YC-UhiX==f@~^5%+e)o&|;YHxo{ z_kY*qA=wk2=`ZH}_(|ru9dUh^f28a?<aPA_lesg(ScG<b_cGf%&%V2pTXXrzuY0n2 zue!}hpBcJ!7E2-jrD!?U80PX{7jt%Xz9_Bg<C<pkvu5WkCGKB8&(*Te>G`nbJkvV8 zX*LGkzIQYKYMfkgC_eOO+EJzRg7)s(dCUzBoG0G2Uux4{ctl<I)49)L!W(N+f|%dk zI+`RQB*)XJV<UFKb=KFSW4X`Dns#{|{ittv_#@MoZ8{wfFFI}uFUwTPeq&z|eL;4i z{o*SVIVax}j!2%7&~bv9<M!N-E045qv|e#$$@Y6jYm00AgrhdLeF{G7n((~y`Z}5D z=`)4JX8JFxI@bQ|C-dQuv?mi!E%soaW?ZtaVM6AF?|WUf)pz%Vzq=lFJ?Fx_+dscM z3T;g=Z1FzeRcobt^t|b_4WAB8Q+}kio$0Cg%hI?0vCR$JuR70A$eVG_Z*Hkj(mz-2 ziBs5lPaK`NpGl$7VXrBtjmV8zzm+fBgg$)Ayw5;=sk3dciqE-wg_FBVF73EuB){d@ z+`XLPi7_HULH`b<h92h<n5<&$VDjDjZ;ruJ;bjk=J$*8>eEz*xjJh4cj9onkq?p@f z9DepI7Vb|uxcu4D@AvZe##`pq>{sbI-dFEb_jZ29`A>R}FS#yppRL+)qNMHP`l1Jg zpZ6#U*?x5NaQZdPvEDIs(&mk-etV?YVq-eOWVO}fuL~<~%)7TgPIB*xvblx^D!t#c z?_D?VG5Me1evC)eZfCCB;XKda1~J#z7SRLEJ<jb153<x=yR>|FdAP~pg)hFyJuEt# zePiQ>$4Uix$4Z~<uzA}&O>O3`oR5*y%RL`#^GsBaa9Jj&YoogJ%ZeqUpL)(NwN=^l z-oVuAyvaqEIwOgT&6hsj+s*3JvNq$oX^v!hSUhV@_f9E$-F_~g=f8ii44Bx&9_!jv z{i~?;OSPW%`9mikun8{Tq2!h2YB7=V|ID-gvsQH9xEiwk8s}0Kt?r#aXS`m@&$KOg z&6=4rqWPJ9dXD<PSe~5GVtQIG{m!#Ut!yoR$^Xtd4WD&1SJzre%{y~Lu1mK?aDTtx zR{<HnjGR~dB-W+{-Lr8tQ}gDz6m~z#a;5&01Wy*%4V?+=#Ow4D<Z{|;R?THE7Ci8d zEpg+q^M6xCFF5^8{7|&N(4eNlS9@mdngyl5Z~oq_)3vTJT70S2((0SX!~1qdIVxX2 zJt64$u}i5}a%7EIzem10yz;ix-<^(~{U(PvjRn*AHFhpy`4jQmuBz<RCAlpt7~fec zr(cmh6ZCH1`FVRwpQY-&&5&>E`{5kOrPnHKbTe9_`?S_<(?_NkKVR6kDD|~s@xD(s zT^xqu{zY16$`_;@Ykz$1Vrx~<(@&*KHXk{_C#!K`dZ3%6q063C`^z5}&K4+qUBvpN z^8TgEb{l=2wh0Fdti7_J>99!bE<x5OzaIDTG%~Bcuuxy{r_KDe$>H{db@Tp}d1u<~ zduMwm_-v7n?7HRaA8k)-FAtFG>i?O#H~ZL+b8B>6&)Kq8J8<)h#Gn54Z{o`R?UKzd zpW-TC7cFJglw{$u?qI+DAuF#;U6}t*X=sQ~-9yLw3O2E8p641dy{**{dwlAE?X*g! zX{!wWax1Rdx;9_jRBO|R<aeHLepuhnt72Mg@ZHhxpFg*yQRd8e7t;p|SQFwrORFLl z?B96okooWCRi+1f^EHh%qof@|FZD^tR`{%7^*S@HeO}As)@IN3X&rAeEey}Qt_@E= z$8I0FU<Q}%$F&8qg|oH#5A=s!wyI#>J9F*t3kfWyom&|GY)(HEb~*Ws-o@0dD%$Ma zJkLM;T-_gVeZ!^F-&Nkt4}YDllXhr5bNuq1pDVXkoHvd3*V$8&axhnX`XzZel{M>m zIvBUF4p#Me^nHbvR&1M3#^s|ky5(N@y3O+0D9NxS<Jh((6}vaRJiFNDx#OHuN%xoW zb#TU*&rq9uf7iucJ7xdfGp1@;Zf7sF4?LlGx!67Jjn4e+KSwjxzbWuob8Gj8HOxwH zSKD&TcqjAJ(d4FUp!<X8$Nf4uf)#ISRc?1>3=fiG<FqNRKP=xLBQJI2T19=%jg;Pq zx9iW&UlxAxDa(vA4olx(o0=Xn^PkOgsYA6ZXIn@}g`b+Q@wV38bHmJ^9<}qbPgY8V zr!T!w{G@ww#;LPw*4z-Yd8+V1!RYh76HAO93-2~B3@@mD6M9-XCU^3_f|rHaviGbG zS^jvuWy6lj<ND8LI<7q9T#>M6QJy`Q#x3^N&7IDFUsqdbOm(xLcec`WV%UY)hqJYE zFZ9eRH`=m}vv11mExUd8PhY(#OImXuSDQSaiEh{L{aUQqk*f|wafCMY@1Fmjcc;yT z7h9ilWbc^dykYJIxq7!*{~|X3eeztl^>|J7fm3Rmw=tVN4tg4|#j|yz+2>_VtE-wG z))ig6Hg%pfqdR};zXx+U`tMKT@;f{Kp`z=>3pX9)FFg6E)_3(WLq$%wY{-&=Y~i@B zOEWnHqpoMpobz_N%GxV$T=!ita$WoMyWozq_J$c{fhG6rVy3UXF?Xli-q58R1QlmZ z4C63Tlx2vDn%?{NX!|mUPUiH>BI?fz>nl%wOPVP9(*L&7ef=9fZ69T{G*^_Zz3LYb z&1jWhzx&<J8wW*pPG(xa?#Iu`W_)j|XE~exI`ewXPFCOFFL%GaXnEv^)B0z7nbuwC ziYVFdv?Aih))_rkrcM0qQ!LkR=iiZMS`q(DZeu%Vlw$Fl1uIrh44YKndC78)ubO;f zs(j(YtQpHJr0Og>_AF<M*ZmSMR_pa-Vu|SG@=F`+Zrh4aRthta)eQahK(*@=E5j`N zE6b|ho_=%vrtDl!bt_*v=8~&L{)ZJ0390j$$7IWAxrY7X)m$fg<K*+=%N&WN!jmUk zr7V=c+pJ=_rYMtdSNlbV%;asX+ME|G_PQAI`m8yca8@ZX_~X~79kVO>yUHiW?zOt# zd(|PN+WPY()iQ~KSLOY$9(gTMxZD-R@GfFav>n55{m=9B?3026pRafsq|dX{bh_!v z{Vyi^b9?I-?s1!<wzn}oedG1r6A%46%^8-Ywr52$!(oe!w|BXkO|Fvok@K;te}Yxk zuivRh&h319!pzP4muAK~spQ_p&DrTI>RudCeB-@1qVjWz)|2xpe8msX?bABF)70s` zMBVJO&P{i%*`=Z<POB)%<+0woVvFmSAZ_#NIi?F9Kf5EkP{KSlWPwXS)rw=GbE5y` zrc0Iln<K-P`<wfAid~MeDa&kWo{M)CA6uU|_`K7X?|_L}#El<soAsr<yUwvPN$>EC z7qjl&rB&}}H_IqjEBb<sR{Rg1-hS4At^PrUtrB~SX4icA9lV?O(vls85*A{rSMNO! zR};6p{6*=gP35j#53YrNY1rz=Vq%{h5W;d&;fH#5`Z-BwN!C^CH*NSfLyk#6<;@(u z9@bRhUoWreG^JM^V2I$_=`%&Mt@q2_peIj%*DO|JWGj5V(ji9SRKeScy^GbV&zXLR zzdUu*1Lc`Te}A0LkCWHZN&4ax)!uVs)3jAvW4}5qysDJpDxBKq_2`<={OcL&p2e@u zKZ%nIzvH%&Ggz^GUZ@vCX2{*`zyDw1*%TsBm$xA|m_dOdDDy+?`d1B%?832|CNGY; zfBLFN%1Y@(1L>FUX&E~`o)xEV?+h+`cH^YuiMnWpKiOY4Fn<0zXL{|yG@kE!w@*oq zIVIF{_59t|`n-aa3BU3dN!^(0-~IUEF^7FU>n=Q%f1MDz+3(c#1^+c%{jQn+5}UoP z-hAr!DwRAZ^G}cOY}~u_&%?d@oH(v2oY6QWYN;f9=e(rt^6gKRGEYbK%vfV5Inm@n zZ11w_NEb1tsox6jzv)WUzNtJZL^b8tn|rfwHN{y?ciH1Gcag!)Esu+hoL%qbHuUU} z+&5Rd^#7!#8@o$PD!INi?7dR6;zP?E<JB_{n~3aUnm9q`wr5zI+>@mNhcEw@Y3`gI z5HUGZ>s&$Mo#caCSJ`O=-u#&MTSaQp$CJ&P;%n@6YM9ns`R2ZIenZ=5iLeaovfCTl z53_Y~oSyZfT$a=O{h8&PHAF<j7+*!-Z`h%l-n6feX{Pi`nN7Yd+#h+@C$cu4%og1w z<vNRF@78v=jz0Cd&2z5>=Cp3kx+Iq$xb8dS>esIyos#|>!P(|nCiwANeNf98&3n=_ zN*z~7&760kSXHQV!MrCQK22R^C42ap|AimMRUF|nx%5-mdPUb2*c?1#`&o2tut4go zi5*|>J!TA9^Oh|>Tz`?;n+ul{B(~lw$}98T9s2v&#_7@9eOa^P#1wi>x?cxGOn&{x zYx?_T&c7QrdLI6*9MxCgbHl6psCh!q!D#NL4N}6pLT&dpd+d|{e$<b1t$j)BT0zYV zU)Il7k;>4L{C&sym*nbYd!-!aeZ5uBdvNwGwJ5oXx5CXVTf<`P93mB(&r9Chw^#CP z-PW~6`TDyTc8ScMf1t+Kbam&WtG0jl_4T^v{_QQ;*0JvXqjS+YpEm8u@3xm-xPfi; z)>83(YcA+DzU5!^W2(f~m1|#CDb8|pc$LrBV(VbIIYvC%I`aC|$y1f2lUS~$?Tf81 zE?)UQE^O`7y*nCHKkPeKUDQ*pd*gj+(cYg`YAuyn6~2>l3X3W>mom*3X#M!Kb-^tE zF#r2T%|6FEqixk~l9s%T3qNuFLB|#26yd~EQ-7}5)pYCG^UWP5HmB0WCi&bwcI~TN zQOfpvp20bDPr3(l#YP!!I?eE#-CX)L`{nZBYf;WqQYSy`<#|&lmgD%=O!@i5gSR(I zaAo*jdf;aIDDl#<`7c=KTRupiQIgb}d^UGk&dy~`+JBiB8n$fOqFg`2%~JZ{^};OQ z`IjcI%FZ@ff4n<t#^)3NTbIrGFTPedX-11r%mV$L&L3Q+-xQDbijOyGyYFPPCvZ2n z>};Rsmt1ro-TEP-EAQBSa_8BZVU}TQVwmS%&7Jh%)%E9&Cu&+m8njmW+*}g*spm(6 z@eVDgkB21__B4N=(cFBf^l`A(zP$yj%*sWK&u}^99-MPZ&hEF_;)bWcD|{qBJ+Tz} ztmxeTck-Y6?9Fm^=CNTp^6kcv`_H~%RhRtxJL$q^qt}K%<yo2E^xWPyzeMuSwby@M zbjir9t#?h}c%<yr`J^>6ZNlv=zSsvguZ|pYeEaUVa@22cbJg;#&$XOmEw1%07YmWF z=aSg3)#y<A&*DnXcAGWY>94%>W9%vp9L!?Ac46Oy+y47EiA<i^FE-m|=C7IDU5q~$ zuhsP5mwl+_&pM-7YOkLye|>ZhkFC8^w`RI#sj1#{$(?`S<USIOOEfBf6>%tLG0Pgp zXU6jGzK!~h$zs_xejbgFoTDyT20Y7G$kxiWt=y@;(YAk1@tKvDXX{+m+f(*W3@GP5 zyKu3Mb+7y`I~C<iuW~N6z1yI4&7yWf!<VEpMNBJAYkkVtTbN#!i>0k(SG<(4rT10J z%%$sgJyTGM@w!|apK?oP*<)!dl|!Lhf?O`Gd$hf-`%K1{$ITuqD@A5ky{nI$x3j_Z zeCV#1;oSWmA6K<8&vjB*(6?&qW^dlp57x0sJpNSt<8O)Woy??tn<IJb*9s(@4=j1< zDZVa2s9eWXI`Ht6h?%)ITTb+^R=g23!<MO1>>XDW&x}bgPPnX{9$ffrbL-pinJl~2 z;$9yu``VoyIjK){Q3r1Vw_A{E_$Q0oqEFpk-kEw(+)eN5cW$rGOSM1$d{JE7sBRdZ z#$&qoG{-a*Ro&g0Z}<8&S_J!NZrc4cwlXPZo*0{S&_?Z(zRQxgujkxR&p(@$Y2&Tp z`|;T~z15$0)XU8{eQ{eW^P@(s>0kI2p48pd4bVAese8yY_uq;KZw(|wzMWTNys6l6 zJ-H=%N<5qQR3@VtI<0rhS>Bkx5}$oI-eh^!8u{bjHhsU^Yry64V!8(Z1%V3hzw?Sj zpRo&_x+VU3fqs3Q(3uOCPvpNwo_801_0x@sN9CDlT!zdmNA*Py*#nNPsgySMO`4E? z;PZhnpR>25oY=3fT^9I)F=5-1<k@#0eh+x%_J7UK_`X}}r<IgSPAf~d@7$86V-uz- zzV*h3(#tN&VOxIOv0Tz|hj|@;r`@xiLGgmI&6|}Q@4ec)cT+-4`2P8GlWG;*1OBjO zK0G7ZTX5g?#`~bX$JstgT3%Ot(I|dY=>Ja3`O~WBwcJ#*-qR+V7@&JtN}WIEV(BZl zpMCc>tz4boc5=a=?vJ|{UeVQ)`t9^7t$WRpSGk(2<n}H4H&H&|<wRxfOS9i!U9@~_ z9bd(Uqh2E4s|6=BZOAivAsnWf{`Khj-%SV0Ped)sQA}ySkaSt7LpN&Gz9Z7lS+~_{ zeGgiBI*6lQ_xseJD%sB%TsCeEn|k4QT>Y;r=k1eu!cRVszasrz#aXS?qP0Ha(BJyA zSIT*wYzbXys;m9ytj5w?{T{cSG8C+CN1vbWEwpX%eSs%`lK$;vu9e;Xw=92Z>#3h9 zZUr4@a@qGNrr*A@%+l;>Mezq=zo0{UpYJ=(F?{&x%*$Y-B4<|7>SFfq0ry@WIFNBv z>W<4t;dck0t}}hn-mY?3-+xwBw!unw*MxiLW!|rrRn3o>s%L8MWB%>ecaEkz^PUC= z|7zZv#_!i=@}Yg}UxRqYt!o||9@F`GfqngEKOYv}+_+$#TMS{(6`!-oPWFx}yx%gL zHRXuz)cM(K=3DDGPIM`HeN<>(TGL*2yMHb%sZNtcE}g41unzg@TkLySr(y=jk0}dI zy}9x^ewC)g(omk~av>{3_NH9ixT0aX%Gb!JJ3_p!O>QjP`m%BPW9Rp)9|=vBIKJYm zWuvuSnZ(_*GnExyt3;lBGqGilz93JPFmv3ir{}nAkJ#MU*<T?oBcR&-Imj`t=&?nP z+~QqQp>qRW@_V{pu=F0TRhX_?Rbd}tulF`GUg@X9tCy=2S5_Tb>+r1e@zRCO>qY+x zBs~82Q~46xE`zgI-W+po5nJTH*sEnl(;Dx>jPLt%wmk^k<i&79yRNe&RWI|_Oy2}{ z;p^_~4^Lh=_O!9L@~l-9NA;F05jK1N($%g01@&Kl6<cqblBl{ovsmZiNAsi)0{a5g zI+G?R{PI?22&*{u!S;M#=;X@pkrByFE4JT%c12j>!<|nN2QA+&m^58Xdt=M?$-ifd zF52v&p)x~ho~D7$1_S1tNr#pd-&Fr|QPfe-S6d^cJ+Ul2^@|VtX{SG}`U~!*@m_f{ zL8bPz#qQoLk5je=8pat%U8)_0v^Qt%h<UwydBj1F4|m?Vub;Ka#eS_Dv-Gq5WzKaw z#LXw!p1)O=>1kYSA)OqaWOm_~!~6E1zvt$7?b7<@ZQ3k%y!*)Io7Pn`S2m^dD_z}l zVAW)A`-9)ZO3TvsnygY)PMNj&iE?IBvGazSCG!N=KQ3Mys-&Np9p0aA%ox+6^28|I z^7B@QBR-R#gj&CyyuK`Do<&;WsTWT&m5aX~SXG;E^vl~NdAr+Xt3CJ5)cnhG&IsrH zZ+PcNt^ZMVC3S<hY0kk70<ovlZijU2u$P+EJ4Ivd+FX{j6$i?@tyergujy4Je^#Ag z;WzXBGi<C_-FJsC>xo+Hd;7Kg4rLqW<$sy~`b_e8lIF@IrIaaYZz{CkTE+On*S~w2 zHauQkd3H0`7FMZK9P^L9zOlI9e`Rir@`4<VP<9K=UoWhgdDtI+zB6T|io`RMm2P_i zVr(@wHYv6^uPdl#tDG+sWh>!(_!sLFXY=Q8;+z{B7b!LbMjgBzzsdQ`l#WKh&iHo= z9p~gft1@xFU^V&8sz{e_%wAIdE|VP#mM2sTa2xt>b8M98;ydZV|HH-9X06zHZ^lb& zu1#E#AyU=6)^}OuPKjCk%f4I?wX@r|X!}gZHxXGUk9zG4Y_IcvCwoA&$@t}0W|K7O z8rPljN0@81%sji-c`uj}Z8tT|liQzj|5o?YpMsi~HLs6xm7MeRot|vzHRb@p&$I7q zTjm`*k@VZTV8wxxJ#{Y3ZLY#)SLT%n&d!wKsJi67{K@moCl{N9zDR1E+<$D!&#!*> z8JO0yzE+iwO<CUfyjXIl(J~+VgwOnyFG5$O-`y+CQhIpfbMbST|2HzvEeqGFWo9Ze zS#$G-_9~?*&UM+%iQCfBEbi)V@LF~-Z->sti+sm->AelxK2z>+;j-Y8F1PKRCkyvq z`MKtx!sbmOr??as*Tt<{l6>{4d50MPKU15{PP3m(3lNC4Q<?5IpJC3niF2v~>hGmn zmd{<}viY{YYignCjEp+>_@g--0sFbmM>F59y|nIgl4zsaDa+7Pr}t?6miRv7aL}8O z8|u?1JP(ffy>nTT^~JS@XHx?6O+Ps*u~hA;oV5M$OOEOXQtn?quRf>v%Dyn*INw5+ zgL&z%H*VmXWMBPnn(Abq2BuDl!k7G8R6k}j7eo|FO^iN$wM5t=;`&MTPg8E+G))ql z+&qVCzADSv$LsXgIBZ%O<tZEM68Ds0vgz0S^B->baW2@aHmUC6UEd{7*0LNGvl3Yt z6uA1$hr3)Mm%8~5f2o|X=arf(%eu3^eUs&PKFGeGH?zQb4%2=AP;0qVJA2U|ZkPAG z=2Q*!TD9Ft<!>5?!oBxWJEUxqHn>g>J*_(9mxP7g*<D&wnO4SmncbZ|neW%q**p)D zWO7{ox7IOCzg8s5?b+-vX?j*;@9*ysE!P(>coTl-oF(gWan{?R4QEr#7o4zsUZ`2? z{cVn(ZQb2PPkBrlpZ?q6p0q0F#O-I&)7J2dBzcAQx1Cfx(KX*8_t`aVtG5#cOhP2e z_OSg?=<?ZA7qVdSv%9Ku-zToBS*U(9C;rj0h(lbad-A7CJpO(ro~`Thr@pMF-gk^o zQg5=VKj7B2S4{0O3-Hd;t~ZaKlwo~g{>jNNy^gCaopVL%;<p+%<u5ggYs^2D>NERt z8?rCmUJ__(C2;Pj(w0x1d%q^zxN`fRXZ!wTMTgqn4NTk2E;n1;w)yLtaOT<@hnbTN zH+a5T<=wvW*+Q1L0!xoXac#2;H9X<jxZ`im?LvtuF+CoCLK#}BA_C%8w%j=+rfPNO z>h*tpMV~YU=jN|DaFOL_+wSl6?FUU=oZTEUrBdyJmQRcGxj5bHb>Xia|CZ>jPT-DW z=3UryeX7T<>jF0y?wr;7M#6#X+uWcJyk<W=y?z_ji95xuNj-UU!DP1WsXhkRHrU%8 zoA)LCaoM&j57u~2Sy20G#l#i&J~w;Me^?!SFMUJZr4_5QMfGiRl@#-XV-t7TeGA)= z`efbnhsIMQ&U|ptOp|aom^-!Ae2b^x!TD^W{t7kk#h*w%l)A>Do3L#0)7*7mF8!$P z`w})GN;J)9rv8Z+UW-<B>^h{+;~H^EE9a;F^sxKyJa_->mwD6q;q^71?>l5f75g>j z=D99Rj%KuuzyJ71goDJZx>cMrl+TCr32FR#RdR24u6@q#k2V^ba~J)2<5jT0VW*%D zk8QbzS6Z=V`#H(_et}BXZ|Q%xE1&puy58;e@{r^YI%_$$#?=}rJ(ySUs-o7g>~@-H zm%sv{M+V2uE^{s@FJhK*DJZWx6aJOmE%{$@<XWvOb?;XSe~td|!Ccd>@n~B|@$4I4 zH*u=Et8|;L&e-`QE!A00Vx8yOf6QL)XBV$sJ$JSHTvf?DrHP#lF;Y`YZD-te|Fm1{ z&zDny+y1Z3XmQcxRGxM2{eI5FZ`Qn=S-|l-Gt@Hai13E}2hD=3yV`fX*i{x=yePo@ zSn5^Ao!PE+5m&i7Zg3y#{~T+m^JVI`9TU$sbg#JOBXf0Cy{pp<1?NdSBkW2yCc5v~ z)1SE6s$73|y*10CSut;g<g<5r&EIQ(CZ3fmlXbppFq>JI^J>IRke&=G#0D@*2y# z6Q?nR-FNy~_4HAl+o9E~Ui>~gpK-OoMmI~xpZ_M`+f-z@s%QG5vyUEcy;a?p)p+#2 zfBWw<Yc|}R=Jhx0;{5ZA#PS?m`hRozy!h4nakA?n>q}ZE?{|KDpdz<fzp7gG>k`k^ zTT4>rEeg?FR5Bspu)SvsZ$|D!t@RFG<+=TJ|4n`w{kPZaQ~oLQ)k8XB+9K!Q7k{5` zPMy6f`s_LB$p@tiwwZrFyppx8b-u*4vejQZ7g&CM>grUb7^|}-v@7MZiN*h)JNORY zxf9CVW>ZyMac}a30`<I@RgD&>-YVuiU2WUXwIuBjx04-@fWXSbGv;_lNN!M)oZ+xE zIb-Q}At%kGl^ra_Oq|a=u58ZV;IvXhBeq}p!+wpzg)Hf|X76J(8Vp{>PAFbmopRq- z<XH3t_nPxv2UPd(s-8RXwlB|vy+)m4Yfd?q_MK_3cvQy!pybs@lZSTuuTGQc-8=X5 znho<V=%)9E@i#Gj-#js=q3UnMzP^I|#e1aB2ucQho!k|Yyf^Z@(;@jh=aeO@{{3;7 zQT}^Xm{jhLi<@uF<S2+e)ZYEfR>$Sdmg?TyyptmKYm}aPtWdi5-j+?=%f((XJ8qZU zWcgwao7fY+_Bk!ao8;%7(RA!{U)k04HEZfz6_=^%vo0k)$#C!G)QQp4W2$#uH*w;Q zz#mL}evcQmSZ!h1KAoS>yFl4j>oQwvI$yqr;m*L{)skV3tCr8-+HPOsB5_dh@^L#$ zP9fi&9fdd9e&(2-t#Y}@aBJ)C`6oZFVB&LM-SUz>@}ttJWi?GZcB-5X4$S4+?Ae+% z<A3x%tvixjM}zAA>Ny=aRk=FSJmSgC4}luikG>t~in!>U*uKiWX7}2YOIok+c6ZuN zRA)TUwCHoqN7MW%_hRzA(|)JTN|JnYG<s1|*Q}C85Aov%&&-bUu<89a^Vm*D`<#>R z&)3&h%}n~TEv7i{f$;M>gUP#h-B3RA-!(v^DR`H|w!%MBMxJ$ZF6r6iMDVj-2)EeY z9$mmv8WLmIESW#|Mrii_zZSMl*E%Kt`?oJN%y&DypSw}8<9zYA^M_<MZH;!U-TQ&_ zMCb(lOXhD+T<~gtcH{2t6knG&t?W0Xd}5~O&YYTDeSLPIi1LikPA(&62I0x)PJG^) zS04Lidf%#FqJNA$Uhk>6mfjXw<HjpjzG<q~o;sQKH`%(OZFVmAJeGbl@m1nEl{l;Z z?(VH->Cc~qxCkrMpIb7+LL#sJwLp`4%Y!G+>fB^F9_qdO*`L9+j4eziNmtD)UH4vl z(ERwlXQJ*mnyX893B8@AC^$X$*I%wz={t^G;AgV$S^Kk1-2R}eW}V5Mg6^G}mt|5k z&C_d}w7)oHJ1scUB(<$yH!RNB*r<jtL#j93f49B%$$$NmJ7;}V+{CdxSm??{W&WSL zW0!00=X#=cN;~<D@VnyYmselU>3DW-`M*gyziv;B6_l}l(S9$j=!RN^s%Npg8iSaw zhU(TyUsQe_YgqknxsXi>|Gb%ZOPcuJ&yqGfw}ENvi-iaN%~bojaD|HEuTvH&#*A_P zR<3HNMc94MZQC;ax%~f^UraC89nDL=d*vGUr+CGu@?r5NzwVfJd6vHAmhzf%up_n8 zNK{~+yxL3ktdi+*)+aYqn%b3``GzZfsAY28nEuU_;pLYZjlb;F=H+~4R(x^H|I8H2 z9q}pe7dwkCY^pE5Qoj7pl#CZoD{gK6STXO`8>7@`CO;om&!2YXi^B4@najobTW&q} zNjaXvqg;7%-}I&CA=_WAjlX}yzS-C}YvFC1b)VyZIhPo8g#RhI^r%=iXX?4vI#zT4 zCiZIT#v30oO;Gr%zvK1aun%RM{_naze~W?mZ{Dc~(_`fFJoknEJ)(27Ls8D}zq4iR z%}W<v=lVWmzV$Cc@RaDCGoq)CO1I29t8t|C@;1|?yc>7jE?xWQ_iM)Qbk&v_v%(J4 z_rBNo(_WfkB6jRW&)>}rDe8~(ZW}~tdvkDy%&rW$S^d&%*<p6={YK%xtoMh_+EARm z?#z03&#QHQPbQS_J(~P^XM$h<4f_||k<q6&9XF8+wD!$dSbsX!XM1qS$27)Ow{D(M z`%@XW-uBKhR{fZz&4TQiF$a#G$?z-lpC^>GOV{M#Q)||nj}3H{W_sELu1FRso_6e( zz_lw(SEM(&KV5C+q~BxgF4L^@`qb_8mgLMjrnf7jGY+3VaBOMFRoQ$&Zx$IDxwW^? zu8MjmwyA_ELwMcu>_4(++G093WLNxVvb-u5TPv8mcH=h3^}Qck!wpoGza*c#XKXeh zW5T^{-|sy!PGY}ce;~kQooAY3S-94%4AX#Z=bgFY@=V=VJ4F8X-xwwTr!a8c&Kr}= zc$W0%wy{-}6#K08*I70F(djR#-P^f?x3V2&EjnPczI|%DX~bpI+*#K*u}$*ZBP{6m zV9u6lt7W#`s&w1$ZaVF2zX5yS6*;+Og}qG2JTnqyEAJ;Cn=Un@c2$*1d1Qx-I*W~e z!>qRL=6}NK&FhRDcqY2OFQ1xXzijdf!E+VPabkAfA6qhi2`--TC|&p83-_0S6H{Z? zx=q`hvH4$`cXJSb?tboRw`I*1v`)Rf@^ZHa?|~kZ+v&v<zCFGnle_6o(2u!)P8@We zw{Yr<*=<RCPfzMucX`1I@0T7SEtl5B>}m^`+Mw`3O+Y1?%}!8hW`EQ2gOPW;5+*o( zFQ2`2YWU8TVn@8TBs=)ub-a1=uGqu-Q~$NCInTJw!T+seaG0Fxg&qFW7V$q}p7&te z=cp-f&o$>Bd9k8C=EU5qYvyy_n<^u~e??09o?mrWRy4D&!`A$?ilyh`_zfZ_Ki&DR zq_Qc?{^JZC=_zdu2bh|3K6hU>N%KrUxY6O;QehrNQ5#FE^CDeYj45-rZ!`F}(|DSZ zlF6#e+7%xTw0fvs@7tR2*JWyg$IQQ-s@7Ha#MM_Vd)3*o@PYz=%6FZ8Gur>JxU}fP zNh{T_c3Vm=X_z(SCUdKNSv;BFfHmaD*|bZl{}$*(UDot}VLQipQ=vr0#HY3K{F=M{ zyVRw2bLekza8h~ogZ1!{pFBl!sTU>ZPJ0yjsU>$#$|*O$X7{F|I~^x}GX*~^(*2~H z-n}jLqO8_2hX9wInX)fT%>GR+nVV8K*UeVz(e(>cA6+nYZ#=4GSF?|WMd-u#9@8zY zqAZs}m7nRT#MK_$Hrq<(=!rKPB{9d3uZ{Zhx170m)1Ga<;#mwEdSV~&iCeEMVRXOw z_9|P_3vITRCts&;Z2My+KJ|dW(ajysHLq-*%<;6;vz+a{;7c|CHna5|$Jo0crT^M{ zI_lk8ZT7X{U&X)4Y>`>pa4K|>qRRbCC*`jA9C(?wVMBB<|22_?xr+?r0^}F7_GR_W znY6{zc!u7DiBIy@uK4U&$<cp&w>1x!dC0B{%s-1aeN2#f=+ZS`LpWl`U8dlN5*4RD zh5K!2pZ?=4+Yk4D=d9%SxJ9ZgP2+R!@ww46Te5iRA>Q}ze>vZaTJ?vgT128(J^6o3 zOYqbk3`xs0jz@R8K4%G3JYLZ}>Bv$he@E_#USA)IdNWKDFIg~u+lz$XD(boN%~dX+ zg+rx|y^lO#`+nPl_3ILCulPJm+}eI}u2_nt%);~K-1#Tc-yY%Fa%}w_ew`biXRq7* z-fCmpH)~PhiM^>g_xYwxx%^7X&3k^5Rjt74s(7#M)rZ`tv%hSgZJc*hLw$AI`%OJs z4tKU&Y6TzCKEC?*OUvRlkwX8A<xcjPoju;QuPZ6`iu<G{KcWAIY0HuenQn<H>Z@Jy z*5&x<RwA0W<g3*d)war>DD}gF>Xt4S{pRd+|9Yz9Lb$KXj8$e*6S550+tW8L77S5S zS!Jv6@{9Ig{h}?L#uG1!b=|T5;>xk}rog-|dHarY5yxuQYBMX$SWxx#{^EeuEG#Zh z153nhf1f`$snq)7=J|Wo9li$&-|et)iIbO=5BAx+_tgb12{V!6iw&`Q56T+4Oj-Lc zop|7%>3Q^9z$f!(wPgYFsuNiYw4$P90=*6>miNEN;rqDpz?RaJ8W|h>AARlbys5*j zqtBKvv#8_ZMZfgeM73AUF$!F-{haR|d+C47;(>jnpKTBG?z@`)-rYVsuZbA;2deF{ zdG-4F4aN_DZtZP3*30O2DLXmPa-ON!sRcjT+Pi1Z;n?{s{Fta~3EP@gv$ooWKhciN z&&_@Gw1Z#eFw^9caI-B}MP_A`*l2#+yN3Pw&Fl>Gy}1FExA$0Owl3Wy;!v`C-QJRo z4qMKBdOs`km|Xqih^xZZS|6GI-j-Y1a7g&VTxniTujSV(Z^f@`ebddw^dRPI$lCA= ze-FeL^|EgfeQ&f`Cp-Gx&I$FM_T?^Xs%rYyPdsaDyztrQGxyHsIZa$_Q8+aq|0t`n zjq{uv$y^499xm>3;kcUM)NnX6#h82kUF~ACNQEUQqK^lr-&kkp)Fa($AF`tK{aeo~ zUDuf&ss`VVC_S+%CTz$0D?iMvCU)$abw6M9x;~r5_Nb*b@10m&yjS#24XaOA`?Qm> z<^-dU`NSap7SX%SIks6U+j_+x{@(s^dveVxk!{=k?rC<mJ-YwZUHzZMjCJp(b6c@= zCbzUP$f?aWI2pOfK97Af7i&th;9ch{AxlFhC>7qQ)L&V3im`g`hZkAv?^W?`tv{>W z_I^r2PVCF|oaffNB^I5W`1|haCt2HC^RliU$vc*6Y_ji0xWqF1D<3M3zg>2s=STaD zyPMrx<fgsPoiw3A$L37h;|Qg*{S$5pTxmc1uPBS*CEtPg*sdim`CTtG%)*2vUDZnr z!dF}u;dv?-#QkDcrD(yOy?+lH9NzrAe}k>h)v{T2@nxpo^LREpPJCqb`Fh~S{ygnB zCmwNW-@5#lad+jc-Fsp-=f_5#e4xw`7qRTb;RS3LS=#<{-{NLwNqsJOZi9Mp_C;&n zAn_&nAKPoTtuV@XB;$G~w!M1czX=DJzsAjsX{$5b(lq0P598!VL7ns13f7tD`HL=b zPb^iMbi>f#(V~}ACwtcJ%2%7rIqj07=^RD(9Ve2r-pTcNr$sE0J6<m`d&l|e{NtBR zn&aD6oI53JZ~y2YgYk*izJFw656^to^vSw=PO*@>@oI;kEsce;YKJ#{dQ_phTp+%n zMt=&gkIA+=198dI$2q!YxeGj7axq?{@k6;<UAE++`SX9h5D&?V%M!48DrEJdmSKth z<Y&oZc}JdBsS5H4vehw_EUeA*eDE=Wk9FI;c+sq-E4M3Ji3miy2!ytsNb&pfG}~|M z1Xd3N&ZIXT*MhnpNTyBFW$sH?SmD<^`~Sb&CI`2MuQE-q+LfngF{@--?cS$NSJ<ki z+=|X`Zs?x7km25|DOb;1NJRJO6iijIIU;v*`2xo+SM)ylzM63JRg@CT9wEhByJT-H z%38u7s@G-Dy33F`ApGiyyN1tMC1stacV89cSE{|vxI9tqw6^`_wCj8tZ`=0^PT6~1 zJU98lfB)yzQWE95&%XAZzd8TByMoM%gX{D?bd?OaX8Xl)zhG#7Fz?v~wOwB}ANkjK zmd|K<ruc$3=DT<J)g&JtP?@O`uq~0LA>U>4{&i83K40hQ@)>x<zn|++r+whPYs@UM zsmD_1<+aafke_gBoz0~^^Z1r*{@bvzHh+KEhqcl9{|Z#Yb1c3_m0McBIk%TXA-zfN z@HLl9wR?(RoteAl{>fGU!`7K^dze0t;jOpv<N7=;*{O3i4n3YM>pI1YsjOi2Y#X(@ zQ>Nk?{vV&0dq!!dx}+{jGuXvzxW;hfRApKHt~#BIYeW@1eA=!odaF^(*E-|#7yEMy zB^8wyo!!J}pL@We^;Co4nbQu>Do$7ZdslwEE<dD#^ZBB$>oY??9@^?Jn8eyIwlZ$R z*ZQe0p-kJ@eSf;Y(PB`X7xQ)Up~T+9CQcit)(c(#dU<~Hzwd^OyEt6Gi<#(836^V! zF6eqQQ+junXKTLtErl7sYEs_J+!(j6#AX>^XQNo|yn?7ok0*1z%x*Nf+&FK3X-Ced zjM_O@<fc7nubj;H*!lA&yXCj1)T}sr<f7%XZ1MSj1Qw_JRA0Fy@q3Z@i8*UIj<y}y z@lBxp&t2hHm+B<ueE!y!ds6u659J#_{W6XI6|~*ja^}<3yp`Wx6+V@ietQ3BiTMnL zlV!bHPs#VQ?sPQzbR%wk()rKRu70wd;bJg{FP0&1he-d)1kDc)m8YYYssDS)IsIqu zH=SuEU)26ro$2lJ-WSK4<XTqj@0KKNcdklU`rhWU$^*HEa%O8UHQq2t)ks)ozxK-W zKt;p2R>@Vf`_kjiJDL{W3yR^+-B=Rv*Z0DA)^9wWvZpwX?9!=mUN)t8*_NIY1%JC% zmN4!4^5xrv>2-JY(*^Z5Eai5~4fK4mu}(#6>a>27tkacibH7epRKM0@kqyHXT`#8Z zJ@*fYPup^3Pv?s(YkwF<Y<@Ab#4LBVSkO|T$3K^8KKXL)TIJp?e~<o>@SgqkoH^$s z{%?M31bSDp{5t5f*6G&&#s8-#q`Jz_y!0&Q>hwRIOIUqgKR>-~H@DM5@wA`!ejN<B zx+q6$O6>ephrH$X9@%&Ao!P;2J1f%2;?l1D-LovM)r$=MZKroGO?+{{>8!G&U<}i? zwf3(j%Fc71J^kay{R!XL6wbdtFj3jaJ!7f$k+y|TL>_5<x_m`BZ-x7-5b3I1(+i>r zTh8_-?|Xad*%A%cZ7ZcTZPd6<?z%R0=iJQu$E$x>?a*APUu?BsxtU?!VGXP5X#XSP zyB@Q~Ta?x7y7}DLHhIxIR&#~u+xG%iH0aHby7Ki=r}|OGte{nC?4LFkTfAAFusL#i zOxNO{dz{4?6Et<K!=sxoe(z#ti{`D1HQD~<f$M=EE8R2ZZ+o2-bZcLmUw(XrRd?|5 zbyu!z|1UY&*VHU{_RQ#yTV$$Vh5YNTPkdC~ddvFq%{hU)pScw+vC9hlQT6hw;Kr9H zme;G;eV(>}!A!O1DHqd1uSGiSa;w`W>h9N9GHkd%-LY);x2?0@{{FA`blcY0|KhVZ zXVs<pg#Ujh=Qz9N+5do!sy7MeX7qMkh_|aZxK_b1!TE5?qWR`_7DnuQOQz2;^OzB; z<`dl%biUX2?5Yzs?T_08A5V3yxWpzU|Ijbr*;lk)nkV^UN`R+lB(s~#Ow(7_LgIgM z{r+(1h2lk@{}U3<*8D$Ox&InB_tUe5`;tz2`Oo#!y?HZM<b0<R(uws7hUS)upcCsA zObraYCNN}5Z|b&leNvt5!Ee~PdY7YEf-cKUSFYu|rm_cf-`V~^-A*sObYpaHt9oAR zJ}d65>HD8Ao_Tn7NT&D#gSxoX1A*s{GA_KedR~v@gL5+Cdwm&JiFCGat?u@Udnx(t z)wcrC7cu!Bj%V&1+Uc}CVgGaA7qhzW-d8>D_Hh3@o-L{6mDg9Kx6KOHih0_6qV!&Z z`J1<Y4#mB2RbV#!C!eGcXYC;W`a|(r`>XlIa<BJ1WYJgsVxPYCz4dP6-;<)ZE$5xg z{j05{!$bJ}GU30E^=8gJytL6;a@$9XNA4<r8svChUz{Lbe|AT@i)-ys{RjKq_GD^) zc;Rv{<xAhxL)VXLC_etar?>IdEJ^iajmyeDJT{!owpzsFzs-Zd1=o%Q-&$Yz=t|Ck z<en)--%dHEY$?krnc&TQc1f~ofM$KEwMV`CDzAHAi@J-A%THBheqYWVzEl6>ob<1| zcJ5jd_4exOhu4Gi0%mM|{o3l*qgd|^^S3Vf8g_o)qTO+K6!WfpE6QY=x2Nx=qK4Yl zxX7}A>j`mop_lGm<;nQ|jH4v?j(Lcg#hbp>r|;^D^S!?#mNGp~`*q)`q+h4zT$^=U z(_p>RV*T7}yIShXy^rzc2i%&+`n!1UpWHc889oXY`U|BypLi`j((=c;Q~A2&;}+#5 zVkR+H{&p3g&#PvXD*1Eti|9L1RUV^13i-wX-)D+=ZunYOt04b0{Mvhl1*X<Q0Y78E zayWkdd~d7My27jO%eTpwMBeEXIFio8@V)is{x30a3_bHC-?DwVp#67wZ2#nIuHUa5 zQ`bIxG)lRgdy0DOD%o>$u4L%!Hf2dr+2^wNY38!YZ>IC!liwYG?9@^{_Ybvu{!Px= zwd9R-f@<I6uUluCe$U7@=!!OO+A*nnje5wQ4Z6zz*jC=&cW}4#fy;qnC(Cr#@e4@& zDeq9$NbXJ-h?3o^c+jIgRf(ri^lpi&9+#@t7RE<B^V9yy%N*QPYxT|7q4nfh&+@aI zdXKNX@4Ie`Rdck;w8O2pPA%&VZONV3_T$?_3n$m9t#J+$BqbB2k866Ae!KK?r)<+g z!&8&B-V3qR^JFiJcD6aIuqVo4rN}bPzv<jnTNkd>++1L5@A>h)m?R5}y!+uFnP){O z96iH+`Ca}+pCgZNf0v8;_4EDo)&p<*{&F#fZaUZcocCMLH9Z^S1(TOe-5__8)zH%F ztk6&0eE(ZPk21<`T|MHF?QtRh_-p^gaZlESRJ+`>%q!K7E*AOu<47iFMO@u)^$#;s zy4>S!CtAK}5Pvbf)8y!f|J{Pe?kx|mI$GJf<3_XT^%p63b0-OWmQ`|IC+YEN)$S|v zRtT27{TO2N_Z!>%|Jl(z3cLBmc^A2{|68XeQ+a%Ogq$Dm?Xs4odBtba|B7>|`+Hcs zU0PZ_J5{>eBfBihxY%*}Up>cf9?Rq{s(&fHop@`T;LW<m+7IV?F5lGk^L+ei{X>D- zC*=i&W`xME`CJ=la-Xw&?oEcpu>#XG<zsJt{I>UZ%E4(9*vh)u*^LE09r@=J`Ak#q z$F&)&ejRx&uK)h7hRVxjo+pm~KHyWBVQ;~v#%n$GqPW4KO*1c)`u-});p&;v_Nr-l zWc%wIT}zho<m^AQp`7#6y0G=1cwNpkC9^S`#V*a~$}lf>i;~;dx3lHQ+*VP^%(;TS zXJYwRZg$=_QK#}yriQUWph2(8^^H}Z7_5!f39xBzbWqq__gh8%hslHZuP4@cPB`%< zV|jo#Gm8iV2zaJ!jk;lbMQYg<1_lu3W#D8;EKSMG4=Bn{)=N!E3k_jqVAfiro1w8) z|1U$to$m}*2O1RgFJ!E;V83wg`tPzS3VY8nO?Y|FdzJ8+uaV0xI_xa<+LGd}862|2 zDn+9HBg6j$f&IlyLQIz!c~8tcm?*@ds`#j2#^Hw<7H1MC98Wpev}B?{qZFTaheNOX zp{Az8A`13l0SyYGCk{_kP@L-Je6XQYWzqyDHBK(2DP1Xvj7u3EYhM*?=}j+)NKIri zRhS^E!Otqh$>Pb{>h6-ru+U~v*`4ZrVPYyG?SV@C?XGfDJ)E8}a1`Bm(r~l!@&%@d zHqIv-IFG1sdaFkAlqGJ|;cn)iu!->`J4=&<!xQCQ+fIgwsR*-jXgM5Ic64-735pC@ zq2r@B$u&_T=a8Pa@xcV2T@i9RFIQ=>C5w8w1U0I}NNW{)if*2;f{V?%K}l#5L*RiW zo!#0|1uhY4%CQnXp;E6FX}xl+ikQ^Q;(9beTwqquvRQhUjwbYMWR_mpv`d5Y)T|jI zX-VSKjutIYVdt9>;utIDJ?p}eo@2YT&a&#+bXOHj-)VXE($Ym2EIcHR`G|5FiHjI6 z*d!hr_*wEy$ixGUk8YhY6JMZ{=JKpb!80KIm{KIincf3M4hDsaCu|fNCdS7LDx|Dn z;}j_I3oqU1cq2q4j9HPf*K{GfpDR-fPny$?2x*q^)P)8j(_0)it>gLHknqT9hKn3` z@6821tz3tWw5T=BXE@|G>4FajU-Jr$*S8EJnmv>RgAWvW3iU9vpKCrDAi~FG^XAaO z${%mne2O@b#C;%_A>ihDJsoZdH=_rMQ`=%CyAD0vuzHe;ipg|lci|7WW!Z{NB)nNe z8xE`Jh_xJ>RdK4~=G2#w=GXGmg@vYdD|rTpH*aK~S`s@Y^<V<KnaK2~w+#4XQq**W zB)pRg6<t%7aCsJ8h)7PK+IA}<=qPjRM2Q~R9T_^TysZm2Y+&b7He~8Q{`g{l{rB*v z9hO~-I+WA;W-M=?8_ah1?%)3qVDH9pzj)p3%J1Q!r80|O{`~fCdHetGi>sGT(fY3I z&H3|m&@qmO)#pl$-+%wV{`&Uq$DzD`t4=NWytlMvt#5zuz7)F|<^RQ%|9|CIJ+pg% zmQ9a<(<Zf+6w`fwt(Uc*zmu`!W#OsayymtA_2#xUp>yX2Z(eA4#>_J7cVqK|4cw<U z#XMV*Hd|OZa@v=Gz|F2HdVQ6l6AmvHm~`}4VY0co?S}`Bn~eA;O+D!`o&Dq`PeFx7 z8J}Gt)3P`3)OxwfMBFVoBh)2GrBz%xwQr))+RY1dZ?{i0`k!-q+t#a$#^zI>?b48$ zQ~Yl4_XSRW>z~i5es9a67?QhL(az3jb#}7hmYWMsKiF_HZFcbYMKgR(r&fN6>Fzyx zEa`LowwoK$3nFG0+bgPM$BCM%2cL6q;o*@vwjk8RV}eP+4AoFEDK_f@sRRaR#SIG! zCK$~+sdOlF_0*Z--H(r3DI1BmS8lYJz#19o@Yq0EZ(7gcHF{?3d<H>BTqo~b(wp+} z-=Yg=I?lK%Za4OBYVc6H*rMX1ve~g|3FGb^I+=?WrMWy4O3c(zVpVa`n%v@{@@Wwp zH@Aozub5bf#wMqUqFrkOQd*T3a=BV*iD*tV(Gt12B0yzx)1hJ>E@8Ii=hG`J-Y{DB zFq~{^>2L{C;CNDCq7c9=v}e!nzh77Jq|FXxZa%z<Q!(=QwA26Jz5D;a|HIB_d%ZN5 zP6<K~r~V7tUEdRK`}yad-M{~@TJ`o|^;)sSbkp;{L#O@{o9WPRw%YRHUZ-_kvu5_F zHZ5QhsaP+($ZKK7ZI1cb_p+;7&YQk3HRCursh@?FYnI)oglSI{6g>@|HK!yl>AIwH zAc6fH1Cxn?Mb5VD;7mPXhLyKI*Y|BK>=Qh6P3qA8o6qhSubcZg@7=%u+pm>XJ$Sco z@7A)g)MshW?t;?Of9d?1s(pXg*Ht}O_3!;n-rj?g*733`o_yUn%PctP!553C`8gl^ z=S~*7Dxp|&erMjZyYZ&*#NPXxFEM+q<+Y2;*x$X%nk~1ZKSAVRTXNN$PwUpbvo34- zUtjm<Uh%q%FW$X-mzMS{txdp6hVMl}TAs<W<C>p88HqUle;*Qh|I3HnipKBrL`=7B zefjg-eci4_E26*7@70(kxBSe+<mRYsjV`miH!I}tR#ol$`$NJ}%KeT-lZgjQ<^%~* ztvPabn=db4zm-i{!Zq-U%tYt8yEv+Blr*}OIGU6)s&4Fj`*y|d#qY#Sdlz{uZ=ZYl znq5lOoKHXRNR_w<miX{nFJE)!jLhD<cQ0==nqN8n`~S!5Z$*JZd~4{HCzc=Y{l6Xm z@74W%ANh?^o*lcrd-v{LyZ6t#{kZt-sqm}UD&nn=pE+};u)O+vRqb!(mRq}4ty;CV zcjkG4U8SdY@7}%J@L&F_Rjb#oUgaB|92d(m*ZTGCIeV|~FMRS>Q@9tDQrv#Te2!BW zQLb56xjtYrBF*@^{fH?BJL98VlLAN6uZ`7_mF4@dFZYXFe|O8uRogag+xBPw)^FOz z@3+4_1}TL8|N8$Mf{!2PSnTxULG?S!>v_9(&aC{o`+ofTQ&P!2hE5!cE${b!pI3a& zl3lSyVBhz+%VDK{$vuKj9FgXSo<F#poxOhB-K*e)2eQ7jyu7>|B;Cq&|MK$lmd|&A zytR9GboA_*GiUz)Jac9xNJV*g`1k*j>sPN@wQAMsRjY2TzWe9f|2@5x=6O9I`wiVV zM73)C=i5D>bNk)hd+)AYOS79lbL!NcyLZp7EHB^w_FbKFj_>`D+xtPe!>aOw?e{s^ z*Uz41?0&mq%egsogEQP%7#I{77#~Y8h#YP-=)3H|eUVwDfkRA%PfAQcMnp<PK#Grp zLrH>1ibIS~Oie<Buao(3gM|oBoAOGnY3#=JuKPE2%f1p|U#RGFY*TL%BWI58g77(s z=9gDot(nWSx?-9EW2nEgY~5N{zt`uqL+i|~wr=fjIkM62)o~`x(qti_2H!6Z(J{$p zMGcZOpZ>F3w&LY>7S+I6`5orGdsFX<ubY+UE^r_t<n!WdWv};1Rn80AyF$Zc>f=z? zb*mXppE{T8y8BV<Kj)0xPX&SZEH#3n4sEoV{@;Y<*r(Y47lnGt|6Euz-=-pYVbqnq zt2eHFb=;Lbd*1Z7`h4BylP>L7@8h3Wd1zhc)t{%<L|mJcYpTPs;OE`Y+d+a{r=J`Z zOgbQc{nOd>hTwfw3)ZZ@uX%TxmHdQFmX}#}hcf-_;uToxzj>450ngyD8^vKu-&-{I zKUC-CPF=rodwwTduJlh%%bDy-lP%Uf?pxArulVP~2FJwXF%FV9SJ!EA{GHlr<gz|) z`HJe-%=Y(`99*jW9sa3JVVFPP$46??iVISwv+q9m_-n%{3kElacAjYInxiL5+j&0l zzAD%>WzCc#2R}wh!A=&zMUA%7IoyX|FIwG|@~FG(x!17`yJ8l<6R`CD*7ol-gV51u z4`(DeGqSaEgzwM)-Jx)2`~7X5A$L=>Qka}MooaJ->^hy^@ml_iv_V$XjJO3~o7tSa zr(H-tD0IjBS!znZS;C*wcARgs=G>muwqF0;S+B+`d%xXNmpgi%lX35_=!O>uPab}` zV&nJY8OjrK*YG#bKc1>}V`bul0`)5sS(;j>ylz?lV7B~fzu6Zu`ST8omkV@NMBIOS z;P}78obeS;6RLdXaBo>2e&2EZ=Ynr;lAMvh4(5DsU#!~ARUyOiPvUmX?_>5W``X3h z4=i%DeZ8tbBH+59=s&3?(?a=<UUyz%rR7#1SUtH!Yi3^RYWLZ5`oFqQDcPB^|6o`_ zsp{S!WpB}@{j*}GY>;Nw(fq?!KhfI%z`=&jcDuWG1P(YJaMaA3ayw+n273jK-G8%h zwy%g5>?!nS$q1?3Hv87T^cgA{Oh@cZ9!`7nvzj^c$3E?O89lEf0zdFxSR=ZZ>(h>m ztFOgQ2!&nud;DZ!%;VW2!qui<|KzTbvz+-v%6G}6*1H+`0iXS4J(tx^?O*?RnOw@> z2|5*RnQB&|bMMXzD&5W`@9Qlb>+W8<e8=up`~^!Kqbv(m)_iWNSS_!;Q8Ycp@u-+# zN#P;!5)Ng(<d}RfoBD`i?u}P|?K4j`T3VI;nM;^M|I7jhQ^TvwSKACVSIzO6=g2D& z=km!vDx}LlOoeg&l8lmHbLTX9ojZKy==HZelPhjcpY7<Zb-wrHk@{WpZya_%$!K(X z#f|500}rRZYU-Ncl$Fa^YN^;-^}A)$Jt?J!Pu8qS*b(yD>0Lw2Gd0I@<;zd@+pYP0 z?&{r{6&**vws`4o&3aXJVNMV4&m6ZcO853>sJA)vExgdXcJXgd<%R4z8+bJr%{sj} zH6&kQ1&587s7PyF|LXv?0}mqK>@Y~=&XUfRf9;>b;<ezO`*NN8QKF9OPBKS@FS-86 zF%G{s_po?t>~2-7npnl32R8f=S{So?cO!%CuFkoAECs9CC&^A-Ds_}QW>Sjs<x93+ zr5olhnDou7edD6zQeIz#nq1DZ6|B<|THfRFmE*T@$JB?%9`>%>6?fjE%InYeliRni zmiV#w@9$QQlKH)N{vGnRj^<jKRULa>gME_s!H-<UF-DpnZCAMzA3D8W_HV+q4SGhI zW*;_OnBIR|iX(?B#^6G>g}~p-xjqLfrj$!NTC|_)^LQMsd3VmV4N*A-m1_hSJyv$O z_w$kS%umXTT3k+RbuMMDcw95h!$<AiI<-@s!V9&29I09GL$CPojo&?K1uIo%3p)qd zbBc;_J6wzPQ#$z~>*gszO_MO!bU{f~^`~1Gt>nM9YIa7We|Y3YA<6DXZT#2sjqjB> z-=7`1YmKJYi7gtrVlobatG2wXa?<YXe4HgZchc6lD+ZI4rUY6vDy+V===B?poqh3r zSHr@8EH>Nxi1$D)<EFY77Z~(c&-t^-axuq|txhR%Y!eE&6_!P-actjhXd`R?L;IMn z3Fn%gfIzV~_C?RT1WVp_K9_sA_*41<%}bK9*R5h(cNi;vw34~M(xPT}<jLAdr&}7o zl^*`F3H!hv^Qya`&m{KP4)s%u{<^=5v9LCJ{c2`_X_&@=d{YL=i4%)nuUY7nXs49_ zif@z8ZBvtP8%3T8E4I4|m9A27%Xyksb&TOsbwmED$x(Md7d0}3rr3Q9%;(S6et4L3 za^nW4uiH)u3;pDr{`<2*z_DXVzPHY;Wm0(iW@_KJ*}iiW&kL9S)?^NS>iaKx^@I4| znej)C*KB6=4Bx!1hjks-?Y>a`g)g@qc%!zzdD<&eK^29^w)V^&?ab2+YvXqF$i6AQ zTg@?FNjGAu!HpC5*Ltw^|Cy~~RsUNlbN%M6r!O8peYtg4chow=Z_muOe2I|as=7CC zL-5PrHXg!O&2balq&}3d>|ErxQY!H3<e5wp?%aBECGz`{*9{y72mYJQzB()P!4)0l zr&=;RO*3j#!zNti6WhwPWb=#igR4}Q%P%M?cv2)9p|OVfm9lsDqn8{4yQaz~l)s(G z5|w!?^6Qpc5sar|BshFRXHJ~Qvo&R%en(L1rQJ>|9k;yFee}oO;i=kLqp77rf9<nB z`aBh$5Ev4+>9WH5+#4ZFofvx@qD4Cuw=Cl`x)5+ELPKkAPO=nZc8g$Q^sOnM9v)b^ z<-+SZkE=Pq3ma%`?yop>OtYZy)&28}^gkr3e=A_})9QaIF{5l>`{o1YYp%Z5axQjd zVQ@Aut4`{_dA@H!Rg>29|JN>P?a!DKrMuu+ar{fE^M{-L*B@%(ovxv>AW_6rS|!~7 zx%EVUN0zQVXVqVqu{Lgfd${o0tme~NoLSeFOnblG?)JMWzS-&evwtS(H|iOi+H12k zyuJK6sKM;^_Abu^;TA5{8MmK$Gye^5mF?8>V!60!xu@d9xgH9O4f!-BvwZt5IEi=i z#C>6vzOPdIVg}C*{{E>|<}<F}os%;~qK@Nl*Nc}M@|Ut#_1A8ykzah=Chg%jP0p@u z)4$J&uuuK`?%rYbHY<aSB)!IFvtz6VdkpoMo7JA*YRrAQJ<8dBRgq`g+o<Qqb?s7a z{kUQfW)Pqs<rkSGv~hzgo2;qKUG7sFisqJ2?oLbpZSglI`RwHf?K!8l?U#o5=dJ(z zOY_nXYwlNvqu4W_F_mkc<GAJ#!g_1g97h=y$KpxbJUvacSGsv$;W#|kP>DHF$-K9> zr#pnX<<S1w*$dx4iN0X{Q|!aJXDJ5i=91Qy%oAHwldqfZf4rW%b*{tmpp&VL`IFCt z$^Sk*DPn(S#*Yp$-wl7?@^;^mJUe0ia>a`}g5mPf5i*{B>-&58r@2jMw|z6srCr05 zgSB#nspOBzD|d7|zTG+F<IA#%`vjJS8Ql7|;c2A8!VTgZLwnx#JbX7}vSRf1l#1YI z8&g*&tEr#frXS<4>UY3t<LT(j-Rj%ACr&ln#U*L+rf8*&Y-o%?!qbA)cj6@UG<P;1 z_@=laH7)V_pSfz6I2vCc+r4X^-DTdtW(kacH#A&2ldd)2zHIK#jkCSk=PV2`oBnO~ z!&k{oXXJDODjZL5iPW#=oqztHiKL#d?u*<-I~xwxUHKi_)M|S<XoX<oslvlcHTKG$ zXV0tSnC$=4;Lf(dg1sKwN+%Sbe3Vu><(8~?-@z>h`i***UQ0bQh2{5(MFG<;2&NZi zMRT*R_&8Tr;*baDu6H|Q!`7|N^EA;iun$VHs`h;nUdZr7XNyQk@5$FWGRr3zFF#Z1 zbFMLbHDCP4-JP*k8Q*)i9G-aGePPm;#EgUfL0y(+Z_SJoFV4{W7NO86HS3Lp+J$7R zjI-1F!b*>>s+{@OR^MZ*-{#QhjUsQ~$A#)l>p#t(6<L#dVc))Q-L?8ZGZlmcjE=Uh z%V>SR%yn7E4|~Dpgx2+fk&#Q+x*hI2=D@KpwCbzgmVb-4PU_9NBQH2Xv9z0SRTan8 z{%3cMrms76u5a14i1H9YlQONS)2Fj;H%=%%vR~AC`iawmQC=}QE^%|Foabt|$W)Li zt?6~8+T!8<Z;Q9Tjmt<4?bSNIt<7z<UI6dh+70^z{AWA<`5#_eCtO|j=l7a-e@|MT zWHFr>nl;a}P_aeHZ?4Ps1r7_lZv2w`E2lHfc6P6ryv_kGtBn&TwfvbQW|g@>_QdU_ z`{Ebe=ah3S6A$>VlW>w}QUB3Iu3s-6Y<oNJ`K$x8I`}znZSItPAl8$9wISelM!kv4 z-3gVQv-T9XK5*wxcaTj`{App5@mJ^J7rtgGZY~e*8)o|=b|(A~e<RW5WMhzbJmbSm zuPGu$2MPq5j2bm38=98AD=R3Ko06lJnKiRK%vOMlKkZ#A>)V~Y$_t`<TE7|1e=+Mz z$K-cw6}dhOw{+-cRkFNW`ta8Rqorv#=6!y!=8WG8`NqdGM|K|BSlqs-w|v%~nyb94 zoP{n|vq<<b)PE|Gn^ES_lcsjYc6melq6}`kEZZkfrHr1nWwh$8nbRh$Yq6i{V^yF= zcV!%7ja23Av;>aMjL9pV+vCI?j_tPP(rNKH$eXLbNwDwyG!<KAxA{Mqll13XZG3-a zQN6a&ts`y%C7(m3CWmcrx#X9d=Phj7`QU=e8KchYTPyNkuxoeuu}w?&d;L6eil4#a zn~$2;6-u}sX5YFtW8an9<LcZm(r-SWDi(6>(z~nE0`7c@(u{lFD>>;x^v(%Sw*T7k zTDiRRSiJns*PZ+AKCbJ(^YuXN+?k494`&<`%S(=m+P-zQbIDt=^>ZIxRgJOa4(EIL zN@V>Uy(RmZB{r#Vc)o!zW7T=P3;t|bjmK6@XSOt0{&Ci#Rene32rCxP;_fnc{%bTf z(?4|IcAc~@+XL044H6%4vP((*i(UO}Vz0B{VG)P;rxVZFom9575tq3=-FlJ2iFUK= z3XuZmwpN`=Ymoet{!lM=)>E}(1sW%A&+}Q?k<P~vlMuapiwW~RbMsFx=iBp_IlZ2A z%zl2={mK8$jTJSmm2Q6BX8ij3?A<~f1#iB6T*(`jz&fux!u_bJf7Y~AGux`Joa6Vp zPVcXqtkW6$|LgnzpG$W1R?SHl+afC&%CSqqY5K-@x`%j7JM`X7Qz|>j?iO_Bq54z> zj-y|{W`5LOEB8(D;MI9jUH88`-1&BF(f{o`KCScpEO_?(pU^M-f!*0o0V`JTpLuw9 z@f!Q?&)iH_f2YbBf2j;Q^|3}b+9pDa?{NLu2Nwd_qW8>8k@a&rouluS`f;LsNccg~ zu-8VW^Y48Vd$c}C=W*?Z4-;aKHfG5EW>LA%elJ4&%u4ycYK)o@ipEdG5}eN3UTS`w z^<vdW{&h7I)ZU$n^>nJ*;2g^4KmY7pg;M?f4?Xl0e=&CKy!m|RJ=N2uZ}z>f2q{+T ze#J8-!fs}nhWdGyIUkPj8~0CeVc%YJtMq`KhH7EtjfJNzQ!JaO7%}=-G2LP<4~XqZ z6^ZV8#AImAb&xy8$j`O6nR)f&H_r-BMJcjpPu!fk`pJ~?q7U1H&OOs!KI>{xL5-#L zh9tkOGY?0-JP~#;{OPo)-8R`zQ})_u*KO|NT$`J`bo!b-`=;JsD<ygI@T<^@Zqekm ziqXIKi*Aohc6vQ+<F(Ua_w$eDhY7lznmqBK+e=#&r^)Hg+~;iG&VAk3o029y^Hq9# zzSnP&rh6`W+(mQkzrI?(SfQS;Otx|H@qIrRROQ^twe?B(Vj%ylY}by{mmZs(ysdCq zWYIh0<tydZotgFP@VS`K`Wuq3yV$iiulrV*zGek~__1A5b~nUc3LQD~B+6&bO!0@l za|N}h9$6drijV!9OVihjGZ&>wl`fMuYBYNMBl(@r^Xo#X3(gj<<5`t`eEv@EcUL5n zmZnU7*mAH>I@x#U(i^$59E_c3emG^T&R^gn`J>y>Ro!^|-Nzd@S^Kv5n7UjRdwr#G z*0IoeQM}dHc>N3&m)^K+_V!Y#uY9smu*J^rFKt6pehFnYcs4{axx_Lp(P9kpW)4wh z4pC*u@|>_rWx^_!19wjT>XtdNHdn|lCUIHltA7TKd1s%mZ~J-er)bDdmNL!q2}&6S z)5T8BY~6V5jGC`>_mn%COi8O2Hr=R8lA5wNYY$JC;#;%lT$bFQ^4Bal_~P|e7Tx-t zvrT?W_pD;RdvDWGwps7))<rG2t$ADZ!j`vX7Z~s6-OOY3y`J}-=fc}5Z<#W7mHmER zpSL~dxP5SK$P*R5X=R+3t~*PuT~ZZtdFq{FF0QzjF1v4?*cf(-t$o$`&&<KMr&-yw ze^)tq{O&%n_GOnnwD%`yDop-AuPJcV{Q8_6b(N~m=g(_J{AAH>Kc=cBGH1DeQ@m50 z!HT4pT=m-~l{#c@4U;~mv1@DI1gXutjNE?4o!S0uf|(n$RL!KwC9OZyFURWNx^RTm ze9oGW2OJ*T*=?LY`*mD?XxZahi+84*!}Bs~9K{msGdYfEhcFqiizh}_{yX5YRb2T; zh7;3Q>*n_Tmknb6&bYQ)^5O(TnOAc|6`B{D&S5k<z99DJruE*h-*o>Ad3C;X<~+f> z8vOEdg*K%{I~<LVblb@)KaDK8lyivbqp@|P<`;+A7AY5OmL2uhdesqEU;D)`e|EtF z2FqTaPbGI0|GhhPb8cPwx?Ss*UB9_*hg8^OtJj5d%sHk<>ip<tT6iM3Vas;ehTf|l zQ#4&Ir86ZKU33hcF_%qKX~##!YWB;qMGVb}QLD0F8YwnDdKZzwEK@V{yyKi%+{_Es zikqJgXw?5+#Iix~0kfs}mbX@~KYa@-58zizU1w1oc{1EBdtu<tNZCcEuXFCc`eDEC zW=G{7nVgOp_a@4iu&aL&zRV!EFs<Ui6}Hr0^JW}e`t;?A+ei0apYU?lst)%JwtB+t zh0;r$o`^^~pRYf`Uvqs?t$^|0*Xcs*nkxF1^uEt{E6sjS(f7&hNz+%Vy#D2z5cX)* z{$C+)71jyVatpcXmmX)~eI#vq{=aOV%p|)<ty@I-4mq#bu=hps+AmE{wuwF#?V9pt zo|BiR_NKZI?*EnlTMC!Wd*`roVv^<89a8559iAWBY*o99+kpA9`8OTWxXM+0iZ)V) zZmeNj4{9wmzuI)^ja-I=h5h^J?<e0fy$~>o3p#1GAZgj6hwhz1Ozw|t8fWkxJi6%K zt(PC{R+#$FxTJA;CvWJCYwHBQPUTspY?3C`yGg}r_G7Nbe;fbv?XI%((%Tg^<KodJ zj#1Z}j@2JHC$xxJHCrayUE+)I{u6<%VT(jof8)Bg*KP0hGxAF=yzyNqbMCFyMIrx4 z!34J6#|>W?uYXzft@FmY%pKd-eBF30S*IjP($VC^!M;fCiygwdZpwapVezkdu>!Z< zUls3%Jga>kHi}JYl#l$oslx65_uuj_L-MjOu}xBDo#^#1Z_%M-%}VWm#{x5&SPR0% zR<AnAwrZl2Ymei(baD4Nxl;Nu3{$G&8?3yV_*Y+CXzRX0Q$cH=$A2rc@Liuzyjo-H zSuiViar@4P9m1ZC_Ddfu{VsN6Kj#P4x!=85XH*q`UZ$-k8Q{iL!Yos_MwR1FZ^23- zCl|$a<+0zkDjWU$@O8IPzk;sXp*tTEbGdsby3IRyy)3_9b*Jpl(8<hCKOR<b@IAdK zfZ>5xQm4VkiHb`D4^BOza=xec#o6F%wgo>Aa;`p*>!h5w#WFbfxpA9>PX?#V!TIy6 z7?)|6`)<F_^Os5MW0Hf<$@*yT`q%&Yb39hn=`Y;pe(_IfM9{0qwfnr*&hlI7ZSh`U zV&Eg+38yzbxppxsZA0+4zb;z$Rb68FuWg&!xM9x4@Q{LkGsGfROIntQ<-GLtn($e9 z`r`ec)?7c7QMXG&x{*W5zN$J|{LZZJZ(g&DXfRq$>k!pY@a($8*nYDk+xqo~=ya8i z&u4$-dp<k8rnI%{y>6=4%Ii+<!U~K5;+Bj~j4g~||Lb#S=XNWoR0gT4Xt0(GZM?FH zp}Nm8^~}dRe}lYUKHn(n92J!1wNi8GvMIA*j5$GG$Ilu5n`l`6>fLp*lh^Xg;$1mz zPB(mRz4~VTZC73XOir(dJR5wJ@|M@`)<sNNc}=}wnC^aj&fTZ6%UVP{C%!tbs3>q^ z?!>!3jtT<eEKHf%0^CfEA&v?Yn3<V5a_!G9+Vrun_Ux<wYH#<Oy4XED&~Pw-D^$Sv z-}>C`wr4pMi_cjeo3UHlc=pTt=DD|X{ih4BGH?45d$#A_?2TvNmU_kr@$vAS`LTc7 z-ES#36kE<%9ygFE4^KPxf9u<A>YmJO%@Yq;7#JkXd0+os|Mu3q+f&a@QmfuxqPpk* z-T7N$!&Wbios{x^TZ*cTgoFh1l=hj5$!r>?n-|ZYt<ShkjisB3-D|FMiqHAEXY;BH zi@a8>TD5A{F01wvGv--KZ@!=ZZSFORPr9q0&q?mtdGDs-?w_?~(MCPDwxwpDIrHwh zxs>cetFM+OX+G!oZN9ta-oG!W^J>HXPOI_k;4EZn`@Frk&vN%^uYM!n>Md9Ex2ImV znxx`+DXq#q`FUA%KdXFq)U-v(=iY6a%4zlNjh;An3!l@nu*(XoPfS}=+2+15qQPOs z+D#6NHaRdYEbiH9{QK>+KmGmxCHHTZzGmgI&8RRV_0fSSF=Mt07J<wq3<5eU4<=}= zn%e37_4$Vb37L#cA&g8S3I_zUZeJ_wE4(6lUFz~9hNf1iQ96eYGIefp|66}MFM3kH z=aEB6hg6ajm*l?Pw)Xb6+~^hotLHMwJv(<B8~?7qopxJE$cgQ&BgaDH=W~+NdknYl zw!VLc>Am5zv-xk#mz{dJqR2vqyF5M2+*LJ6$Whtw*||5{Z#&tFYkz&0#@(A7A(oz8 z+_v=Cotb~jc5f&UJ9paN)1_$XT&DJpC0b5a9-G#NPh7`8cjjHaqV#XKd$vsVxTh&> zxqI)t_fqNCHtd($E-k=uT(`-gVrNCgjDi5M32S~uwH~?^Y$0>}>mp{RhdYcS6*jJL zkw{n<cI=~>6ql<hpO1$MZ&|cSM;VWU!paQ|F0F@xA{-WJq-d%<xUhghxFBPOM!-S_ z2i8d()0|QlEp%ELz@QPP=^-VjrX|9#YL$qbmY^_Ch{i-VCf24ChcXQ0x|w@h8#)ei zT%F6@SdfzwbNo=^Zfj##=a1LZZm*9&`{TZYg3RTT=t;e+rY|ns8tFPIcXt5y(^dBk z*XZthr7qr=Xvx*;RCun@;m6#CUo}m6-rShdYYcLG=r^t7w$qL^vM=6pvUReXYLd{z z1=HB1)c7JeIxNn-VH1<m;uT`n;uY#*V)tS)uJAnYAs}-_fK)FV=g|WJliEF7SePc< z%Kav7EIr-Er1x*#-p#w$#J&G7|3taZ<dBD}p5*)g9}T=8zuXk+svW=m$&!P=7b~qf zw`<9jmLo@wFhBWfFi}HVMX)p4c=O$D&u^E99p3zNTlTJ;vgC8`?$5dVbcx>TlE6l1 zmrW}+?~a+Vd3X2Go3Yclr*|Jsa-61qa?$kaId|83+@6%Ob<MnW(-f!e)V=BS;)dn3 zV{_iGN!y=)JN5R~*jbXbb@4Y%vvY56IqSN+EIT*)Q_X~w+p8{}Dwj{)@am1;X`}RV z@98U7*=8QU7dw}cTO<5>=+c4^O|hjL{(k#Ek^5<Z#8bVQf6Z=p1S-0n>)C()dR+cN zzl8xBS-ZVi`9%Ew@VV~{Ol3bUoF49^AmeTEu;9j71%bNj#xK0u8yzg-8l6)PZE@wc z^NP}%+`-j<c*U0wcLL5bun1)^Y!+uIP*C9OSZu-<&GnX1q=8LLf=A0p!a__(M@or{ zhlh_#M2b&<r@ig5LxhSzyUU`688`MUyTv#q<FSTK+O2w>ugW?La|PUv2MB1o+kNM; zEz9{<YtQlNKvJ_ovvqI?%jTtvHY+~fx=(PW%z~@$w^+*+=A86i+ZKCh*6k=ShrHk~ zY4a!gUVqZEY5vsSRpA^OpWWP34_q|%N<05_zw0wov%Iv|Z@*i{Y+_;z%e?th+i@>b z$^+r6Yfe1i4e$%#zvU#t|I;G(&9*Cgc4{?O&P+UP<mlriy<NUoLM&6>LZqPV!VK}f zyO-SSY3p_RH2tRUU%NcZSh1=8vv=ikva%@nTPa$p9Jp6?aM3m<h2Qh#Cbj<hvHNM> zqDS{)5**z(++Mfbv1-b@jy1VLoGP-F$08&yXMT`Tj468Qu-)-oa^Z|$GXAr5m+mYm znI~`k?&|g~@AsXleS&;j*!RUH@&3qp_0s6=@v~Dni<ea#Ie#U%>vO4{jE-se-4~v0 zzl=0LOmvgv<9V^K@8Mq&iEC|MUz)<F>l--68nwvZeerYdY^Os%ZuR}OI>r2fH@<pj z;Js%q?Liiv)=b;<+QL`PRBXAr=a$f_6a2^iH2iz-wCKV0!!OhmV&*+(StGh*%8Qo< zGyl$*V$O8@C0GB>$594M9|Vo3O<Ox-PaQ+vgUQdWx9SLOlhVE{bE&I7pwespKJiV5 zck@3zTz>cHt)tiNG;^|M9QfhC?YeSktMvS0jrmEN7jW!pn0M^o_Z`Q#|1__ZIwF7J z$~2iPf(;Y&-<(}J`@qUw+WYODHa}j<+4p@i*Jbyj51P408sgM{u3a_vk?4i2-02dI z8w{iMXC@@cI=_%m6zqs=m3w*9Nk_e*?^@UEfW^$lIWt$RJytjMu>35$B#u>kd@I~* z^8b5TvA)ypslVK<-=ULOcxJEC-qO~WlCxiCm_F6k=C-kXP+om-@!u0C6&&rd^!qyG zVs_}xof23p)#Xrqtn1PViP*d6dtXV;Pw7@ao?iNNVFrUn^WJGcUS}H{zo@y)@RUdW z$_)PgcaQlt-rUna+pS-5w|Lv)Zq>b-w+wtUI1Wh^8HZ&)nl?>+k90;?e%9{I-^E@3 z?@?`-6RKxg_Ut)pPk-aYw2!B6U0IrE|9Riuc8&dF6U!78WS7o$x^PpePBZ4sksUg- zH9R%5#HKcP_FKL^^Wk{SBZjS7-S#go{dlA3^f*~#hh8^_W7#rap<>&ZNXOm$Ud0n- zqXLVbSHwGu3*W5reR}1<jQXBN&V$=uT*(&LJwqX4Ut3*RuW|I*UvC%1hwn}kzkJZZ zV}s47>h`~8U%8Goe!utaL1J^^?CWdm^?Lc%EyxS!YZgp?BvN&24)ZVR03WlcM@qB( zW0WPOo=Lp(I-xJI=l}ZUdB@f$uoi`WS9~X~^EPnN#WVr0maX<0$Czq4C(T-Wyg}G= z-9o>)7OY+_9FO#+{93hppOnfc_<7GeB(1B>(8ew!^s;1o)Wn|f&q`HimRwrIBdis6 z`{|~lo*R1Ab?z=}dDHw?1Wj0`rSYUi<kW|~@i{eR;YW}9WGaie3hE!q%keASs&z|q zcm9Ue-j^eMN)7JL+cEFWo`{N^7&9Z8)*tJR>Q>xj6){c!@@2sqaehg5sU0sOZnj-e zJM`Sy!BQ*Nc#WQS+mhXy_oR}!<{PEGoTXHg%Vw04S{u3UFT*0X-j{kN$0i#5=g-(_ z@!G{k$3J-Itc|5+oaGbdJ&@Ji@piVcf!dc_{2wIwR&}PdADp=F#V)->9Uacfk3x$# zU(T5`HM?8TO3qnJ<zMB;ez(5GdQ-kM)U~zDy{!4?s?$Qo=&;@orv+VfKF?if+Ufme z)>f{S8hUvwQ>WP)vo6T1vh4a@>^153k3E%>Y~JUk@;RQ`CHL~eu}Ol=jS2-)=XR~1 z(s`aq-}=Zb52;-tW*3c$xo6x+m(i5HY+md&lkM-~P2%pX2RrKye#?H$kkVzj`mTVz z;>M`^zu8*tb7nnLlDw<=ManUeMeO(q`F~ukvm1BFd|&+V-X_rtM~r9AXm~EdvALwW z{l$%?{!aSL>`hkk6L)@Huq#x5;;Qvkdu=P-56<#aFj9&zSnPJo!tF_@WlP$b6W10# z>UwfYsQl5CISW_<j^zEA_Ej@CRO{e*4=qi()-`;46(@=pWjOERQ23qRefLd+Qt=hF zrl!M-CKvw6?w(t-<%dq##F)G=#jJ*NiIR`F181ID%jrKu_V6apvZj4Gx7X@?7IV#i zH}hG)vw!vJ)@LuAC&V+dZJwmnxJ`Oh%!-)C(<}65bh+wWd*H&kp<oWrp4TxO7Oi&u zx#W1Wvm(d$A0D4H{<G{}qb;*&9qVe#lZ!ladis3st-qR-srcjelMlrYBZJraO0s(D znoW~+`J6Lxk-<~ZQ$hVKVO*WRt&7ez%y^j)xtH1X@At`D+TR-1lol$-u;<nqSjrf@ z)?M8r%Xj>ue|A>)(+BUhmA{2~D16@ZV5R*1wYjyHEBEeoiaz(*VEYrNx91M~?Otw~ z=M*Tk@{RKOZy&RqYnZ&7-aPGnW71T1<@>H6u3-MJ!u@~t9NV3G@>+h<evOrSJK|o3 z=LML~yso*t-1x_>PeB`NPxNIK9J?!Y>tu0j#x7^|9IxHHyWK=RF734z@;D{&a=LBP zR=)BYwbk2~zmE>oZ2a*qhhesa?8|7Kdv&TFNv@(z0jwTU+bV7yocaDq(JQ9>jALIE zSNRk(YMpr0C9%`*jC<+|Wv^*IntJo~=BAdtxNzrC%f@t<!bOXxY?Y9_Q<my|g}c#( znX6fvHP}$-PTG-kpM)LCr=I*`b5XZEDs7(kA?~oPSzIErb6cAK)}D(#aXQWB@`K2L zq@9kIPD__h%a!1Ll-$J1+4Ogp;f%vKt<OI?K2uy>v8?AJPokK}>*{C!xK{S>II;KM zq0M=p;#Gq6MfPN|{uWC-d@OsPbn+pt&6OUzUWu6B4GLd%<=UUG%`q<@U3nK<p+A?| zVRe_T^@3e-e^wvTJsWlSpR~J6+bQdVtjhQMKP^pKb?o~-{=7x^;$EM&y^?bO{`)Dr znT{(w+#n#ar^|nVfy~zxt>MQvDdz0$J-S~vwnNcOB!1I`lv{4!r0w@AP2c|Rj?8{W zE2Trx7kpA~8a8jcQNKw3{5pwGE21{O+Ays+>fGz5D$$cWrvG}iPoZVs(*^#OSJbY5 zo&8_*!pmKir(()-?|+@mBXW?jso;Q9iZmnh#LBZfF2pVV`g~vH`WgQBwGQn|TQt?7 z{ZQg&zkBPoqIa<uhlX+H&9B#sRjNNWP1Uy9v7q2r!MV4I!96VBCFiuBIdE}hxA*#Y zXX0*jJ8n5YKb&Qe*{;VI*6#cAefQ*<-Ftb~7;UbP7M$i#Rj>Fpd`-*&{S8MNuder4 z@;SVp<=8S-30|KQVW!;2&Q30Wv3}8B@i@c9?}PVt1)f;)J3Q;d>C%}lH#~h$yc9SQ z?D})UgBcvx!|qHAbTwZi)BSJd<oOx*WZ#N%u2>-YyJmU#+kB1GDCXv4F6wz4uAjWq z4el?US1a5&?T7Z+R*B2fR%yn6c~eaVO*B7O?)5m8S-;&VpiJjWjEm5Uwdb6+Nd$j? z;2+gn`@U-KmDzcfZQi%8aNJKwf4lSd_0tie?<=Kutb5g^?jIPCzPdDib<mqPJ=_xO zm6a9uiZ2Vi^KHiMDI&*~F;_MQJ#W}i`?4%}<4sNWKO$$%MCZ;dlfF=O*Rk41WtyZ+ zLCQ8wwKbxN*NQe=Ke6YV=CV`|*N80HwoksB-d_&CT{b`doYDF7c@rk&%%7{K`?qDw zg8hyPu6vr-EYICBy@1JA&s9M!;}ZAR-G>AJ^2UGt<Ujp~p6{!5*Ys7zcsu9utTi#I z^Zw56GGSph_qi#azjSl1T1LN~8geA!@>H?cr$2G-^cVl*@o_cx%af(<*;lWc9?8#C zIJMusG9=LJ$-gtb6Jy?bAHRGqZ+hBP=~9)wQIAaIS8AB8do|1M*MiI?$vrBKHHXxH zFdGDGZ3@05bT++G@F#<R=aLD|@m~a8*1ON#Bodg%vEpid#91e{pwMmQJmD&}99n+` zL#hNrekt^1%n-8Nct6E(&C=U*v)X2@7r3ha^}^llsq;dzO|ENdZ_i)7b^p`_ckb}1 zMb-Hn5WBH+ruma)Yxiqa1pLcozO(ubr}TmC!nNz}@H=jbZWhf7|1inh=H$u=*9&~~ zvudlKUz_kQM(4ZP;yYU(Ha=IZv=Vyk8a?0Mh~d8R4c+}M{JhooZcR?hmC32Rc2BB6 zA@GsRYGLcw$9A2RnHt$u!jK;t^-#s^$)qx`hQo(1*BNTO|H61Z<<;qi2|niYKOGeG zKehBh`3Hf2*)bmK6BzHb#_WDteO6ss^l_>Aq_;Be`AZu9XWqE5nx|35%Ie|Q^R?5L z`^QcZ(|VC>5cKb2*Sqsl95Mfk97PnOKh@{*Upe{ZVdzIY)BnAq|1#S)@02#Jy35y5 zYr2u)2$OO<_nv!9#!o*_Y0#7S_q~4=tJ}ZUq62SNwp9HRylmgYc%Yw;r?_c}a{2d& z3#LCCf6l(dy&ya(vbI6;M@ak+#pOry-+p{77Tm%9M>;G>ZpTU6`Zo`LRM|h6{i<x* zroj7line?&orE2K=af8r!Cqde7I;I#!ISAy$LffndWZGtNn1G5&!2zR+QDUcRaM{m z$F0xDziBq_nSS|h%C{w^70c^56%O6DmCd<dyNd6)w%mgr;b5CBYJF|zgVmzz*E8>9 zUvO>xth`OE<^LRv_AE;Lv8=Ij;)(f%vzw!&J%0aNb4vW{oc7K7W{+c6&v;pu$U4c} zeD5hi*A2Cc_qY7p+|6>YVcHx91&R3!N@vGe^WLg2`lP<g>q}?piLQ*bWsyH`7*DHp zi9IeL;2Y1oXQA~<&(k}+9!#Ft5d6X>bNzY$Z@$5oXT4c?zhg~}w1)WaQ~vQP>0ds- z_J}QsW&fQ~{Ej1@uO{_QguJS@M9|g?H5ZS(@jSfq<DtDPIYjE>YY$2VY2Wp{=ybX% zu6<kLZoexvqP5H8<@Wp)eS7(WW7R&l^YSJITz6(3zy9IfBTkiN2iJ-_#xHsD^+Dsw zj!oT;%QV+d44gB6XVs5PcE>pnOTX*PK0YzJ`FXi*q5BfEhnF@9&0-6PE%QDr`9xxO z_=X?*T-~mnaVQUq65>mF;npO<f23<c;GN0QMmHRt)YLSc_AoAHQJ)#C$#modPiK3B z<GfR|Z_W@i?hiliZtSx)#cT58N@L~9n{Pin*)=o7yk5+H_qS_SS*eo7tL&?<tuQFR zy6K^%wQnHrQZ3FFx%AB`-pSH4wbX7sy1n=D?w^-mOn83MsHAeX*>!71{fy%`I!%nl z)~Y(W`@H=Zut(wUK4-m89mUnxvR6D<rvHik>fOko-zA9~zTDLJxa!#J^?%XXrwI;w zqXk#(3gP&kdUdJw&w|i(yK=sL&a1w9v_ddsPD;$Li&9(i&%1h7{@m&9X==;<LrlzZ znqHg5#aB*8e!9%b4Y{#Tb=D)RPfX6Qey8&m*!0S28e|piVPF@sT|J$p{h!07ns<Uv z|LLZ@-n2Tt$hmK0{=%jLHzkW3OT+$XxORx`*-&-Y=){V$C7cy&51rjSZAbHWtNhJ} zp7>VFUfE#cn7FW7-P@dPUjG6c=VX@nDV>^YE`~j@N%Hvqva{(=bKK^>qYP7n?oGe+ z`fp44^qZ2}*%E&7zxn5QJou8slDfbB&BvRbPt9xI8Zk<pO|qH)lj*JNtU|T(X#vsa zx*MgIu_bM{yLI8y6M^dWHmZL&>YkQ!?^~_x%c1XE<iCEi_KM60e99a7u8F*Vdh!0r z>-?GXPr1*m)nYFyTPbtm*78pg&-7Bmc<0MM4&BfhcJuVEN$o#=ab0C+I;3FZD<P5X zq2n*0@%Yd-^Dn~F&YYDvB6Vlyiq6#gkFP205?QL#a=L5bUWb_V4%@kGkJdY+=B8ee zke`%vHU8u3>q;$QhqKCyTpq9lO)GZ(n)=D$p;OCb=Qq4l(&qnrT&Y&F{!h`Ze>c*N z?-%B!vSzN?v;NZkea<W*hj~}6__2#~+v)Bl$#VqG-(lK&>}#Ct`?oLZtIQUjzs24* zz2f<1<FfPLS-zR&EH$!N#pE=7C;OME*S2}J=e9`IO)R^(^?l$$nfhppx%roV`Aoad zRsQ1Q=aujF$jb|D`MufU|FTbw$3>sG1pR!GDC*dx%5-Ckl)vHhM;cEY?3cVg_gryF zC6_HbtIx^>4ZoI%KRKLrci$X`;4P&er}bZMEt#L1>7V3t+xp<ejxwISfF-O)*)`0Z zRT<j3g1_o%->7kz5xH4<U#?o@45d}h3A6TWl2KT1JAtv0@soJuZ$s~tc6J3d6~^Sn zK_OCmZ)Zdu{>yk?{hLC#l9}S-$|>vQLKi56YWJPDvuSYuFy&mA6}NGwkJHZPD|hlw zuPh4opS5)T?D%J~ht0!onkOY*yY|HI$DHka(cEnlpK08iHb?G$nCA0cHi6#Rb@F*- z2K*kc^e*!|Bu|mwEGYBB?5~=0x!8?eEA^i?aCquWnZ3<y(Hiahue>TAD!iIm|K!-l z{yP>ol*5=5iVs@rNd66${rh;`YfbfRfr!|EO?NAvf6VIp%_F2xyfyH3S@`q@rV9BY z>8)QU@6-2P(3BFlWzj}Efi2>$tB+28bL?|J(^=<So-fh!UCvC~mh^Rl?&qAwX|vii zZFjxg8g_fO!4{*Av%Z~sZpB`luU0jAb2M}L+t167d|veD;D@P`m@{VWX_|P9L(3#_ zk=K<YSI!<)pS&@tvT}nG_q03JAs3gumD*EqUH(k7>N^$2%**$M9jdo}=HW=StYO%D z$?KE)vH3Q?dk)pq%QvaVJaX*TI<|S!yLKZ5(};sRo_C3#j|u0SQ08HqfBn*YiAxWz zGQWCeGE3~pnuWHz6@)ijD2@+|HQ8ADh)poNedf29f{Q<YOA3!=ZoU)HBlg^^rd(5b za<QC9603LTOYy{c<(1tNY$CKiF-+fj;MmWCSvlDT50av`a(6NOK5Z`i`B9dg*Yfqh zcP-)g8M*6<Y?$|n%*kCR?{*zps>6PF<$jh=+iqOD+|8P1-kNZ8!SnUErf=T$itlig z-fw?r%jl$=Ry`ZGJQvV)d%XPY&*jqT_Y$j2zbgi8OvsGtVt#w5!OEj@vBEpmQjWWq zCujA9OgqxCYDV2vP2Z!PbyqvLz4D2E>l0nLEcdD8n<*a(thmi>-&HKLw!fUFc3)#& zadCj6^{y9zC58?vrYl$JYyCFhi;Ll9P<ZB~oyhXl{zm2TsXsfmOI@B@e3K>5f1lXG z<0-fE*hE*~%wm<h7VNh^-eLaNC;yJ+r*!dXKMm7JuFL+a)z`Y<#WU;Ue@?#YinrX= zxjftR$Q9*95qm<bxj2r_ob#^U$?BGnyYOlD)Cte7Z|ZbeJ(J1ui{soK6{kuxHmkQi z^SGkRxARh=ZjAQHvpc8C=q_acv@>Oo#kQh7B?qV6656oC$DwXo&{O|C+y1lM|Iig% zKFjQN=gpb<iwnFRzFlT$*5aR?Z$Ihh{P(l=FD?7(di*`#A(2fyT=#nH{@wY)8TZla zip3J9mxiA69M?OF8~@+7Y-h37e3h$5RAb&vDxbd0P4G*~u{p~(DqfZgTk;`}yZgvR z`#%g;lP6y=OSmd^^m47S!8^6n>lgpK@X}5Dg{np1lXk8Yv6B^<?knaTymWqJf9R5f zvyRR2>zy`lcd0CYhWD}4%rR-!=NGL1#d@mf@;5!J!xQ~j)^itM4n115JyOm=Buew= z6cygQWe&zh-wzi5oM-u_^4tuOr;%6AKPnSojV}LiyJY2c1N$vI)dbuZ3m?hY{pFXs zP}a{2+$T;o?s#=G^`mG0FCNGB7nGyU-jd#%Aye|&PRv03dH%GJ^HYA#J|P*jEB2?f z`-}xIx4zT-BFXHxZ25G~w%At+Oka<k=aY9;+q2<i*n-cdPQPwP$K^fXSo}h6lC!}T z#wAC8?P5&b`aQ5b<iNRWiAf<FoSTHi9#)*2rYdgBk@O(GbDEZ}9N*Sk%Y_UIS1jLq zZ27ZgB{B;q=vSPcJ?XK)(tk}`_ZiC0xA>xY@6)l8m}e{EKVCCedo0{;cXaEXnSJNy ze9^uJl6Z7s{f}weBZH$oRXk>J?=@a=W#`wKH){>e<UTb0nR~fxL;mq5$BRwXXC-tc z#ed4a_nVWjhn@4;WLHzsnY+cs7^hFMY@84=;i#T;<Mj5zc{ag3F_xcIHn^ppp6&Tc zUx@j?=jObBN4>3GKi$x{>m8{i(=atk<oHE9%X>UJ8@T1xw7e~?R6TpGV6~^u#U8Qx zw>1+@{lB_>bl{V-i1gX9L99^J_c>!)aF_#2ZWXt~#8n<Tw-t80`o{IDG4mJKiZ2dL z6S`NNR&)w(<a${kz@xg*S?Qr@&(xJmyIoIBaXPy~V|C_fdG4ymX3WhWlrA3V?vpBW z3Q>y_(YW=7&r5u9Lr!J$gA3Z%7@`;TEAI7|T=Mgt6W@HvefrZbdVL7_Ht#|N<5xMA zF#g4ldY}53$ND5K$q99y6XAP!{k9hAQ@4zwrXQIh@}spt=XH*<>9w0~lcw~x=y@*R zbWVC@tZeR*C08<jq{O;(eJ@xq5c9Zwsp2R7iaE`uZ&vQ>zF@H+^2?7a8(mt3PP$*t zRB%2Te$PYXWe10P&bi(Bb=Apw;j0CHa0*y|+E99AgT0Jk35U#*kc>0_j;(sKXB@k) zm@hn(Z1>Op*Fu$-xjglCF;lua1nqeWxZH}f{f;y^E^?gu=wJZnrJMVIiaHiHa(PA^ zf2n=kL_vAl`=<*dlL}=7m##Q`KF51UE_?eSy}~0#?ME#yeiD`YaIn5a+dD6=GL<J! zIpFH??x%8(jY4?#cS$)FhbSnev8$ZjCh&Gboa|NW3n$E6yZ*j^a%EE9iWP^aCF}mV zB){nLot(KJ|312QOL)#5&i9P!hdgG~70i3p`{r!Iy!}PK(Z7|~@7Z(ylgKec7o*Iy zB~I;s)nkH8gBrFkduAgv?b$=8Pn$m7Wn5R8o6aC4XR>|vlznfq<@Yi#to`V4XyNjQ zDt~Qx)xU0)e0eG~JIgaDaE-64>wF<m-5)J+zg`_k$w--UQqx7(ap&&!4=veWf1RoL zGQ_0%QB+&rW5zD=b&7g1Ela{gTh7dxt>5-J*`xO4oej;><=<}1;0flN`oJUhNSv;n zk<FEp4%=lX#4g(Kwsz~2Ytn&*Z+02DssBt5|8QjmPu*6rM7^{2nJ>;yEWB<QP#UPP zV%<U08Fq=EzZu!q9zTEie;Mbh{WCP)>WJJr_P6hc%Qne-iziP%Q5lmF8hC%F`mJ|| zWjPHG7izIveK1@<<F?UZ{Vf^m+FmTscAl2GFj&am&baH%wXTwvQ<eNLosf`UT)n&0 zC3(e#9Ty!|r@OzCxL-TvUs*usO|7}BR5)EH&9CvhDJGgxJ@fG!pM)nt3&M&PFUoI7 z-L|Fa@@ej4zNZ)e$*+%ixk6Ujduy!qvqr;zXARCgezM&Ek=G;sck4s%zWx83_i7Pe zzJ5hR_?FcxkFU4gG+o|rH&4PAgQp9O<&-aVoBZRxJ@ZB34}LS<z8Qgwb-iBk%4OeJ z^d)Y0Vd$*7+ABMJHO0;b_WrDjZaMFr{xP2Asfy_CX>l@j+2Vq8U$1ugWH0^2ZT=L2 z>Mg1qF#%t`+VOq;d*~l;{uOtN4NS(epSVvgth;zj!#BiBA*HvaoXzRq%FOA_ytcI$ z+b^?x-W2SxpJ!`OO;col)e~mLxCoUmDlR^ERrq$DRFdJ0usP!MFhVY%R>UB-=^5iG zcf-lAcdg~Wl=tI2v(S%;GKGmZzg*qE;c8Lg=}Dh{UQONcL;RbV_}|Wdw=O=K_Pl1b zUPe|)Rmih-U446R^_{)Y|7@Em>w#%8C-mQTFO6dr%FkI}{_5m2wn&4M98bd^f1Y0c z;NP_^nq9Nfr#x!@l(Tc0_B2&brY9$yuPttSY`b{IMz?+S3orCFn`Wv>I-Tj_%iP|x zF;$K0tgEQ0s{6uDzVp7>i6?CATa~JNAJp#+mFtkP+V%gE)!FEs{Fe@${k-BkXPf31 z!_badZ<Ds}j@-j@_1%JJLVgFfbEN9Nm-P``r0`lv;*#=3A=#MUp{Xv*qMNtxzQAA= zuCjU2*3Q+<MqUr??PHsa&fmPyBgXqg!=onhlz_~kqSB3DGFF97|IgCv|De8e;i_%> zUxn#d9`uens(M#O`OmMV!6omC7MBD*ZjF<(d>hcdnmb@Scbxf&1D;oVna*&{K4Yc* zb=Tgl>l329ge%?aH#qXju)SZ<!>}NisVN|ealu=KjP=@QziT>Zg&r(bf10iQNoHcj zhJx@7Tq#-mCOh9>w~MXw$;uL|)?a^A?rnJD8Mx@pLe9{Vqw$~k1&(j;FrTTK_D9w` zU#oGtNxS;qNjKzz4^>av=GygnbD`wCUlacCEc?LsW$xoY`<QHBKDhYm*W~*5samUJ zt5Y8+m>BNlC_nGA|Mm5+|26L3w&7$peZg`1#tiM>F-NNcoi^5Hz7Q|_FKfVfFz?>X zb9w1K()KFM&iBL4Wtw))bb7h<;gt5<0z5II&pfOyvwYWJcak>#G*7YONZQscw>ACx z3*H&IE;Q&&P^)C<vdDV$VBNY0fk#rmiCsJXdcEW7b8HcI@A-5b&p4ECWY7OsdQ>~Q zW7hiR1xr63HNN;;^t;Y3ul?K1CKn(3W@NExk>-(Ti%{22)9ou{E~snV{5{9b<&cn) z*Tf~aPMqa_ZM~l_<R$~Jc~T~`=$+}#dj+%IUf#VOerBg<*3P467SB35yIb(vwROfO zhEFQprnNk~$jIHnF(b58@9OCjlE!Z5eA(U|vPtmFEG$~nsG`X^;edYW>6+kYRpn1| z&CBmPUF9+FGjyL`Y4CdO@k3h~{#;@zNHXbszBF{_#!2sfDc2>+=si2Q^Wv*FD)(wF zX132bu=E-4=edE4rH^e7TE0W-biE^+W-jBZn_Ej5`ySgK$U1Z8QE1U4-_&2+JN{>e zKG?zFFMe@rZi3mK&kVb2r|>HVbWh@IVYrpHS>Q~KS<b2Xl?ld+!fsTbo)W}mD}MG2 z&#dPRyo~P{O!_*yIy&5RWB2{umAku0)-xtoX6N_yo+;~6x8}I-iOkbIo4ecndbYc& z=e@_za&>iu<<xk5M2?64&HuYE|9f6^-p!()LObWlZr6R3n7{gq#i9=OPAF;*3;kPv zdy-4Y+|~cCzn`DBDf|1@Y5Je_CRV?Fw<GuWo$!;Y8>R%UTD9-cP1jp)TP6g=d2I~Y zTX%n5be|AcPiW}>{M)(SlQ@q|esZVJOv^IQmxF<UVdEC<Nzb+!8W<SpOxqA4aJx@M zb=U5co7*pzZGX!Z?dIZg-PS<y;kp#}TaBFiUZ_l3vYnmBhKHN`>a66s?^uL*<~TGp z-VG|UxWw(gw5Lnm#gU7}vPmJ_L2Su|fKTd1rpJ>Se;gB-ctW$6?}@{!01FX5x#w?W zoLdyQTqPG5IAz4uw7zINq0!+tRdLbVClTKYWsW;8du-7sCb}}9pyENpfvnqnu9^Y~ zuRa`LY~<`<6Ik=>?X;YoKhN(p)LHuVc3!sHcJa0AR%m6LL?!o{YGg)FQt<>Kp-DnU zmd9rVt<v~;eS>D^$z0yz729^Mdi6j5cHZqtD%;OKRg+RsxI62oQd46GdwbBTy4|XZ zQY#ds6lD6E*E_AMn3osvFMqqr#k3PwWY`)r3Kq<od?6q!a?;xyo9=kryK5#?`sH`7 zr_Q9u+tlBgXHL&ODQNk~>{7=rr)zJfExDEB9(r|ESZL_hRS}`9fBpY;I{)AQ|F1#& z-}7&8%e}p=7DSeLXWzQKE%)}W+}qo7y)QjEspL6H2ju!qts&C6x3?976kQV+JFFWy z`|Sy~#TN?}U(A>T@!yjfLEb_vM_9F%u37bO{<hoGR3x{poibHatUEOH==|sLYCZQs zg4@^LdARGebD>P|E4$-UcAb`8`tH*W$KwsjUU#30%|0y~{7P^76t5Mp^uqP34>Ge0 z$l17<I5^BO(3hVvA>*XXj0p>ts9ray)qH=uY@tr~HbE9n<(+F|x98qAx-qHb?zHdw zr|&v#DAu*+*WcS)qqn8H8_hUpdEDSt-s>WeZH0|*x6Li?GdwmW$Sd^f|J!A^Cp|e9 zp^%i35OQ<Xuin@vd#0Wc2wZrv{{Q~m-6}iZ-YBaV-<|7O{Dx=l3f=tswx!XN%vR^h zot#>G?NWJZrbp53n_;)g=Fg9Ho)>gK>h6m-GRetanFTweO55sh+v@h7EY)V~e)T_h zcdloVbn}Y})kT7Dm6d+aR=yp5I?4O;r!`)m)F=HvF}ddC>=QRrayRMS>^<>yhxJm! zrMgQ!R&n)yU2%lJ-i^V*L4e_~1cS)oM1#|pOf)5z$cZ#?aI>)pi}Q+b@yK)WaIvv+ zaIg#UaI<sqbvY+C8p!amJ0~-p(b{5Xu=b5%-IvrgS8s%5HQdyiyMe!Ft~jU0gZ7mv z9f~|#roZ!YQ!$>)P*J*MvXtH<arrODPu{-9vP(58QF~?h0n0nrBIZryQV%_%$99cz zaaypkxzM_q+`e11WL76JI#g#BMpc#^3v%pXE)di75qrEsyWGdHn*YeBgSmZ%|HF9} z3JLPx>RZ)$&nN20{cZ9Jp%%OO+h521FPeR`b@j#IZ_~Y|tx+o7^+KRwsU2HI=%KIc z1I3S@J^%e?$+h2G_~yUAlu@)SWn*{peYtj?8z(INepMe<jC$h0eB``w{EEE$$~@Qh z>U%8;XV6f!Y>sAJmvhOcvEkDPpZ~&JPCII?pWtd$xkBkd!ICq77>je_n_n;fm{QiZ zZj+F9z@Psse?9y6@c8q{ZF7Tok4(6+ZdI+cewAHn|A)KQAxc$p+EddcnmW$R>?&(N ztFd>t$w|%WoS_T;PUA}bd_cv$|KVzZ$4f8!Z)a&=mgwDRAzgd_%`9(uzJGGfd@83F zz2EI{@yR*Xs6&g`SKLrYJpP|=edeTM-_YQvJZyW!7Tl73kZ6B3oHIb}ZKw4=?G*Q^ zbH5zzGuUh`;4<UbmZfh`aasIpcV*aiI<0O>TmQAfoiYvAchBkh-z(5y-kUwmP++Iy z_4YrO6I1f$`#m`slN2Z2BD3Pc;)<sM`t#pNJ=_<ursGLQU~GVGZy~$-%AiZ47eiNd z%uQok`ZTafW9khzi@@TI1!s+(UvuBr8_BmdfAL`v<=uxr?P!@&6j1%?5G&XH>o!Z5 z#NBf~^~)?&pnOxFXv>{N)pJU}9CGDykK5t#_JzK<rcC8irK_9e?E)%3on~)M){j|G z@Mfyb{8>!;59)jtIp1OJop6mQ<*7#1lf>gOcPgBfFZQ_R+zt|%^1)!s?z?YWo#q+G zCB(^B$=X#}FN!ru*p&8Sxt-vzo3q!n`x`Dd(X(4rCg>8qF7Sy`yxj%e{X4d%venge zFWs@-L5kh*gD%sA*6<&*RG5{yt~JcO`+IFuGMl4U{2Ysh3I66Uk4r>J@*n;5?VS6C z5Q#FAJ*ms0;%Dva5YV*#=Y6{TV$v+<+bORecG?#v6t}+mmtR*{Idjd@a(*?LFa48p z!*yl{rZ<|pyYffuTK_nK@$hc3B@P)?%4u#n91gx8zb%)&;(D^ze_N4|X~3Nr<_$AH z^SxSf>id?A)Yv`u)?3YNWRc2Wxl*fj!O0(C=1GSN8Z0b62rs`eZ$>futO|Y+sVyl_ z^j7{q#`+>!_hyaC&kb%uzq#a#XYgz(yd@SF!l-_$?UG}n&A)lCZGyD!`$h2<y*d>B z?);jYZ=L5K(w=bj&^-5~>5um@i{zU)a88>hBz?|aYYK}!dqP|x-+zfiZzJ=6`OD=0 zYFMeXv?$&*`%aYVX$^-*%;r)@V{@#HtpCI;Eq=-r<k-pZc-Q@t=M<K?s;O!+nsfLn zeQtDf+rp74S`hPQ#^jHYwnf$2ds=nYt>O!C5O9AT>UK-d(C^td#n)$V>U$W6g>(m> z+B^L~wcyMA=083PCEGHCufKeEsC9YDJvDx{|1ShYO`4nyYUVm0lRWZF)U-&0`*rs# zAIW{j6|B##epR)|yfc(2ZZtkyr=uRt9)Igqo8Rr{`fZZN*Y8Z(kslCdu>7)%`uWw9 zb8<OD(oCdR%xb;0@LKh|;Cl}_e(d4Uc+B^$yivo>_Ru#+PA@0l|9jV`EiRg1*P#=9 z&Rd*OepOJsrTOLdAThr6t>P@#W3zn%dJN;V^aT#xZo45}_My@}=KEB4`2(l7{rDrz zx_e_cyV<@CYYL~w++1ZBE|GK1l;dF84dd*+BF-`f6Gi6f{}Wj<k!xp@<*DNwU8c1a zp0fgXUQdx-BAvcwap#%Bq&o-M+G6=WALCy0t?_a2UJ+aQ%aeTUSeO0{`YWj-wCz|= z&R!d}1;M8)nC4z`6TYxA*QPn|tx;XHy+G-DBXi%chJQ6**j+kav|K+)`uVd=*$cIX zN!4$b{rdT7YDQ>ry2V=MTc_scK20gm=5#%KFVaip?y2ebP96Rc7MGd#?vVc`hVZ-! zq3=IZ?$+Ep@A{-jNg{kZLu!kl*E<W}3m@X9F<lO?dUwLt`Qq0rxr}vd|MAXT!&~rV zf#%UgJLX-|EPA@%<omC{sB;I6`EE9Ph?E8OGK!V3I8Xd%`uBLtm)L~{UdqCnZzVl1 zrwMI8tal)lMU1Inw!@9~iRmRyhab#6S9PnednUJ3eYA$gZrAM`FK*sRYImP%Z1VBK zx--VP$5qZYFe=Y}=Db%=w$j;??W9g}CL2qCO-=91$+8_TiF-b8udwSkpQ*BLQ_ly- za}zf!yy|MJ^*`l2gIV`aX5+Dm`Mg4lRNrw=5qzrTUdJTR^7Vyrk)>3b^iET5hD_7e zFFuNI$|S#Tj8#@=<mx-~@5cMdYzNmVO}6rRG})H9P<I})>0I@wr~JA%+g;S>TMI4t zR{hGgNh^SBo~>qW^t6Px-xgf<;V!>*AxX=?$1o|woPWmS8#h+%*vq+X_C2F3epA<e z<6Zdo(R9WQlXteps7OuO%Xx!CD_ix9PVHWaxaE;&4x9;`d~L5^5yRZNb!LCBbE_4u zs&LNkjGQ!eDQ~1r?v=#z|EAQ{t(<)Sv8W-(3fai`#d;;5bK}(PLnnl`6j(7$Z1Su7 zcWu){?d`|x5_?T=WSsC1x$t~Trqt1clXl5COkM7>^U2eB7k*SbCkc5hTHsRB5vG>p zY|i^n@g&ER<Ed<u!d|~&T-6jVthCdgzsKQU+XZE%E2~PIjvdxJ*mGr_yBz;cKIw&` zxAOe5BrgA|HT}8rQYfGG9o?5TN9^4mF7Gp4YAtwS)8w8P2PzoEKFKY4vF*%ji5*!? zHHS(i@(QQ3-C=pN>&xC|>GumSYv2C$s{TN#+lIWj2p;CdEaT2OU)&?lo=8&OnY?X6 zU|jzqsY#6GjJ=zc4#*Z9?(uuoIQe8s(0{W%S2eyl{YsRQxcXrABEEf7N<OSxb9Dcd zwV$JBA9-*@uU~SffV;rql<xO#UCJ{B!bJ291wN;-?__v)!&v)pUB;1yzng9CvdkwP z>ht+Gd1uX`GZHIZH%%!E(Pr(ww(`kDpS$WQkC-RE<i8}%XcEn5eC0sS@s~b6Sx=2u zGj3|%9L6y*rc3rJ_d&MDsym!ar|+Jb^ub%Oy}VTSj&6$8{M#ygs%DitCU+OP6vlk{ zaL+^W#!>_8H4epG$8IZ@-f@l5$^J2QOa2OLo^tW7&d;(Nw>{(3-ywT)Ur5_0ACIi3 zg~9Ag+P{Z!H2QdGcX98$Bhu8*_9><7^7OwV+k@U*em$pu&hiP0u_jl-6OZOj*tz0i zlIv{8OS}e2C-*B{-l@R;TI%t}dDVh4CZFr~-n?h@V?$Cye*ecH<u316IWKrWsux!8 zn4LdW`JQ@ZQh|<{^y1%AY>S<~MNgl#qQlp0eP3?c)JdWd1&o1dUu#=fJeDsE*<kmZ z<G;#j(F-=ar5-E}XWjWoWAap`jb)pZuX_5lL|bK;@F%cZYB!!f)2ezz%5JYhzUl@J zU7w2VybT4$PONHAHfsFqRR4WcHZT67wpeKSytflF_tXY`{Q0R@dx~jkXu{Ux_owHr zVokF(c%GH_@B6NgGBsNd-`n?sf1{(!qpo$U|IXR6C6PZXQ?xW=+NY(4)*kXK>o;!G z*lz#v$-BRE|4Zh&#~r!1CB%2nk_Se<3C~5tQUgs--M+fR@{^9|=hf$VB$l=7w)#rS zRXv-y_*v&_w)ZAKC$3v~C;11<@df=?oqhfr7H%>zba}|6s_1)2=IF0jiyN92x(21Q z88o+EiF2{^5nF1z-t*{_M$aV@G7HvkHtS4mo9ZXe`g7tp4Ryt<>7L1#Uu|)Ie@sE? z?a^atAMK7wEH86*7L|H_&iqN`ubO`?R^tBs3yVHpFr1>tAM5_`c<}YWOQu(}*k#ir zgl9FB^SMf;9FU%IO#c5AmV_h!5AQ!<Kfn2xM;*sOmEX&KrhnyH$mM_j)^W4Dvt{1R z%Lp)Re(C8h#N}8m?D64&YX6BnkE9~l#F(`vpI_^B_|+`#?_Mf<PFMbw)N|f9{j%l# zw*@DjmsxyH5ieg-ELK>ja7Jr-sYGJnjcr$i`z8kXa7b(SmxVRCOwB7isF*v|@>EET zEAuh7!zp*xw9S0q$DmPrTxWyzIkC?%a_<AI`u`nSz<$^8wIIXNZ!dh$R9}!4mTwH0 z)&K9v3Vxj**AATd)A8fX9~SY?Y+gMZH97X(w~xG|l@a9UXQ3U`J|XC>)7fISq|O`H z?`CIxy>ueiQ_PRyLU`nH?;8~l-6e{&nd>t*tmD1$NFhe{!g<>*4(gLbqc&V-OShgm z`95o{>-Lw;GCZ+gkA?l@tWLJmxP31oVBLp%KiK>3+V6k5NbG`SOdPYTc<sX%6&FgU zhLm=dhNQ4Qy18rvbJ8EthLT;y2NvZ{<Uf4xmfZVK&(^*U3AxGgeqztA%<UP@M^?=9 ztB^U!cfRVr==+BD`cF4bXkR(7>49KCWZb1S>6U9uBxZhJw))B8hmJQNI$pFq$*H8H zFmp@6uLoC}-o_-$syhGm{CGd*!;vTd*pKudcea(S?Ag=byROIa;fqIe7hK&W_Wx1y z?c9k$2{N&_OS6Tz9=!{gT+(gpWwYS{(?6M-r2=n%x-9%qFmvu7-?_WnSdLvgx%jJW z$3}x6*DMSjxFXLqStfoHOe_j{wr2ej@u{4x0wr6Y{5?9yZLhtLJ?k@Rv+Wa{*GunW zV6A?-SMqPK)XwWWeE0TkE*F@;yxX@=gSYe1sb5njhU85Bz+P)PtKUqlH<G{MI?st1 zfjRR!51rV2@;YDsQ_hX%$)9Bun>;<Y@h{w;AGddR*Or&R9yKgJ^VCy0UqD4`um2LZ z>l175^s*c-HRofP<#X|YaKOA}Ib5ku5=&=Ft-kZWs7+ICZj{U(;}25~t^VBlddgAL z`z0~Qeb+TEJ7@j<vzl@1y`PKJy%r0Xty=9<mbuKWe97$<XH!3#DrZZr|Mh8&9j`v? zj#VEw%`MeT{%1Qm^-p8$tLa*gU9DdS?fWCU<`U~tg{k{(zLsC(HDC8??;qQmXUh_1 zZ=M&sY0ZsQmjbF8xvoTJ>Df%!&1e-QFDAV2{643%dnLZAF81la^)ivAZK~+2Ei-rh ziRx8K5?D}o=}AT*XI8;t)=b^C?Tt5|&M<wt**ERjMTT07w3R1W`~I@)&ttjb$vdSX z<+jaXmBh~M31@tZd4DY~uDHxU^Tqq6z7dBdj+zU777|-{e&;czlGvhG3mfi<EU9XD znJr;@=8S>KrmmktSNya(_O0Dn7_sW0t>}b*d(_va{yVhhR>w?{^I=tgj%5Gw)cz{3 z6E{g%?fh5uhgaqK4@RvycKagptPt+`|90tWeSN_Enz2*QYo+uvF{f<4&?`bElc(9* zf3uDFtH0Mx>ddvSu6JT3hF|R7bo|j^dh+4yk;x@qCXYj^l2R-64}IFH#9ys)bIJ+% zA53fADu1nJ{ZKz8Z^cvbtmp-GJdu)p-*3E~TEZ^WUjIsg^*!6Jwc9@3FMV%c9rb+v zod3l+wa?b*?R1|hVzlL=*ScJzu+4GS8>?%0ta>u|G_EH;yZpOe;7D`9-QI5+{F!Z{ zsV6>LU3+rO(Kxx*V7tucQ$iZ*W!y{`x{7|>t3CT({B)e+>ZQ`GGj<%@ar7*Y{Pk@X z`%~vAtqy5?7}&cfP+-9-zdMfdUd0h*;(1n_3r*hk-B#qw{_QTqa{S&@o)cH4k4CR7 z_{N?+@pZhvhq=M#RmbIDeLARVw&)>0UrFXm_H#VaC5#Uv$}VbfuuH8AnLT0k<62oo zzhe_L!+m%kMlfAe7333HTVi?WiF^(JeupzI_8xBYqHV4e?mhj&VCnnBKU{WRtcHFf zhSIC#yA%xX&z&KeDt&Y5j58}|{PERQixuP4K2^bWY(eO;n(9@C`<G9wzr{OmSK88l zPrj_O^v(DE`uFm?3nh9?Cr{N)bG*ERQ$_oCER$ww(E7-!)}MQoE<D^k(QEE0lU|#L z9ND+N`|ms$JpIj=_&ptwb~E(OmZW>FmiO>FE0UXiD>*)=<832r)zfK?UrQRrTBbe7 zdiehGAK&LvGL}bXuf8_x)5e?e78}~-H(nF_Ua)`lQq|bGUk;}l>pxt<CuhNVtM=7` zGgn^Me4F!l(XzSjrl0@JeV6|F=1RSJJJMfS+UkcM3jQZzyU@P=>g$tLW%Ih!_jT3H zn}2=zhdJTL{a-A9zw^3qYu?JNg&|jpchBeV@P3t9wQlOxe3gy!YL8AgsEQFQ-xIV> zpZmc=mROzTQ+D1~S)$wZKX&@}8J}DxC#!u3YyGl`LDc8|+RyWP8K*K@e7`5U<+Q_A z;S#@vTe-Pn_ge;jn8T=(_|`3}b!ifJnlM-NG~Z~Sj@1oEci6-@<!Ap+R$lUmIlG^K zhjaKcdF6uknU-wx8=_fXls++Unf21|-p1ma*+n;RT}$4v@JM6N292KVjL$jS+>?EK zG8VYB2>#n2d4H+j6=7Sur3=#ikMTFW+{eeZR`aLdor*=A>+4I|EY|jf{bIAZYy4S% zyT-xaVp|*@3GP-6jo^|Ke0O$HWa;nw#zEKChu`9ut}^R+s`pGqtEonjH`gSW6f9HI z)w8_2wX;;<^3~?Il8z#qr`%n=_%tW$O4}N}99E5YEm^+PI*k|ZC{>cU>vZgZsMf*@ zU*k{tP6;SB`?|8VWqQ%nM8o!dD_&g>W?Uc~oE)FQIAbn%%5?`*xxYTmKkfIje~W&9 zjD6$eO#ZHYXP32XnPu(Q^f&5lquAH^qWK$cpA7v`p?qw^=c~e-lCl}@hem!9dVb1= zBV^_0I~xMj6qeS^Td+b|<-P5dZ*?sj+Fl1N&*eC+=XtVQ+$x*Rcsk36UpbAZUl%UW zSaw73Y-qR3&*?|6u=}_OGfX*=FzxQYg`YQF&Gv6@`E9zsXhP8XrpDtO+MKl|=MUKj zUCcfr_1U`G%O|Vi!-4Cs{+?ZWaYc=%%T)K?Eo?hP*i91VUw)~#zAJI2#PJudhc@Rg z>o8W{>8EhC@G`?x?~4Ip3FdoaXFBgaB6q0O-gTn%zVk|TCAkboUxrnznZ9rf_ZiW0 zzr`0sld24KwlHprxNTo@cdwRbiiUvAA6@OB*4?Yj9M-D%@9lU{$`HW#S<~v)?`eiY z6JAeiZJj7=`nk{VN`i~`#(!U%k4kwOHop8`KKJqQ#m^FL9=3jXwdBYnzpTodnJh;W zKSs5S&lXq`#QVQ5r!eo8#hrO7f86Ka)Ct?fR^&KUI7{CohV@5|sX8;O%renOC*JJ7 z6sD=O%Kfd($~9{0t=kr=Glflf7w}jhIpIdu$Jq_s${njxdKG`Ge`^ZRTf9m{{kO-( z^CuTsDDZ7sl;W8yvF8X&X477lHp44xg1_(o*!|;e?E$HhnGvtc>i=A+>tD!v>EOSL zPuGhj>%KA1o4SQ}tI?OTDN5~c)dF=Em7M>%R%6e6m8)CMtFcGkDL3K~2zhnvQ{npF z)>~iJ-HA7L?bvzJ+9OG^Yx~6sttUBZ?sw@=@lKjva<cQ5#gRVcjAN5N%}BT2oW5}9 z37d5ZW-oU7OgdYwo};^mYdyEp0bQM9u^ri$tfOSP+%0{$XF6SX5j>c#=i0{e*7asn zD7(|Fpi8r&US-Ri`C4uJ;+Ki-Sq+QpuT>_9&pwjz=}7bSRcw!+@|m-lG|0{Lxw*wN z{2$MgRMAN9!)1w0%hqW;U}OLKD*L-(VSJ<NL@C)_GyYyR=FHsEf1twq#$4VPTmR27 ztlMH<Uv`u2hsv%Vcjqr_jH;a^E6w)5S<$)9<mQWI25ZDr{@Og4UFlQvBYDdf#uXYZ z^WQSs`XoM+{bUn9-*U59UZ1g@QdO^Y?j5EHRpr|!C8%xla7q(7y=D5G4a#+aPZcWu zORM=`xp{D+C{KKh*W!1(HvU|^^TehC{dIA92}kFN@Nq2ZGpI{0?{Sfxy}<O)MfNc3 zg_ds;EgE$L@37n0``z`NZrwV~v2)Uo)<=&Wd<<(EC2AEsU+=YFXXbI~`#t$3>u1dP zG|ivukx2OVuAQ=>!p-XW*UaR&%P(?FV!t@2qw|=}(Ie}gJdARBYdC4*l;G+8n`-`T zk=QoL{mkk9o*gIlMa<CodF9jBeNSR_w_QCK-r~>drJ@_XmfiO-!=np*1-riP5DB^v z<GWvHZ%%*q&wZcDj;ybo&6@8LD&Wpx^T2c-v!`LyoF69_&Y3sEzeVlphMkqtCwx>B z0$+5SM_&2-#^>_Jqayz{&2pYz{q<sf^8>2_HTQ<sOD-?D^y*Z&ewFLRLay7hXE;`N z2}lLZQ*$kD^j#IT_mIE$%X>eAxB40?uPNQ+p&j&Ow^?4v%KtMK)i`Wee*EXTTXu>{ zmAxOH9q_pnd8A36|Hr30`5xQjReEDi8{KDBnR4F4E3s*rS%jkNx0^q@GYl;<?=dY5 zcpFs7_`ZK%-qJfOXGYni>n_?J#ub#GlNE8uN~IuXl|;rhgN3!Z)22D^dHHrFqm6+| z;fDK-fhy(ZYuVa$4wSr%4!dFQay`%^miNPrt0_jNvRwhp^O6O3OcSep(s;6Eee~X( zVEONVPJWobXRm>j(%sU;m})0A-X?dG7^|uA7T)m|;WL&@K0iOz?yK;AmK6tBOgCB8 zrrUHWeBpL=s`qC9EHdq4zPjJ$IQxP$F&9VX`~Pn&;V;<co2|5O?biP$u1!mW<GDWX zd-b_cYqp1t+XS)l`%hnfK6L1o;-%na-wjeYZY`0jvA)H0Us-l#ZLcxc2j;{7-QKs( zZ_appwn4?h!!aR>`%lfZ2eVD*Z*Af|pC{M(^!p6|1%>jDb?>+Qkk0vYI&|w55nC5d ztuwoVGk%+1ocX<>VEMPd-?N-wA3R>}-D>yrUQxci$Qpx>D=x3w;>pzgg@yldd-Uoj zb52dGbv~+>XKwDsd0#88yK?v8(9537@7Udt_iYf>u($AU><_oCvzgC(=8ciy0q=7> zt9uu!PusfKW9f|B?7tJugxy<b=k#??TW2Hw^3L@68#J{uPulN0SaF?o+obcUf8SOM zP0f6(<e9iW^kb%m)!S1cTOaQUmAjj4TiLj6hD6AN@3CIKLCQ~Bj3uO2f9v_tv;Oka z)ek3%t#R~O`7YN{UROtxEqM7ixsy|tZOU7^=#Y14cWb<@*)MV9H?eGc<}l0(7va;q zurBLXgpl*B2~VCKJ?N?t(mW~dKhwgr%i3j8H}6`1J=$!cT4dTB!+YjA!&8O_4E*iS zJwH6~P@B;18MM%1NoVjz7nKR84sUr-C>NL#WYFlMz@?F*_3ljF*BdJ<wmY{SayrDC zd?+Mk8E?yJ7ACF3Ns|{UF3>TN>U!zO%EHs*!+f@tm9cbTWk7jLu9lIwxFqkgE|Xjn z;h9`#RVK>xH8)P&c_2aNjB2rA=n^hAr8lVt8b&&Aw9n7GP<8e6=`G5S-k<w-d;g!? z|KrWXZLiKZzPzljqrCj|nVhq)!tdAq-n27e-t@Dq#op5@%2uBgI{$9(t+kg#?mc&& zV6^%6nf>>-t^Jq3`S1VSR4>g`Q%#l?<;NJ_+voZ-PCTL}^^MP?@5lv~OBp8fRxmZH zr7!Z)5lktRQ}b4F742emk}Q%7d^&N?@tXlD2`O3<XHu(FOo}Zo#a}Hjk>NeQ?(6DR zA+=87<u3gH`ithpmTGu=i(2yB?3)lED3CHg+(o5H<70>Q?QNlF>lR-WQY)T#Gr*z1 zAasf5B#X%>1w)syFL4r7SST}PQ}o||H@9iBoLa!q=Gjoxq0_a9qv^^`+2e)h(!YP6 zbGQGwr-S8g`E+xW$~$*w&iuPSm!-ViZukFp<)vlz{!Bhgf4KXYthsx$KH4?ty3y{H zF>UKz<k&MlC3G-VcWl4Cva@aL*0NAjVZrxja=yO!y!BdC_S&sdd`{V*1I@*=)~s5# zXx^;2wO!w%S9hk*oMnD-!?X?%*y*=t_hks!x+pj}__B%XWn<IJvv0jw_W$GRiPy6p z{kOjio?`A6=6wm$Z2mN)@AyZJ;}3mIV$zaVT0b|QFYc=`fki1$Em*}-r^CiUN07sl z#mUH3$c3%>(IW*0=cB653ojaQ97tFhAh$qslEN|%71;?gCq+7!wA-F+<z`mtU_8yh z&GU?jO=mLeBvvk!gI_`xWFBl5TIe9cJdHuc%qeLt#7AInL5N#-Q9&pgIC};axS)YO zkh9<6q%j)!4Vs6C;_aZh_uJc$NV7+CZ|2{Q%MLdc{&?=q_KUC2{NrucUZLC`?yRIV zNySr&xmn!7KtY7Bvo%xd$qlbt?7{~QFg8x`kPv8YT9lw5z|wTEK|w)4Xx6a?jkZRG zjz$lm!wLfRH}*zt4~yMY(z%ygFZR-lxU=5-&Dq{t=JUk;u1<b&YgP2LypQFFmv~Fv zU0wQecl5Nphr1FVE#1CdYQ0vz>Ak&sua<-^uHLFwTYmbIZj8Clt|yzTx9Y9kmHKho z?dp@>I`38WckZ3OtMzr8=k%Jg_1Bh$Zr8c{=zW{#bdz1-pD(@dJy?BCy7~IQdlI|0 zo&5d9Vd1>kdn??PzQ){JxxDq&#j4fwreD4MEzb5*#QEd1zm{a%PAY%Bv%uE&-a7ZU zUt{*f`*Yt|{I|_kKgs{O^|uVg>VNk)EuVf<x#s-5@C^UYv%ht$w0&Ow_NCQr<%-+$ zHs8MdX`ii7!TG1X)m2aBI?LbfTu^=M-Y)m#?=kmwFK4}{{Qa4&{NCkH?^dh)n0Nd3 z_b)$eH+_G<ljp~leU1Iw9u(KG&tJzO|1kQ;9BDh=`-j~{9~IXL&rj>>{}5XfXJ~i! z-ZA$lKVt43U(WhOxmtOC|C7t#{Ol%a&OaUf^GlT7r0<`09;k`BcgB77&zL>4{khM% ze=D<-KfnCx-x?K%`M=G-yj1<gasT3T(U+J1OtVW`cK)Yr?XJFG9QUt0kNKKe6D}XR z=KRCl+F7yko!`IiY^c3;?}oeb@0fcxm$SZA{(j9){`T^xduvq+=HH(E`^yWvP37Nr zp836H&))uP-*@iW@6Y|f{TG}4{X_nT%YOvA{+V<C(ejxem23LvhoA8OZ2nU)xUTR0 zr^}+Bm8)jYpZxjqJH7fzGxwjq{pYJy{iN?dcQ^cty7$6g`)}-?%kkW={J(|O%fDX# zbnicv4f}VS|9*Y(pUV4h-&y}0y#M3(r2P-d|HUu2Kfe9nfw%8}+=~zSQD5`fKkuJu z&3XIK7x!QK{#W`W^w##K_lD~ewyV6~y;r5uzw+d-d!JXgz1P{7_2b#+)qMF{`kwd7 zt35x>`!wn4-rL@jzH91x-mk8^`tj_e)ot%<>aITUeX4r8di!*h_rY<K_LNtj1S$Pe zdw#vtzQ~%ZAJ0Ay=e@Ubk4okHZ<C(dK2<&WJ5S&9UU1x|ec%7AdT8CfUTWXZKdTPU zKIJ{>``x%ndzSA>0V#b_d&>LL_j&Q9HJg8|dT2dA-n8QK%isSs|H^;*cHjJe>)zk> zE9>?0|0RBS|LI=5$M5}nzCNG*FX8>4*i-Q*r2otRC=NZF+mXE2CG*$s^*Z}3>cW4X z{Sba#sN=tYf$X~<$}$d@{`Z%?@C?fTq0UgBx%T?f!tGmjyB6r%^1ZtG?bhdF{@dOD z0iQH0z6S2@U%mT&*q_$#M*6(>F5lzYn-(?QLGG9-d%4564bLk6na|_TRW`r6*gpJv zM}_2^@_?idg17l56&{yt;%SZOnpLw~{n+u0=(%f-UVCA)ILX&_!551wOBX%4&MNd$ zyQ0&ns^jy*^PjC2H8N@WZ;n5^=!b>sm*((aI#rGRA<D|vR$hs_&tqcusfP1mjMr%f z29=iqtd<kEnG~6s>fc@KaC^nW$4`uYU2?PB(Z_bwJzLOu&4Gt&zHOPDF{gLuZqbZ; zS9a{(d13q7+$a6t-eiPI1@B!vC%dKYk=x|&j++_0dn4^lk4`zBplYY#rhB}Zb%WEl zrlYqPo#DJ)Fnt@(w^qm1_ah%q{mb+?{zk#G@U@=v-pyB@bnE3?-J1B@r%z8`nfNDc zmr%;QOW(H#I;GANzOqAld*rX+|9yRHeuvaI->lkkZj+DhrDfN)EV;XNeQ0ime2)z0 zLeaNZ=7nwbx_#?X(%bCYo38L*eU-(??3rzEZNIy2Tiv!gvpTUg{T15|N-q6xC7HmK zW~VbJENshev8~Hg-(H*d_t2`--DdLPYTEWp2X1n(GcL8|yJujb%~CX>QDlh&uhIpc zDuL!Hb~8Je87~MPF=l)7wdUoKdeMK!ZR@#y#`66aeDA&F(N}4i|0R#+zqa7tXIS-r zUHo7Fi8tzPZtj1*|KD<sq-w>x6=91P*Gw=-ta$w?{lx<2Dz=X?f>T&5ejMVceyYq7 zcbSFb{QXHY4|s*HI_b2jVdJb{As3h=Jsde&FBeW_Q8^oPT=~N9{4c*Z@J*33`8aL+ zjc?nlxDN~WZk+9OCTv^w>g~1N(YLRa<>yxGicgNKxYG6W{N%ma^}o08xf*@@oLT<g z#-zxtM;bE>L)*`Yvq*cb-w}|(b58ME!`80t4*G3xXPP~lUVK11;PH=p9@Qs~d)rwl zwz0nX;d3hF^&VTBQsXY}D&e#l@5>(5+~=C}{k8SJ;!`{1mg{ckJvh04cce)36<5Qx zmMN|R?LNzdmMvs_qNsJz@D$7b+1?L!GwsaC^0^@x(#d_Am2X9w>-0%Qq927!Oy$(( z2z&R3X(`XV`TAsiP;Bbwb=_C5v}>PeI?MXW`{4}cy$`q)+057Pk1O8N`%!4|qugaL zciyQt;QEx*-e3`=_I~Qt)!X&E#6Ew}VOso>)vbna@%3eO!H(BvHYE26=$**B^Ytyy znKjNLye`blg{`}9*q(XZrq@4dvcs}74T292?a^Mt;d<lsUfE;EowmmJDM)UTjrq!J zRC#{cva2HN8QAs<G2FO%`S<>VzDy#Q{6D))Pw%{^#h@{%ZsW@TY!C96vs6h37zn(0 z<Y8n{dPH(pzNEm3+9}H!EVh3;G3Vaq^g{vXJEt+2MQpir(V9Q=v&xy%lFZ%_qEUui zQLbe&^6m~ziZ5doH!+L!eMvbHENHxa<uj28VH5dY%T1a;_8hL7^(E=_hl?BiewO^a zu&Yt#YVyl(GvrRIJ=EBh(0y)#hEz|lrPbd{a~_9I=GXtnwCLICYsb^4^xm_y@sN*P zc-tarG5bk&@31Sa>3o^%njg(LKX1Zb2IiCRd@fA9e`)5HS>B&6Cr(;8)!bJ><m9Xd zCkyo-(!8AMnbTj?-amKlkLGvZeBsVL{ua}6bqf}LI2F6x^6J*g#Fjg5ZkE^ne@$9r z;ivYgLR(p2SJ(lWJSAP_U-#FX+qwJtmH<g12bRD&7aW9T^o@6WW&Jw&Y18ZEC8Y<V zGG+@%o#5!HYkyREpIPc?_!heZ^FqTJ6g^C<#7pmbDjsM(F^7-o&xg#X#}{l;zv`$G z@A0_Vy-mhd&g9k66)btq3;Yf~<(@Ka(xH8}TMr1`*#9r}WwZPAvLAajZ*OL8@JN5N zeD%A~*tVMF<H=vI@o%Zpw9j&WI&JQg7pkhkw#->CSZ0Uct2kmj)oHDF!tFo)C9W*L zU!4tE?zH>~`@88Mg1$z+YFo!!GNo-#w#tre>dFN{UHluAm>5*Pvw1NySNU$XoHa}I z=ApAI9H#Pcygk40<EmVnhPb!|vWqpRsa~~U&h=oE<Xm86=b*^+`r&sDf5%k+IBt)_ z<p~S#Em|4Qq^YCw^VGo_`LvJqE`7ng-}gFx>6_ic>s{y0==Oi=CCyAvxkqOTI<H>( z6P3$sP=2WCQhq_mmlVaT8`h{So7nW)=FbdfCV#oY@|<&tF+o`tDwC`ZrqsF3PuLpg zzgM_<wdt#V&aQxkM;I7>SvTB#^?zHCt;{NSeWPaICIPVx96yT8+nCG!-*xcSXe@rp z=&)=*?+>08j-L8kXV}H{v#O?tWp{Q7TW?!xb8GK{HOg<dMj9tP__D86+C){!)kxg$ zmW{OFJ>7=i`Frj?Q9gR(<pMX>It{iJ6Pl|{o9$H;dV69rewH{tx;tT^&u+<`_bpze z)vc9y#<#vf`{r+_n7y2R%Y7ux-#DFov26ENhg%&P0{yWHN_(xe%DOHay-Bk6pS5l~ z<4WbfpN@oidRltuhW>kWK6F3J|NnEe_WXEsKJ>$7#{|0rr5@`a70Fgdy7l?SZs``A zIAP(>1g}SH=ZHTO`#Z&jV}5#yMdtB$zjtnTxL$aYMd;QQ+gYY_nHU{U)`{e$e=$%z zpZaP~oOc+1E?e7_y4Sl3N(IiW{Sd64=>7LTPj~6;%@d9^WR$ZUJ&{!S$=fS<Ws}I! zvfa$yA0}ygZrRP8+7WtuX}hqGjn4B>WlwwFbJ-JP96I$UeDc~;-cy-jTl~{#_2Mk; z<^$6+U&h=13$$74Fmbm+#AmkVn1%!1+5wgtyrzLw48qq6msU$M+AWoL|F=Uh#`;(0 zx!*NjoP}|Zk9+R$UVqB7a@o4KVn_EEZ&`6-^>0Jb%E0o+4lj8fyJQy`JiFz%MQ7oi zH=hEzRGBt=uim&hSm6QF=Gw5&M<X{JU1Z%d=fM<~XR<uAjAd0D-=@fF_6A)k*q;AX zT2jX^v}?n!^NbP9LX2GQ+&?B<;0t8ldS>e)xz#=H{fr-cK1A%8-}|UqmEqv`_+xpw zcUq36U2D~<KGpv6)8fJe=lc26X89XQ$;X{(h;o(kDyTob+AZY$(%)0e?=IW(*6MT7 zrgED^<Iil5j^Eng7Wc`zKHN6+VVrJjc27m*7YDca<hJc!7CpM-^nTYe^?M6r&z8wm zE|pG-$ed|=yv*%W)3((jlZ4;bzAQa(nT4yez37I;VZkkZyBOXU1fBV4ZK82YDayrH zZ1v~=cMSa_%b(2HCUy1PM5~+bd0SR%a8zpxh}iu)qgZf!otSM@b*%iiuWMqtL^}-> zIZmInTJh<Tj;Er?mt@}!PZwQcuspQs$6}ACSL3I4uG+8o%;8Dq%Kg<}yf@5Gh~bkt zH2V{yxLDh*-z-UYB01y}<Tm8*5)k9z@p5PTc~L}UUIPDF<|FwsrbitUyZ4ux^0ZxC z#+4$IWtGM<^VpOehZ$$}ziyhuyE}uu;0J^JT=NT$-toCU-LyFE+PckReoUIhGgWhr z@}FLGNNn5HXI32^e#t&|zl7?TvJWxt?>%*R)rt-YmuidMNAhHEz1nVPJ-ygEK4Q7s zO!1|xn;tn%&#;dVVtF21s4{DLw{Ld-H^Y5fAIvGw^foeVobb*jeB!YaVOJtNEZ?|Y zUnr3!aANJ>>a(v!T~7X3c;C<X%dKzkW+h)*pRBimg{L9>zx$p~F;bUJkMl&$ZLFEO zcW>sMbDw-SZokU-d*61`@(Z3TJl{8nt@n`$xnP*w-uIMwRrb?$FIMczGYzkgVdz+C z-!G^8rMiEa*7bEU)nTt5ekzQf{7|*4I>D6b^s_yQk<*@6>|$H>aEe#e$C{NXxrS;} za=)m&`X4*>jb?QHbgqc!r4fJaGgeJXaLYJdxodu#&(l($Cko7pBF8k{^5Z`_2>tte zGg^(=Lio**-OP63OA{5(3Ao2!sNPi8#JrwQa=wg>-?5#>^ZJd;#na|lY*6Epw)Hyq zVyRY_+UHrz3T4&y&ItO}w)pyT^}FR0f3hB2Kj&=Reb=&2GvCB5<Nc~*dOrAV+ojXn zFa1CAb;m3_29@(n`cIBBuDY=6Zqvlz`PXk>tMiNg`h|a={=LF0Mjsnqz5n_7`}qfj z2EALFHSLS^G!i!}^_`hMf7a$USyt0@{tCJFX>$*nu8Ym)UBa^FTipq1_9L-DaT<M& z22El+tD}>4*M^_@vGa-P#jB@h^3AYkd)s^I{HmZUU#6s<=$_GK{9*2$EQgct+~h95 zJ-71uO}XOmgw<JBSNq*dWnHt1;Z$}9k5oeVH0I5vJ6TRmd(Rksz{5*3*sD{PgQ2yU z=`zDkhV2ZGwZ!6fXmq(58yf9AV*5R?uXxRuI~vDBa}F-jkUD$h#1o<Kcib({Z46zU zVbU}4r1*D`q)wTI3|~7lfBWHw1r{<)GE6dj5T=Gf>Gc_j+Y7b2FD5laZG9{8TH^I- z-DMK5y@f5Ll9iRV_5R6ga5yZ*w=u%Gfq|Fz_O_!D@ls;So|Aeu?&C2$_RDBX5@?_U zv^xT{hal3`METQg-JNs0w1kafGC-%S!lc5XQiV=!-0P$sw(y9{=p+~@I!|p-F5g+? zs$5<<UHarE*LBj;B5VKr|Nq}rxqRoMiMwT@i@GH;x8;I{YXk)a1x0)BJneFOH%Vpt z+KeZ9?m=b8Z*0=>RLWTH%$L6Hrq3Ck+mm84MH&A8|F5_ENTzquZ3)*vlUbb;PpZD# z*<Um#Ik0<`+r<nC<;nW*b_VvXj=0d&wJ5_xSb4JdonrZ?7RQssf_oQTG;mXkzf-(e zCVXS7i0j1+H8-{CJD%H}D(tKMm?hHNW@z;D(LCu?<?@}NOaE4_TBW4~0gGlrfF#JN zf`Wn*!6QQTpdi}z7Cayn{VDI_iP(Q&aj<YMWVC2f(R6L8ou?N~+zs}2%+48eEv9Zd z@l9-U?5s7pw?Uz(BenB1C>TH(YQ7+B*a|c_2g0C``~M%sU{Jhx=7EJkffpvS4b093 zZ3>yBV!rb<NVLoCU6Jqxft%+yd9Q8#v%R1-Hp_C(%%CkYMN@qn)U!n|CcCK_{dsNX z=O<;xAtD#i5x~gE#wn?`=IyO@VAS`-1LQ`qWs_7q!$bv-p7C)3A8MTK9_s31Xe=xU zJ`maS<}?KclMcr9O&f9{4nZf^pWc@0xq5~GYoL{YgIMpK)Qcx#*WFo|3)+UWNu#S_ zg~^$Hf)^N?rA!!F3KiHVB^ZY8W)x{)WaZ&ekr2=kkuZo+;Ng*w5fG4&k&qG5Q85wW zi4fqikWrDaa0xWG;LpoDowojJRWSFmi;`lCU)|cIYq~Y!_TFr>W9wG^Fq&}6H|O*N z(d*7^@qyLHA7uHgmzd)s`r1(EzIfopto(zKXC^1|7q0)>IC0usk%L|xPd}?heADnc zVrh2&m!{T~rCXlAQAtuTeCw$8N#dU37opg#CwzOoDpl@keDfA~vg$5R<tDZH3EdV_ z*W0#rL@u5XccmpzDI_W5(a}$9|L*xNQ*<c1OQO^vN_6VU%EP;PkDZ&VamrGy@xPG5 zhn^{6PkotOUPOGERLJd8!?aIh!c)U;ky56MGx~m{1a5Zz^T=siK=i!}x4c`9$WOJb z{9ei>5EX7+dMf>|()ErcNe_cgy?$G5fjd$iv5e<RW0!y7-6+PjRolOL9Y;k~^nQg$ zr`uHCaQ#|$DPQ%l%pNfT$wQBg_2%=I@ZEM*@39c(;@+rzy<M|G*U}*G!qluA42KdI z`g<HHXz<(q`LN^Oye-Zge0P<rcm6#7BjSpYrRCK(!YdvwRu{^%e4ZcU=PF^Q<nl!F zXZ_1d3(XeX4CBg}!zC8GHtDaf$frfNYyT|cJtRE8noVP+TWZenxk3l_UUa(n<IBg3 z+l)M>H?ZW+@yO`f+`Mt`tk6sUC$947;CIb9_3qV+sg<>Ai3@pdiy3mP&{CT8=u=Y4 z>5Y#jnjLRdUSfRqzw~{}x}BcE>q1JG>|go2bh&TkVTrAR4<b$W75_P>=sQPB@om*| zkM7qYi!NRN_5OE_SuT6gdujR2Sw|aRT=nBn^5xzr)^lkWE62}A%;`34KYrK!pR-wW z+4aUZ?JqeEXMC|%dg>#ye#TF^ZL&d|bt;PmDoak3P5yG$@zchMZ(UDLZ#sEg*<5s~ zKbx9t`yIV$Hj*-OvcbD`DvJzu^hFs=3GM!)=keA%rZVLW)6KjSWfQ-gbo{V!;#uF9 z)0qxJbe;=%c~xb~ZKbaUwaH=?n_0pS6lo^(`yN=fW+Mwz{nk6vCRk7ID0*A4U%=yo z9k;`U67#-GcO>-ZoZI!X<LqtEi+|c{3ahU7x|QA2>pipmN$cg(cV5=N>}{s<#%3A@ zu%8KI+oYJt{NUHWiFe&2EHgI+NSS^w*#9Hnpycy9q0SUuj^7)r;$02xJRW<@+7R%( zaiM9h`|pRTNzpla&%U1eRwOg!^q=M4g_i@L&Po!uw=U`G$n@Ou)^OKc-EZ2}iRrTZ z<+q={*b_PLxrLs!V|wuh4KJh9=a;_dv6(jMP|=0O)~!qeQx;upJ7InCLDbtglk@qO z%Byb)?Pg@I<bVCOMmn79@xL1mS9y*{e_}3Pt(t%SkknRLotwWew0SPmIN!WXx+g*= z#@SM1!?g86>oj?<S7tQoPs`Rg9wl%(fbq)KAd5na<x3Z-ESH}5zxwA1Sq+aQhfeqK zXwwCcA6PBV{rpYiljX_qM0ev&%-j4t_H78hnI>=VXMDmtC!?=(srspkO$+B<Sk~Uo z++JN$SKWL}(ZS>F`ucm4SA(xMq|f@kR=?O>ID=_IT?X69wHe<U4rbhWCU=SF)Y(ff zHZIJ}TYoQ;XQT9$7ta-Y`1Y?o@IpaApz9E$;>kVxR1A(wy<z+O$GyB*=kDZpA@}Rq zAJ{&&ynH<(VV2X6CmAw-q?iA!-0)Us`{X@*US}pv+Owi1HS80g_Zffh4xdkko=O6z z_*5pJiI|`jH)+Z#-+PPB<k#rU@O;*EVhX3swV25r0pHBSvR`csGM!y}_x85eg?$_I z!dHh>t&Ozsdmi~_+t=4i!*{tpHlBX9C~Ezk+p9FEt^Ft^`+nQ%U2A6r-8bKU@yE9H zS-UT3Twj|dxhLJ~+M?{cMR$MKJ+$si{(AeC*7Qb``XrGjOH`)^U0C+zqvqWnFV|Ny zW^c3IvFL4srzyLM@*CB)|GW$j2fd04znZ)CsU~Cn0nR7AM|=b9LUWu-7l#RNm~>&A z)T|Br^jqzO_g!m==sy2x+5OMwe^<*+FaLQa#X>f@X5RVzdh2idwB0Tj`(3f`^Y4ng z6J|KYa8;DP``VVibM>{j6SaH4=)7CQJ@fmHn(Z9D!OXYc_6BDkzg1oHL+!e?=)cZG z3#ZMR^nb6+OZB3bbd|FT78CVnP3*h=^4GD=QCr-8n~AMn{Qj}cKTc_{7X3-w8(;JB zdj{<72-^K}`osxyOAdPF#c8co_US(;TOK8|=<S}z96QA4N$=;qTPU&d*S{sAi7s!l zm#ok6O`Ceg>ZYlY_Y?7xEvbz;H}9Lgy|}^uIG?Tcyflm44GOz;H5SE|2e$dB)H)@V zbE|Ak+LzNbBinD~J?}^!os~ku&x8_ISMzn9^f}=5ujJ9m`A44=Nu=g7URONCSzh|d z`*&wL+uy33CpXkjdiq@R`{65UmhdlXUDNs}ts8`ueH~pI4Q4*S*C6?I>07NAp?os? zwJ-auxVE|Yw(`UK&DoD1t3N-?@>;q7yJL)H$=;U--CL$QubwYoH)n=bO=!8B)IA5W zV7B;rs~?6*shn%RzP8x6LFtqJ>dy9!6P8`QSC+iZfakROi?X<9wOgluJTXD%pwV>O zx!W>j`$dljicEg9I5+zH!-(@eK86<dN{iV$FEKy-VlkoW#~L}UweC&V7b|^hF{<8| zb$;*d;C*+TGrpg=T0N!Cf69Z;M?UNfs5w<!!!fD9<LP3@&zzrY=5^SKUtYfFmGiBy z6Q|c+$(<i?_xZ)|mP&s_jOse3$SXc~cmBnh_O~X}Ui_Xv=g0Ole<rT`w_=xl;?L(> z{}!+KFY@yL1r7%zW{+kjkw*-+lN$JR78vn%%wbniX38{dR0>_NpSvW4O)G<W;`K(C zT7^~tkxkq+!p!|M8dI-0%#zmd;0xKry!d6KJWs<)rW3CicBmf6=1!Q-QmN}$)pWr{ z>LCZ${1d*n-+VoluGy4gG|8(ZHaahNnoy=(x@XJ9>!p`pax|tzcDekhiQIDbUXaGL z=Pz51Z*aPtADTGRLAA&4Dc?^0z=f_?OrvIWn0Rl@I_xfR>Yqtl&aSz?TKhk<US^+Z zFV0eQX2BuOrY9UakMBQZSu{i3rJ+=)_vz~Yp6`<R8>55YmDnGy>NmS19O}uk++gmq z#CiQGi-JyVZaBa&=j&Z=qr=BKg}(=L=5Oo#qIf;~kLUEsb{qVD^`sVl-F54iW^vxs zM(%u76^R|st}fak*6q7a=R)qfeVI+`j7vkq3mZ3T%Zcq;trYoSYp6knNWjUAYTa)w zGS__6qc8YWG{`EIr!N=19IB8ZB3q*$rkKNcN#oa@mkvt|&Kg7-tetfJ_VEjyn=3Xq zvApKoG;8xQ-$aHh6PK_mu2ovhQY#_p8?{LD^%Sjuy(;D>U#^<8&q49xO`ZGCCY&z% z5o$A2!MVnGmNe7Pra<Ke_BJ7{EiVqf%Vp_z*)^@8!R?{b;+#c+p6%Z|5AA$=@yy(R zovXjzNI%c;<EZOXHP6a3K{pPWb$JW%MSg9MN%_FP_3^wN?@tK{_IC;-o#N%1#jn!Z zqxN94&#i=t%|7~aO7r|zer?};vcztC&bIT*FSHi3ZM*ny$IKgi&-NYR*?S=)>%(Q; z>u2X~+<1r4NN=NV+*$M4KPwt;S)V>Iea)tyE23mgR5@1W%$uNU>3saZ?h(l^Q|{Eu zhuz<|%&kpr{_j((TBF^se_&`|_*jF-<<OP4;nydcy?*+%FQjzEfmR;oHltOk^_Qfd zZEe3WC1?&uUEvaschMT#doM34XscLzYr4pPg}%Al_@^bGtLvEdg=xFW?sB$uu3=A# zcoVaIbNbrnZc$QFR(6oj((hP&FstKW;jy>Es^?8#21)4Ju$6L7IT62j=aPqVQc*fV z&odh@T?jh;eNWWdBab>)IQ6A_-hTM;(xxW@TaQj$&ZB&B(h*Zxfwcc|{#V|<+G@n~ z=eX-`M&=VvY{BfMU-zq9eHB(+KlfUDgW;W~*FQreikaq4+!}RllkAxq^UK+-7j72) z5swySt2~=ymiD1(Rn27S-)G-DZP-$9+j94UyR5Cvho|^G{(ZoK*X5(zdZ!nc)-<!c zTH@=bV5-dQb9WVw^_hm#caDBZesVKtva-3qXJVja`MgRNy^@5F9DY^|ll)5q99F5N zGqKvPDx4w9$)LDS^X^>(hsZe&)q8iEoxBq@?SWp=iqoYFgGKCxw`b}sZr$6c@Symj zU+wI3_i|Z%<wdW|o;8u}6;p?XUGzfM#ttiIw|S?dRxNv;u<!If$=ud_W5GDprdu{^ z{ckNTDq$6g`METSb!uz@n}&R2+J!@z{vlTwH}CM^F-#XUTUfZL)JWoUiw*1jO>?h^ z_wN<#e_|XRnYO9ATS)Y3ueq+C_-db<6&$z1cV6rZ+~xVeyg6+`qvK_Zds2&iLQNn0 zc2Dlo3NjIEdUtT+?qApDbQ#nH8qL@}>%xkI!A{$^CFU=U`PSQVymsqu9g(S9RbOvS zyc2hYCuM<JM&+j|3)ZgP5Uprlz4&3O|65&d_p7-R>*g!gM;3p%$Q`-e#c20S1p)K* zLW@loeOZ>kpVh~6TjkhlWwFRm+n9@wf+D%4T2HC5Fh1dJPFq>luex?oufDEaNS5Q$ z4IE|ct7Fear$rrk(0a=8T~(7C>wJ&7SKW5ceRM-#NdDc{x0@FPti7gloiDk5;iiTF z(GCA)d(Y;i9{haro=Kz5lb&Z0=a#=ZG-2{N9h<n=Wg;_+1CN=TaSL%UAB#%wEq-3a zEyC-);qO$5kBUpBdFv)`PI$4;Fi0+i_w|d9zSTBWVwo4JHydbOGF!rR`qkrJz3Fqq zFBJH+ZF`uKv$FBhn|)sP*FQ#B#+_#G-ET9`OR;K&akXK{q7PDGlUEqr%MlM?R|}50 zUUF0Nbe9UR@>`il6EgM`FWoa=Va_)%xzn#Qp607{JgD(|X!5LX#qzF=ygJ9Y^6YpI zNeD197o41YLdSSVpV;r@`pm<5yB0q?%yG}UcR``P%Oh_2Ux$k0Grn-!-_S_ilbl=L z*Z$yXox+Z##|q2M9Is|{ESaP5@1_^m{1j%-8PCJ_zZDc<=VD9k=B`}Bc!BjS`>VT6 zJps4k99Vs_S&Guj7`hKzyv#2Rc^uidf5zGWcIvf!MMf(Q?pwQ9qGOSt=ekwg3wc&F z%@7f4=<s}IBXT3?=}f`Z*#R8IR|9Kz{VigBdf9O5p^IBoG*-oK%nIE1#KcvOTl=r2 z_l46w+Vij2J?c}{`M~%jk<(B<f>mdsH?z1pLr3Vk7C9@M=9SmIG%j}OHZhxsL?k%a zFiJgtJ>%1V|9IIS+7l0CU6)=n`Op?2i&+Mm60F=R8`u2&r4z8v_sg$W89x%<SbxiW zc<^TV>xZrF+cLj4EXohg@k`9OtN8xBeu}Bor{;IkoJxL9OJ0RnZT%|2eEZ)Pb>1uN z1#72?-VoBN+veu7OQ=tTD<z*P?vMw=WXnaa*_$VK|0*pznK|*MbIPp^m$dDhHl^m& z-1{W>V)_kHe<3$FP32VvH9a!ipEO03S_8C}G$#3~Yz~w^d)Qh2;Z>Q2@@@NDnCI5) z(fn`z+w}X5ZJ{d_XU+V-e$D(Cr_CC?Ta@xoUKPmXyT9P{x1P;w?4|$YuIQOja)!a? zdhbE6$osqkeFvqd@$YWDcQ1j{s+4)gAqI{Xi<B3P49`3}O>FXI*=|m5?92IWJ12SC zw;1UV9i_MX(zpItqp;r8qUT~ubB(&p-`f+OyY?QQE>f0V(0M@QpWcFeooY+<(;0c6 z{SuqE#m|s>+U59tT{3g4kci8^ZIO=r%*TQm448ZL84Ui%zKBn;SN&N$e{<=q<Dn@J zq*=>mY6~qiY&vORt=*ip=&g2F2=j@Gg6+J^51VvN-KhCODC}5tQdfGR_y65jFY-B9 z+NlTsK6$9y>8AUlH-}bC5zRW4A->eeFmzenl~YPpsq$_c-)KaJZCf#I;$0(sEit#3 zx>*$$4LcT<ZF%YVIAF>ycWHUi){9@nw*JYGaS5)TQhD*Bz*mv7XM9%undkOo$hZVn zPpJ(2D6ljy@UpvWqRH09x`G#WGHrfkb#&g^f{pP)54~1}as4XZ=c4e-Sdd|n-?iK7 z%0VKhp194FTO1^Ib4sm}SL*T5NXf-vZpWr}iXS!VO^aj+)UB>M{Vwoycb}ulR`+Oo zDbB!Oe7Vy#MEc`SWgP9fXKdxa=!Zb=?~58em&&(%U1-oIn=xhE;<fU!?HRx1OlwT! z+%DUms=8RnzUXs6(4J3czq4NcSUc~b{-K0t9~XAbJR9t0@PP4bh%SfoWIL_R{VUz$ zz6Pc7zS+#s73;w*)!@w~`=Wk@c<!=3Ey3XaDM8DoT+&WpIV;P!j9~%8W(IZ3*ig~l zjx;xyd;i6@?cQc{GWYeiLV@4)x2J8>IhiZ{b(NCRteJv>KmYySc6*xYPC)|$9**1D z-k(BMT}+IX|F^!~s(LbyeVfGV(;XZC)&9P8DrfczA!Vubh>5?xzxCF3=JRdPklJ~A zVzv1-oo=^xn=+p0g@Z=&LPJAcp=-@dpliyMA%lrZn?M6(kcDO7K|*zoMY_I4&*DQ} zn>y0cT%P>Nzb%@ZIcfLLdYL!<54o<F#)6ROH;XNDi(?|ErGn5pS@trXbuz~bWsVo# zIhNSd$9&x4fyDOMNy4-LpYIUZnLk}!-R$(Shno!F-ThvBAB6T57QEiI4ve;%^X>hA zyX@w)rn=RuIzX0oocMeHP}$9CqD${Ht6TIv1|h!*((QZy=kCrvZ9MZt<aM!9>v>N& zL<EGScsNB|0<U&t9O)@(+Mj)0*WXV-NI<A-LO_VCi*j0u()0JXN>5LoytbfW!iRs` z?{0nSnHL&rqO@wJreNj&>2KHR`fu9yHQ{l<`}*7OZhca5uZ2dD-ajzE``4<%1<Y3^ zOq$wYz)hg{Fi)@Hril<B*nfc|{g`9QF@aOQ%VVAto%ZzGdE)7`$#aw*e`xOi_<4V> zIRoF3V@-y}|8?2UoY|tAc{|rT&$*zXu}M)yL__33!G86a#Ocbnx6Pb(=Ym+m7Ep}b z-Ub<ug^hKBhC4$A1<#)8==ifgce}1<-fCE40pC1!=g9w?X}6~@xv_1PmSE@1nH?Yg zU)h#nJ?YL0g9HPKs^)_iq^q2Hw=QhE7qIgn!+{UdObiSTbGlY<X%^NH;c9hS7@#4- z#rkhu?Dp7dLnc<S(7i4yZazJyS4<JAmtdALVQ@-R2s$%s)+#xX1`cTxAwC`<4Gtb2 z4mLIp4mLJ64juz36&@i81tC5T9<DYPCc~gjx7nV@b6q<6$;aKS^xNjZlDA?EYXZ&M z+Rao0G(~bc?sctey`vg+_u+dV{f%n>EyA_8ZNERc`j45^<F}~|o2o2VD6#g3E{O9s znD6Jisk-{2!6UYFzu%Z?98>pC77zV7A?9uVq|BnecMW`#BfSnh4!m@E@{#I$hb+3a zk2x5;_Tro&e>GE~PeuNAopAW$ZVSuB0!fjwhgSPJ9PXRu7~A*cr*?1a;TvH|v+fsL z&0h4ZTP-c}dxu+sYur&GhK09kSDKu4-KP5Wh|MIE;Mp>ttb6yGPbw5xv32@>ox}5{ z?P6Siijhlr!S{THFN<%?(Yhoqsm*3+JB{_L#3vtv;wLlw1DISkvbx0OWiz<MOUBFb z^(NN0#7}<pgQvpT{ZnP8ruvP&Zu3`}%4zLB^kDz?!d<7gDn+i;I8x3~=W4{;-+1GC z)3fkDCz9->O@Dv9sh`zd5p>qA%RbuYccuIH|3znx%{KAOUEO%B(P2YjP~xQ09<K>2 zHCNhhpWE1-&$HD-D6Z%8j^80yRV9y~EcK6f%$*xEQ=8NA-^(C7W;QmzgOB*CMAz=* z4!RpGuz35`81b%uk}HpOr6nHOv}VcGyVC@{!$aEl9Gg1HZ~E$XM#lGy(c)Ljt*@Mu zdeOMzNWk(Z#ix~{r%7%6@;60yYnjT*pN*`)W_=7ad9prsf}ZuBoh*;mt=RYa=uVqe zKRLI%Y4Uif%xGTd|E9?M$Is6fWOiIFRysUuN7(GOjvI2srydbM@GEe(b6@ePwMTVN zxF>I%)AO!$y6!ALZp9Zbw}owtVBYNHab>k)l7irV@kKm-4+Qof4`5Rd=(w-k!m*%Q ze8Ya`3p<%_>|HGTxkK@n@w*R)Uv(5EyJ;~UUmSl>@tw<m;m3=nznrZqw|dguh5a8U zg_rC+cBJNUY?8;D(x9?Wi+Dx%yokQOZ{h2W6&Ev#J{gIdRqXP5@iIl@)@!*}CqG_S zz4}sj>BsZMtG+E)U+`jjwPX76_^^;=`Lo^jpBKF;@4v|H{yWyW;x(2*v-f4n{+xG4 zd|6Vp9j8$3UGdVoFR3oJAD5N9`Mu`Uv(GA-SN_^v`1w#<`z!0$wvVxntIUq6#XgI1 z2{zxiv~y>C{ll!=dskjaymS19OZt=3H@hb{t~ainr#9_~_ni$&8ikKNE?jX_choe` zVOx^3hx5{M$!lp<oy+vybj<_o=QZ7$J}ub6=Jbgv4w@SeH3sOv<ao}U_q_4rgtt|P z_VQ*#+|p@{?&7~vr7?M0Y6bg4NtNxQ8Zq1=KJRm-C$V~29LZg_-0w@wH50b8i#Gjo z|Mji8-AU}x^zaFhcC%b159v==xXsdZ<VEP0)#6!;@BdxY{3&^}+_r5SOF}Q)O)hfX z9ByIoCT8Kb?Q85$ZGJB2zTxF_)$`K1ms97t*!w!}d-C{K@M-@SOh5LsJh}MLU}wx5 ztB;~rI4_zn+};ox`n8;My0(qjlSNjq_vWU&;bYx>uKk902A}A+Wtt1VYw%Bf(k$Qe zieL1IWnazF07b1cjo(<-xrThYD6xb8@`M#7&-4~ET+v>rDlB#5s9f1mhIc8+Gt|4d z4Q=LmPjg*5U;NBh%|o|;iF`c2l7H=2#U~T{IN4*k<f7)86d$lXBi12TIC-Aa1<Tec zmiCwK&i4AAmAK*U%*}dV<6G4oLR050aus=cJ~qRJw?l8|MyAGx1_=iXJb3&*%(|z~ zy?RCK*VoBsueMeRACY5Im@Rbqw9VU8HSKasi$c{?&-Q6{TwSP;rgWfrWA?hwe_ZR# z1UK*EtKZg|Gm+zx?A4F2m*xBIxLnIA|6tBWoy<^<y=iIn8b$io#gaGIN-qe`iV0j) zy+E8nOn>^R*$)1jZXOES;aXLux1jljdQvNEV~o1C?6=LL-<?ujB@|p2?mFnk{kX<+ zuZ7qS=TBu18D37Ay-w%gZZ;{C?F#Q>CWIW;*I;%E6F2%g&wN?cUBm4C+nwe{Z)z%L z2$!)v6tme>jQ_tM?@YyQO!blKH_wRA_`)mkcnM=~cjE>g)rptBn@!~Gdo#1Ay<}^< zy>IQi<<*RnbbX$$+`rx5M)|R!=^v*$`J2%@rzSZB$+#}rkmY;ouHN1R%RPJ%orSqS zQWb5#>4?pVidb!@7a3VQEhf_L@|smO(`8g6<l`(WjvhI5LHF~|GELKE|2Ph4G3RN# z3~zdK&Q;Ol%+HsWPEMVSfBm&>be6>3*)hecLRZ|(;KTcs4<0+cI^HH8IbprquEmnq zc#Z4YZ1o?7{@lFTV{W|4sV5t@W$azOIOd4ynUyi_zUEU8@hB?T$9(N}kg?g?!+ zea-YDquRiOOEymk=hV36DEj+Jh<E>SpW;+cpTibz=jQ4dPn(mHEmxHHZ^^>_8@dy{ z_?~I<r@daMtdRU{+nj?l?3N^}Uwx{ib6PjGc;bv2uX8Js4Si;{7v`DBW{PN~$Q>1% zWT54lG+Sx8;|<@X+n3JJDU)k>82RExU+jrh)~{yd?OOTH=$55sk7iQGu^sQW7?&_? z-4i#(fw%a2cT>dUqi@eku&K=KXW~}fD8B!3N!zmkjdLrRbwv^@)i}K(dzQ*BmpF6D zc4^&~87)iiZ9VYXDP6w5Z>Nd|lluh`_nO4mMK{#Ghh4w7qj!&z@Ufi_)tO|^?M$%N zYJYjp{+9IiiRF4PEzJKU`*GbbVz-!-dS}@uMXu`?EiJP4JgvJmqp;)KEw%64vh(k| z-D~7x{`-M-Vc)qJmc<n(WyB&g|DDW<d1QBMYuomT+DVBVTMAgthF`leyZMPKs~or9 zcb@-7wa2|m+?7^I{W-jtW4ZmT-eQTxTN2kKcQGGP+i>AwVoOf_h1HR6(#M<4%099t z=P5a^zjA>0^4iRhyYp>l?0dvyVt7nD!DnOG)D)hJe_y!mIolASlXB{G*^RKp$1mMF z5a)2=ahlkqQzCzTGY#dxe^j{~e(g=%kv(ttXLfC9UGbUa(a8{FA;+}|_F`QY_cn&g z95(QhRkjV9qcDkyRZq^y<@e;cvqg6-^KLBajx#6@<g8lCcWr`R>!Mfx-yVLUuD4>f zU>swzSk}rEw~lr0>IvC=9jQ)1e%lUjlZw6a$xAx=!_hkCYueIPtZjyOB5Qitxcc@k z+O}U|?E-~M!V0;P=b67OS&}HfRMyGU{nh8Ser<Z~r59s;4L|OButp-?x1;0z9)2a$ z-z!$hY0o%uW0~IGSL_;&;U+wh|37m_Z(9DTOnkD+%tgBjGg_r<f49EX3a#edT=r7v z`Se@gIHi8v+xb;qvuyJruA7}5DQ+999%gV$RxvI%oiAO-s?78;ZsoT41@i;6xh(u| ze0`+usNBK7ip%PtO6u?6%Q`ET)x@utZm%$3x!{tqU}x-0YmOtgGIyoC4}ZUF2ZxQG zo>*T|dyI}!W0uKU>3D|7qnmpK-sfH83e+=R-zwI{#dG>mb1wVtsh|1|*>0~4bF1Go z>rnF+NhRk=@n<6!JUaY`=X#SoYoFK+^Ke&{<#WXkUtlx+9&&c!ibUJ+BVWXCa;UP# z8O_gYikHw(U(T)}kmam#a^bHTC$&HF2npUi`J(QlPVPzWHNUSF9C_^W{m9v$J6>MW zdsFD+bNZh4|Cwz&SUP;x+>n@9eer0`S%HaWDqDAUMNSsYoG^K1<_Zguf1b(Q)s2q5 zbE7@;)R+Cdrxa7t&RV{eH^NtC@{FmePq%wHtP6d7n)BjD1BUO~rk$tPnVu`O3GCY_ zXOSn+c|dgfTTy=3nMc|c)^p@faed<FSKYsT+Pg*Pj~|)#X749|)1K%PCt42i2;S}U zJ8?`#Fw^<P+0`ekA~&+xM$dLSdho-1n{6A!B}(qxnDnG9Y1Vt42Ts~K3E{UJR=LgU zh>g^)?*3F1t@_x<V|nGjtr8znQ%YREoG3gP!DX^b;>3hC{8g%_3zu6s<}h)~in&es z#=h4ybx)y9NAh$#gJ)_&U%hzm2;7~#xXtY*SDu!hgK+X5reh-6p`OPC?)K*$xy{pY z)M?qCoaVp9S1LkQKbXp5wSCi~Q@#)5cZS_E;E|A8FS^yX_qq7=h0~vQI7a2AhnR+l zdz|zxI-)<*W`PGsS8b%vn-%(LsbwjOVkzzt`?_b>i263%)exDyQ0pc4=i=9U`%Zkl z^={p&U6&ec7eqWy<CZwTRBwL7cdw_bS&p1N%)emQ!-K6)yFV0M2>$Z5=Kn3jv^Shr zL{n-n&(^tkWtyA#KZ|e&*`Pn`{_T8G(RVgAaCyV!<$Km^Xg<Di@u5i6yI02@R?6&u zZC_xgUVB-BC+euogH7|J|7-qt`K~9>mGU*oDcm6R;*>k<&4ah@J^ht=>!n#i_vhaE zn4|S`a<}%;(>h|aT%QI{N)9-)@aYN}9&hHNN>AopB~u?IT#HS4@Kk!|uJ$!2E;ZYj zUy_TRw>ZB1<nGSO-l_?#OO9UCzAvcM@8R21{;_UKsYk>aOV*O4q_ur~4^OGqC7=Cm zZR(yCHZk3$)$vi>ypYmsZWiX{-Z$Tz=XRPIw&u(gE_E%_nZLjKUD;M&Xv;O_S-|tc zmlC}%O{{chCa+}4o8J_yl(|1)#vXC~IM3P{-yi<<Ue&mE^#eV@ot=;U_Nf=9|D2<e z7c1!7Q~l}hMDJtYJwKdYk|7{7`Fn%5Z_UaE=Gc41n|85HDc9|2YFYFxPdv|0U+&7T z1@|UdEIpPlu61sQS<o%>oiz*eom{%S*_z#|oK`f*-J56?`S{VDb(ytm5Agl}#Cd4z zwAvX5+_s0G6KdRYrfZ%-|J~ZpTeSXul+~Kl*J`U8=s0a_)$zkiS~VhqCr!BW>Qb4f zR!Bt6j-ZcmtX@Y|?Vs@-Tv?>E{1?ZITQb#4ecwzH49c<;oEW2eMDC0Cd#|eRN$ux% zESbM&&4m1ATbJG|c|X_5H$09vi}$k9teE1=w)}Um+?Pot9pj#xDSDOb$Aq@@qVyj! zym2cp*tr<ksOOtFeHFa>_^0<Z#_h|SLbb1SSL)AOzeMiG9<F;rn$i~Uu2w7M$3^s5 zx;{DdW)+{#L$9mu2TlKOInv8uy-w_^RLrrU1A7xAZ|gZOOS;{pk`yahI7`9&LvX@` z$CfcM@Av<;-yt4y=*gM2bJkd9T<C6nezE%bU88d8l#B^m9sFv-&WrG#xA}Xt^0MUR z-=R-6f+Hv0-qLRLV%e;Zhh>U=pVqq{>f%^^XQwmAWuMhbPr}zkPdm9?`SgqVs~0zY zVd7_)oMDo-cB$;o-eY@A=Pq=Vy>i0X)#I<)5tEru(`Pn*ue`kA|BIcg<PFv=wa!`j zOKjiau)D`^I!A=|?qkz^*<IOOW3<{?dWXtJPmbre<JNk$rh9hznJ3PE<o9LPyJHL& z*6hl6E%TF{qG;{?%YD_d=3lRvwz}J^oO=>hd(v{M_1WyZElzT7t@h^+XzqWKwqkB% zTVOStV_?rb<3nBzUGj;sJ>46fgB26Mv3&e`z-*q(C#7u_4NuDiBt7F&&vklb+H=d) zUR#q|%2&9it1-`dUCV;oQW8rfX0BP0b}N1LDvPZx4bLCy754mfj@V~=WXc;><~g#H z-(;P0IbAWA{dz}$(|1=5o=37Ttb~s}+;3g*R7tb)j_iw|2=CUvatoJnEU_<q;rZaf zl@nqS_O`2*8r%(fye8oAld#!p>*}SH{?>ae>pZ=?sjAKE?rVu-xs&@&uJ1VaW&hb^ zyZe!O9M1&Sc(n0vdmE!R|4^qM=S}wCOv^9M4s*VL+HCP<Z?nbOv!|~t`8q={Kjf9B z>6-})lEXSpH&v*ypItRI^#9W<m7&qmUt2n(zZZ70t$rF3_oIeWwsloXf=9&vX=g>% zowme${}e5E@<pPldm!I~4-uP-nI_B2^tZJiWa$5M{KdrH!m>);$6~YQJYc?IH6zb= z<I`y#lOJ~lOfH(V!d}wHtj0tBgowX?X<5lFrsanmSDd)C*2T|}vq?g5vQ3jG^C9zP z2cBr!_#ODk)^cS{a9P@>*0kAcM8oH1d^DP6S}^6=>`PlG$7Vche4Tq_{-4YbZ9Y9y zzKHD$PvKN|b(;OPrR>D}&z};6)}%)TKKl7`)|Ys-`kIP~l}xukSwDTX;%@q+7m<7m zv)9dKnEUJTOF_o#EY9AZQ!W=hTfVr+dg0?nt$j8zGxE}Iits!<BU5K-u%}>85Tk1g zi{w)M(zYL+&&t$!?=*#dW@v7^nVymGeet=I<r=&PwSHFn)xNGv>Rao<aVv%GN6Il< zsRDzJzLuPT#g8Acm_6xhI&@Kgkx`l8rT80to8SLPSJ9pRMl;pX#9Xib{+}5;S0pme zVO>=D{O9Lqr59Y={z~aeoPBZW{_OsM^RA*P)6&g9HYB*T@JdT_&-qpUhneBGVT<Y% zmD}GXi#;_~T2Ej0P(5=)28+k3pls*Mn-3?YEDD$*C=t{5tuA)`?d~Jb3odSwG?chD zsm*Qm_GA8sKNksp+-Y%V-J)~bR&{dmE}YjDkma6{<GEsw>X&_LDtCn+iMF0GYrPcF z%2wU_Ds5r-_6ae&1^VMpY%!R!!O&!rQOHXkTU}nyZEX_S&T3W8D~~SRcy{5Y(ieNn zJ!VyQyq7cD%)j(2Kd+v^Rr8KGZI>chrF%jXUy21DlDc?8E-+N3>XOQ&9Mz-`LNiT- zm)>zne(UnIZc%xw(n~kN{Xr?)f~PDCG1(CsvR-uWx2`85-4(N4m#uZ>ytioLvqfFI zU##5gaVh>tgu$f9IH_7G_ipbi`Cr1e_ue_3KPA9|YpPeI<Ko+a_qKnoteyF1>bhHH zi`_0qU3yUP^VYWwCh1??8J^9x7TKWM$}GZObez#etD!8<>y)obp@JEM34<wvePyOj zlEjjkZ`EC+Zs)n4sGrR#;nLyp?b@W2-8WmET(l?NcH8#NpySM+|2G8Jy5vcFYVb^A zQd*n4-Kaxg;+wUqC(DW#3S9JhvTY->s`}k`w{25BnHzj$n~_~pW0SA0<ZUy_XOHr3 zgN7{y7aop@@mt6dY~q_E&UH}JZ0#g12B)jrCb<Z7Y>M9Adfe}cQk&zfn{`HV)>#s5 ziC_d?+WOM||I@nj?|)gIIVvhBxH9+lwzuCP!^X0e^PYoH8GrMF6+&M!d6;;FS{gl0 zXtphpo+&5fkRZ{Lq`<XMp(Rm(#ZiDIQKH3BfUQx0r%{8Y`GVq-Nn6+^r0DUjcl^9N zpCk0Z{y#UnoS!si9h-jNsj$N)z&zaN?EdE(g%KA`F05sHr#bCQVEnc-%5OdQa9=&U z+pGMo*6!@^m#gk>o$Y0A66j~zxwvvy%J)}Nv1?YBO<#8_w#@Zyn;O?*)xtl^vaTD; z9hu7h&bHueV>f?H>4R0w<+3}r{;ImDm9lfnCXY3Jb48}=UEI6NP5kw$ySg)V?wA}u z{^m#8qUnxT1h&1ecfXl)#kA|O(xZp{v72hcWkr><Q+hT`v<h-Nl_7i5Xx7)zD+-Sm z>{$HhbFX~L4~0YglJz%!96lzW^+WM7zjxwhzBm`VY1NxlHqNMg!+fgaN6jI2N&6E& z9DC(m{v;gYm#pLX$n0@l;zm)&!`GehuCgnYQ@6a<$ey}DblXSHX~uT;jD?$CsB=7@ z`9l3mkfp2WzZo3mk+(Zfbl4hOx!?4VT)9}EHFS-~1KUFjTBhEPn<l-k{p;TDy1Q{F zyg%LAYqWQb;xr~Vk<+hr_fEV1AuaCc?ut<T6>--}&OTP+`|cKYNZ`QXJ-P=Xh5lQ= z4Bxxt`=?oPXI58;u7C7Ys_JxLP4YEPQ9XICD`G*}ysf+5ui5REWR_{ucun9pGcQYr z%oOH@tC|-ryCJ%vX6uuug2^RJs-22CIw5<qzFvB&xH5iYqeB0Swqu6-wr*$aT<c() z$}BJaDJv&Y<crAZn@YJ%8xP)me@CcHp{cX2KYLF9;fAui;`xtOhP<?UxkT>H^LslV zmIYcB{SRH+aiQGva4}EN9*-9`ujZ)A_SpUI6BRq3xJBpegQXpIpLZSRkh&tZqJz;b z_1H0;b{Q_O=*Au24(zr#<&diPV1?rY{Z~9JJc54;A6VGkXE?0JeDnL9@9!URGS8g3 zvtoZ~$eriq6A#^xU7LNm*m+;KVnUXv=a~~bZI>wL|2kQ4Tc9#{(SNpkAN1s$%=uz_ z`_C~aJMZr9Z`h|e?IvTr^BJY~wQ-3)8+(@Tcxrs?{;EH`Y_}h3?u}S{-NkR}qiGGZ zTjY%VzGYn1`!~6T^QpIU!OS^1@$=s->JFZ{O7+e=zd)y-Jo7eHX++IDE6y`z$@`zo zG6o_)PKOB3lK=fqMsY)$=5_rI-A?<P4b!r3^2o&)dCZEvT6(ea%JEIbDdF?)->j<N zvPjf>*2I|hr`L9Cdu)F#ulZd37Uw!WKX=cxi(Fq7L&PWMU&#z!q##gQSYhNKm%B^1 zP-9KT!F_$|#}oD%Ebr#`S|Pab!Spl9seOyCxE%{BX$U*AQNgV6jF3&#g*6sekEuqs z{%$|8C_=Vp;TN-qS%GFh;%u`fc-O8t`2AI(^ZnJwd-sL)OVzBmJ7C*8fA7CfB91Su z5;LzE*!-$=+hd&aH^^FI5#y9Kd7pdZQ{S)rAgmK<|44X;|2I#sSLXzFdc1maE#ovl z?~N~<YudZ#6&&}N%cQ(s|CeH-@6{=HA37x-_bfj3K49^t&nch1{<qX#<G)@qui?h2 zqpN3X+}_@N=TcSgsQ`xzkz-tk+!9wP1itw9tLmTSw%Os^t*(XdFZQ#H`?6c$hUF!h zP1bijeilx$Tg{VKd@eFU@$clAFK4DZvi|(3=l<w;$7j#F0O$1Qo_s%zuAH9Fo)EKP zuBOqKXY-=}$td@6i?^J;q+D%U_q=;enr-tD39iY4hc`_B;Bdu9Y)^EZ;KI#&79Fy( z`V(X3DplU8b9Tr3sSQ_>Oh3k?7ca44j-MF4i?Nz@s?f%5njY=FJJ#Nlc=IJZg)yhB zu|490-SP=b%Cw6`(j3nma~A%${A}o+<(oZ%`8ySlUSUe&+PJkPIL<l!Xl)~Nlk%Zs z<+<P8oD+|(F6#eKbT??trP-w?uV;yDJQ{sPK0|DWxxQ&>$5Xz0A8mgI?Yq-;X=Q}3 z=mpWx_|oWxzM?Rnb&P5DYYktwF*5m`YgpZ%>d?ZhH22Z1ygTu`f;aw6U{P^qD06oc zcx`I&ZffEG?SJGh7=5*57G>FfZR6TYK|72}pZs_xC{S%Yea#FB{W#BaceEz$3e<ZN z!ugn6RQyhWqw#@)!^IwXIf4@v!oC~ib4qQP$gt$D0^gO=**yR5E^_l~+V|*P-(yie zXVXjFd<J|QJf(fhBeM&8Pw)BFy|k`ny^jdv**nv7clXa*%P*&Ve|6S9k9DaLWk1)Z z8s*h4(S2B?K8Z<VO5x*hPRmmk)`D-l_Oe6;3g7YnHo5ALpTpd}4hoKr1xYry-{}`u zy|I~f{@UMEzW%w#KX0wAoqO5&`Nf)T>-CGPUf5ZEj-F%cqSv~GSA4;b-<n+9zBA^q zysOhzN}E4-1FPtiNH^i1Cl7e6@G$+xc<oofV$GJ5W<9fugqJ#WPFlcJ^_n5*y7g6O zXT@4R)+2v5nut8_U%GIP-L$5pe-S}-$<NZ;-u4+jcbc_9`g8H0H)&a-{O0d39ZBMe z^F6UjA!ovV*4Exn`xoutw9&bB|4DHl-<R*+x7R;O?sFH8{1xHF_32nZkl%Tg2w8ap zDR%$c4<9cMf8JwoXy%#US3B>^KC{?g-f{TPzr?=J|J#e04o{j_`9;T0mqF-`%b$wg zJsFDE9=tsA%hhg`&5<i#P6lYt4D4N(JEwi}-91}k%RLXdtMhkziMSgmC_3I;|MAh( zpSvC#i`h5JYvy%u`-DAF^lf=^wXF5F%69v@Lp*yoA8x<KeciB8<PR%1(*fbvM-?QQ z&)$&wm-kYu`j@QBFa8)Q!_La@N@fMzAxRA1{uc>uSUQ3EOi9NAvy-ucE9Ws!aLi}O zVaR0&Zhn(E<8|By4=2S{Mg_CfJf0=4yM0iJlQVFtpoK4Q*S6T(+j5t`wK-F29igJA zxXOrW`AWwp+fHt~X~tiCmru;oqhnRify}H4COpM=xy3v+TteL*m~b|^fHtFmCTh3k z-ZuMuTS-uNr)MGq<Lzypla3UcdG0!~Q}tA4UT4v)=tXnfvMwFk)~Ax5mX`MH|NsBn zb8mmUBc!DC)MUGh<yqa03tC%qjRF;WWsc{9CghztRJMBx&1m15$KI`?0<vxk+u1+$ z|NsBD|Nk7x@vNY!Vrd=sqB|wM%$}1#Zh1CML)|aYK!S(KX-4#-Ifq~;&VV-q?FXs9 zy$!U9r=z3e#2ulh&{Y6Rx4;{RAlr4o>i}-6cm_?md`AfE!a|T2oD`L=l&S1oJ89;} z`rArEN=~`%lcFaSEURaUR(a&QL02*<+GRto=P|R1PtKqDpAfCIsUzX`q@G-*lk;c( zKe){$MeyJ@Rms~f%qUzH_;fee1t2eM%VloUT(SA7{bwl?76FzdiS|x6&69G%4Itp? z=pezv)#B*rsKLR))VRPwK|rYWV8Q_b5s{Wgo#)eHCOEO*>EQY+5ftVVnxL4!g|Yk2 z1ve2(=WhO^Uo7tIEU4ZWZmAFv7_oSvrH}E<n;(uPZO%-PDlk|n*}`C2RKqCjX!_7) zn&q}lUo+=cy<nO@Y2(oi>Pbfu($hMe&!6BhUJ~Qu|8REW|NpXoIb?73Iu<E&dg?cK zdOaw(WR&{kLGk?Nd#_HudTqhhnbEV0LD{mw^q_ag@hqw8Fpk4A4T{1M>dn(NZcfe3 zy?pR)@Bejf*TZzCs8;c)t^575fSs}G1KTW-T{^;Q&CiW@+_sWw+OhVP-72X?Jq^L0 z?On2#Ju8Kaj(5%$Sof-tzoR&^uPJH!ea55P6%{wQP3n7o&h)3}kHnydzNfxFWQbT@ z)ZVcAf?U0b+l!E0FW>SycME12%C~OJsB=t<_gXg5_SvNr`9RA_?J-uDymjV&F-u($ zxZ|N_<h}*BKgyjuCNaY!mEqJ94hB^v9*)TdEKZ&Rj0;rS7!8CEF-++Q;a1}cb(zvA za7dQn&@@k(laqC1U#d>?`6Y1LxcbD>iMER_sqhz8E>YhY&s!Ip+WbxOiH4NG_YYg$ zMVzHl9mIUs_yx?L?!w;i&9UXBA+!2kW*61o*-34y-2;}b44zW>n)B3_QqJP7Rb7(n z_zq54IxRZ8$w_Fr$frveRVFBE{K+rO_`c@zu50rim(_~iEqL{A<C?tVrz>;j-F|dN zcimA#>$r1CpZCa||5mAg{^!qM^R`<HYNc!C9f<ee{aY_~Y3<$rzdyy<eShv3=)OEr zPG;&xi?~fI`>ghy)^3+y6W(?2@c&z1z6fN07nmhfawGrl*#f6lb+s8>DtiBaT$g^} zo~E$uVo|UFSMouN?mPKyvC&_v1b4fu9TD|rFcey;AndktP5$Y#6Ls5PY@FL2n9CGl zGGoONHATb3Rb|IpR_}S>$!gMm=%L~y20=b0C0Uh22VGK_T@w!onlUx<I&3shVR1|> zF#7(GgR9kWA&1oYds`o|ZRnHA&tYsZ&Px3K?@eXa^yi=d6h*5{{Sw2H>&E0cV{Xwq znJ<U`p6)VXKNfxZWrg*d=W#n^kGI7-iLd=yb17q1=i*4sN`rZORV3H&?%V!rj;Hmc zC6~CLOx%?JcJI3NH*(IW-Q2ZL`{mxa{FgQ7({AqB7yfd0oc_zK^Upuk><eGHJ1YO> z*LlxB<=nd_(zkfdQ;+wSmrP|}#<*+cxLe(y*!R)nxy_}Waw}{4OU}9P`abd8Uytwh zL5U8REGLxccv$gI>f`i0+PWm!`O?n`B|RQi>XW*(C%x-lQtWnV@5GXK9$NJ(vd)vv zO<a=fd8u+z$c#xP>r`Z;C!L$VB-tmZQ0-;R<dXX;bBiavo4e%Eyd}TYUrJ0V;Zv3E zp48>;d3?!|V$GK~rj*#Jin^)J4fcG#YRPY{mvg3u-1D+3SG^nWd3=LO=>FHcC&gV8 z|2TCDo5<!)rzc9koikJ3UO5}2a_fbhm9n?hQzNs>tQqh3e=#&z_|>3x_R){E|G(_s zKmYXS%K1mX<@|g4z5f2`&6Tr{ey`m3^n3pOm!E&m>;7K3?dZ4q{jb0OoY%R%@?RNO z(&{oEB|*KEb?5)@*EHO5(_Q}1<2O=D^JXn8th?M{UHW<X>jYju&lg3Z?qC1@f4|4V ztxb!!e%UHUxw?$?L4jLOy6t+Pk`Tsp&%5D@U|GKTM#GH{KIN*<@P88a<3?Nmy$qR; zcO(=fR><GGY1YMkh3muBRH-$SGkxY~|6z1fcp%Agg2nxLiICJ~W`B!68=ZW9_)GR? z*B5e@-CJw2&Tg$Td+w{`k2`q3Z&N(8Y)k$1-@#9r&)yce`E}X`PUpXqN)tD}4tuQg z@cQkn-2MksZMqgti+ZQCOeHKQL+JkM>R0*A(X*Hyu>@IM+?^*BC80O%`(K-Y&c#LD zE^&I(`?A?zxd>hi3Z7>!qPI#~_dv5r@vaSu*X|zc2s>I~*V1H~tETf$e%i}JA46qr z7Py55GdgG8%C-u=o1%KdYw5dfySKf)mGkLyN#tGaS*uo6|DEV7yXexl*`dqtT0hrt zU6GWxG)Za2F_y`dx|gIjyq8XA{P-}q^5gaR6Ego2Y&IO;k!Un+ifodz+0v)to=(nF zN^4fiZ|!<NCBOJ?m!*`U!5Q`nVUP4sZ*ji36^mL@CdKx98NZw5{X%J4(u4cLQ<V2! ze6ZtN_%RdP?DLN*Vyrq>ZWQVLdHVm3KYd3({*s;d`l+tW>8JU2M#hP+8ntir?hf3i zXIkBP+i>^ZPjT`JXPOu;?TESm|8ey`t&ai!-n_5>`A+CQx7}f>x*&hPmlt;wU5rS6 zxth_{-gtw1+3z+1U&|A_&II4}+aA(!WzY2cTvq9!udUhEFT1jY)haJEYi6<HGE2#s zPcQJrg{ljeO!9wdabF?#K&@BSi`<4f=1KEPbT$QMAI*8MGbMuCyvs*hdR+_WAIX!I zzYheg*cZC5GAh&lc94`{_v~jj!4q?&uV}?2u-<qeD!ET5I*?g(lJffJl0~-XKWwrv zUUJn~%5AF7ruK=vpEj_yS5CX|cIlFdOK&7El;BRu(Ax64(8(_4&V&zFc^WDdKW{8r z$dM3|c~~@*T`{z`Aj0E7>MM>_H+1CLEmme;k;`UQ5DArAb^mu9>*Y^{#U>lQ3@;qL zV}Gmm=2?cQLtLyASypXg*O|+{_89N3B|Nv-{4_YOdSy)At9)_GBo?!LCWU2}y9K;B zPFVCiI{KN<zgi&h!-m^U#Wb?c$!*?e0}efn-M8hX?t}`s8y$HuQ)kM>&-J{g7)2u+ zZtHN*PiddhZp`H*8k))-Q^m8*cRG{st|>KzFC^!2J#}=|Ihb{g$$wMxtHWKY6Io8q zch48KUX#hTrd5XHX35>ndkfNkt=8b|Pdk348DvOEDR+bw-!@<I5)aNLJbRSwU+>-a zOx56&Z1bCRg=@8P%}I=@^IInz_-w=EE_+t(6MOr|&lwzVUY<E-_hH9shCZWx7dPkV zE`I)>YYMaIMuzJ#Ty`h<R<fImxoBQ_CAOnPW{Z?KE9V!{6MLGPB^a;8T|VBnY@S5n z6$iEg!x|2o$r(=dOsxOhShEjX1hT)E4K#nyJ-fiW^9b*rAQ3Ia;OG<6k~ih;SQ0!n zCFh8&ar)X{`doqCNsHJ0oD`d)e@%mX<pxpfqN|!OfB5~qWw`0|O3t~p8hdWXIw`() z-;=T=Ac=(|p#0Zyi<<ZImBpmj<ZX~Bwu|NT3fvxacB+A_lCyHT(W_k(gLSVTNPf?- zgGtIsICaiLRpnCYpXCt@;g?0;|6<oMHZCb?UB$((U*dDA(^$aJmtW^8v(|^p^Isn0 zh+SxYRJdT$Bf&qZVhdhg_`2eWn%~Z+eOY<C=FEPy;>EJ~T`T27?_P;CzZ-bdcY0Z{ z%+<SJs?EPHJ~Z3v<F?@S5?|j3%Sx9XTp2(A)vaY>4X-}0oYPyC7&71g?VT0cZhwD; z9Cusl?Yz<V`F$zQY{pkPDkY*?g3szjCLE0md$w3;UdTJY*o0Tdm+vxr)fH@SH+6I1 z+V=8Yf&1;VzWsVzW)t=5w!!}QoV{C`|2(?z^hXB6;v@Q7elzD}F_albfIAaAS|qvN z#0m<o<epT+6v`055X5kMNyEo89UU$%fA**D&O7Z;bVscrA$nW->~HC6E-Fg@*l%Z9 zPr4K4$#>8(`7ei5_Vu;?cH0D6XDSHlCjE)romcy5Bj+KHJ5RTqOg`RwBsNdwT@e?{ zW3!#Q+fN!l>S(`Tdb%<g+z3|joYd1XS><N_?K3?c9xg`?*IzGF-SX6<Z#HOf)pOI^ z?Mpq*-Bvk!ro%<$-|TJDw}Moj=Wfc`|JJjQQMygX$XH3Rv*Z7=w--A+pi6&`!21BT z_j4sZ*4<9IoqyV)=<c$alAKCH|7~v{JXq=t>eHy~1YP1N<6d+}&2y4U<}9^IjEN7* zj6JKeb#G2vmH@hq^R}9&v9XeppdjROPIRuBv9gko;K%>l?ru}rnQN50UDvhf-m;mJ z6FZLlG0*jn?n-IvSke4K{;-q@$B_U97ek3d0?t+J!VU)+8yzGh1ezNi3<LyNm>L}v z3`BUEoE`>9aIi5kF*>d|li~DY>O;ng3eV8LY<fN^T>sYpdif-|bpO=Z88^>4_>?Ky z3NY`UB-L_IZ;8(#w%~2~C)g7#{w}(>-ut%CLQCBRtBb0$jHfT$rC<0<*eOsYPSWUf z;@gKa7<lVue{^JilHTy9#rV!!UAapQyY4Myj$M55^o&Of`R`nMdu3Lx@q(z8u1DHB zSu$D~UoQ@O`mS~d%cC`)nH1A)85}r-3a3bHRgx1raO2pKAIbCgi8XzFt^V5WvHj1R z&zt@q=be#wqh&?-Ssus8Sz>*Ke{ZR6nJBUOOuxaC$3HhY)$OyLCbU0ew)5l-$8wf2 z?h8-jWx7$Qs*>nCW$W&~njWK6`?Uq(lQ&;TY?_(MIP0BW<1&+}LZ9QlUedfA&vg18 z-}UvK>DT#=e|q`en&U~ug~;UfhfSG1?xp)MEN#j^b|fZJu(#E$ukY!xEbYUQ>Sj09 zB2I98KlpNSB<r#)KASCE6<qwX`(!(&u5N4){ZV&jQ}xYN{H?6~7g8b@hQ9VXYrZ9@ z_~7PO>x9;>defHCx_o}E$=}#LzPA6?_sGp}Us3Sflw;17xBP6~{{0}%j^p!I-%5Ne zApWjE_xi?iSL+;$Q?1tP9$MA;g{B19+n>;}lMj77Eq~Iy|KZEppDPCa_$+lL`G41o z9hDti<#CgROYCQ6%F8q~>3STun;~M-dq^i+N8(ZKvI`}wdJ_Fy*@}C)HaEm^Mk~Z~ zMr*X_hP!t<ZIHdzX;^E0I7M=WLHmRED_e|Y5<W3oaB<B~t&lZLs7etGNeJN8;$WRz zamnWA0{u1JcX%}C3U8EJvVL`E*8XE&=BnIvh7o6Zcb-~Ox~$NAWtzs~efxjTJ;<|M z(k$kZOYCX&OIMheE?;71#A&0i<x}=#rs6EMe?1!l)~T;D4L-mZ%~zb3q;Y2QmuVga zm4V5dmK^7~Xd;}p;XzuN+5I&O&NKX&__o^o#<{XdMc?o9XJua6x2|I6$!Fmir+iFR z(m0ILmM$}9IJtAtcIDOA+Ey$-QDXin%fI7;SVvxT*xFu=eEnlD=512m%k$CUO3QAZ zov&1lW5aie*OoG#>OH%8r<xyc^@0sof_wrmu`a$Z;mf~EC6Q6h{>AFa1wCQRYXfwD z9ej{K{q?D34|m=?BdGG^$C56G1C@&50bz}QyzIrJUfO<{s(7|j`q3!?URTqWH9Q}~ z?oSMDd$6YUVn|zYP%g{Vh4!IlS*Hx<J+TNn70@SYY<22G*i=@*RF1GD7S>b=<%<n9 zMkc|vj@FV+o5h?gB-cf5T{w&9Y{<+BK5Yk8++V0V=dOw9P(2(SSSA=`bR@RWO-=IA zMhSOi$y1)&l_&7Lb(tOL-u7_Kv59VNRbgjdC>=JRD(*5-(IAXTL+NmYCR@>o4{N)a zbyGUrl6VwTG}7+2a2b^Z=Q=Y<vQ3t9{x8wxX{cDob3Wvr>xtt6=e3MC9T!+X)ne9h zgX@bV4<_$We&qI6;!wJ++bfAzo|_jJ^PCTn6|ii(u*N08qAhun?g?pU<3o2}HJ>`u zfA)=zIG1od^E8cQ&oqV%>)2*Bm8oA7E!M2)F71$XzA1jx_q5PAj*}A40#Y?LYrL4o z^8T+L+qzkfVT;vuMZTTc_C#gF5jiEH7^Whg@+I95d0Dq>h(4QG(7o{5tjIRU1AT=` z)ixL1)-8}cbGTt!Kx2&Ip3=ZMMqB>PR<)A1&AHH$6)F9!dgldueZR_Xr`h66r%p`u zD_<*~)h2)I)@J=xK1X)0>D{5|$Fp#vP{)MM#5nE5l+MKUuGX`T6u2LibWGy#tLl+5 zypn#_txMux=dQ)hJdKf@3Qj!Nb)o|l+R|JiC&;%YEM>VM*S1kCmxKSXw5WPWyQ0Z! zLzVW0Gfai64u9~u(t5+7<*XNjBJbhKNsmwPI9rCD$>2F0A6mxJrWn&%c%?P5&&BxC zp$mRrWn&XL&PFi=ChkbP+O){PBskT<Pl8RDb*ZFa&AKq@Ga(b~?M<T8?==4T(I_aK zT2?hpc2lTj2BZG#Ng|I9UU=59OF8lqha&so%1MV#upQnhbS8uCaBOH9OS58JcjA?% z#C})npo16uzDmwb;P8oR@G!WOcGW>qVpr!T1%969nM_N2JaU#@lRi;4VPCyLocOiI zn!gu0CU!5ly!eY^)YRr_6)W{lDzNeB?!D(dYmt<^N`i)m_?edKj+ok{=qKM-rTwfi z+s1b4I{RHM#`RUZFTVYr_(fkP;qyI}>+ehJ6CIYHi;;eG&ByhnCG*4|+#grnmZ-JX z*v9q1OYN|+V8n-qxsy3Wz4Bu#g_~XX-7`DAp=rvVm#^Y>=$mAIWqY>jT9o0F*o<$j zYg*2nP4@d)DYNs_*3va~YJtA}Rmne`=P%H=nR3<dtT@vbA^%h2dR1o2A9MeGu}S3- z_rogAB@eiZO{GqLKV)yUZcDYI&D)JF)eGmC2d~=u!T-AM$v6%_Z=Jny7Rzr=iO}D& z__UtOtJWjC&z$_?bVTlP=9fbX{m)pc7A|~aT^h%+c)LYr+>RAj=jrKhxt!`TMf})j z8PAF0rxs>Cz9IN>ch}6e*r+`Q_x!aL<0SOhVzu@dT<_N8jQjEW@w9(>EzN<`f9OrQ zoX0Y;e4$3|hZ);{SWG)1J!SS$#rJG^>+0PXNo(l+?_JhmwB%c!d7J%}m2VHZ$G%-s zrs$%7v7qc>adP3FAonTm1-q6mT%}_vyz=b6OmF7U$Y1*=MxE~7t|D@3o-|YIB4^fz zahxfh>T0XYwF75<nc8)E(xqmd6sG!xj_aJK&Ct7ZIg4jv$wCMHhbbiw7o}HA?Yb~Q zr<!S(z=mlBuXY;TSZw<;reewCIeB_5&uu4V=uP>Y=3yv)@1)hF)2$yWIWL`Rt)7+3 z`P9jJm(R*4PJ7~#Jf9qj>D~6F@L^w1@S`0QnA7GQFjv@Ivp~J^#14UsIrTSv&lgUO z{gLT5?P10K#xo(+1;1y-WN!T5xbn^o?Y-xp?`-2<m_LCx?;Gp4y;|Ejv!%~JeBdOx z$ZQ$I(TIu5<b-uhWi>jgPd%N<y<>lC)Wrr4fsZN{3)EikoVqgP%ifeP34&9~RHw_P zZrB&yIb}`avPAQZ(KEhBFlcSsH|wgR(p{$+8x=1*-0jsoBKu0sP;Kjj#TS{&qBd<b zyJpQ7-^6%4u4$|9J%7P0m0uH9ich+4$bVs*z<$ZCYM=d`deUbew^VGEezbR~iI~ld ziP`Oq!S`i2RClgs)!(sg!huvd_vmv*7mkTp+J!{)v$0vEZH@7(IVdG(@-WMBgP<{c z$ZN)Ale_C2g^OIz8rU)|+7`W4(Ra(l=yz(54HxNM&WYoe7uQ^_9XaiYQMFRVxvdj6 zESQn#toNa870X4DN2_ITJgD57VY8q^LW*Y<!@3K59<F@$*2`DjyLOg2ujwRD16hxX zM7M_UrbB|0-ejighOi&`w&FO~|EKmIB{G+0X4RjOR5)oEs{Zs^*s)jNt`$Em4^F#U z9-RC%TRYkG|JQoWZ|jX7AK7B><#E%#Vz0*CjE}*OmvvXl9*c50rp)Gd;ZQQy$$t|2 ztu7s$P;hR2igHffS++$BBv`n({@&jD-q2w8j<WQ|38xk?-TPv`DkJ3QH+JhF`{`Q> za;;7J%dIag+Pg1x9?ztMvFA7V)y_P(<mVDi``U<oX+l32J3TYLQ!~}Kcjfo&JK1sl z8?&=d_$+_lT6n$8()sc=f!ov8i7#IF_EddO{ZdYL?QbT+iZ13sS9ctompIw^LS<rV zBv)C&hNnCHgMOP{cA8l5-TDEa?D7@gPlx<TZq=R6zoT7wxtf6A@}Q|tkIdnFRhD<` zaY)T}pYz?H%`_sk_o&NHP1qJ!mb&8XMHdE(CnXunZhEyInqgG=AW&E_KB;w@#+y)$ ztd!NO954U-7IggD!{P(mJUzwb!he}xe!oh>e7XLaEo&4V?3`*;b5w&l&n@CP`uf@( zhsFmiY{47Kyk2Q(%t_G{t5GlB&bmlgqVnJ=P2EEaN+vx`{$M#X%2>cJVFufCv9A5Q zzFs(X>cP<~l`FP<`erh#<b#n`)vpac{yJ}ZXLzPg@%Z?LDK<!zv9*EW+pk%w44aoR zcSx+dEqm&iLL&2jWyc`ZRcZW{@AoeC@$Axx`}=?YzYk3946_*O7(`1~N?o3!<~3`@ zu`R)+tNfNe44KWz+P-zdLPa6LO0_A>Ct8X&oJ=SKT_k`9hns%hE_lCqo$0X<<9g{p zbICJNt2$<W`+s}p>Rmm1uitw2PA@rorBd3h|ND3E-VI^pr>`>l7MFd_^4K-CRkLOa zWiArh<uYr9vry(Dh4%Felk;9hnAv*E*X}VCTA9ICn)r6<w&h9tV&|{z=&Jl4uxKG; zsDtn+#}p^aiVcg8ncQD_XQIaq=hD?hi4$-Bc=I&h{abg;zx`+baWj_R?n~VL%d^I4 z#c8*TxkfWs_?8)-T|UpwXv)#`OG}o8FO!v)nxGcEa)SrIOm|4A-lU~P8&d+rdruur zn#k0ZvH!dE^pi7I2|YP=g#CW>s(pWt`264gG4ajbYjcX%8g84Wm9*^d|1z~lpKaEf z9-FZ$&C6=4^#s>d3S6Zt7kC|BX(rTh_VfS$ziJof%IALR?=b)6X7aBi`|tnS#qZq% zS^jS8?f9|gsY#OEUT>S?%^yXtgYIs)CXw7@7#ez&frWu3VuGHome#qbZCkq8(~}<_ zxN)PvW8Nty5!(=@7UuR2&r~K)1tpeFt}7217Hm8bGTB6c;bF+-R#!L1rbY!3Q&)w{ ztgM?H8-2vjZkcHi%;NB&aN$gg?7zoTr3K$>{GYc>EBMH<MJED9Y@4fXkEJfIz5VmM zN$5-^vyyX`&9jvll5QV>#8<fZ;qPzeY%4n!wrWw@tiSo&IInTFnXj1qV%e-E3j!9O z6&B|(bWqScx5?n7R?37U?7{~a4kvCXP>^eDKGa|#!olM7&_RHQg*8cnqe-Eo$#KeS z*T;;{nx^MzxCZ~KOzxJG@CvEooE)<Kx5u`UYo6)5<eP=Rd|t=vyy(Texh4#e%$muo zj%U3+xFyB=%P!lT!mDvyU)Wlurca$eLE!A8`hy`G8-94JuCnc7sIp$2sj*=45niTC z^7ZmM=k)WGX4O5r8#Xaw-@N4YALj4*nfKyFe?5ElckSa7*6jRq=5@>+lOy^Y){33u zGrRgoL}7J~4nOOqhB+01wuetj?wZUbAa#RlHA{s^VCKi_9TAOH(YAa`IZxa^eMI9z zMRdK~GOJzZ&b+(x`(ORJ?W^s17t8*$d-HC8$EAPLrO9S%@7=A~eYm3QqWvb#kLr_> zda^#=Dw$d~XX4w%BA%a|m;zQ_*O1e{p2ce-x=>y2UC7}x(>EWQT=M6R#;4y=6YSmY zn_P~4S%3b+lQ`9&C67f6gx@Dz_Z4zH{QA<r?FSzvFBER;zhb$Z>%9EA;`YunxxKCd z37jlDoy-4deqL6+<V9PDsMzs@9hMjLC*JQU;7RSCQBg2qgYmtu8SkcKW^egB=ghf= zjT05(_|oO;S2aDk`l?8=ukPt|lU)gXpZ9VX^leJ0IOyqmc#+}id7u5WYF8xAd1GCy z$TEpx$;6tq%5R%?%AE4iJzA4A%OPZ$PGOtixr>|LS@8Bv2`NlFY@_pqx!*Xg^MoWD z-?hy4@^><#C6Td-k#2Stj&~PN{Q1UzW3szYVA!wKi|iDy_nz~*zc2e*Yn}XSovHcz zS6^$ozir(c9gZ8XR=fFGmz)o<XVGO|*8H1wsr1g$=IdcbV%s)pC&*2|n|Yk=*^Ud$ z!W;NcoaUFZR-fhm;Zfaz36(4?t<hc&74-Qo_p)wkD&^N;-OJ}x<+#hnImSUKs#e<4 zO!$#^-Ujug9a7HuYgQZVk+0gB|7Me){+WY`Qhqg8#blDS)<rn5nb)M$#BrZmyg@xh za#!r576<*~o(CDcmTYzPl(~EKi>ra$pJ%owvOJb`OHY1f5h2x(X7hCJfn-&M?Utf- z%<I0X9NsM?biwe$yp5{@Ej{vjgv*XTa2C{-l$hvvHTT7h8FhJgUa}Q<{#&!>CEuyH zk2}^Hm;EjhxE+4)_0BqtyO%EIlr{06>3(ocw2`I3nn`q$>5k)~ow_wqdYj(Z7<rf8 z=2~D`zFh1<jKT$-b<MsXHCMClxbx8Qg7TzOS}Bg^)33hDZ29N0D3fE=b(Xa}i@w;+ zju&2ZYFB2!{Yt^r+`I?p`}#GBPT7#D*jc06l&Cy0d)J=&-K~Dn_xhvxjv6FCS+*fB zx69pcbI&Ao#!u^4t<i8_7*l?S?@>nNgO4qOCpapZ8m25fy>RhykKmMx_n+!GCMs`L z(Z69Ow(P!w*n_nU-XUK6%K}gC7dErLud?&l^grs-SsiV^xNp1eJ<7MkM|&H)@Wkw_ zlfsgrd#_Z5=><G9ezAb>xNY6?b7#33A2QE16*el#a`|=rY^2}J9d_Xsk1f4FDqYn( z<Fb*d%Ju5xH?P*832fqaaniguxvVy9wYM(Eon05V$JSNfFT8Pv{Xl=;bf=o<b8h4s zPO{A3Im<wQA@ky`-4mYP*dQu9cd2Z*#3rxz!V4BPa-4nJJEL~<u7jKzOkQ8#guS(K zHd&(ms#_>|_aY0XnWnGHisd!dy#0Eb>n`&RIc=^l9PeMV%;9EL_;Jic<lFUMH>Z77 zPuen9ep}uRhNAf*#hp!?zU?TM5A}Yt!&<W_$M9~Z)HeA^{s&$hXW#SuXu;;m?;jjk zy6e{A3g?9q3(rh-tm<e?;ND=b-%ulY-<9ECr|>y{`NkDHS~u@yEV`^|WV_U(rd)LK z**>|N8<rUl^W^QOUyb>ueYT`w+d};Uoe+l!o@YP&FP-eyFXpqv;nAV0d#AT0@0=H1 zZC`tYQLKGJqLZ4?jf30s9mQ`Mv>7C_%sKib<nM(4k_I7Zx38bw@^WFmi^PXX+dVkj zD>-idnpz?G#&g*@^RwHzS{fDEv>lBuZ&bQh_urWB(LXz#3p4Ip7YNrs<G%0bQFm(7 z^|(Ge)sIh-Gj*#n;tlUTnSAGUKiB>a>5KF1PcQo;oDsiI?7_#^XS|Ad7H)noQPiel zd0*U^W%0X{0X2f(?uqDi%IesB{j#?7U_zl8L(_U$)u4UKE*#29TfO-C6g|)V@8((f zENJ4>pOwdD{&ZflxXQL@UgEA|8OaMyp_Ye2Os-@*oPKcC`ilv7GDBfv{&FwzFb;!T z|C5{Bl-d=o_vOEQmLu{{vAMhMoXb;rqa81v`_yo(e4=M+kaI2Q3`^q$&-DvB4nA#| zI9GA5%KAzEJ*^B0t%pss*GMc4DSlCOQd0G3U8=Qs)@*Yzj#KsWiZ2g(2xo=AIZ(*^ zDR%jy!yPX@6nk?XYqh4UKe1ZAeNv6a61}Tueo5Nd-{JE(^5sg8nzZ0mhVYvUzyI7g zUw*wG<H~?5VXK4hKKgO|joQBkZSL=_wI(rpC+tsH{bNtxho0oyhNsj&E}3~W#?M{i zewV${isgG`E{NQ@$uV~k<I13YxuLuomGe{{PJDTL-uB7Ov(DE_aHM~U`KxihXm{|` zhY4N{tJwliEPKy!M`t$kO!kL)8?AUwI+#9OJ#8WL`77_HF5TLt%zg9h{ZIX}w`|wE zPn)+XgI&tcOReIujgioAyQVERj%@E=x$sDzGAtCm;3VYmfJ3dz?NwOblE3YhMZxOa za?*33X?y;5F)-^0`+4b!g2ks*YSVd*T@qW*nbd7K!9Hutk`6b`wnz3{GSMNoLiqPJ zamSlF1kG5)rKXW|@7h(Dl)GF%9dcTEyZP8-RK6UQ@=DUXJmYh1#Dv*<?EcIUnI6|N z!};)pgR5j!V((>r6kPIP-r}2HC+w8`<?7h-v^FbG3a;p9wVqP-#Y}3NxP0?(vlIN* z=R-^#6@%M;%sMPCE-xw)(inZ%>iQKO3rhi$?NPRkaaU%4G@dy%_qJu%n(LP{9PKk3 z_Fr?3ShK|{EaW$r$*r#9i5n!$^o_J$X}8|7zL4_Pd=bBrS-Ob6wufQ6o}RR-+^;f& z!|Y699kC5LKZKguRwg^N9GQ{lXBGKYYh}xgly!&A56mxmo@&o9+d;_q-ND#jZ||ku znYut*jr&I*`x}l@e?PvzNn58rS5n(8*ig{s`TWwGu-K1s8?UW%6H^c0zPz{i-Tu@J zZhrO!hyI(S?KyndgEzeSnuAG})7ig<Cp4^#y9#y0yAu~lZ#lBFxG+|(#MOdX-uUW_ zODE^d`f;fG(B!B7{a#KM>PJQ6dQ9C{y>O779jkrCyw?7N_S0)?zhpgHBJ&}@eZ%e! zO(pK83mvNBDa+U8en@?KaN5x}Z7*5NrwzY$Elocnn|tQ&9JY5ZH?ni8vo7{{O#D>+ z>8HTqtpY#!*MHx&a)J4WXACh1f<p8IW*qO`eNp#5zf|?rYLV&FzXg9fXu|FAV#${i zabilf(K#Y&bB-+xiptXcdF52u+vR@F+S(z{&s>^UlwxAhyEB`8hmOhA)j}%|y;9vF z@2IK%PDi!P`tbqb=_c>ao^$)Fw%v6~v{lnVlY@EdxAHbTm=bl==#~om`vQ@z@1EZE zby%V*JiC9+d)bRYi^TVfx0f)cB(-VnwzPM6C10esdciM|_m{Un{=C43As}_;%|1o` zy$Q>%uJ^gKM{$<_kDEgB_uQ`ZGOI7w-2PYj(_~A_N3AijpB=du@3=iD_|v(hMN)~a z8MhZbns?n^qp^NL*2XWs@8u7kb;&Z(R4U6#>Y4h=W1f6<m)$#;jh}lC``!pSCMWhi z-|<&SeBFyohN~ymvJ{FNB~C2#ikiMlZ9$4gp8&tmqDYbH1^>Dg!d!O#Qa_sZZmCqy zZME6Gvy*E@i+p$W-;6%o?HKstg_FC?Mi%Yb?=f9&Wm&BykL`ZjntM()bveFy+LEpP zvL$N^6n2GN%DK2n=>OHSPxkpj-BQBq@)*S#G%OgM=9Zpa$Z@aS%w=-<^&XaH{gi3h z+D#X}&y5HP@F-U_%Gjv4_Fa|i8P~j|-K%z=Y7oC0ccY2@^S?<2>{otW**Q1<m(JJB z<1N<xsrT=n)32TOYfJK>xyLuXe*buSNqhXa?)bm!x0SN(_biv#n|;DIs+-sP2Jg4k zg?o?pF1jdW#b*8HZI032OBZMC*s8v0uG*Ieo>8IcZ|<!Wn&Rxah;7o`Elb?hUM2;_ z%$y=FytLc1Q1;lecXyTkroCjXRKBn@PN`Ql$s=Cx{Ee0e_pklA^D0AC;7n_?ZGiS? z^~vYf&2j$lfcJo|%F+4vx*XC!?3$XeTH?*2ITq>d#|n)T?hBO`&)OiTS!*indSZoX zcg<>>*yqvHPqzBrIQg)5Ma_Z(@*A7y#NK}&nX>5il*FG~0ym4hDf`xK<>m=pKKD%D z-a1Eq>Ha+j3a0FKUUr~ZK&$6<`;_S4)qlcPc<y*|tMcxC5hK$xi=Bmd|1)*83mS`T zFh66w_Tb`04;MT*Jtt|t<F%@S52?Z@)Srbfs6S@b{#i?q>*(#i%<kJ-LVgVX`_d&o z?Cmx=ZYz7X>ch|e$Xkw{>HIg_xK+<j+1tF$kg4r_N!$_+(aAR3IQQ#by141i2DhEk z6W)p+64>Odn$2EmqIvnpTgUb%IV=`W&p&z2UoXNi=h62X!!LC+6sNy*5T0Yzw#ZYm zqTj6k^Ylqcv$_-b6$Rd&iY=0wf7Ua=o0&y~fq{X8!82uRRN!&b#lZ{=41Ej?415fn z42h*FnfU=l`N?{jdC5hgA*>9{T5EJOxKExrd&axJ+*8N1r~I^j*Y`8tdR}LJ&uV*~ zDLj2rU*B8T=!&tqQILk8?kS%$C)arCoIG=W^CmAHuX87Kyh9C4!VLlpn3EI^vGoR4 zNL<R8z|8=22Lsq0-Yj3D{&F!efG{tLJBm^g;m*+5s{c2kRDEtZgH*>CDKCb?+cIIH zq3c(zQi)((wMwXI+w}DjZ=K`cPV>5zH~IgjYbn=r)nA{yP=EIRy><WDHttsaGi}<M zJ3%S$cYL09IHtSt@$`lBHEYgaj9AJzjd3#Lq^5jM@k!$URw+$C@L?hM1@<R4`zGz+ zl)tq`>l4r3C2Q_p6XjKOY47;8^H<%%j`>3B9~W|;u2np-QTFKVMTUo*TaK?fVfpV` zZp->rC#?5<wlT9aP_>&pvDhKB{hNBT&H9Tc>=kup@}72k`uI_0j@!SV=Pn=E?fPb& ziEq%RpkLgdU0azLjZge@Rpu8A)bG6g-bPFL`?tS#pWX(1+kRK?&a|Y@$F@5&=4vf2 z{JG-Fsbysq0SW>VPU;*>P3<n7FpjrBcOv(AYOtXwZ^zrGJ$DW#9$J=WWMX77|Llpq z{IL}&H4QgrUXm^`UT&E08S{BA`=jLIogoF!7k-#|#Ik#7)6N(z%{^+$?`vKtE#4Sn zAnF|a@<58tR2CktGk3o2jQ1#v43-nPpW}RG+x9*o$CKPvk6Cu>mN-v2QT|c4@z8+- z0h2a=P1pR*Xsh*l!lQq)Cf>jPZF6Bnjq#qpb1$&{XqQymxM+u(#hx{aGo%mv-zv&z zsd%T&@yhw)t$SN)%AFbSCmd?r$-F0aN8txPDYYAII`bSJJbUo*&?&PNrX!M5yO*UI zEY7Rm&C-9?a;tX{f1Q_N>e}sf66$6-)~ljK#PiEjemz*<oi<IalEZ%K4%1723#a@$ zu-Dno+^F)0PE^>EN$wwJbS*2((G#^>nYc;Oaq_{QbMJJU=kO+LJeVx4cfPo|v$J(_ zww2<f4>w}$7p|MdtGas4&$KsvM^&GEjpDeKxGcH&rfC1woYiaFe*3-XWxOw(d6I#n zV)yp7`oh0&+VvdnZ#eF;=dic-uijG(^C#}8Z#$x0_qgEo4ek?-g)=rf@~t*M*Bq@@ zm0$f>uYq^+xo)FR+N)3Kb1a^5WH*0oMvrdz$8V{-_j{*458e1`kE-%<xvP6pp2(dq zw=YkRx%&B>UjE(fxxSigpZ_`VeU23U`OMnijc=0q%fvwKUoA3X|9+Mk#9cb!oMRN} zq_+L*lib@rFV9~MeWEbkD{XO>fsKWok=XANH@Tv(;@93E*|Oo++zVGZ*PlJH^ZmAU zcg}tju2aarc*0RR&T9{gdep@FoEPtOqXlMEuI>7htjxmK`|f#<%o9WPJG+9u6`SA7 zS*Ed7W2Q4>F4wyNqu!)zJU2@{tt<nNq)VL*`k~nx>g#iPRi~xzPp!D3tVzlDYs(@( zKF*mNDZX{Z6A2gXAT9m1xzG09{yF0;&lhzUp__TNwqchyDukQP{yTNeqCG0{50{IG zuJ!TKJ~Qb~_3uXU^W|H&$;@yxWv=2rTE1@kOEZD%JkK}l9P9Gxv(3xjaXUt5vq98J zuJ09J(l5<SlsNj}<&>x}Pd(?H2oq`UJyU(x98#IDa5=4%vE6+cv)!>blV&{Ot>Jj~ z=@|3+*znSW?rU@3?ef}wGsg6Oc-Yd|X-|~)7j~=^(_zv6;T;t`c~blxtJ*D_Dg#g2 z|GA^|jrnIq=GA|_&bg+s+e1$utJc1}>5sB<aOZT%tyT+q_zXLmk1M&Uw>=EJ7$PV& z{bY9F;YF&ItU@(Mbb6B}Wh*!vurGRd-s!D?%uJaI%j9K|lcEEzW*v%`6kF|Gw2tXu z@Y+LZYL;xjH%H7iUd4S_$ES$@$(E!v-;>HK^j7a$ljXHAHNxd%;O=#mo_d)Nmy2u+ z^IH*E;d@DQ`I-;EgS&e9EJL>Kh!c)xt9l#|?R$5^0?h-~K5zIe&ELl!3^7r2=rQ-# ze;`n?d$+RDjE60=*R3x3w)nj|(|U!;jVHfViRK-Q<=@e`xh|dIIq$LC?!_ON>%61{ zZW^dL<UHixWae`GS<*v}l#;L~NqcJDU*uZa{CJ|OTGhKU!)AK$L)kCq65P}G_xqoC zcu>0H?A<+YmsfqzXzTpr#LIC0W{ox9y@xBGSg$_pSuH2lcffy=lkuyEFJvFzG4M;7 zRd&x|O6DS;s(P{fh0?1Mv`^d;xKlaRXzqoZw|CBAeYxvkzYDu;$>hIz$IGT<Hpgw1 zx2Sz^_s+EK9c$(9F7%npXHmItwz+@!>8Ao$%z~Fmyxj8s`J-K>8k0>cbH16RJ}O|f z_d0SY+y5TRhZC&=DJu)Q`#&miB@{ExJK%ouac=#$WaICdFK!?FZ+)Nl!Ok3Op&#p_ zelQp{%=LF>VL7<5fJ?0?Ic=)h@+b0Xb0V)Sdt$_*`@3kx^lL{pXlU<g_UWF!Mdf<J zC5w#_3k4Ka7Kc3+F!`i-Y(?tE(+@ZV>@IK8@jL0hU{=rNQxA-L!mduKIn}szg`uJo zo2IF<vXW=egpz|TEe0=^v^-}NN>K9TdSbxlsNtd5G{NwgfD?<*sh*CG316pat`~N1 z*OAHk+d5-Ci;C>T$5Hvog+Jf_NVE8|%WJ{)3HCb`^OdY${V4FLSIb}K#C_gb`vSkx zWas~i+kKTQ)e^WhreB$KL;G0#A_vyUAK~wEW@#p`S(C5XYIxB6;o9I0El;8pLbZ}t z1RXuhq$|8rRiJ8OMFrDx<5;DNV~Y2ye#M-<IYGM5`RlW@Sxc8MysR#k|EXv1ijKGX zzOs`u_`1~}rst|hNSk~+;+u5yz@OSH9(=mh@|QFVX8vRi3!ky)N0_mM&ud}34@n<; z8LvihEdA7%clfG$_p4uRsU7$3Tl`<8>tQm}ez%X6$CdpZqRc&<4@2kf4m)nX%Pe<m zN_$Ps0yUS$xR~Y`anS-_7OS<TTe3ut1p4!us+vBI<hDt8*u8`GP83Up<3_g#nP^3( zty}gVD&T$4)0yTZHcvTRaZP&rq=|=xb@orXe}s9*3eFd@tnSyiR@WMByOmb6bFa<( z!w(pH1Q$u4?)Wj~)*gnx?-tZ#E#*G6bKa+phbLW@ewnd5Y}K!ya#z=LCho1s4V~O4 zrhIsI@C#<c3F7lq_LR?AKcT=l+_E_DP_<iCsr>c#U4M4`;+tb~DDZy6eQpQ2AhTr$ zm&;G6sB&)H$EA5R+wV}q%&#VL?It1DD(1ZDkziEZ9C`MO-ldm&9-ePL>FAYTc6;xU zO7n#QbsYaW-ZFG=ymE-i{)6s19jWiLSFJel;Ne_mmX3?&Q`iz7M@?jLILPRABlKP2 zbx-}pUlSs2{aKbTzhd_<e!(eu*>@G5dt($un*|wl58ga>M!v!Hcb#i}&!GowwI4OS z*<Kp8IbG%byr13SiIvc^LYB?zP9Ncq*WYvhmu0vNn}N!$vj%<9D!#L>2?k8s`1$G4 zg5Eg>-jf~9*sN$T2)@I7@=!&1=YjkWM|94W{oA9vWyi%8@AT4zidQ5(%<<c4FzIya z0^Pk9LbEq1iT-?3IVE$M;=3sQKP6M#VtMv<xh3UBtvnpI=+d%$&-hEW5m6hQAA0`2 zc0EoqRKKCF<6{?h#R-L*#T*;n?m1Dvr|Prw|5tmI9+@%Jw3{;T+4a?VhnBS8o>z}J zyLUy-XNt@bW;wMWb$P2`aI(gSKOVLnjdzchtXX#JMY;;Fw)vb7O|LF;Z(w?vv9QR| z<?N&X75QpMxQ|ZTerLj+OyOg<#J{p?F8ao^@#lrB-=dhFYigF5f6?B^uzTT4=R>bz zSq0~ZS^T*9lKo5-_e!6V6Ny~i%$-v%bhOWxJh$b?^gJK?M-_?T$KPjd2z=$e=A&%G z9-)RS3VhN&Z|1SqByE|{?dP84Z1qXa&*l8S(`~K?_eTg#p8ovy!Me%Y-EXA0%gxkJ zYS`Q%snVq>9Oj`Pn$>;Xs{PK}rlyWH3?H+C3|BM38qAP}dq;!VzMV`A48K?z7=(}; z%<)AjiF#SZ`FZeGbMKUV|Jw!v$L@dD^qZ>IXkzZ^>3zFgb}pNcNCvl$(}|+V+@=;~ z8|FH+|9H1KctLBgeWmcx@4Jtmzk9Rt2E%ugV-Xs;iScP(&(9R8O4nAbNG`1j7v(;? z{@FF%^>zxcO0AcOZj1Ubn_KVwe(TmHoXm;|Zy1@AO;%|8%-(S76Q`Yan`4yW%ml7> zn~Pj+5g%F3vTOCe*Y*!y$}(r6nfLZy<G76>j#s~2I?G`;cd_3dR(mhKpp16wxpC{6 zpVyghV|Z@)>f3hfzZ=-w53e*AUB8-trtA7&huj6W{<>Xg|Eg-+-gECXgU!{1kBFr# z^R(`BoE}tE$vSt&nH{Z{BQ<k5&qYd@M;92(U8DVGl})<QSEXHYtiBgNXnW2~NlM(o zIH~Ax8dK&eozpG57O&WP$sup*vSlSNt2VM-ox7ym=epd9t${*KJ5OC?xxV&?o2aXS z?Xt;?vUB*p_cNtiKR%SDl5u*9app<mu#8e!o;!=Iu4!fN+T@cUcIjNEh{@d>&8hc2 zuVlSHvR5xM-)VxHtHg%qDXW67eF=**-KBRW{NJIye80VFe%ReiTx+o9zuMs=cD~t< zdUtNMpJA5us*uyZSv`wqa_VN8x{X#3O-)jtvAOB2UpmcH@A!sosl0Nc|GFgl1y`={ zXqd6{nD(q<&%f_3uuU>t>E+FO{_c;*1}uv%t_a$_bB5*RIz6q^GU-KK-@`T^>28|j z@%+@T$fRScP1PHNZq)sE((?9g<UDV&Y`Vj$O05^muka>0e%w|PETo}ZYPNIZyIXs7 zvrd>=af@Cn-P_xD_v2H`yj@&pr?D^Ee&lDyrk^6!(zEu-TivfVH>tHZv6K9h|8shP zvD%&W$G(1J&=xUJvOn1}r=HD!=Yf3rgY5Hu&3ec6H>A|)d;Q(@C)aJ9|Elj)P1`M5 z{SEITHf@=gv1gCqzsfy#D*kYzrubV|rXKKOW?*PCWMDw;B9^D5!4v!4$eVq)4R~ta zUyoSkdh6HhSb2x|T@Kt|ep@?Rdj_UlbX&bnNxAyRf)kRSOWaPK|N6Useg6HRAB(aA z!)q3uJE^ZOJh!BCHt)6U3!>jwNv{*<HG6P(S@xW@hbMEauFsnsQgHdP@yQ8Grt6jd z`5D90+*xXLVnrZRz?B0FtQP1e35l+3J7A@>LTvB%7Z2>OIz3Ph$P|<EnaCWb{B4Qt z8PlsTEB{$P3ZGeR{M+`5<ky>vc-L6{3D&Li|L2%w%Q9)gcjvu7W-un76?C}UY5IHq zPIZ|!E)FpTiO|4b8-9h(WEN*pPEVXFRkF6B^wosMJzf)k^`|EtcE0$(nd^*WU>y6i z1sZEvj15IDH|_lBz3N~L&(kjnd`-@RZ9=bu{Qj)gTGN)W?}^jIRWn)_tgt)n9^kj| zX|l%Bi=PCi1ZDo@@OrtWhUMv$D89B!QE!zcyjE_N;Ys~^i(NoMG+2~H{A@+EY=Xeu zQ2+21cQ48&${v=z^Pt?UJa3YmVV|*6ZpXeK(zniUh+SFqaN+KRrrULmk9%i2wRhGv z+BRJ8c~UuX`j4)%*~P7Q4oAC%{&D*eJFly={QC<v!M$e#bLXCa;&<+l`w7P0==tgM z3{8rKn>pwA@LaGkK6EH2dk*Vty|bG+DjYiQTs1j+M3z4yxoX#=L!H{^=WMHdyR6{s zFPlX_6M`T2tmp_>csHZ@FlR6Gd%?U#Jy|nl8QgXlTJ7OHyiAhSs&qkNev?3O*Lwec znZ9Grdb=lt+1-5I6!CcVitD-0`ghzunJzv1W6rY7w7gyOw3;g(TkZaNHRG^Y;_tcr z;kkJZ$FCWC?TvcDxkaFNhr8|R6AMnRd%J5}<%<<HcYnRvwaU!g+K<=f$dRtxnD}b@ zx0a4?|4HBeoHDcOX|bJj@14DGq@3etr<a$@M5m`-FAkNrG~LwQp7%)WLH@009t$dL zn4$`{*WRwV^YQJHM{}aGRCIP6j}tswQU2zmEl2P1ZIV)nlan9cJZi=9e2wzi_cFc4 zxyJLR9&SBvX7(>xpHnG@{Xx&Ra^v3e9ck@0!u$97?K%Ex+p4>XC4cMw2o~@g8Sdk4 zX>H@!E4Niw?d7k~ri}X={r`S=Dtz&c>^5ev_jA%>_v^>r-zLH~QP0nLLp*Bkd;GZW zavcK$!yX0(27cuFMz6RsuOtJW|F}P-r3oe`Bs@qc@VzPZwdB*C+#rW}ECSs|1x6{) zRK;1tpS@I{wk+ityU0`Z*Gr!-ZE4$JWT3tF;@Jy!F9lycTv>hYdCdpTxdy$HpC2|o z_j8`*&k3E12WC(7Y>}M4a}STg0gfZQy$WJ{(H4r^8VVZBwWVG7m7N(T@G;M3U<mML zWD;RU3~zC4;d^?Hk%57em4ShsftLXU7#SEC8X7^Yl;i@virk#g5KhP_7igpl*#wW2 ztx?a}blR3NGBE6AW?&FNG2ss*17f%=zPO|`Nv{lSl;#@U3=l>)s&}#sdp;urLpTcq zgD{FwH<>Yw%1D7Y3}g}rqnj2fyfxF8k%7U35n&q0Qw@zxtjMMnBo-y+!o3MH353y2 z>yNyz{mGDlL46-6dLaP?F^!WQ$uzy<jKqReyuP&9`SQ1(CnJM^CnEz7)Rz#G3@wpO zs>s7(3}is?hV2!pWmgy&K$sWBm`P2Hh;Y|S1(p3c;tz9x6XG#Q3djj(LNW(3+=<m7 zh=Wi^C?N(x{PhLJAn<r4R&%g5+#u#a9Hg3z<RGMG93I0^>qKP3#M6)r(*xI#c+5e~ z-4Jsio|~G9Yz{Q9qnO0X22#nxz{60)%)sE8#l)b;;A)~BVw7r_=b4t6R%uj~om_67 f<!Wx09}rj;>J#FdmtC5eZmL~b8d_W$l57hASPAF6 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/synth_1/audioProc.tcl b/proj/AudioProc.runs/synth_1/audioProc.tcl new file mode 100644 index 0000000..8fe663f --- /dev/null +++ b/proj/AudioProc.runs/synth_1/audioProc.tcl @@ -0,0 +1,129 @@ +# +# Synthesis run script generated by Vivado +# + +set TIME_start [clock seconds] +namespace eval ::optrace { + variable script "/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1/audioProc.tcl" + variable category "vivado_synth" +} + +# Try to connect to running dispatch if we haven't done so already. +# This code assumes that the Tcl interpreter is not using threads, +# since the ::dispatch::connected variable isn't mutex protected. +if {![info exists ::dispatch::connected]} { + namespace eval ::dispatch { + variable connected false + if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { + set result "true" + if {[catch { + if {[lsearch -exact [package names] DispatchTcl] < 0} { + set result [load librdi_cd_clienttcl[info sharedlibextension]] + } + if {$result eq "false"} { + puts "WARNING: Could not load dispatch client library" + } + set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] + if { $connect_id eq "" } { + puts "WARNING: Could not initialize dispatch client" + } else { + puts "INFO: Dispatch client connection id - $connect_id" + set connected true + } + } catch_res]} { + puts "WARNING: failed to connect to dispatch server - $catch_res" + } + } + } +} +if {$::dispatch::connected} { + # Remove the dummy proc if it exists. + if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { + rename ::OPTRACE "" + } + proc ::OPTRACE { task action {tags {} } } { + ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category + } + # dispatch is generic. We specifically want to attach logging. + ::vitis_log::connect_client +} else { + # Add dummy proc if it doesn't exist. + if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { + proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { + # Do nothing + } + } +} + +OPTRACE "synth_1" START { ROLLUP_AUTO } +OPTRACE "Creating in-memory project" START { } +create_project -in_memory -part xc7a200tsbg484-1 + +set_param project.singleFileAddWarning.threshold 0 +set_param project.compositeFile.enableAutoGeneration 0 +set_param synth.vivado.isSynthRun true +set_msg_config -source 4 -id {IP_Flow 19-2162} -severity warning -new_severity info +set_property webtalk.parent_dir /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.cache/wt [current_project] +set_property parent.project_path /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.xpr [current_project] +set_property default_lib xil_defaultlib [current_project] +set_property target_language VHDL [current_project] +set_property ip_repo_paths /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/repo [current_project] +update_ip_catalog +set_property ip_output_repo /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.cache/ip [current_project] +set_property ip_cache_permissions {read write} [current_project] +OPTRACE "Creating in-memory project" END { } +OPTRACE "Adding files" START { } +read_verilog -library xil_defaultlib { + /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audio_init.v + /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/debounce.v + /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v +} +read_vhdl -library xil_defaultlib { + /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd + /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/controlUnit.vhd + /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/operativeUnit.vhd + /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/firUnit.vhd + /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd + /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/i2s_ctl.vhd +} +read_ip -quiet /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.xci +set_property used_in_implementation false [get_files -all /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] +set_property used_in_implementation false [get_files -all /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.xdc] +set_property used_in_implementation false [get_files -all /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0_ooc.xdc] + +OPTRACE "Adding files" END { } +# Mark all dcp files as not used in implementation to prevent them from being +# stitched into the results of this synthesis run. Any black boxes in the +# design are intentionally left as such for best results. Dcp files will be +# stitched into the design at a later time, either when this synthesis run is +# opened, or when it is stitched into a dependent implementation run. +foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { + set_property used_in_implementation false $dcp +} +read_xdc /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/constraints/NexysVideo_Master.xdc +set_property used_in_implementation false [get_files /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/constraints/NexysVideo_Master.xdc] + +read_xdc dont_touch.xdc +set_property used_in_implementation false [get_files dont_touch.xdc] +set_param ips.enableIPCacheLiteLoad 1 +close [open __synthesis_is_running__ w] + +OPTRACE "synth_design" START { } +synth_design -top audioProc -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5 +OPTRACE "synth_design" END { } +if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } { + send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING" +} + + +OPTRACE "write_checkpoint" START { CHECKPOINT } +# disable binary constraint mode for synth run checkpoints +set_param constraints.enableBinaryConstraints false +write_checkpoint -force -noxdef audioProc.dcp +OPTRACE "write_checkpoint" END { } +OPTRACE "synth reports" START { REPORT } +generate_parallel_reports -reports { "report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb" } +OPTRACE "synth reports" END { } +file delete __synthesis_is_running__ +close [open __synthesis_is_complete__ w] +OPTRACE "synth_1" END { } diff --git a/proj/AudioProc.runs/synth_1/audioProc.vds b/proj/AudioProc.runs/synth_1/audioProc.vds new file mode 100644 index 0000000..d020518 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/audioProc.vds @@ -0,0 +1,465 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed May 21 12:32:09 2025 +# Process ID: 1257498 +# Current directory: /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1 +# Command line: vivado -log audioProc.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl +# Log file: /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1/audioProc.vds +# Journal file: /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1/vivado.jou +# Running On :fl-tp-br-544 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz +# CPU Frequency :2095.233 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16687 MB +# Swap memory :4294 MB +# Total Virtual :20982 MB +# Available Virtual :14959 MB +#----------------------------------------------------------- +source audioProc.tcl -notrace +create_project: Time (s): cpu = 00:00:24 ; elapsed = 00:00:39 . Memory (MB): peak = 1680.555 ; gain = 325.840 ; free physical = 1118 ; free virtual = 13536 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: synth_design -top audioProc -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5 +Starting synth_design +WARNING: [Vivado_Tcl 4-393] The 'Synthesis' target of the following IPs are stale, please generate the output products using the generate_target or synth_ip command before running synth_design. +/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.xci + +WARNING: [Vivado_Tcl 4-393] The 'Implementation' target of the following IPs are stale, please generate the output products using the generate_target or synth_ip command before running synth_design. +/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.xci + +INFO: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked: +* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog. +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. +INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes +INFO: [Synth 8-7075] Helper process launched with PID 1258415 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 2500.738 ; gain = 420.516 ; free physical = 206 ; free virtual = 12448 +--------------------------------------------------------------------------------- +INFO: [Synth 8-6157] synthesizing module 'audioProc' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:13] +INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1/.Xil/Vivado-1257498-fl-tp-br-544/realtime/clk_wiz_0_stub.vhdl:18] +WARNING: [Synth 8-7071] port 'reset' of module 'clk_wiz_0' is unconnected for instance 'clk_1' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:87] +WARNING: [Synth 8-7023] instance 'clk_1' of module 'clk_wiz_0' has 7 connections declared, but only 6 given [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:87] +INFO: [Synth 8-6157] synthesizing module 'audio_init' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audio_init.v:24] +INFO: [Synth 8-155] case statement is not full and has no default [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audio_init.v:51] +INFO: [Synth 8-638] synthesizing module 'TWICtl' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd:119] +INFO: [Synth 8-226] default block is never used [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd:330] +INFO: [Synth 8-226] default block is never used [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd:363] +INFO: [Synth 8-226] default block is never used [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd:381] +INFO: [Synth 8-226] default block is never used [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd:399] +INFO: [Synth 8-226] default block is never used [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd:417] +INFO: [Synth 8-256] done synthesizing module 'TWICtl' (0#1) [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd:119] +INFO: [Synth 8-155] case statement is not full and has no default [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audio_init.v:151] +INFO: [Synth 8-6155] done synthesizing module 'audio_init' (0#1) [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audio_init.v:24] +INFO: [Synth 8-6157] synthesizing module 'debounce' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/debounce.v:23] +INFO: [Synth 8-6155] done synthesizing module 'debounce' (0#1) [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/debounce.v:23] +INFO: [Synth 8-638] synthesizing module 'i2s_ctl' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/i2s_ctl.vhd:63] +INFO: [Synth 8-256] done synthesizing module 'i2s_ctl' (0#1) [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/i2s_ctl.vhd:63] +INFO: [Synth 8-638] synthesizing module 'fir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:28] + Parameter dwidth bound to: 24 - type: integer + Parameter ntaps bound to: 16 - type: integer +INFO: [Synth 8-6054] Found Dynamic range expression with variable size [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:54] +INFO: [Synth 8-6054] Found Dynamic range expression with variable size [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:57] +INFO: [Synth 8-6054] Found Dynamic range expression with variable size [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:59] +INFO: [Synth 8-638] synthesizing module 'firUnit' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/firUnit.vhd:42] +INFO: [Synth 8-638] synthesizing module 'controlUnit' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/controlUnit.vhd:45] +INFO: [Synth 8-256] done synthesizing module 'controlUnit' (0#1) [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/controlUnit.vhd:45] +INFO: [Synth 8-638] synthesizing module 'operativeUnit' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/operativeUnit.vhd:55] +INFO: [Synth 8-256] done synthesizing module 'operativeUnit' (0#1) [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/operativeUnit.vhd:55] +INFO: [Synth 8-256] done synthesizing module 'firUnit' (0#1) [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/firUnit.vhd:42] +INFO: [Synth 8-256] done synthesizing module 'fir' (0#1) [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:28] +WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'leftFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'leftFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'leftFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'leftFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'leftFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7023] instance 'leftFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'rightFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'rightFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'rightFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'rightFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'rightFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7023] instance 'rightFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:208] +INFO: [Synth 8-6155] done synthesizing module 'audioProc' (0#1) [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:13] +WARNING: [Synth 8-6014] Unused sequential element timeOutCnt_reg was removed. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd:236] +WARNING: [Synth 8-6014] Unused sequential element errTypeR_reg was removed. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd:313] +WARNING: [Synth 8-6014] Unused sequential element regData1_reg was removed. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audio_init.v:135] +WARNING: [Synth 8-6014] Unused sequential element initFbWe_reg was removed. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audio_init.v:150] +WARNING: [Synth 8-3848] Net dbg_output_0 in module/entity fir does not have driver. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:18] +WARNING: [Synth 8-3848] Net dbg_output_1 in module/entity fir does not have driver. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:19] +WARNING: [Synth 8-3848] Net dbg_output_2 in module/entity fir does not have driver. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:20] +WARNING: [Synth 8-3848] Net dbg_output_3 in module/entity fir does not have driver. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:21] +WARNING: [Synth 8-3848] Net dbg_output_4 in module/entity fir does not have driver. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:22] +WARNING: [Synth 8-6014] Unused sequential element sound_dataL_reg was removed. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:229] +WARNING: [Synth 8-6014] Unused sequential element sound_dataR_reg was removed. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:230] +WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load +WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2584.707 ; gain = 504.484 ; free physical = 195 ; free virtual = 12310 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2602.520 ; gain = 522.297 ; free physical = 191 ; free virtual = 12307 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2602.520 ; gain = 522.297 ; free physical = 191 ; free virtual = 12307 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2602.520 ; gain = 0.000 ; free physical = 191 ; free virtual = 12307 +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1' +Finished Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1' +Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/constraints/NexysVideo_Master.xdc] +Finished Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/constraints/NexysVideo_Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/constraints/NexysVideo_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/audioProc_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/audioProc_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1/dont_touch.xdc] +Finished Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1/dont_touch.xdc] +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2750.270 ; gain = 0.000 ; free physical = 204 ; free virtual = 12334 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2750.270 ; gain = 0.000 ; free physical = 204 ; free virtual = 12334 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:16 ; elapsed = 00:00:21 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 217 ; free virtual = 12347 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a200tsbg484-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:16 ; elapsed = 00:00:21 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 217 ; free virtual = 12347 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +Applied set_property IO_BUFFER_TYPE = NONE for CLK100MHZ. (constraint file /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc, line 6). +Applied set_property KEEP_HIERARCHY = SOFT for clk_1. (constraint file auto generated constraint). +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:16 ; elapsed = 00:00:21 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 219 ; free virtual = 12349 +--------------------------------------------------------------------------------- +INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module 'TWICtl' +INFO: [Synth 8-802] inferred FSM for state register 'SR_currentState_reg' in module 'controlUnit' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + stidle | 0001 | 0000 + ststart | 0100 | 0001 + stwrite | 0000 | 0011 + stsack | 0011 | 0110 + stread | 0010 | 0010 + stmnackstart | 0110 | 1001 + stmack | 0111 | 0111 + stmnackstop | 0101 | 1000 + ststop | 1100 | 0101 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using encoding 'gray' in module 'TWICtl' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + wait_sample | 00001 | 000 + store | 00010 | 001 + processing_loop | 00100 | 010 + output | 01000 | 011 + wait_end_sample | 10000 | 100 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'SR_currentState_reg' using encoding 'one-hot' in module 'controlUnit' +WARNING: [Synth 8-327] inferring latch for variable 'FSM_onehot_SR_nextState_reg' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/controlUnit.vhd:62] +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:17 ; elapsed = 00:00:23 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 219 ; free virtual = 12351 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Adders : + 2 Input 32 Bit Adders := 3 + 2 Input 31 Bit Adders := 1 + 2 Input 24 Bit Adders := 2 + 2 Input 16 Bit Adders := 2 + 2 Input 13 Bit Adders := 5 + 2 Input 7 Bit Adders := 3 + 2 Input 5 Bit Adders := 2 + 2 Input 4 Bit Adders := 3 + 2 Input 3 Bit Adders := 1 + 2 Input 2 Bit Adders := 1 ++---Registers : + 36 Bit Registers := 2 + 33 Bit Registers := 1 + 32 Bit Registers := 3 + 31 Bit Registers := 1 + 24 Bit Registers := 2 + 16 Bit Registers := 34 + 13 Bit Registers := 5 + 8 Bit Registers := 3 + 7 Bit Registers := 3 + 5 Bit Registers := 4 + 4 Bit Registers := 4 + 3 Bit Registers := 1 + 2 Bit Registers := 2 + 1 Bit Registers := 18 ++---Muxes : + 2 Input 36 Bit Muxes := 2 + 2 Input 32 Bit Muxes := 3 + 2 Input 24 Bit Muxes := 2 + 2 Input 16 Bit Muxes := 6 + 16 Input 12 Bit Muxes := 2 + 2 Input 8 Bit Muxes := 2 + 2 Input 5 Bit Muxes := 9 + 8 Input 5 Bit Muxes := 1 + 5 Input 5 Bit Muxes := 2 + 9 Input 4 Bit Muxes := 1 + 21 Input 4 Bit Muxes := 1 + 2 Input 4 Bit Muxes := 9 + 5 Input 3 Bit Muxes := 2 + 3 Input 2 Bit Muxes := 1 + 2 Input 1 Bit Muxes := 45 + 4 Input 1 Bit Muxes := 21 + 3 Input 1 Bit Muxes := 5 + 9 Input 1 Bit Muxes := 1 + 10 Input 1 Bit Muxes := 6 + 36 Input 1 Bit Muxes := 1 + 5 Input 1 Bit Muxes := 4 + 16 Input 1 Bit Muxes := 2 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 740 (col length:100) +BRAMs: 730 (col length: RAMB18 100 RAMB36 50) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +WARNING: [Synth 8-7080] Parallel synthesis criteria is not met +DSP Report: Generating DSP firUnit_1/operativeUnit_1/SC_addResult, operation Mode is: C+A*B. +DSP Report: operator firUnit_1/operativeUnit_1/SC_addResult is absorbed into DSP firUnit_1/operativeUnit_1/SC_addResult. +DSP Report: operator firUnit_1/operativeUnit_1/SC_MultResult is absorbed into DSP firUnit_1/operativeUnit_1/SC_addResult. +DSP Report: Generating DSP firUnit_1/operativeUnit_1/SC_addResult, operation Mode is: C+A*B. +DSP Report: operator firUnit_1/operativeUnit_1/SC_addResult is absorbed into DSP firUnit_1/operativeUnit_1/SC_addResult. +DSP Report: operator firUnit_1/operativeUnit_1/SC_MultResult is absorbed into DSP firUnit_1/operativeUnit_1/SC_addResult. +WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load +WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:28 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 201 ; free virtual = 12340 +--------------------------------------------------------------------------------- + Sort Area is firUnit_1/operativeUnit_1/SC_addResult_0 : 0 0 : 1641 1641 : Used 1 time 0 + Sort Area is firUnit_1/operativeUnit_1/SC_addResult_2 : 0 0 : 1641 1641 : Used 1 time 0 +--------------------------------------------------------------------------------- +Start ROM, RAM, DSP, Shift Register and Retiming Reporting +--------------------------------------------------------------------------------- + +DSP: Preliminary Mapping Report (see note below. The ' indicates corresponding REG is set) ++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ +|Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | ++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ +|operativeUnit | C+A*B | 16 | 13 | 36 | - | 36 | 0 | 0 | 0 | - | - | 0 | 0 | +|operativeUnit | C+A*B | 16 | 13 | 36 | - | 36 | 0 | 0 | 0 | - | - | 0 | 0 | ++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ + +Note: The table above is a preliminary report that shows the DSPs inferred at the current stage of the synthesis flow. Some DSP may be reimplemented as non DSP primitives later in the synthesis flow. Multiple instantiated DSPs are reported only once. +--------------------------------------------------------------------------------- +Finished ROM, RAM, DSP, Shift Register and Retiming Reporting +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:31 ; elapsed = 00:00:38 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 195 ; free virtual = 12340 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:33 ; elapsed = 00:00:40 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 170 ; free virtual = 12315 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:33 ; elapsed = 00:00:41 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 268 ; free virtual = 12314 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +WARNING: synth_design option "-fanout_limit" is deprecated. +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +CRITICAL WARNING: [Synth 8-4442] BlackBox module clk_1 has unconnected pin reset +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:37 ; elapsed = 00:00:45 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 271 ; free virtual = 12317 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:37 ; elapsed = 00:00:45 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 271 ; free virtual = 12317 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:37 ; elapsed = 00:00:45 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 271 ; free virtual = 12317 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:37 ; elapsed = 00:00:45 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 271 ; free virtual = 12317 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:37 ; elapsed = 00:00:45 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 271 ; free virtual = 12317 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:37 ; elapsed = 00:00:45 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 271 ; free virtual = 12317 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +DSP Final Report (the ' indicates corresponding REG is set) ++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ +|Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | ++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ +|operativeUnit | C+A*B | 30 | 12 | 48 | - | 36 | 0 | 0 | 0 | - | - | 0 | 0 | +|operativeUnit | C+A*B | 30 | 12 | 48 | - | 36 | 0 | 0 | 0 | - | - | 0 | 0 | ++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ + + +Report BlackBoxes: ++------+--------------+----------+ +| |BlackBox name |Instances | ++------+--------------+----------+ +|1 |clk_wiz_0 | 1| ++------+--------------+----------+ + +Report Cell Usage: ++------+--------+------+ +| |Cell |Count | ++------+--------+------+ +|1 |clk_wiz | 1| +|2 |CARRY4 | 20| +|3 |DSP48E1 | 2| +|4 |LUT1 | 41| +|5 |LUT2 | 115| +|6 |LUT3 | 34| +|7 |LUT4 | 81| +|8 |LUT5 | 55| +|9 |LUT6 | 243| +|10 |MUXF7 | 64| +|11 |MUXF8 | 32| +|12 |FDCE | 632| +|13 |FDPE | 2| +|14 |FDRE | 239| +|15 |FDSE | 20| +|16 |LD | 10| +|17 |IBUF | 9| +|18 |IOBUF | 2| +|19 |OBUF | 10| ++------+--------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:37 ; elapsed = 00:00:45 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 271 ; free virtual = 12317 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 1 critical warnings and 23 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:34 ; elapsed = 00:00:40 . Memory (MB): peak = 2750.270 ; gain = 522.297 ; free physical = 271 ; free virtual = 12317 +Synthesis Optimization Complete : Time (s): cpu = 00:00:38 ; elapsed = 00:00:45 . Memory (MB): peak = 2750.277 ; gain = 670.047 ; free physical = 272 ; free virtual = 12317 +INFO: [Project 1-571] Translating synthesized netlist +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2750.277 ; gain = 0.000 ; free physical = 520 ; free virtual = 12566 +INFO: [Netlist 29-17] Analyzing 130 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2750.277 ; gain = 0.000 ; free physical = 515 ; free virtual = 12561 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 12 instances were transformed. + IOBUF => IOBUF (IBUF, OBUFT): 2 instances + LD => LDCE: 10 instances + +Synth Design complete | Checksum: f840d131 +INFO: [Common 17-83] Releasing license: Synthesis +52 Infos, 72 Warnings, 1 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:49 ; elapsed = 00:01:03 . Memory (MB): peak = 2750.277 ; gain = 1055.848 ; free physical = 515 ; free virtual = 12561 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2266.381; main = 1948.966; forked = 369.363 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3785.656; main = 2750.273; forked = 1035.383 +INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2750.277 ; gain = 0.000 ; free physical = 516 ; free virtual = 12562 +INFO: [Common 17-1381] The checkpoint '/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1/audioProc.dcp' has been generated. +INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Wed May 21 12:34:18 2025... diff --git a/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.pb b/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.pb new file mode 100644 index 0000000000000000000000000000000000000000..f057eaa6bb53ccafd7c8031d843c726545674414 GIT binary patch literal 276 zcmd;LGcqtT(KDRHtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z<zaA$cbUt}P}J=4ly}|rm3D817##Rq_6jo;F?+O%%qX~F*DJ}uz`$@<lA*%HeW?_P zy;h!qVTI#gd4`5Q=c5Wzr(QdpRRxL9Rbyz_=KNF*#O^g>U|?{#YsA1{>9W-LUP71M zS__c)Ul8eZ)Dpy=WX0hW>gMho66AB%4kR$wo`FHZ@u@wC{niCU?sWx;w7P-Vz1|@5 st~UcigTqoE5c`u4uX{*<t5LkOhohgLtIyg%km%n)28IUvqd_1x0N-p(K>z>% literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt b/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt new file mode 100644 index 0000000..8acb75a --- /dev/null +++ b/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt @@ -0,0 +1,194 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed May 21 12:34:18 2025 +| Host : fl-tp-br-544 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Synthesized +--------------------------------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Memory +3. DSP +4. IO and GT Specific +5. Clocking +6. Specific Feature +7. Primitives +8. Black Boxes +9. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------------------+------+-------+------------+-----------+-------+ +| Slice LUTs* | 569 | 0 | 0 | 134600 | 0.42 | +| LUT as Logic | 569 | 0 | 0 | 134600 | 0.42 | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | +| Slice Registers | 903 | 0 | 0 | 269200 | 0.34 | +| Register as Flip Flop | 893 | 0 | 0 | 269200 | 0.33 | +| Register as Latch | 10 | 0 | 0 | 269200 | <0.01 | +| F7 Muxes | 64 | 0 | 0 | 67300 | 0.10 | +| F8 Muxes | 32 | 0 | 0 | 33650 | 0.10 | ++-------------------------+------+-------+------------+-----------+-------+ +* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. +Warning! LUT value is adjusted to account for LUT combining. +Warning! For any ECO changes, please run place_design if there are unplaced instances + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 2 | Yes | - | Set | +| 642 | Yes | - | Reset | +| 20 | Yes | Set | - | +| 239 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Memory +--------- + ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 0 | 365 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 0 | 365 | 0.00 | +| RAMB18 | 0 | 0 | 0 | 730 | 0.00 | ++----------------+------+-------+------------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +3. DSP +------ + ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| DSPs | 2 | 0 | 0 | 740 | 0.27 | +| DSP48E1 only | 2 | | | | | ++----------------+------+-------+------------+-----------+-------+ + + +4. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------------------------+------+-------+------------+-----------+-------+ +| Bonded IOB | 21 | 0 | 0 | 285 | 7.37 | +| Bonded IPADs | 0 | 0 | 0 | 14 | 0.00 | +| Bonded OPADs | 0 | 0 | 0 | 8 | 0.00 | +| PHY_CONTROL | 0 | 0 | 0 | 10 | 0.00 | +| PHASER_REF | 0 | 0 | 0 | 10 | 0.00 | +| OUT_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IN_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYCTRL | 0 | 0 | 0 | 10 | 0.00 | +| IBUFDS | 0 | 0 | 0 | 274 | 0.00 | +| GTPE2_CHANNEL | 0 | 0 | 0 | 4 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 40 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 500 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 0 | 285 | 0.00 | +| OLOGIC | 0 | 0 | 0 | 285 | 0.00 | ++-----------------------------+------+-------+------------+-----------+-------+ + + +5. Clocking +----------- + ++------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++------------+------+-------+------------+-----------+-------+ +| BUFGCTRL | 0 | 0 | 0 | 32 | 0.00 | +| BUFIO | 0 | 0 | 0 | 40 | 0.00 | +| MMCME2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| PLLE2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| BUFMRCE | 0 | 0 | 0 | 20 | 0.00 | +| BUFHCE | 0 | 0 | 0 | 120 | 0.00 | +| BUFR | 0 | 0 | 0 | 40 | 0.00 | ++------------+------+-------+------------+-----------+-------+ + + +6. Specific Feature +------------------- + ++-------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------+------+-------+------------+-----------+-------+ +| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+------------+-----------+-------+ + + +7. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| FDCE | 632 | Flop & Latch | +| LUT6 | 243 | LUT | +| FDRE | 239 | Flop & Latch | +| LUT2 | 115 | LUT | +| LUT4 | 81 | LUT | +| MUXF7 | 64 | MuxFx | +| LUT5 | 55 | LUT | +| LUT1 | 41 | LUT | +| LUT3 | 34 | LUT | +| MUXF8 | 32 | MuxFx | +| FDSE | 20 | Flop & Latch | +| CARRY4 | 20 | CarryLogic | +| IBUF | 11 | IO | +| OBUF | 10 | IO | +| LDCE | 10 | Flop & Latch | +| OBUFT | 2 | IO | +| FDPE | 2 | Flop & Latch | +| DSP48E1 | 2 | Block Arithmetic | ++----------+------+---------------------+ + + +8. Black Boxes +-------------- + ++-----------+------+ +| Ref Name | Used | ++-----------+------+ +| clk_wiz_0 | 1 | ++-----------+------+ + + +9. Instantiated Netlists +------------------------ + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/proj/AudioProc.runs/synth_1/dont_touch.xdc b/proj/AudioProc.runs/synth_1/dont_touch.xdc new file mode 100644 index 0000000..9042694 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/dont_touch.xdc @@ -0,0 +1,7 @@ +# This file is automatically generated. +# It contains project source information necessary for synthesis and implementation. + +# XDC: /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/constraints/NexysVideo_Master.xdc + +# IP: /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.xci +set_property KEEP_HIERARCHY SOFT [get_cells -hier -filter {REF_NAME==clk_wiz_0 || ORIG_REF_NAME==clk_wiz_0} -quiet] -quiet diff --git a/proj/AudioProc.runs/synth_1/gen_run.xml b/proj/AudioProc.runs/synth_1/gen_run.xml new file mode 100644 index 0000000..85e5cfc --- /dev/null +++ b/proj/AudioProc.runs/synth_1/gen_run.xml @@ -0,0 +1,122 @@ +<?xml version="1.0" encoding="UTF-8"?> +<GenRun Id="synth_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1747823525"> + <File Type="VDS-TIMINGSUMMARY" Name="audioProc_timing_summary_synth.rpt"/> + <File Type="RDS-DCP" Name="audioProc.dcp"/> + <File Type="RDS-UTIL-PB" Name="audioProc_utilization_synth.pb"/> + <File Type="RDS-UTIL" Name="audioProc_utilization_synth.rpt"/> + <File Type="RDS-PROPCONSTRS" Name="audioProc_drc_synth.rpt"/> + <File Type="RDS-RDS" Name="audioProc.vds"/> + <File Type="REPORTS-TCL" Name="audioProc_reports.tcl"/> + <File Type="VDS-TIMING-PB" Name="audioProc_timing_summary_synth.pb"/> + <File Type="PA-TCL" Name="audioProc.tcl"/> + <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audio_init.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/debounce.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/fir.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audioProc.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/tb_firUnit.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="audioProc"/> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/constraints/NexysVideo_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"> + <Desc>Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold</Desc> + </StratHandle> + <Step Id="synth_design"> + <Option Id="FsmExtraction">1</Option> + <Option Id="KeepEquivalentRegisters">1</Option> + <Option Id="NoCombineLuts">1</Option> + <Option Id="RepFanoutThreshold">400</Option> + <Option Id="ResourceSharing">2</Option> + <Option Id="ShregMinSize">5</Option> + </Step> + </Strategy> +</GenRun> diff --git a/proj/AudioProc.runs/synth_1/htr.txt b/proj/AudioProc.runs/synth_1/htr.txt new file mode 100644 index 0000000..6eaa206 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/htr.txt @@ -0,0 +1,10 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +vivado -log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl diff --git a/proj/AudioProc.runs/synth_1/rundef.js b/proj/AudioProc.runs/synth_1/rundef.js new file mode 100644 index 0000000..aff081c --- /dev/null +++ b/proj/AudioProc.runs/synth_1/rundef.js @@ -0,0 +1,41 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;"; +} else { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +ISEStep( "vivado", + "-log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl" ); + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/proj/AudioProc.runs/synth_1/runme.bat b/proj/AudioProc.runs/synth_1/runme.bat new file mode 100644 index 0000000..637899f --- /dev/null +++ b/proj/AudioProc.runs/synth_1/runme.bat @@ -0,0 +1,12 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +rem Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/proj/AudioProc.runs/synth_1/runme.log b/proj/AudioProc.runs/synth_1/runme.log new file mode 100644 index 0000000..7f9c0c8 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/runme.log @@ -0,0 +1,455 @@ + +*** Running vivado + with args -log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl + + +****** Vivado v2024.1 (64-bit) + **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 + **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 + **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 + **** Start of session at: Wed May 21 12:32:09 2025 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + +source audioProc.tcl -notrace +create_project: Time (s): cpu = 00:00:24 ; elapsed = 00:00:39 . Memory (MB): peak = 1680.555 ; gain = 325.840 ; free physical = 1118 ; free virtual = 13536 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: synth_design -top audioProc -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5 +Starting synth_design +WARNING: [Vivado_Tcl 4-393] The 'Synthesis' target of the following IPs are stale, please generate the output products using the generate_target or synth_ip command before running synth_design. +/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.xci + +WARNING: [Vivado_Tcl 4-393] The 'Implementation' target of the following IPs are stale, please generate the output products using the generate_target or synth_ip command before running synth_design. +/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0.xci + +INFO: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked: +* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog. +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. +INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes +INFO: [Synth 8-7075] Helper process launched with PID 1258415 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 2500.738 ; gain = 420.516 ; free physical = 206 ; free virtual = 12448 +--------------------------------------------------------------------------------- +INFO: [Synth 8-6157] synthesizing module 'audioProc' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:13] +INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1/.Xil/Vivado-1257498-fl-tp-br-544/realtime/clk_wiz_0_stub.vhdl:18] +WARNING: [Synth 8-7071] port 'reset' of module 'clk_wiz_0' is unconnected for instance 'clk_1' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:87] +WARNING: [Synth 8-7023] instance 'clk_1' of module 'clk_wiz_0' has 7 connections declared, but only 6 given [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:87] +INFO: [Synth 8-6157] synthesizing module 'audio_init' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audio_init.v:24] +INFO: [Synth 8-155] case statement is not full and has no default [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audio_init.v:51] +INFO: [Synth 8-638] synthesizing module 'TWICtl' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd:119] +INFO: [Synth 8-226] default block is never used [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd:330] +INFO: [Synth 8-226] default block is never used [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd:363] +INFO: [Synth 8-226] default block is never used [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd:381] +INFO: [Synth 8-226] default block is never used [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd:399] +INFO: [Synth 8-226] default block is never used [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd:417] +INFO: [Synth 8-256] done synthesizing module 'TWICtl' (0#1) [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd:119] +INFO: [Synth 8-155] case statement is not full and has no default [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audio_init.v:151] +INFO: [Synth 8-6155] done synthesizing module 'audio_init' (0#1) [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audio_init.v:24] +INFO: [Synth 8-6157] synthesizing module 'debounce' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/debounce.v:23] +INFO: [Synth 8-6155] done synthesizing module 'debounce' (0#1) [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/debounce.v:23] +INFO: [Synth 8-638] synthesizing module 'i2s_ctl' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/i2s_ctl.vhd:63] +INFO: [Synth 8-256] done synthesizing module 'i2s_ctl' (0#1) [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/i2s_ctl.vhd:63] +INFO: [Synth 8-638] synthesizing module 'fir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:28] + Parameter dwidth bound to: 24 - type: integer + Parameter ntaps bound to: 16 - type: integer +INFO: [Synth 8-6054] Found Dynamic range expression with variable size [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:54] +INFO: [Synth 8-6054] Found Dynamic range expression with variable size [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:57] +INFO: [Synth 8-6054] Found Dynamic range expression with variable size [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:59] +INFO: [Synth 8-638] synthesizing module 'firUnit' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/firUnit.vhd:42] +INFO: [Synth 8-638] synthesizing module 'controlUnit' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/controlUnit.vhd:45] +INFO: [Synth 8-256] done synthesizing module 'controlUnit' (0#1) [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/controlUnit.vhd:45] +INFO: [Synth 8-638] synthesizing module 'operativeUnit' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/operativeUnit.vhd:55] +INFO: [Synth 8-256] done synthesizing module 'operativeUnit' (0#1) [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/operativeUnit.vhd:55] +INFO: [Synth 8-256] done synthesizing module 'firUnit' (0#1) [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/firUnit.vhd:42] +INFO: [Synth 8-256] done synthesizing module 'fir' (0#1) [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:28] +WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'leftFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'leftFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'leftFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'leftFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'leftFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7023] instance 'leftFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'rightFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'rightFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'rightFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'rightFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'rightFir' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7023] instance 'rightFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:208] +INFO: [Synth 8-6155] done synthesizing module 'audioProc' (0#1) [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:13] +WARNING: [Synth 8-6014] Unused sequential element timeOutCnt_reg was removed. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd:236] +WARNING: [Synth 8-6014] Unused sequential element errTypeR_reg was removed. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/TWICtl.vhd:313] +WARNING: [Synth 8-6014] Unused sequential element regData1_reg was removed. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audio_init.v:135] +WARNING: [Synth 8-6014] Unused sequential element initFbWe_reg was removed. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audio_init.v:150] +WARNING: [Synth 8-3848] Net dbg_output_0 in module/entity fir does not have driver. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:18] +WARNING: [Synth 8-3848] Net dbg_output_1 in module/entity fir does not have driver. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:19] +WARNING: [Synth 8-3848] Net dbg_output_2 in module/entity fir does not have driver. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:20] +WARNING: [Synth 8-3848] Net dbg_output_3 in module/entity fir does not have driver. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:21] +WARNING: [Synth 8-3848] Net dbg_output_4 in module/entity fir does not have driver. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/fir.vhd:22] +WARNING: [Synth 8-6014] Unused sequential element sound_dataL_reg was removed. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:229] +WARNING: [Synth 8-6014] Unused sequential element sound_dataR_reg was removed. [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/audioProc.v:230] +WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load +WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2584.707 ; gain = 504.484 ; free physical = 195 ; free virtual = 12310 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2602.520 ; gain = 522.297 ; free physical = 191 ; free virtual = 12307 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2602.520 ; gain = 522.297 ; free physical = 191 ; free virtual = 12307 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2602.520 ; gain = 0.000 ; free physical = 191 ; free virtual = 12307 +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1' +Finished Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1' +Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/constraints/NexysVideo_Master.xdc] +Finished Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/constraints/NexysVideo_Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/constraints/NexysVideo_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/audioProc_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/audioProc_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1/dont_touch.xdc] +Finished Parsing XDC File [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1/dont_touch.xdc] +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2750.270 ; gain = 0.000 ; free physical = 204 ; free virtual = 12334 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2750.270 ; gain = 0.000 ; free physical = 204 ; free virtual = 12334 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:16 ; elapsed = 00:00:21 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 217 ; free virtual = 12347 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a200tsbg484-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:16 ; elapsed = 00:00:21 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 217 ; free virtual = 12347 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +Applied set_property IO_BUFFER_TYPE = NONE for CLK100MHZ. (constraint file /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc, line 6). +Applied set_property KEEP_HIERARCHY = SOFT for clk_1. (constraint file auto generated constraint). +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:16 ; elapsed = 00:00:21 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 219 ; free virtual = 12349 +--------------------------------------------------------------------------------- +INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module 'TWICtl' +INFO: [Synth 8-802] inferred FSM for state register 'SR_currentState_reg' in module 'controlUnit' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + stidle | 0001 | 0000 + ststart | 0100 | 0001 + stwrite | 0000 | 0011 + stsack | 0011 | 0110 + stread | 0010 | 0010 + stmnackstart | 0110 | 1001 + stmack | 0111 | 0111 + stmnackstop | 0101 | 1000 + ststop | 1100 | 0101 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using encoding 'gray' in module 'TWICtl' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + wait_sample | 00001 | 000 + store | 00010 | 001 + processing_loop | 00100 | 010 + output | 01000 | 011 + wait_end_sample | 10000 | 100 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'SR_currentState_reg' using encoding 'one-hot' in module 'controlUnit' +WARNING: [Synth 8-327] inferring latch for variable 'FSM_onehot_SR_nextState_reg' [/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/controlUnit.vhd:62] +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:17 ; elapsed = 00:00:23 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 219 ; free virtual = 12351 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Adders : + 2 Input 32 Bit Adders := 3 + 2 Input 31 Bit Adders := 1 + 2 Input 24 Bit Adders := 2 + 2 Input 16 Bit Adders := 2 + 2 Input 13 Bit Adders := 5 + 2 Input 7 Bit Adders := 3 + 2 Input 5 Bit Adders := 2 + 2 Input 4 Bit Adders := 3 + 2 Input 3 Bit Adders := 1 + 2 Input 2 Bit Adders := 1 ++---Registers : + 36 Bit Registers := 2 + 33 Bit Registers := 1 + 32 Bit Registers := 3 + 31 Bit Registers := 1 + 24 Bit Registers := 2 + 16 Bit Registers := 34 + 13 Bit Registers := 5 + 8 Bit Registers := 3 + 7 Bit Registers := 3 + 5 Bit Registers := 4 + 4 Bit Registers := 4 + 3 Bit Registers := 1 + 2 Bit Registers := 2 + 1 Bit Registers := 18 ++---Muxes : + 2 Input 36 Bit Muxes := 2 + 2 Input 32 Bit Muxes := 3 + 2 Input 24 Bit Muxes := 2 + 2 Input 16 Bit Muxes := 6 + 16 Input 12 Bit Muxes := 2 + 2 Input 8 Bit Muxes := 2 + 2 Input 5 Bit Muxes := 9 + 8 Input 5 Bit Muxes := 1 + 5 Input 5 Bit Muxes := 2 + 9 Input 4 Bit Muxes := 1 + 21 Input 4 Bit Muxes := 1 + 2 Input 4 Bit Muxes := 9 + 5 Input 3 Bit Muxes := 2 + 3 Input 2 Bit Muxes := 1 + 2 Input 1 Bit Muxes := 45 + 4 Input 1 Bit Muxes := 21 + 3 Input 1 Bit Muxes := 5 + 9 Input 1 Bit Muxes := 1 + 10 Input 1 Bit Muxes := 6 + 36 Input 1 Bit Muxes := 1 + 5 Input 1 Bit Muxes := 4 + 16 Input 1 Bit Muxes := 2 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 740 (col length:100) +BRAMs: 730 (col length: RAMB18 100 RAMB36 50) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +WARNING: [Synth 8-7080] Parallel synthesis criteria is not met +DSP Report: Generating DSP firUnit_1/operativeUnit_1/SC_addResult, operation Mode is: C+A*B. +DSP Report: operator firUnit_1/operativeUnit_1/SC_addResult is absorbed into DSP firUnit_1/operativeUnit_1/SC_addResult. +DSP Report: operator firUnit_1/operativeUnit_1/SC_MultResult is absorbed into DSP firUnit_1/operativeUnit_1/SC_addResult. +DSP Report: Generating DSP firUnit_1/operativeUnit_1/SC_addResult, operation Mode is: C+A*B. +DSP Report: operator firUnit_1/operativeUnit_1/SC_addResult is absorbed into DSP firUnit_1/operativeUnit_1/SC_addResult. +DSP Report: operator firUnit_1/operativeUnit_1/SC_MultResult is absorbed into DSP firUnit_1/operativeUnit_1/SC_addResult. +WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load +WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:28 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 201 ; free virtual = 12340 +--------------------------------------------------------------------------------- + Sort Area is firUnit_1/operativeUnit_1/SC_addResult_0 : 0 0 : 1641 1641 : Used 1 time 0 + Sort Area is firUnit_1/operativeUnit_1/SC_addResult_2 : 0 0 : 1641 1641 : Used 1 time 0 +--------------------------------------------------------------------------------- +Start ROM, RAM, DSP, Shift Register and Retiming Reporting +--------------------------------------------------------------------------------- + +DSP: Preliminary Mapping Report (see note below. The ' indicates corresponding REG is set) ++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ +|Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | ++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ +|operativeUnit | C+A*B | 16 | 13 | 36 | - | 36 | 0 | 0 | 0 | - | - | 0 | 0 | +|operativeUnit | C+A*B | 16 | 13 | 36 | - | 36 | 0 | 0 | 0 | - | - | 0 | 0 | ++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ + +Note: The table above is a preliminary report that shows the DSPs inferred at the current stage of the synthesis flow. Some DSP may be reimplemented as non DSP primitives later in the synthesis flow. Multiple instantiated DSPs are reported only once. +--------------------------------------------------------------------------------- +Finished ROM, RAM, DSP, Shift Register and Retiming Reporting +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:31 ; elapsed = 00:00:38 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 195 ; free virtual = 12340 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:33 ; elapsed = 00:00:40 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 170 ; free virtual = 12315 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:33 ; elapsed = 00:00:41 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 268 ; free virtual = 12314 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +WARNING: synth_design option "-fanout_limit" is deprecated. +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +CRITICAL WARNING: [Synth 8-4442] BlackBox module clk_1 has unconnected pin reset +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:37 ; elapsed = 00:00:45 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 271 ; free virtual = 12317 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:37 ; elapsed = 00:00:45 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 271 ; free virtual = 12317 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:37 ; elapsed = 00:00:45 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 271 ; free virtual = 12317 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:37 ; elapsed = 00:00:45 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 271 ; free virtual = 12317 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:37 ; elapsed = 00:00:45 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 271 ; free virtual = 12317 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:37 ; elapsed = 00:00:45 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 271 ; free virtual = 12317 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +DSP Final Report (the ' indicates corresponding REG is set) ++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ +|Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | ++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ +|operativeUnit | C+A*B | 30 | 12 | 48 | - | 36 | 0 | 0 | 0 | - | - | 0 | 0 | +|operativeUnit | C+A*B | 30 | 12 | 48 | - | 36 | 0 | 0 | 0 | - | - | 0 | 0 | ++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ + + +Report BlackBoxes: ++------+--------------+----------+ +| |BlackBox name |Instances | ++------+--------------+----------+ +|1 |clk_wiz_0 | 1| ++------+--------------+----------+ + +Report Cell Usage: ++------+--------+------+ +| |Cell |Count | ++------+--------+------+ +|1 |clk_wiz | 1| +|2 |CARRY4 | 20| +|3 |DSP48E1 | 2| +|4 |LUT1 | 41| +|5 |LUT2 | 115| +|6 |LUT3 | 34| +|7 |LUT4 | 81| +|8 |LUT5 | 55| +|9 |LUT6 | 243| +|10 |MUXF7 | 64| +|11 |MUXF8 | 32| +|12 |FDCE | 632| +|13 |FDPE | 2| +|14 |FDRE | 239| +|15 |FDSE | 20| +|16 |LD | 10| +|17 |IBUF | 9| +|18 |IOBUF | 2| +|19 |OBUF | 10| ++------+--------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:37 ; elapsed = 00:00:45 . Memory (MB): peak = 2750.270 ; gain = 670.047 ; free physical = 271 ; free virtual = 12317 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 1 critical warnings and 23 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:34 ; elapsed = 00:00:40 . Memory (MB): peak = 2750.270 ; gain = 522.297 ; free physical = 271 ; free virtual = 12317 +Synthesis Optimization Complete : Time (s): cpu = 00:00:38 ; elapsed = 00:00:45 . Memory (MB): peak = 2750.277 ; gain = 670.047 ; free physical = 272 ; free virtual = 12317 +INFO: [Project 1-571] Translating synthesized netlist +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2750.277 ; gain = 0.000 ; free physical = 520 ; free virtual = 12566 +INFO: [Netlist 29-17] Analyzing 130 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2750.277 ; gain = 0.000 ; free physical = 515 ; free virtual = 12561 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 12 instances were transformed. + IOBUF => IOBUF (IBUF, OBUFT): 2 instances + LD => LDCE: 10 instances + +Synth Design complete | Checksum: f840d131 +INFO: [Common 17-83] Releasing license: Synthesis +52 Infos, 72 Warnings, 1 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:49 ; elapsed = 00:01:03 . Memory (MB): peak = 2750.277 ; gain = 1055.848 ; free physical = 515 ; free virtual = 12561 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2266.381; main = 1948.966; forked = 369.363 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3785.656; main = 2750.273; forked = 1035.383 +INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2750.277 ; gain = 0.000 ; free physical = 516 ; free virtual = 12562 +INFO: [Common 17-1381] The checkpoint '/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1/audioProc.dcp' has been generated. +INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Wed May 21 12:34:18 2025... diff --git a/proj/AudioProc.runs/synth_1/runme.sh b/proj/AudioProc.runs/synth_1/runme.sh new file mode 100755 index 0000000..c6206ce --- /dev/null +++ b/proj/AudioProc.runs/synth_1/runme.sh @@ -0,0 +1,40 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin +else + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH= +else + LD_LIBRARY_PATH=:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +EAStep vivado -log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl diff --git a/proj/AudioProc.runs/synth_1/vivado.jou b/proj/AudioProc.runs/synth_1/vivado.jou new file mode 100644 index 0000000..53f6198 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/vivado.jou @@ -0,0 +1,24 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed May 21 12:32:09 2025 +# Process ID: 1257498 +# Current directory: /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1 +# Command line: vivado -log audioProc.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl +# Log file: /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1/audioProc.vds +# Journal file: /homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.runs/synth_1/vivado.jou +# Running On :fl-tp-br-544 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz +# CPU Frequency :2095.233 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16687 MB +# Swap memory :4294 MB +# Total Virtual :20982 MB +# Available Virtual :14959 MB +#----------------------------------------------------------- +source audioProc.tcl -notrace diff --git a/proj/AudioProc.runs/synth_1/vivado.pb b/proj/AudioProc.runs/synth_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..ca18c3d1b85eb329fbf8e85739552b270ea0ec08 GIT binary patch literal 51588 zcmd;j!N^slS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5 zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4ShkkqLrrYzbl;npqg=nVOm!adNR6 z8=2}^m>3wbaj_U08d?~!bFms4n;M%%u^F1{nj0BqFck1q@JwK25Mbi6<B|?aO)E+* z&dAJ5SMUr_C`v8JFU~B<FUm|U<`Q6)Vm2~1(PB@|FG@{M&5L3)wA3{+HpyTpV69+< zn6QkAYXPHMVrEWiib6@gLQZ~Sib82|Y7vTIl?v*r#p>1ys>NKMX$mD7nZ*hznMJ9| zC7|#t&d4v#Nm0lHY0gVeEmBBIRmjX!sLU@dQpm|HE>Xx&gP4fyHXViZe2{%58L1$f zf>TRMGV{_w#wO<Erz<4pr6?4o=H{2BDrA=EaS5<Vu^1W~TEKl`WW!~ppOK%NTCAUC zWRjg<P@o?Y5FhLq?-=Uh>91c>pqrMNQ&N<wn_5zul9`xSq6<?7a)glrmz;BAo_dKw zT4r8~0>}-;1*yrIX_=`h3I&NJ8G2FdppY^$vB+R3V5?w*1XU*^my%C@VoGX?LRe;5 zVoE;9<skFG0S*ZxJy4*t7#bTJA>8V~Wv5?STm*KnerjHENs^(yudAzmL}pHAUWI-c z*eoLhBNIJCeTc#OP+m%6Nuqvc0mL=NhQ`Pdcbk!m$2mVYH!&~83KTH{EK*FS##)>Z z<4Tfqj8-yoEn_UM%qz)=Pf0D#OwUu$Ey*uXNGwgs%nvBaPgc+^NGvK*s7N+XG%_$K zDNaf^u`tm!RM1UJ%*!t=iO<Q*%`8zcF)&cjO)JigPpv2^N=z=v%+FKE&r6NZ$S+aQ z%}z}%h)*pn%`8jINzE&XFG@|%EG|hcDpt@fN-fSWElN&}FV09T0;SIUv@`|Xy!`l_ zWCh*gjH1-^_}t9A_~Oi}R0Y#0Ruf%Au>V*pSRkQo#U&P8l2`;v77ECo;1XbwVm36f zMDi&}x3Q67215Z{_ZlXyg^VE?so;dGP?A`bo(fKgptw%U&&kOz2RX?zpjaWXC{>}j zBrzvdN1-4mHL*BVAw4xOwJ5P96`U~gOG^q$OB4!<@>5EaONtdri$NMe#=w-t!%Q!N zx-+vt0aOZr(r{90T7FTgLQ!d69!MLqKlHd%iv`%Em<`Pgki25V&BYlEin7$=%wnT( zu268QAvu*77bWXw7U(DEWXG3hR>d12Sb7!7nOxuivjin4c;enTR0B+ai_bH+ASX39 zHLoNQlsf4dYWEqr#5@Bai7c~NAtygMJ2l0MOSKqMWEq(mAc_HQE>3uS7)@d1n#iaH zDnL?F(=ziiK@L|?cLo^%N+{u(Rf$C@3L2(*Mw;phY5CydSRJliT|pzcw74WcH?t}= zMWH;iBtxM%Kdq!3l$MK9A*tBNz|d6BSW_V*u~;EdAuqK&wMZd1F)P1Fp)9qi7?g`M z^S~+GGeE&Pu>@4?LW?~^Gf*AF2C5+#1(>+1xf~ozN>XzRz*(^*UjdYr5*2bXlT-7G zQ^Af)OHC{(ErMpY#Jm*!{33;v)UwQEh!8l-8XH)^Ye$qs&c($6D}umPoUw^Ha!$_X zGH}l?A<a5gDQ06sL}g6CI!okY)s>4MRK|lM0bDw&7K3V0LsN4tHi(ZvRXfbRup%>x z&B##K!~m3`;1z2$mr6ilQ3<GQR!GTDEmp|OFHy)yEK5~zc6Ibs$jMA9N-V0>1DS4V zW`@^vb|XVwOCuvtu)vMa=ko9^%_+$&$tX%qOaVDJH7_v<REvXB3vxjNEwU07auX{u zb4znU^|5L(s6<OnEiO(i204Mn#KOozi#0#7xU$%YnTydRip@gT+`t?qXcD>XeG*Ia zlEH0_jMSWh)FPNE;8ajtkXQ~b=8`iqb5e>@^AsR;5lm4kC@4WTnL}-YS^}zM;r<HX zQuaVKItOegES(2<x+s9-1Z0p2)F4o^$<WBu!o<)NYNjc2oE~E2Vp1&@;L&0QhYO@) zgjX&>AwCMOIf+U6Md0E?!Ab$W@d#<P8JMCpA)$ii3fLQxMy3V^dgjI!3h?HniIIVx zsiB#IwE}vZ(#QZVifB|C8kv|_a3MGLRxol&fjy8~oLL2m@!b5B(i}(@g9eF-B`j<~ z6$!kiH)7&qF!JT{B)>ArNXgNM8>d$$z-YwA#bjtKz+}Pbzz7O+Gec99^!b93O9Gc; zL22I17@pqH3&ws%^4tk(Y3n<}S~q${rFq5rU?;>I>gz>h=0F=)x`sxk<|dXFx@kGO zB?Y=kMY^UYCi+FGi8&>ixv7YnGQPN^G)b>4BP9pwTMMXfSuJ$Uj8PKpRYoq$g8ZTq zNJWsJrjUz>8HLPZh0?s_{Jgx><dW1Ba8Ak0D=taQgO-k<#;u8kAv`$Pxmb%*i&INL zF$gd5LA?MF$B+imXkiZZ7$nbw+EMUk!3#z%Zxq*pN)Ke;fvOkPVg;!GGV}9_6;e`@ za}tYEQ*;!PN=p>-^KvRd-LLe_veZ1VU(HS7iIkm-72+$DG{DToXbvic%xLaeBT$hI z_v|W?G8hjR7dS`6gQ{<E4)f#kA}{5FnrrYJ1~w0t#f(f4af6=4Rx)zwCW9KR#U+U) z;PwwFt%0hUw9=d$a32a3J9+sEDXD3Rr8y;_d}nBC3M({e;9OHfsB>8@bPY{W3gNlL z7YH0&Y$4&E&LufUK3pD@g($=vP%ai=G-BssHZ-(ExDYKDPh;eghK7_v5~w8x4$joF z)FM#-H3bxUMn-0^(4)H3jExNtPBStxL$1LF+G%F6lAhH<caS;F0x8K2GN)N0rM1E3 zG!sKunU5KvTNt@iQ}XjtA-!2FZ4Ctt)naAUVoh*{FokCbM6PA#Vl)7i--a~Kzec7g znPk-<D-S`%4W0t=AS0JL-f&heMha;b6BA2#$&Fd{AcC9*r8-(Agi@?8BdN~h;^Ih2 zP0BCLOHKvXm=qVHFw@}mC9I%gv(QDaFZYrk;OOo~1UIdHfD+jAh_6FAx!5y}isO?@ zawu;^K@Eb|qHJ7D$khQ_CAyW&(gVr8h`^<|%YxVCXvN1g;sckJi#aW`$jFn+m9o}J zT4oU<P>pc+FTBA0S1wrp)riZ0Ga#`jF*mg&wMZeQJTs*vLjjblQWQ$^trUz*6m%6z zDhpDr6f*NlQb9xVpnfD*1s7yw)f3e;LoR(J)AC9Z3yP5pGc?0**eXUYL%00Wyc7kO z%Dlwf%w&b4#Ju!Wh180IqSRvWI1_k$sw}Z66Er@dP@GwnD!?kmVq$C#@A6PLAWf0m z%m$_=$PKB%=v-J;3@WmQfOBDu8Z6Ewt$a$$EDFubETO!7f*OR#N+w2#mNZ)Vw2b&% z&dbG}oS#=xl%E53W+0a@Ww{;6FeIm%;&kd>GK*3)cO!~Z+WP>lNL@vIVDoeF<`;mb zT{6p3!NDEO<xg2~qZo$>a8uj?evr%n$8b9$$m!t=v_Pkz28L!NP}?2afTy?yh8l?o zf8@N0=JK^<hCj4AMua+b>l5UP8O_BvsX6e+$HkM9lpYV6af~-Gf_jUSi#;bbt;8*} zi1LyWJYWTy`vtd`K^+u$9~H~s9}RtHIIMkVG^~ARJgj|ZGVs28M%zJmqyhz6#ISHN z85)90eX5VRV-_)}L+-ceP=IoAaTH~yXV9nwH8Ozp+pv_NH1r<41Rb8<gBPL0(|hnT zba;9XUWg8$_ny<X6h-zKJ&IAZat5^+-B13|CN~!+yj2JulSMS6DQ^734F)$iF;@(r z3~ugX<kAk!D+MjkElw>gP0cIGOw3V8g{<rX%^;U27DE?>rsyev7g(7XBj)1-xcETx z_x`0N&X9$O)SrAXGB$&D*1=;RhM<up_~h{p%AC)~#gkf86jE7`8U$KJNqxr~qpa32 zKy^HYVb90KQ<R$Sl30>x2zETR=O93>2xC~k9ZT3#?tIW3zFSgwD$Sg43Y*-;;`{@Q zTn2urC7?8(nFn1vq7O>{CE%44sH?|PiZaVmi}XM%DU3~wj9}BzI69Bi?FkzqHFb<F zP*+D&-xKf_4wXHDG=NEaPr%zT)b)fBQgWibC*Z9WDtiJpb<bv@OM6ei+ay%>1Z=Ds zizoI_RwoE@@fU-pR^mbX0DQnj6P2rEb}nWkBc%LbhE^|7(*uxtjRqcoubaVAw3c#N z27ngksTPCwq(B$0fjGqqshK4iprt)XYxDApz{{n<TUioQKt-&Hg&BN-gCG|_^7ve| zc`S6O0JQ$m+|UTLSQg$KpryrT17)%4Kv`@uP!<~xl*L8^WwGHvS!^&c78?#!J~oUV zpnPl?JwW-`FnWOUv0?N8<zvI>0m{dQ(F2r^4Wseq<02~7$EaN%SVJ3IWlTF;VJ&0& z+6rsRVzV`miXqI##p3H5?+Myo16@f)Ya3a(n2O6mJ0IXfN7!t{x}nD{GcU6^BQ-^V z=nXyQST^(+8sOZ}V_~9aZUE8)-5+jhV4`PYVS;5tkD(=KuMl{f5Auc{V?zVbLM-_1 z?HtsFSRq_Ny3__B```<|tWoV#=28T2f>Q8E%uC4uZ76aEZz@!9EGa3<Oe!r&Ek@B! zZ|Bn6zO!iC#I`VUZDfR}D%^pDd&d|ku^<i|fb1B<oxsctjPy*63=liUOpT26j4VNh zfRhr^DFlX=hOlIZlE4hiK`}O935?z`b{Z|lHZgLoN5mMY9PlqF$;{2Hg6!-I$N=q@ zF&vFA*h<i^gW{|V87?Wm)DrMf5yknC4gbmcpe@}csd@@Vyj<LnjALM+XJClRH3FTT zVFb-Lpe=F+dIkpIg)@ehh9JJ7k+Fd}cvmsn!5Q9MngK<r1(1^o@}RbZcFyMHr)MTB z<Rc{;(1{Mr#wM0p?4S*XsmUc#tcJR#<_5^iO6|C0xIoKlKr3GJ(iI|HoE4n&^NLG~ z5;OBkioyGzjZ92XOfUlPvW6d6V9O=#3EBppm;>3{2}-tk=?bZN>6v+{U;~UzEKm$E zH3JPezzsOg2;OiEKAr$%zguQbszS7CaV&VpVRC9t4tRGW*eDAV3oUNQnGmHVnK{Kq zxm=m#FSf&e&;Zg#%FMiY(Avk;iW0qwlw<)$BTneHKLJh)#wa!;16{~iGTbj07`b%e z1#duN5s{uUA8eii?TCeYY5^mc7*@Z4qr}kM64mwbT+!r5Nirhw>HDQtR2GM2rljV_ z`zC@8=YT{4G~^Azd%WR}T*=5Kk3Zz0u0=~gbaO3e-!0s=znHjgGNPXTpa4C|B^k6O z6_x=N%2SI#Cl!E}sh4MfG6rnZJR+bJ(m>*%45OzIl95`RifTY+P7e5BoAkW=BIqt& z@ZMt3IUV4AuH{e_;0eskJcUe92?jruLQlanw;&O`0T^K=<nR$t!Izo>8lD85DuQqY zxZE)^Hil<<qga|I2_so9Y4A2_c+(qnc2NN+ctI%!RO%TSqincZN?M9e;YuJcMT5#+ zTpPPn^7BgKOY%#TGeC|MV8ouR*O8g5>FZ>awC%yA<_xU`Qxx#jE#UlUWMT?WCg6xN z18r=E=actCpq_`Hj%8o~s?}k2K8S5(Zfc-sWNrYe(cv|`k%0+_Z)jv}Y=W(Z&*4%G z&C4v#%vA^}O3W)x%P-1>v;u=mb8{1mDnX~TfOaq%8XCfb&Pbh0)h}NGst>yKpcqnm zl)y|$P0`~5g|VR_Xs0~<;ELaaB8-)|kQyEeVTn1JDG*-=mF9t#M<`ek)1o3Tyg_Gd z!1pN8yfb?Ot?j>_k!ua2?T=`EAqN7kqdmZ<a-etAj0_cU9PI%O9z;jY%-lfFzyw=I z&BzcgiqcUt8Ce}Q7u1ml9WE`%$OG)C9neWR-~$UnGIK!#5uSPQ{0i#O!bdQ2P|cxv zBC|xbPmxO==3>y{Kvo!MrwyoMuc76Ly^LJD5IF+oa6CbcvtvA(KWIEM=Yg8e47l_l z`NOfGAg2<PA=HagOTf*s)S{9~b&L*X21CJs<q>-4$y;d2{2(LOK14E4Bre1TB&l0s z9kVmB1eF>CHfEQ_Wt^FpmRbbr)wl)wf=3I$2g)eG4s!&L8-V&J(B%`Lvp_*DCkq4k z>N9RGPOu*E8Ws3K5K*iax)uhY!{p$tq)KYoCdwro92B2iT2z#pR}u_5{03|<>b^%T zme--?vNWy~Y7e(#F%J~E@Vr@t>VhP$1O-e0_K`vjri6lmf?sO6f@@xKKKR5p3?%_Y zsb!h@rNsy(D7Fv0|0_}bpU4%D>C57h%#@r|9R7zXH!v_TB&-BH-2xAMA}lX1DF&T6 zO2}kG0|P=z3=9lW!k#G0%ZoBgi10aK%MD4kT%ow6I59b!ptlSO2D>3-mWz~NS12w4 z4ag9-oCu$j66eJwxp|4n*~G=UAtB2R$%u1>;*wk<g58jqU?(Zg;a<-#AQbF|1hbl< zfdMJO4#~uzyhhM+Ln5-8AzD@&_+qYuk;^?5R7$6&D1hoz@Cg{;3KFeSg`6e~Rtj$A zsTQkaR<ta}#-_%wb>1j7EgKh0dQoDf5u(NgEkZR$J@R7UgJZPTLm3)Dul33kGfU!& z6G7v}__Lw`5w)HIIayJmxCC@eHAZ^^l2IWf$ZkR<paKacU_ntn==|Bty!7~-d_v`b zfdS!iK*4~FiU+dUo<OjJiZ}d96ch}IuX4fhotl?IRGfqCCK&97XvOcqXZcyQD*<t| z{yDkW^Yc=5GxAG}&^iKG%EbMQT#Arh52)D9Ni0du0C#-grxbzvIBZhP#zye7N@cmE z-GY7N^Yc<O@=M}_gW~g2E0B60v^iYG47R&~)k4=8d;&Clg*m<Z-DEAcFv8u@1`Uj2 zjJX<9Wy}?ON81$KKpL=)HofD^3bn7L#H9ckQvxlE0nIGs=cVSAfW%5Ni%T+-ixp7% zEA)0P=18kcY6;|85>y9+hK3caP#vp*>Qw<QK5boHUB{FZ&`lI*YTZ!P>T&6CDkvxz zDR}0A?s-s9Fg8+f$}EA@c?vKiY!!^bA}B#b&?G~wCK-}$l935klZ;3=$<T~yCK+Qj z$&_?ADVSq5iHs0eFvV&T8R4XWJ;cdz6ZUW-BNZrMPnlRu(nd|=!d!x&{161coCPgQ zV2(b6vIICHp@cC!*&rEWtAHh7i7*QuASh;G@dgoQ!Gi?FEG*GPgjw+HieeU7?m}c( z6tl<*FGQ9_G0WHl6gMaZ5@A0YVh?*PnU=6w3Kp=;hUzYmvrvm?BFusp0aP#xUI?I= z1r9Ef-32cOs9+ZMuqP{hA&LSNcafF86mX<_3s6L&q%U>UVuhcJ7gVhHmR6(|qu0m; z>qdBi0<r~a1l9tDph@r|0L3IMMF1g_5SbRmBrJhS$RtFTMKQ??#6wMjSWPlCgSSQu zvHOk;HzBes$Q6`?I7%(605{1JWG)fDQ?P*h4u?rtvIN#}QZOZC64Bvgi7*MC<w5>| z`;J&Q85vT`B&0SeN^69S5Jw6pcp-%1JEFtM7~wnYDU;Z6LiQcJ077w-3Ak25DS)u2 z0uzLru$yEAb`%jNA^8rwNnj@tlg5$K6LyopP9nl2Ljyv-BeqmAMwBXuA|EBhiFFfl zzQgW2ER`+RxI-%GaJUIe%A|MK)E2ecQ{_^IuaHLVnt~>72SZ<)-T`EW8bESfGLVr5 z@KU27=sl1M&~=w6*^u5YEk<=|G*={w8;h;DT!I6NtrW~n3=}ky^K%q(QuESFGOP>@ z3^ci%f*gH8a>l4~3PFy(PKFi=pb=^i)7VVG)If7Uy@@%P0#C6h{v8x?Lhm%-ikb#= zxwIjv)ww9YxLCmnbl^&2Q6+daqGM5Nq5|?3vH^`%4=y#(ssA}SsX6ewS&9{sL2Cwz zG84g<73G&G<ffKD4lXgWgw7d)chDMGfHo|_2an5910kL()+IPVAt)7ei<y;zJLCpb z(AYRg7<z_tyrDkIncDG&`oYfei76>Tsl}x^B{~XF+59{O-~5zRh0J0r1!rwXEhjyc zV4DnCzXe|@T+LMpvIJ%~#E|?V1>$T4c{?$wIKL<fyoaJBUjbwoae7hRH3iLGHC$C7 zqeycX=uSpRa8S=-qj6Q5Q!+&2ik8RzjGi|H+VBW3!e|-PCIfR8jln>jQ(`bs=ad)> z)Hx*v_|GY!UE&%&Z-{OurH!69M8}{WJ#VN0UNw!LH&hI2{*rvc;$S^*h~8b)>yWMp z{KE2mj9j}BTU?0gsN!69V`PNo&?6%YYAm}kz<wr;33zGnfGxWzLG9_ra78HugH8km z_w6%_6^QI-#v3SDDHte#NJBFdLj^Elr4R}_uG~-oytNkWPx!KTYS{}~K}CwaE78)< z1V*l2MlAbMC==p>jF|-a`|5zkzjQ#oZXJc-jLftW1=y4%cnBpZ6?&2hq#vD`mkt^X zKuZnqgifmsopAfgz^MUlUmRBqXvEJ-A)qKV2ekDRH1gw{SWo~O`hd7tL8CY|RUt3G zBvm0PH7CCud^&^zXqk3OW^!UlD(LR>qN3E|g8V%2rko&GcTm|^oLT}N1BBZ=6D?jE z7`f^|)2B%BfXapQNMJyfVx@G^L{Z{l7MklC8M*3fd?B~k`z7Y4Dkvz_fZ|vI7C1Eu zjtaq<RiND}PB4ZujNt-f1i%=MpkS#{aDq_I5XuEYIYMb)2sZ#ip*U{{1|DP_3woQK zLJedj3^d&W4oXAFtWAxAA!MSuM!^^+q6<-kBw_#(sZl_sz{+YAz?1^IBue06joZQP zJZMsd<qcFWoJRr!suU}Qkugw0Z#oldk@1g_>o=oceo3ko=$IIV67Z#_iAniopaD;X zM1=yRlB5Vco~lrikyxTooRME%tN=RV1vJH=09k#Unxc?c0v3esW>hFHNlZ^w$WH?c zB2Jh|1D8C(`MIg!T$r0!2|A6YC>4In49KKng}nScuzW#LW^QIlW?5>nLQW#+lGw~V ztOkOHD>DmnQWY}uic1nfH*S=qrhrU<98Cjpe`<<CeqK(cLVjLyDtH(UUZ$-<D>WuF za!sH?UE>Bn*>R{<H}q~eI)KwEd}v*ROAS&_!}pPcP6mUXv4A)tV`LrkcnK}h?quZJ zhG<+92q~QHYGY927kxRqF*VxNxDFE?;C3~=leP(J(w5<pf+THdY92JRbo36FV`#~F zEhE<|L~_OwI=GXtF_x~O3C{CyNjL?^&>VECDfkdmlv8jFO;M^^dIuf7?K4JA!qQxl z&?KCioROEGlb>D*YhHtb1>TdSw=?N&-%+#_yoQl$B~l8;2pkd<FjbCmGPA&Xc88$} zD5l`;A$o@#z3tOQO~9a?c;Mp_JpC0s^NLf8N;32FKmjshlCC{!fT(k+LUOcQPGU(( zY9445!6`Kjw6}J|29XnL5NUB~z?<`<p#;w;xR%hlW#%R3D8P<KcFsvn%quMz&@2{) z8lQGtHsOvzex82rR**$W@sM+c!AB_P=P4-ZrX}X(mzKnXhSy4zKtp3GsRc!;prNxA zaBmVG2J|k?@T`&>e8C>arR9{9n4ImDUje-#5OiH&Mq;r-DbfX21(|sYs>NIa>{2Yo zrk1b;V4!m{lXJ4;!AD{jr52}_fR==rn3#Z$W@4{kpTNi<z{ExG;NOo{?Jr~GT8yan zk;_Zm6+X5-#3rWHn9MK-_2;m(SVz{mjhIWHA@y5OYF;AvphoCQ!PFE`1E3@^FL{LB z8F3yh5pQGU+KfoV_=0IPQNwN_pm)K8b7OpJQfX!mcoHYHIJHQ@BQv!qu_!sCazN`_ zdWX&#v=qIWk!u5TipCK}qse)ok~3yA24@)!x(ah-4fYZ^v;?yn0|(J)Vjif(JbFbH zY|ax#qf|arzoN=`v;_?dXsXdDF=m0<T~^?d!&y4}rIw7W!ub?hQ)43|*E-~;21XwP z6hfnA^FWo&xEdPaMVTd_k<Q@Cypjy?eK?SnQz-d>-p$x>)O=^pWeZy9r2rY&fw)gW z1GE~0=#^aXaVqFyB={`*pjgQTcO7)K58QQwV<i{dc|$Pp@Gq4z1~1D}s8KM4Y*nvO zFae*Oq5xZ)r9kmYE_mQ!joZQPJm`umSmlb!h4V;YK$T*pu&(5Shn_xaks!_`$^|V5 zU?Z!k#b_sEVUB;H+Ka_o+9<^yrUB4HR%>8(D&&EdSJrrf7hol)7Aw>cFo1FwK+bun z0SyV4XI90-5-@0$AqX2%*#(erhp)Ls3U_DFt%RY)iRr13aEDK%LT1Y0TBNxo(R~f$ zg8~bWHdttbZ33;lbj~l$D}jVD9&Iqw(c%{zw|KPGpoT2;%veZx;?o8#Ih-AXf+9^o zD{Vn15*mP`0iQPL(ovV-022#W*tzUR1htufB3YrvCo}}?TyPMX5OyTw>=TeSuyaA$ z3=K^Q+6G<L>Ju6Qb}mSpg0TrfZRT)Cf}IQ2W<l7I(4yBTGz9Eiur^b|j)a_D1o9i$ zxgc#uCdLFEX$a0bHNK${ZXox9v?-Vo_M0Ij+(Ftv?geWzCLC{&3Z}-*#n}}UI3R6i z#At(ryPHb@T$_Rd5w=0X-OVKkuFc5Ul5mhf!rjd!7_Lphh;UMdtcR}gaRK=Znga}p z&;|;2g&I$%P&bf9P>?7n5aCEruq)Jf`a|?Vv=I>`;ABz*)dk7jgdIs#SwipTG5&Ee zTrK+1z89?Tm5ADw@Z@qw^nTJ{%ay^${u?Ny78T_e73(M%g3s#6Ois*EC{HW`Ee<IL zZ;>%FhVxM;#7{u_$MB{+_=-D(J;+NQ6%hCPg=FTYDrgjIS}7zKlq%RNKyFer2HjJS zzCM+N#eKN%A~(W2RSIu?oka7@21c&6j5s_4I-WQuwImgHdIK%T!tf1&iC@rAS9)S* zo`S6co(ucTK^x?7P5i=r<HQBIRx&3M-1UVm)UQfSQGnhi4ZgnB*wg@ixg_Y$NK<n| z<ZGjc`u*F6NVjvEgH{>9Z|5{M0^inYXk=<;hV6FFP%d4^yu_T!D$u}zYB9!@o1m+> zi&6`65|dLwcj$rwlgZFriya!cMyy=ShQ<a_tVWi)hM-%P;TKFra2Y|b??k)y6LzII z_@-UeVg=`bP=(^u<ovvpVzB8(76{Xsxfmg48-cE5g_}*~OTz=XGy_VDGg4DPD>*Xr z%2JC;Qj0W-H5E$oK_WT%i75&NnRyz;ntEUtT3To^=NFV1fm{bBqS%ZLbq$SC+&Db0 zdPPf2hNhsz1xieY*b>t&Msn_YE#)d!P;gWz$uCLF0d2T3G=lDe0d>N_7e=F8`Kq7* zX)f5>K^YpLR)>xPs5KCxX{CUqn@d5#$3?-`PQk~;+0{zH&;VgDwwq(axKzRApn^+k zab|j+0xYG1HjQPZCTACy=30SQ^{_}Wo0=JEah7G4C8p$;B<Fy#Uz&x9L5iWVVHBH* zuAzxB=#(e;y}!;}VnL}nsfpnG({nPDQ}c>bAx5!EF<BUEu_fo{=H}-aadUBkT>u)q zi()l2*R=p$9}G|T^<3_%#R{N<*Nb&PDKi{edx1I5pwT~2ody#H*J-N73a;R4PXTnf zO=(_9Y7yw(Tmcp-CL;@EAFyySnHm|fa50%1fzq@gh%ks^G0`;vm6ULw_;5+7f_7Sh zE=d7xQ7SG?2HhT#R+^Jj3AzE9$;cAfR6Z^qaFGmMj0QFjwAcx5-m^h*Tdx2YAF?y8 z6hILQsjp2eVQfPy17i>ydJD2Sh;3+KYN}^pVgV`#O$p?V1&myhs>KQgsfpR3$n#Cj z%`d7{09|yhpjs@zD#dDOWMpCnPYY;42eK_7qp}#(YysI85FBh|%Vn+M>!fL=kYAQs zl$evFV5?wcWM-ykY+-1vkPE3{4J}P9^eoNHtU>o`WT&Pm*eV#CS?U>^8Aq`jn(G=_ z7@Hv1gcLfGlZ!npv#6vrF$d(vu;5@LJ1!e^HyWE;nCh9Cn!()&4N+qxHyRoko9Y=` zV0UCPxY5Td#b~C*R#ch?%7E@%uJD|rPy{{)4YGAEFTX?~F(oB61yq`Y#+j27lQU8k zQc6=n0?t96A)d~TKJn1Clb}%ycy{|RDAJ}BmjtLAkg5=zkyw!G;-mm;lv!ciAdPl) zH#At$%WyMT8E%BF4Bx`Y1>R4oS`4{v611{0DK#}uAsuoS9k}#hm0~tCGK42p9xkqA zPytzxpP5%;l*koN{p;luOH(rQLAS5#r6d=CQ?8-01*l|#$JTsCE^*h2)Z|idLl9J; zCg!Cmz)D$GQ!`^@11-*ioWwlGjMT&wqgbwJ$hOM((vr-a%qqzB+`4I*IjIV8+mPkr z!9|2#Q9+4<Zb1@WH3dmg9EL`^MkW@h4VM@$5!VXHB#mk@Xve8)v7R2dQNe6vfXMnB zTx?<Bs>KKt`Kc)izKN9zMurN8MpniqR)!V|Mg~Tv;K(yFFhkD0d|X_vc_|7(smb|8 HDFTcDg8)^= literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/compile.log b/proj/AudioProc.sim/sim_1/behav/xsim/compile.log new file mode 100644 index 0000000..a314af5 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/compile.log @@ -0,0 +1,6 @@ +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh new file mode 100755 index 0000000..3c79b08 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh @@ -0,0 +1,24 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : compile.sh +# Simulator : AMD Vivado Simulator +# Description : Script for compiling the simulation design source files +# +# Generated by Vivado on Wed May 21 12:30:33 CEST 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: compile.sh +# +# **************************************************************************** +set -Eeuo pipefail +# compile VHDL design sources +echo "xvhdl --incr --relax -prj tb_firUnit_vhdl.prj" +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 2>&1 | tee compile.log + +echo "Waiting for jobs to finish..." +echo "No pending jobs, compilation finished." diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log new file mode 100644 index 0000000..ca74b1c --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log @@ -0,0 +1,19 @@ +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh new file mode 100755 index 0000000..d4f088f --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh @@ -0,0 +1,22 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : elaborate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for elaborating the compiled design +# +# Generated by Vivado on Wed May 21 12:30:36 CEST 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: elaborate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# elaborate design +echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log" +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log + diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh new file mode 100755 index 0000000..738819e --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh @@ -0,0 +1,22 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : simulate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for simulating the design by launching the simulator +# +# Generated by Vivado on Wed May 21 12:30:41 CEST 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: simulate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# simulate design +echo "xsim tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch tb_firUnit.tcl -log simulate.log" +xsim tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch tb_firUnit.tcl -log simulate.log + diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..78e2aa247141b12acff625ca014019a4fcdb61c9 GIT binary patch literal 22081 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C$P0t(y@FWrL^$ zMi9xs@R}JypVouW<dOyq3=A-g&kU$_48NiF?KZ}znsm9B%nS@%AZ;8Vf`Q?WAA~*u zp>UHL3=Am@3=H8ePI|6osd*)6Dxtx}AOU4~K;@y~?irk!s}K?y;Hu!}>Eo(kU|`75 zUly=hHv{AhX(k2+F9rq%W(I}@!67d3KK|~W&J0Wp3}6fj5l#jM2K7*N2K5Ma26Y2< z26aPq2K6X)2K8`t26Z2G26Yd026bI^29V|6NG63+W|RU00|P4q!va6YkkBAUAFy*k z{`&v_e?7=5M+OE40c1zVhq*e3_y>U%GBPXxy9cBY<QEVP(hS1?{{R0!_y7O@u~4^$ z#21$&mZXC9fz+}>EM^FI^bCm)cJvMKab*Y&@eguk2nh0bb`1{p^mC8*@%Im4@DB|M z2n~TKboFzADF#_)4s)HSyPvBI*lc3l1oO0~Ux=%_E5t7h3=9o0PkTa*ElN$#EG|hc za?8wtI2>d+$l)Nn*`SdJ@+?0C12`Z+G>HEn>Uj+ihk=0smKXOz`FEkT9LOLB28L=V zy$MQRg3{2W6%-NzauQS%)GiQj0#sczC`1_;U_}5pDY`hhD;OA<F@Tcbm;e9&?_gkH zxWK}|5FpRM@PdPZ;f5dsLxeCUz9GWEkRZ;$&>;)KFJu`QJmeS{9ONNbmVto*giRP2 z7(iG6<PbF6z{tSR#Kgd$3<@L$1_mA|wu7nzIh&D@fdPaeA;-V~3Nw&2SdIa~2Z@0& zDBM9b2!q&AFM}gp5gH~i8l(m!&(6TW0CEC|9RiInP>KfG0a63PAbUX=q{af0SixBp zml{h31_l-e28Lj$oggzn7-R+rgUqpkChizKYV4tIONF`%WCo}Z0AXPU1_lrfax=&e zlabVLGcYg+F)%QI5-~_k5(5JRsE7d-FCeubb3hp677zv%g&;SB)E;4AV8Erug#l7* zp2edklYxN&WdC(MYO<hl{Sc3uYz77fUIqq+*Lc+AFfcIiF)%QE#iJ&dfq?;(=l<hS zlLyJn44jO({hrUjz#z!Lz#z;B2}@A;fiNiiKp5m_P&k9aT?UVuQji%83=B$m)HE|N zFo-ZPFzDk^Gl_wLL6m`k!4i*}sSFGZVhjun&Un;JV_;wqXJBCP#iM2>0|SEusM^D$ zW)1@bgCqk3Ln0nE3mF&~q!<_&GV!Qc&%nSS&A`A=hDXgl1_lNhP~bz$08sdY@&icd zfskx8h(^YsvH(;Dfzmf9uY=M9C=aSY^9-m=&}Lv@0JSneYC!2uKu9(k#MXl5Gf;U3 zvIm4g@*wjU2+4wrRZy7#5(kNaXb^^}Nr0*W<u8ypJ~f~+fsh(dnE+E$%)r1<4|N~N zJ_Rw^Xi#3(h2~RG7%gUCVAu#%14>gMe}U2}s9prosz_l7G6Up3kiS6Y5OOm}4X8|j zxd%jpFw7i~-$80XWdcYXWHyKfVRSX1G65uxPYtL{AfyIVCJ<5sDia8)0hI}a)PTwa zd}=`93n~)`sR5M<gw%k_1VU;+Wdb2JpfZ7w8c>-)NDZh=AfyIVCJ<5sDia8)0hI}a z)PTwam>N(Tf?-fT*T+)Fg6a)WxxnxsMjMpRLFz$jLERJddL3CUsC<X1HH6k1pvE~& z4_xhU2W?Qf0#j>5zzwD#h0yW{R1Sg4E10<;v*G4GcF=Z5QVVKdf#jh=;5HIm?SmNY zIH&~3kD&GdHnm`}2Qk`dNNPcD2a7|+Kw%3q7cBN5MjMnrKvE!cmC(Wg6pnDUza6w| zKnkH{kTQ;NfSKEmq!v^@BZmVhJV54x%xC!RpuGS|EvOs=n*kMrxfiVVL5%h`s5r>I zpmq>8wXpO6>jr|<f;v+8)Lun0*9V8+VCFtSQtL}V?Pnylpx!AyH~d3V>rcR3P#XuN z9OSnE0%~Dt2ULd3<4_BB7gE{*sU?)oVCey*mQXqq2U`GXcY@Lxlne3#JgtMp9>i#C zfEf_AxY8LcJ(wV=1*J167sXtdS|_L^$i0NpSpbq+H5_3EGdBuJtvUg<DM)HTeMTr3 zt9uKfk|6hL5-_(NNiC>6193rp7m&fQJOoR>(?OEZwgs#_!=)CMc0hF?F86|sLrOa! zwYc01QwuA1Kx#p4Gvx9C<Q7o*09M=BpuGglfb>T|?K>zJ<TZ@6vjHjzGFJyjn1Pi% zh|%5$W<bmZwY8vJtmdA9N`lM<jb=f)Sk+#GN`lnls<XgK9>i!r05c$Nz*T2~l{|>i zehX$m)WYHz>;P!n4-{swGzlwzL2dxWF>?5V(g9p;bdB~uBy&M+MbH2TsP70e3)IdA zsRij_h_2D*0|`R=hM;yXvRY8z6j`l2l3H76-2yTPWF06?!_^*b($+^(3mQ8BxfiAu z<OjG~vr27yB(<P+G^m_{sRfNcz||gY()LAC3mP5;l@TztptdVqty!gZ6p~ud*a5Oy zkU9_s>18<Dq@9SQ)(OpTpneHlty!gZF_Kzm=$Ha1TtRV%o;N{yLE<nBk_UywK#9ZL z0ka<zclg{xs(P5YFnxsl3#z|hYC$weJt+P`^#q6y!ys``{NWPEWp8{*egQ*CQhZuw zQD|Of2}5F0az<u6k^od9-jD&xf+~UWax(K$<Ks=u7@!PuD8tME#xQ0`&d)0;%Fh8= z5O0XggPN6JkXn>jl3A7tQo(@2i#J5&gLTBCD1q5y0c98(n8H~wXBis6++}EhP-h8K zX9#nwp`jsE%+i9PI3qKygdsC8xhOs{C8a2}xELyDXaYCa1a5{Y+zeA=m^xE4hT_uP z_{8L7hT@X^qSW}J)Z)^d5}3550Yk8Jd~!~HayE!jlv<ox0%Bz56_l0)C*~I9q@r=d z5_2+BKw@c`IVGt@sVN9ms3H)Bo>0R*K^CW$FnGoz8;!z)Xz-6mHHHCQ0HQ3|IliDM zKRLCyI5RKZB|i`B%$)qhlwfd>1v|%Q=4F;RLIVxVO)f&=W|jn(=7Kx|)`ejDmzETi zf*guwzJEMeA=r3-6w^UGgyA3_#B8XJ(p&}z3u>}|yc<f;K|K@{pIlm0l$uu(3?9o5 z4vNo9t$=bok?aPA8Nz2E9>Q)A4`Me|M`<ntgax-7%~!!e@yYqAX+hA@c!uDhcyOXa z2sp>*mgbcBgEB~7iXkY#koZO*zHezxNf0Di1v`ThDvT2pUknP@AoM5=4vH^IO-zAB z2c!hi&&bbBE!NL6GRe*_D9{fHh!1v*cMNs$^w%#b&;=!mqEy|~lG2pS#Jmz+n6l!c zWc`ek9DPK2p;wlX!k}MTTm&{yKQ*toB*{?U*VR=YGUBgamRXjVl5b>SWTI!N9|q>= zLwPBQC5ifFAoD=x7MG;N8yOf_=ognH=A|SSr9g}>D9X>$uShD*%t<NMx3sjh)H8_p z^b3hMFflNVH?S}<(9g^(E=kPEDb`QS$%!w>Ni0drFUl>3*#*&}Pn2C$^+ri*MM-8p zJv@PI6E(b$nVOmki;9%^oc#36<ak3vGZT<sav1b!5+elcq@}lXlk!t42f}a27E{-Q zd8N6jMVZO*#U<ceMEgj?w2)>VLJl<=Cq*P*A=^q((FtuwfzlfTxXdKG5JqZcQNc8n zCKlK{a76)XXCh;;AOi!#3ea2(0|P@YBLjm7BLjmK69dC_Mg|5kW(J0JObiU~nHd=R znHd<4vM?|turM%KvqEqyD+2=vUuI=s0AY~XATwb!hz;r=!}z4qAibbDAkdrwXdDJK z=K<>Tf%<ZwzLYfs0|ST+>aT(NVQvfz44w>-B@Li)G0<2OXg(A)Um3>0z!1g2zyO-~ z2aOXXFfcGAgXV=87#KkF0HASx&^SJ5oF3HQ2aU6X#?i|e7#J!T7#J!U7#ONRbK(pP z44|>3Rt5%!HU<WUb_NE94h9B>P6h^sE(QjMZUzPh(3m)A%o{YO4H~lsjY)&%M3yix zFf3(YU|7b$z_1(|?kk~lC7T!+7&bF7Fl=F9VA#sQzyKOM1kH_u#+X6jh>STv9bQmB z4oZXiImq(3*cPBpF9QRE1C&PBht7wY;{Y1CV_;wifYRvdpj}3oayT6T>Ntbu|DiOx zKG4`ITrm<O0@R6SU|`6A(&+j?=>kbPoaq7TP=nkFrP1|)`@?Y62nKq1qWcHd|3&D4 zu+YO3T^}@hAc~Pl^zcO22aO&i9S|mZc%tirMh`?W5{Vw3==z}1gQNq(L=R7NebDHE zC`KX;K!X(w3=9@f8eJbWdXRKLm=d6Y2+$e=D2=WUY8*r{G70S<b3kcyeNf|&b%5C~ zpz1$BX>@&1VX#_c;sR(;fq{YH29!qE2Ng!v0%q?3P3AK&FdTr===z|-V717^0_X(L z3Mh@P4=RkT1<XcI*XZdHHh&FPj6|TPYjk~}Ib9^>a3*^CMArveI{;UV#6V9k==wnG z36PY-nds>ST_0$T0bDUM0~)cg^n$JrROcWohq2Mq3%WkgS_GI{WEwrapz8yzPe4`= zW22`RbbX*T3oy0FG<teL*9WRkk=4W4==mL8A80)SOf53q0Zm8~pftKZIb_91>;h;) zs({ky`q0PEU|P}BHF|jo8e@a0MW)fyHM%~~yb!W_7#qF3M%M>wo50i})9C3FT_5^* zA6ze5`b5_U8Y_gWMPi_*Pjr2tc_bv|a3*^CMArwJQ-Ui-VxXr_bbX-tB_!o=CVKir z*9V%rfh$I0pqCTq`attINXp?%^!g87A81Yot{90C0WGj1pal@RKG6IQl5#lH0$T7` zKnoIdeL8TJs0<BgL0|!;(e;7meNc5kcoNWpKm$sn>jTXJK~$oW9MFP514^Uo1I-7a z>VWV#pap>elt$MFnj3<sL?s2F1%U;WM%M?LCqmT$;YmOX0u3mQt`9V41W}1fazG0L z0Vs{G547e1RR@Fz8SPSVae^&+fCz)8kH9QxXajTuu7m?tHA;+zz-S1JhQQzsfvAGP z?Y>dhjfTKz2#kgRt`Go~Ulok0w`#XoGszzR__OB6&GYB`E9X7`xzxwYTdwWW1RqcB zlTR`y>3B{~@tk#h+7iz!&zUWir<QD)5;Jj{P0y04DJr^?o)%8}B5c2W`}==8c0Vt@ z_xw)nx$pC;-{0KXd8E7l*|+Y0-#sJs4?bG{WK-vnpzirsW1hOkF!|-W1XN2m8SfUn zV%zZG&P0~4vsuK?sbv&c9$fmGQ|hj3!BfR1wex~8(Jxzj|8Fnbrg*T|#_?n<XWm=? zfL|O<*6PAjY`Y)aOJ#YwUb*6(UsIQGQ~P<LkhqUWtM}FH{HWBlDq6_qZtH?y`&iWZ z)K^q09b9SV_|}`_T#R?XcfO`#exWP%Z4VAPeJ*O|Ik@yJr_@`Yg4FybwRXW6>v;=q z&SWvyQ_`s9J-AlU@g+OQI~(7CvV)f-p5Lotd1|g)k*D6&RV`>^Gi5<h^Mj9)ETQ@; z6;-B=U!ytw?)V3M_G}9NJpG`>*6WHEla*KORzA4Xmh+XoQ^CWCCbs3mF;?>z1kQhO z>MV=zJJlWEEF3piel|GQyOqN$&#NH2y6IB2z@3#sQ*7HF+?&pMYQFo2JE1J1`AQWP z{EkoSg>2%gKI*p~?N_xA2=NuRx!bbfS2U;J8^3_hhgp{Qsjc{{+GPA(=*nNlgF6`= z|L*40v+=s%;Mr50S=!_#EgVzH?KoNJ;8uP?6YH)A$HY0k<~bL<{>`#9UuDPV>ZVQo z0(ZR69JhEHu3C|&+|+ej$mUM(f=}$6a`K)5U%6TQ&#SGtr)*Kk?0ELR;GC)%3%=EJ zoLjR;=6YGYZ$Vym)34n^F)IX2ESer%dd?{|*R|kjHH+^$<sILmo6>}vr2ngF%#3O% z%-h?w;7b@w`8~&gGW(|LcHt@Zoey@JIUe=ql#BBY_-W44FR!xVmwwZ7?&-%Zu9_=Y zY-Dvjt8{Q>Ek{=UoCjw(SxWc26}(Go`gL6(X2;wG2bo!>r?K>k=^U{LzowqCN%G*< z?ZPIvyB{1o&fz8JUhsM~%hKH}<#kR0-^`k-zY9;P?|QKFr*^`euGc~~X9Pp)qz^u} z<y=)a<-yC1ELZnC6+B{Unq{wMQOfQ3*6`p=b&gkhyOoYvZZ~uM>&vP4#^FK&f0MVo zVnzwi!Kq@7lRtB;``fYLrZ0>6KbL?{hE36@ml*iHH06A^r*A<{<AZzeSf28$RlLh+ z>RK*nV>5lhCw@*jevVcDCO&w%nC0qTp<|XC*&NSa7n*acZ^5@}j&m|D0o(lw-i0*% zdM*&NW72|yy)4uJIb3)l*re^fwx@8`8m|If?+ZE6P15hxG%6JiuJsq3V%PfM+;)yr zagGJ=*_u8nH$9hATk*r@;Nhw9HR_L^?zcO=Ua?}2spHjhL7TM#A^%klc22+dzT)R< zll?!tlu9if-_94Fv$K1_yZapHY~2Iww`%#lJk-X*yG?1w7r!RA--0neSsW)%=2%zT zyx`_i7V~EuUiUl;Uax0aI$w3i=0jN$%ikz9RYwa<x!?L=XDx@;H4eFX4go)3v-In$ zt@vf!w0yg8$basGo#`A|di$-8Sx!}4@mH<M*jo6?UxtG_4|BYdcQ1J8)5I3r<ZZ8- zQ6hbCs=csC!Y2`K_7%F@*`8@1$cYu>yHKIb&Fb;)$RWn4R57jzc2ioJOYDw2F>XrV zP|$FXRYydj-jtht#_rZuCXx5a4;c=tM`$=$&OG*1CSi{mH|vUhjjhZ+<p~QJzJA|a z&~QXiM`XdzNHM+%R&!gKw(LKBh|#E4jO)T*Q*QPXcTYPp{_5XY&~RvvX`v;n#F~?b z7`@h~FJxHE#Ld29Pk$?u4`13thOOr}1T@^t)R9^6QC5s=!k(^H<}Gzcoft2D-%!wS zZb~at%Jewy>BSBAq;y0S_H%Nx&$!*!$|Q3C^dZJJ_Kg7zpO!~j9QY9;#ue~(LqWsK zsX8JH{&|b>P1rHJmC5A)!9$EeQPR_c8@^@f$S7<!<Ys-bZ)Pj=6E7W+1@#Kt>>4sh zofz4cZzyQEv^`SezzZ%hzJQ;s+^jQtA}k!<zSj{+_-4b+p0Q>VU%s@$PC;(=jNHRc zjF)zAEND2#s3W3Kt-{Uz!ZcFD;a`pzpFz1LH>*b6`9q9t<sg?i>&PVhk>O@tv2Kf= z-gAb_ypb9Xw&xEq3hmw$(D3Y^j*LPn3pZ=V{4<9bjnX#;G`vgIkx?j@<z{_x|KuS? zE@3e)gNkXb%u~W*_@@UqTvQU{3%EI{mC2_p@gc+3+DMH9YmOaalv3Xm(2z2_m3fO^ z;zEX(*^w3w&-ui-Ce%)7W&ScRaUsLc*4K}V_zb2eE@U`*HqzoiLAn@Mz`fb6%su?c z3mL9Hj<h)N#Yc=QV1Gv|v&y=}g$!pEx!FBz4>&R2^50z0aHfezZ#l!=uMrjwdB$Q~ z7w&hqGK+jmTFB7s9BJXO@}Lu=8z}9ZYSj@*C^F?{Uvc-86Jy%<jRg(IChLeOSk^Zm z;qF&`?`7qX4T|4$ppfg+5mDH!&dvTp_K*|fr{kLn8g^ce)NuG%BgSX&W^+Kpr?WaT z2{roMtSju!9b#PeS?pmES3rDsE3=AO>OuzZf6ecozVB!FUoE))$A|cUCCg1fRdDs6 z*UYlVKUVHPsuDR#ZRO5+vd2>`=ExpT&70{R<+W_dQ!7=qlaow5pV@UzJK`VYourrP zIdjUiNhfnXmVs;G>rPcRakbx$f2*+Bzux}az32Crev2{wcxm;{x7zblRfSgmk1D$9 zlC<)_P4Mntrw)f7oSDq|YK|wU5Usi{6tklhT!_w7$k_5FO27W*|Jr`9Sqn}wHD%ux zFuC3Q;Fu$eYQN%+QY*)o-5m3Fw=H<n@ZjBFmZ$RSJJxSC^g9~LA$LxF#U9avkA)ns zGIPw6^9}eL(j+V{bY)N1gDYoQw!T-j_$=W#_lT9m^E;<lzCII-iEz4*P~PPIThPR+ z>%pm$EWYQ|cU)7=C=))|Tg~BR=T-2Uwds=f+S6N$Ukgl$^(iPfZ~7$K^xIu1#HRDX z!%CK^`sx)m%8plebIkMOSY_{Cpk&Jb_)1LogDXl+w{{E6xjB8oIYE}^ekv=jtL-R| zbZnO9(7WphE=A7^m_#ae7p`0Dcp*peV6T$n$y$y)S-*f^EKSePscKYf9=t0rIA#Cb z2mcPUh^DjfPoLjksI`CYgO6$~q4ym>l;k(Pl2^7Uv~fJEFF5Cwz?FZB2WQ^qc=c!A zgFBa5zD|qge;gArcY$NygG+oYz2B5}d<tw*J1!XWTgh>=H%H!|h6PX7vTQ%bvUI*u zMcz$TiREvySjx>+H1^9L{A<gpCFk_vLqyXl-KOK>!Xba{4qlGtSoOc}!I8-<rtzEY zj#+Nia(w%h^PC9Bt3B-x?i^(K+NZdqfX%VFn?tX*f5F9u2dDBmXW94_oRv<PleSvm zPDKBLC)ZfE-}4Rl<<Ru}6-%nW@{Vdn$DgY?-`)2K_-NPE-Y*yum*w2^xgxb`Rb<n0 zZ;n;_yB{3+$6{*lS@24v=~le5MX{>m-1$Otqy?{3PkC^tn}s**R!6q?Yax>;pMs}z zS$wrvuG=|Yc%#xJ9j~fU$$D_@e1R$ZW<S_zFFd7o-h(`?_QF%^eGBRZ9goIx%DwXr z`1zQn-(O|LFVUvu(*;A`3(wgxdBL;!9DcUm0l&XWC(kj?7P@k?=fRzGEMLDV?g&uM zC}29cRA1O+*OUjR`Z;Ia@hf=S&vG`6#XN31=P}KhiaWMyI=-CCF|XR*@#bNUcXIv# z|74ne%Qkgg7q;2cyWo>Nhn&4{z}Ky_ljba6EgW)x#)Bh$ET*Sfmh-8u_#4?|oGyIj zr`o}tuQ^`b^(uI%*u>^97~`dP#G*G$dB-L}$H{Lw*6r<Ia8r@R+|D`RlTK6geFcrX z$`$XXv!u$a?D(zX_%qe)u*LUq)fGD!4<2T6eEMC;#%{)fSIar)&2tR+`<g|4o$898 z+)cs!0$1h<&55ik?g@T=mgTvaz?Ivx9vqTm;pJ1=@kO)AZMk5~Oy!Is(}P>*3!Cij ze{k$RhgX*1v7KMlnl63joOgTDf}G9=@BXno?N{4T&*gZOn?o+nGvH@BOTRly=zp&d zUtF7B<)10eO_FmwtIOf{)-T}qVV3khr4^S|EXwU1_iA(K-Eq0_Ag{^0UNPf~&B3YW zI>#)0uc_^rrmk@yCtQs0!Vdv%Ru8$8hZv*c6BaVO{2XC%;7)m@hQl{EF}@3XC$};^ zQP+`K@Lz|URb$-_)_0E>4uk5D%3?7-gL^YunL_MO9AaE%D#jJ?--esrV@srl!)I$y zb-~Qdn(@D_l{rN&aUsLp`i%t*ceuO@ELmTqMp!r`Dsr<*yg71+(MvvIA;aRs5f%<l zi$SF_A2<7o+S#p4XV#@ZWaw4oX7#W;bBHnOf679Jmru7Jc`B3eE*DfHb8@r4cz42y z@l*BYf`)$!bwn22NO;I_cypwN!_R0?i7d;_y5irgR%Rdhq=gJ$>o*iM94U%^Sj2Uq zMw^@6<7tG3Lv^(n--MgptxP3$hYm3o9p4zxa4%9vW<dcbH><>#2n&ao;W{D-Ulh36 zSNxgV%H%WcrquM}hFj4Q8V7Qi#rQ7VnAXaq@;&7t!&)tFRuB2phZvuoOIgTpvpdql z;d_)A*M$A8t;}CQ{yVB3VR4|~Wp05bs|KhEP{GK}x+3rJA;x9Z8v+`BIqJwL6!LJh zX3RTxh%rcfV?e_<Qym!v<Aj9_bALx#IJ_$q<GK*cIK8;xkd}@}g2DV&<|*5f7BVar z;$~kVbHIsF&3$u0!>yG%A_--T-0T@KN1YfanQty=xVBeEMB%RlH~S3loY@sGzrMOJ zEuyg7h@1Vzx#WcmKUH;P7VNj?X4QCi{19WCJE$3O5)^cH+^j3gKvk_8sH$ymWmb_( zUC3}&Ji@{uYw6pH+YE0@BP<-!Kn;{P#||+TiHdPuu%6V)EaC^MYCnTQu1Ji_VAsS} z=8$(u3mGPJa<i|nJ?_Mqrk&S++R`CeSBz^y45(eVdqY6Omwh@i3GX<>xF*<6Y-KLF z4Qkg(a<jj<f53^ct9(O2!$V~qkp+KvxY;GvZ(!D2&T!Q<!s0-QA~)*_@kk4YBzZBe z3pR6FnV0w_EM$0F9BFajwEeOFd;dy5{{KUuf-&`Gwa8~sW&5)x23)63Te5T85}!pA zPv3d|sp6R(KmTNJ?L?KeD&CjwY<gnksjE3TC2yvOcS=xHk)&sLidU3p*CosM`}W&! zD~@|!yY2JM@8?tX?rVL#boowF@AUhpy;O|;Z+&9ac|_=cSZV$*|1Vq?<@}C+k8|jq z;gr(b{`GYHhyCX@*7{wjaBkAREnsrD`@yY=EN7)z%+D!m+*I9B%Ix@ZH|IMWuYhls zP1UFKnhQ^@cl)5=9#E*=<j&2hCGYp)iAvL|bU~ZlJqv!7vZ%jPT4AYZ@m0t1ZR)n8 z7U^NCD|Ry;+^Ng?N?&0|frR5>VNSiR98&RK1y7@zmX!;{RCg@+Y0P3iO)F{6q}2j< zqWTw<G(I@@jwO{}bw@dq<4<D_xfrK_k5WzU&P}KI1#Bv3Em*bvu*LZ>wH5pL4tAd9 z%zEclkY(uj*6QHQ%bc&`d<x!WHT~Ky9J6Eof``E@)2H2#du$Td`M_)1f|tu!u9qoh z?CW@NY$J=Rzw(Y!MaP$`Io{oI3n=p`c<<TtNngk&qL6)^?mE{G8NyActOadW3WWUC zIJh~Ob5;G!2S=u|nASTKys~S$wO`%hGn3=pD}55r@62NPYOc0p1GD4dznprtEek$s zvV`w*x$uRnDVw?J*>|BkKV%(GKIhEao2K3qT*cdD{a#IDx9q{awVbD}bI8r{3HWH! z<UU<E<e%Na$<-XI{!M#ubIyWatILmBs2j1E-ghm?if+19Ej-7vYr#8p&U16U1NM6t zJalVnD;JLW#q0R^Imfy^S>ipHOH7-x->YQo6Ft~#<akn<Bd@k;!IzsX<@?+MzEw3< zmkUm*Z+UQVGmGeC)t=88p-rdWD^zS!b-ZfJIZxIt;O}D=^>ZpKeug#$*EZd<SG4$S z;CR<wa87hpcu#P>XcPBqVG|vJm|M*YJ}zVlw{yGjg{LVyUNNJN_h7HQ@RXVf53cnr z_%dmC;vDOI1&!T$2lt-kJoVn~LxQ2>(Z`%}bNvE#I)8YQ)wIf9siMr@@vFVioD1(H zmOp#V>9^K5;CC%cx))0+zmi3{jN{+S9C|S>7d~h;dE2XG*r@IJ#NM<_Unr*fu3U_D zeD{N6)tp}Md<tGWG+jC_a3@n}%HD<t_olKu%~!1`kas+)&LOwgA>ij$Zi91^O*vOR z<DB=_A>c1Vld!w+l|MWOSAOQqs_%YqMwg|u-mf6fE8u@+6Swx8&O)hmjs-7mS$elC z@7N^ocv<S;R)1j=`~C;V%sIX8`4qfPXIUz*zT>lb)27q<M=ZV@u{<?bs@S0Gc(j&7 zPS!QxXE2NZIn@=vc$?DAg+k;7=T!78coxs;ryG9EV(WdMf;8u*UD3jGZg(&EC&(f$ z#lm}DZO50=reoKIV}5EnUUuhL_qTOHWs!bQ@nc^W)nb<Ib`AkmiU;TN3r(@@d~k0j z%Ts;jiVNy1D%1}yZs*kcKl8!KOV!D9R^_WyY_oIxs>|W0qp%`U)uL3%@vXkVoSjn^ zy!*~^?yh6Nf5#^7^8zL@Me;qxn!Xn*Vw<$zt7q(zKDgDHW0t&s!Q;;?tR8WP4lzdE zPhH6HQjwee#h+QNOeyoz9x~Xz?VcaN_(^+nLBqjYIwA{pNOH4FOpVZR_?aNaXJFX} zs$!2HVoU?o3%|-EEF2z{iE&-{BMR#H9Cc#6)%mx;lKsS*BTkIF^3xwO?DUP)aHvlg z;|qv5;>6f?9MoM*kJLEugGr1p;N`}EhL>w~WEOn$7UMFgZ)#;W`FGNZagz4C^S9YD z_V%_iEiq4g$S_wnQo~`}p+k&S?wbP|{;brINhq-6X4R+z^~CZL7cxAJ-%!wSa!M;x z2&mVZrY+NOTGQe0S{)gMjfUK;8F}XqF$VqK9MJHsJ<`JAnTQzIh1|^r4R=IAJy~OJ zc8xoyofzBtHy1Qqx*w@=pyDT&-gAbj+cyL>ymZr%SrC@8kl}M}goVRnXB`oRN+WLe zjM|B<OiT3BA2Q6H9iidyO-_vOLUm6o)05{qG6@^*G<Hs(7yeHzwBgY!9hrnJirlOk z`G*fNs#R|cXm~YIM<(GKXcVA0!op$EX(z^6<{JtcuH4lTS@2hboBf2%aVN%Ir}Yj! zl~LF(#m)NSIjDJ}EyiUKbK(%ASNrCGhL4^)G7E~txLIe!9XrIBb$w$%!_T=oG7Ah7 z7c!hokF;>ep4nVr$?CEG)FDPI=?wu5Z>H(UEZE-A%JhUk`5{BIaHNLA#-oQA-KK8} zX!x{MM<$_&9n@bua)>bvG@|e;i2ZR9--L+PRwk3{$qyM;GI6tJygzq{(Wre>K*Kvu zP*0nUoApKfp+k&Z*Ea+-Je<(VJVh>HA;aV4kroa|y9+H@XRJGOh%xK+hJc2jX*x0s zHfnRTdg!G+WLRs(&FV4#+#yCQ@y!7ZZ?5XdEGW0;W<7EL{2@j*|BV3+kA&JE6!95E zb+$51*{mazut}4fb;Y|whZxhkBQzZTMu_oEu;^`NGSN?Y$gpyCgoeX!GcmpilA8k> z-c8n#dGK`e-}|TcGl&Ly{sPst*%F_bWsiN_nZHqH`V!AgD!!8}{ezTKg>*YDmn_|I z{Gnn0<vGV6CwfJBKAWJnTs3o2l#p|lWlB(#ms;YKo+Yk9F;`1#fB%iox&HNMef*n! zb^6n*Gyfks?O!~5Yy3|=?TIJ;9-nktP00Ii^ws#M4dHSB#A70+FL*eOWqO%XMvUT) zA{NKXmpRwPI$ZdZ)g--LV9K8U2iG36ER9#$F?m(eoK3F<?%Zx#@TZT3|C{299UTuY z3N@X2E@-o}Yr(6A2RHY!T$NY0_{ijVcB)vyoZxKXEBAUHoZ(|B{jO#auDoLZj0cBy zvhc1~*-@hG__&&5osH9l62F4nR%S!L&s#b2a-0H+s+*##g{H)L6g*F9+VouD&TFA5 z`x_q|yvrgguU7Fz#_{P>zC#xN*Hl*Q5<Iy1Eyt?qoPIJs0iRWxg42bs?3?r8%vYAu z_evJu1swmTbLy$^AG6@SuCQZ^qT}OS&UJ6xE|f4H+$zj5Ydc3?j90)W|AN<iO_%tE z?o_rdcw^L_FsFL8@Ra)v4;&j8JX*)n&!@1WM&{t;%^a)hdLP^@Ww~1KRq%+hX_j$Q zu($pZi>>kg1!=WSyWT5WZ0C0T`<Fvc#`(erpC)hFreoKIVt#TuUjEIw?(fV6KZDwn z=18ws*4Qa`aBaEp6g|N^KSdmGF6Mk!+qU43D+~WR#T6CO2NxSUKD8ILsq9?vDmy#I zI(qtoU%NT{-uMK3p3JhmPi4hj6^mj;$GiE0b9PT%@UNCde4awa52b@kugkO*O09P; zQ1!o1;@*@!Ujf{L$YfDHufF4}Z__1n;X6NB9dBwL+$+v;YW*IL$2JkO7d(2#(qE>q z;!k0dx@ps@<w7>r(--_Q=kUAZ67cys%W^r@6@SZ{jJ4(Z3SXshoXhnJsIP9~t`;<j z@hEsH(sb;(K+I1o$IH?j>v}n6+4~ec&Sz1bZqM}iPL;6Z%U;fRd*&~ABggWcPfg># zLPdd@<56u6xmbTt&&yv<X~nPbru37_hJIhoh31?Pyiy~7aOGXjEP0QDXD&^<;uS2) zB_03T9c;Dcl(O?Ec&X3QJKd7&aZDw%<7Hlsb-B(LYQmeOLz^ZY7ryh$#_^>$$Ge*8 z3*NkC`7WoR@!#ZN^V0rf7NVC~`md?4_!HNpzFQ#V?)(Qg4Oy<*yB9o4YMOOl(ZW<^ z#b4<rV}HRbp|X$XME5TESH&W}O)VorX~&n$rep5HF+X)2FL!gStDU{zXD*9*oo~P= z&!*_kWCOpKp`7pb^e%W4#`3*PO=G|Q!DeMnt$B_gUN|+KvTah|E)?=t^x$T7j#d9B zKRBXwyeRkcT9)P8R95^|Y%&&Y+SM*R$9nF9e?M8o*QsSx7$003FJv-LDCQ@-<K?OS zhb^w(bGlGd+$1fpqOp_z;M&6+UiykVE~{x&iyquNo8#1d?+*_Go4U>m+eCe==n4LL zmc`#pbw!j)#Wr!rug;u)I%+F^rZxqK3xS7OsySZ$YkY8L&VqlR)Dz}#XA7F#nepHf zJBQSJ$AYH<P0M(jvg4I9>R1o<$_q@{GyB1{_ncf4>iSxlOX6yko@qOj`HJyfIK45T z;ZGT;rT}$z<c=I-bOQ}UeiDzgIPk<sj4R-;9XI=oyC<C(UkQuxO{kdG%49O#mUDV> z!xbq|E#U|1Cr)c+DzOLkcDN%n9R62`@dadT3}|==>O+0872`74H@B5Jr0%#A<1)}l z<gL|GJLWK*xeOXA290p)Y$#~B<{qix@QXo=?}FvDR;HBmNe>zJc1LPB{Ie3{GmzL6 z(C{cf(&E4iO);*3Zznsa&;S2-rclBrDbPsv+*al@+tL;?eC-GIW9xK87DT2$WLRk& zsp0T@wT_HJu`W03i+?j(nV-B%U&!!RJ<`G<ab<9UC9A}`Q->J6BE`52?sc^?huEEQ zVqErnQ$fS6Kpl~UIyP?hjGER~CYAMx4;j|VZwzSoGNYBb<lhM=#!dPg3mWcebywbI z_*oihaUj84j4R+yXDf4$mX64R8WwK$8FS7#F|HEdP|$Gms*cElI&N-uk2}Ym7-xa% z^();vA`9kPiB2zWIAf(FvS2qWH~WdVC!836{oYW}a7YPMy&gKm==FSKK*PsS9hn72 z2@4r6S4Ubnyfp;1Oy;#Rn}kI*oz`?H$`#|gaI2@4X-Rp)Lx#C~K`oPGhZw8CAr~XY zXRu>ZE0aim%0q_5)u2X`pctRQ%*_D}ueg>!EaEeW?rvqe0&3HAzKzsyDE1fQn-F!v ziSd>?Xt>x?M`Xe6)Q1c^r9p9;C&m|0F{71<XMXZShNVyajz1Mi*rUeHz9Q#{6XUYm z8w(nuX0|e&;ZJ(V&^tLo!{Jko7~h3mb6S~}tOqqrc7qxwilBzcv{t4klYL{(F|uWE z2xxfJ25OV&a<gi@KX!;w4U~MovWW2+WN!>;__b6=M&V-mLWZ-}5f%=w9L2aU)PaUf lrdcvi4{mt(N=HUvJ0myi3-Jhx1KReF{@eXyJ)Ai6Isgekf|URO literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj new file mode 100644 index 0000000..0107b4e --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj @@ -0,0 +1,9 @@ +# compile vhdl design source files +vhdl xil_defaultlib \ +"../../../../../src/hdl/controlUnit.vhd" \ +"../../../../../src/hdl/operativeUnit.vhd" \ +"../../../../../src/hdl/firUnit.vhd" \ +"../../../../../src/hdl/tb_firUnit.vhd" \ + +# Do not sort compile order +nosort diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb new file mode 100644 index 0000000000000000000000000000000000000000..f17fb2dfa33c0317a5b8bf49cd8cf2f51e64bf31 GIT binary patch literal 1988 zcmd<`=3-MVR!}YG5@3;HHZe5N;w;Y0EzL<R$uBYz;t~kUEK5wuR|tlSadNSj85tOv z=ov<_n;7dFTbi3^Fch#<uuNcN5Mbh(#mL1BHVoOETCQs6{DR7&%=C;B1w%^<GhHJC zBO`@~%$&@;3LOQ{yktEE$DAC6AdrG$g`m{p)S|M~6g@74E|3P0E)xaEl(NLU<kS=e z-^}Eqd<B=(vdrYvVmziGJ8wP{7f(=WUS4Kix)sdR#>U3Tj(x?*^_)?^w75t=BR@A) zKQ*toB*{?U*VR=Y;$HnSaF9U4Kpzr5`cPg{W}bd&UU^YsK|yMYeokJ6nTcM$eno0d zVv>TcZf0I`k%F#nN@`MRx<W~1L1uDdj)JakQEE<Ng@UebZi#}0g07E3MP^QXN@`kS zX--K_W)euGI5oMnC^fSH#I7jFRnXNf&PyyP&d4uOC`pP>%Pb1b%PfgcO3g?tL({E? zkXO*n$xl}RIVHa+u_RRw#DhekiHRk07&&o?hZbk%r7NfwD-`D>mZd6`WE7<)ra+R2 zvAH>NXfbm!T0l*)G)6T=Cb%TAs03tCaY<rHW-{FF%=|oXYBM%5LNW?!im3&%Db8H7 z&iT0oIjJS7DGE4@F|t5Tv=C#AEzOXP@#RuSI0llGGxPHlQW8rN71DC@%M}vy5_2kx zGofBIF~s67lw|J5rGexwf+iZ7TVj}KY-x(@#2_x!kj&gvP~y+eDJ=mxFD<`F0h$>> z+)Q{jH8wFpGR}yVi`lTC7~*jgGXrE(y}5Wn?gV8tP<%py-2&M$Mq*qd1&PVoiRq~d z#U&|v#U+V(DTzfX5aUb@P#oz=*f<d`A!Or9QY%U_^RXHhMA$G{E@_xynW?F%dc`Fv z@j3bFnaS~nhGr&MP4y>isuY(5im7>}xv52&$??S{*nK^Tk+7MuT+xX|$r+g?smUd! zMX3s4PJDhrYEfcIW?5=!US^3xewspRUP)$2C3+@J&d)0;%FhAiVuffZ2c!d548&sf z=~N<|mB1ATb_uexh;<d*@ep^xxrANTLWIlwxqLBPmI*4$K*r+^DrjM+5S^A;1X77V zh$;w2oHLgr7I#33O^^k+oq|w<HG0CiWSvSgb4rl2Fud3XSCLZ8M&=gC8Ci%+0AeU8 f#3AK5#Astn6XaaY$HnEEm!c4qnw(#hBESd$K*m^n literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt new file mode 100644 index 0000000..8a25a91 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" -log "elaborate.log" diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..ef7b2613b20cb48a00253fdcb88268adfb591b07 GIT binary patch literal 19816 zcmb<-^>JfjWMqH=Mg}_u1P><4!0^Hg!FB*M9T>P67#SSH9m5<$9YcaWIxin{iePE3 z3Sca?^Xaa3=&ttYF81jT4r%`Nzw-yb%dzHPjE<e(T=-qSTYh)p_xs?|`SAtFBp2;s z4;TLR#UZ|o|6cYpFfjP^7DssWI(v9@b9i(+aCmfjCwO!^XLLI!bUMd$d*|@4Kghq{ zJH_%ueTrx2f6vC_6TBE0CO`oHHs=VRUX=qr-Ps5ibbH5uZR!STPw70Q9qhrsJ~+gY ze_MzsgD>MBC;n|BN*%!wmM2Ptx`T5VFLXM`@UK6_zy6fviMq9pU2M^gF^;j0agOna zk2yIp@NaW+@aSbZz`xDQ(Sz}4R}14qF9wDVC#S;`CSaF0^2RUUj3n;}HovRI;tE*M z@i4?=P%;!A-~k?;mpwcGbUP)u8h&d%uoo1R{GKn4J7s|4uhS`~GppdZQwf;!9_;;2 z7ZnzdP6*qx^XNX1lt<@rkIq+MX_xNm1lQL8uKb?geLBB`g*-Z)D?B=n`*gnZ>HGv1 z@$9_o+5EQQcyj{-1300$bnb3pU|?|R+}y#yz;L{|2P*E-4OZgO**t}Tfx)A@86@q| z**u4VfuS2L*4aD(#O_|ez`y{G((V}y3=Ebh>Xcv3Vt_?x_hgVX;{}LfSftd~cywn6 zbXR9|7w2>bmvnc7Oai+P!t6Yu?VQ2C-noQ-n+q$0EC04VEO)&@uE?(F-VJhj_hyiz zyC;KO3(*I5j5gTK{OiHKaOtRFW#|TrcSD`V-<tFP|9_wE<O<JjR|d~+Uk;B>X9tf? zZx4^;*$@xj2OH<nc@!LO&pbMBfd#s2R9L_a7kJRV@Mu250Z&=6ka$Lo-|nmgSHrih zZyotP-a;eJvGW)r4v!mtLyExe?1a|0j(b1}!G+)R>Ho7nozKB`dUQG$fSvQtr}Gh5 zq%*tXxN{9yW#?j0fE;fI1<3#Z|NkEY#}q@ib3(7j|JDO8{GKm5yFuY}92^u7J*z>H zdb}AFVIVyo-Jo>R42mj5ysrSoE?5j2>)mVMF}(yF)BOEE!P(swWGEzogX48K$hz*$ zph)eW46>uU8<cFoE{8BXPiTYVh<`oU4d7^I`Depgp3lGSfM+sGyAR`!{|`L6vkSVb zE4qtox+jCY2QdJg1ht(j_}7E|e9VQFf$6n7#QF(DYhivZ0@4BrEk+O%jJv_6cXvZP zSR&Is8RThD`UUv_mVWvBL_q1NH@l)?Hz?UK@VCrkU|>Kbs^%@AOw-v7ip*{>r?Ytj zC_K9lz{79{0|UcrWw5OXqI)t(0mwd(a+rPf&glN??gq(20|dlG1PK2&?~D!~P|^p9 zcXxyAu{=?_)Tg_;0O3M-vInIek51HN{|}bzVX55(o)WR9dW8FrIXN<d%Ga(Ii)T2? zzhIBXH=twzE&>1f^j0|-zBT-|3sj!@bp8i>%7(Et*rPK>CBUQGIiTA+qKk#2qeTUj zqC9#-R03cHoDFlS7DyR~;WwDhE`|;l6%UXq4!9~N2mUEXUo#oL?R??Ucm!m1G^jR+ zJv;%CUp*S%R4_6yK-|=wmC)<@zq1LH>JT}&2^81In?R`>l;S&Af!uSv36z&Wxu~-V zWb*MQP)dQwgEG|dCQw=e%R`E8)MESyN)h1F4K9rxd)*mbTmSC_nZoaR!m;`PKNo)g z7o9vR9?36Z#fL{{GboFK3x;Q~O2DHRoG@HEcY`ATI5_EnOmXR44N3>cn?WfO<d`mS zQQ6T9N^ZxSPk_{b(kD1ebvB;?*9BLgbwTrwe;%DaDjfWMPnj4PdOa9ByDxwh9D!<U zJ;2{_j|o)sr>F?{^ya8Uz)}P#aw0&40RJ|yT^%l<IL!{|24|e^&7i>So(u}Y?rxBA z;MnhO1~Ik4B^m#EaBTxFB05}D0)0Ba!%D%978Ov4dvs@KbngbSx;KNuzI!q##IYC$ zQo_H!86*oau0>@gr~m_*2&qFIJCA#GuLcEcH#h*hH*W!j%4ATeVKERC#{BESVG6Eo zKputo)}wQdiUA`71I*VgDg~g#1*-MP@_36%AtM8WPv>`!-YH;%!QliB%+5I~AP&MN z4Tw!-hlwV_CXm4(49Zj3LcVj33Mh?3N_|l7=*AJD;J5{q`XEIpQQD&NfB{^!V{tFo zYFJ{x5_=CJu?GqhkTbx_J1`;@lE@*(ba#W2w&jTuqwdMz<b9zNoYr6^Eq|Za-~az# ziyUtTrEpO4J`R=#v3$BWgHnb^w~q>kM>nHKw<mJ*0hGPa%h7MJaunWt=(Yhz7J~=4 zBmxyUs4WOYc?4=7LW?1%9K(~XZ}~l5b~=HYgdn$cW>p+_ssUwWXuATfRN2P_3RL9A zVR4RYZyuv->o>>!AOl?aJ^wm3|N94WHiHYl-wV&=_edoRq*gfY(}`5FOa{drN<$H3 zkW1%ka4`tZr631&d*^6-XVjE*R~K{_mpFF*anwBH*?FxyxW<P$Il$HMfa6Y(GH_%; zN&%N{=ZtReoX)?RXOYv72lHx>Gmw=#=V&`;^ae1t9ss+W-{XQu=X;;dXJEs8IzRbz zgIhx$-Ao?cUdXWnaw2-{U~Ak#Y9CPSU}@Y<n1EDY1h{q{ckDdp(fQe<^RHv)S>N6z zwjAuBJo?<F^AOlUtq1s9=7Qp(cdvm40|Qvhqj&EP0|eW{2El$|i(o4_A=ocqY@bdY z6`$U<8Ehb1-g|W3bLsr#()kl&Zs%c-ZWa}f-aQ%$U{^fz>HGzj@##cU>eKn$qw^k2 z+N1F($UqMyRj3Wym!MdNmFJFO!2uqfpFQ_kGcYi4F)%QIDqd)3100J54K^IirDC4{ zk0E4^H7{TWHFlgld+V4Czkyxl(Oa!y_|5Q=;Yl#J<v@v}XY(;;geH&f<_k;=4Bg!~ zm>3v9)j!w}kKWxAm>3v58V`3cgDUHL5VyvG9SLPaTH+8F!`<tK#l6ilz!ofE0+rJ& z93IU-Soqu5fg_9;<Y|v&mPQZ86aOE0_SP}O+}#as5_flliUN>d`CF%hntsj4SP*VM z*6hK;z`*ztRJnTg*6||r-T+l6-JlW=q_?!pv-ubwx<2NYpp53(d`#qZp=WQMI6^n5 zkb~RIRO;{9d`#lCyl3+<S+E&U|AWKAv-ud;YiZBsV{Bk4a3DjR#qe6kv-y|+x-ipg zQP1XMQs}}g9=*FyKtkMr1tY{K1cTE5{!Dn_g6;9>Mhe*m8xF=&&ST9VSQr?XU$a9P zOdh?vIY8A^<KY_+Q=`GIhMJc}gn2Vqq2_@YAoCm`<~6W_U5R2|Hh%M%K<;y3gPI3o zfXo9G&K`}2HP|rC%fW9R*uO7e=7AU>^EN=-cL9rex%kZkyKe$J)O{cZ$h;R2^D5Xe z-Is^oJZ6x8EjXa&ffyk36d<vzz=3IAJ`v_UfSCtkfXs`4n0ErjJXn70{O7ShiGhKE z8(f5dQ;lcuw)db;e(&xTEDQ|0K%*02agW~J1rVbqz{EW|QSv<`tAk1S@CvAq>iqAy zuN-a(tT*6+R8D!IlvAFK$KU%fFnILt_F#j$z^C&c%n>Ufjxb<jU@*M26XZNs!vh|j z_g*S6GBDsP+4x&{AS#f`5Kx1=8{CQpl>_{(uRvu;ZygJ^yzbMx8sr|2ZV?WTZWj&? zkIu<perIz4s3z#$4RV=J?_#LS1Q-|?Tn!KSbpD4`jGoQMSP}UeT>bCkXJB9e_qYsC zI_}_OU|?|E3F?1=J?qlFc?YO9&%Aj969a?ce}rPN8pj<V%}DBZeE_$^-urYy%Jy9# zC+q|X!rcLH<$$v}oOc+O9`?23jVD&m|Hodt;16VQ4;-bS2E|c#H>jKe1#+pCCrTlG zy!iz?sFVjs_ytgH(s=j+I|IWm7Eld<n!-GL>sS#{W5Ecjmq6tW*jx?NQr4rp`35sc z!2=M<!twt=C)lRfGoa-&a{UA;KPSOG4-S766Pj%}_(1t}0xW-l7@+(m07;J(82QVy z^P9*1si;PJcDI2X<=EW^c9jeVa;$dV1Y3LXF^gk&8z|;IndgCG-lLmG!lT<og2S`3 z&w`VI!Lzf?0Yvrgd%(oN;MsUgfs=s&9N9jd-=LlY$1gZ5f(cOkg55KXT=z79tYV&5 z0rD-9dtQJX(%JR_MD_0DU<SMA0zvnLI);Hp9|S;UXXj^6P%#AR0wNVd-OV3BRxvlf z08yPTDhVFPT~snaN#0`zC}D#duO6K)Dh1u$5};JW+${j2I(<|sJdU@hfSRme#hooG zpn4oM5+UH(yKRRmsMQ8(DtUGu>&{V$@aQd33Gg`1q9PAUaXS<k7#LuNb9i(<2WL-k z%y@RQd3O78cyu<;-~yG--3z!tqfKrCpfLalQ^KROc>_qYceeq^IgN)KxEL6Afz0&i zyazS~+EegoJOc85v|}86{38SuCg2V#xHapsAJmOT3KO5+y&&gzH#>lgW^T3sQJpR- z8K9skz;t~DG#pew{^|`;k?;Vu(jg_OOLvJ%f=6eI3MgNCbi1fTfI3tO9-TcZ65v>A zQ2{xxyLkf066R(|JFi6rRLX+F7u35|29=h3K&FGj%cpY*xJwM`27<Z;NS1kY9(C#7 zqXH@jJbJgN`~bP<xQhx0$N-<t6cquF-WnASL_m9VyE1ryhlQIXxWOTv0HV5GK_Q(1 zVS+-sfSZA#+f|{nxdJ5CyE_8p*2cpQ+zbr6K+b{%Ib!?_+OcRn0t#kO`4{IHAA5KL zsJ;S~0icPHeT(6F1Y2F@iBeZNcDM8UF)(yCJMe&#LOZ)3$hplH5Z-@ZFwX$Od+!TU z(*NC;f#D^noW);`g5m|W!3%P7cQ>d#1TOE2QOi-s?sj8jmuRE7L>a<^x<uFy>=J3P zV7CSj*p&((s&{t-Bn&xt5Mc-&r305Js0Hu}Z~+WzP=Os3iBSM2fQsJk43LT7TC)UP zYl1E6+zht1JA^~PqjNIIT^`*b91<R#lR;tE?ZTk|3DcLE|Ns97I{>-7hV;+D`302G zJv+aH%8=a~;V}p6WO{bHXE=8IL%Ku39{&%6#`z$lte)L%=HPJXvj<U~{U1Ql)Y<+5 zMD^}p0WQmqUjT&zsJ!v%{O8el1Y{d5*?>dDqqiE?m-6hbN9{}Tw}8fQJbJ5PEe_A_ z_6Lj%436FXpjIO&(D+-~K)J2A8rJ0S>~05THOKCLP{wPh;SgcwZ~X+yFwKWq5su#v z$~yZ%_2w>6-rEVv{Epyq5viY!YL-W@3kM6R#7ywm0a6a~6o{MQxd+4q83W3T1;<@f zKxvEtTZ!q>c^IX{1eLHLO{nFhM>n%aw>O6;G%TSZi#15mN<`$~J?znZn4K~ocZYDW zfZA$2o}JUd(c0M#isYBF|Nj36*NZR$l=nUMgTfQ3xb^66-T+R(JKzZz((IeT3(hbL zKveH;4^Rcwc({QVS_yk}-h=jfV5U!)0IKeq-*|vq!~Z<?TQD#%fJTPkZ5d~SZf^@v z@7hJh!K3pLtla5n{s9^U>@C*tXgmx`Ifj>@O}`Fda5V-i;C6xdJ3$0!Y!cjP@`!eX zbjLxifR%3^`z=weKGqECb~E|(7AN@h?(P7!Ga*)hn~dLK?QV!NX1MhhUSR7{rJ3N; zEAGLh!8sS4Q9#asW3=$FL3NZzH+Uo*(lEysDjv+BLGI0<e!WNIVNgX2w|YSvBY1ok zTG{Rb@ppm<>;VIH5h#2dLm*=+pvH(js)Ibc+uT8AM0cM*q{#dMN)esSAHePak9qs_ zE(W#i3@_~jWhQXwAsYVOZQ&5@@fg~n8XR|I$gwdXcNV(a(jj{CaqC&3&55R`9HOTl zw;l~C2Q)qH5Iz04^<=0!qv@Fr(K8>no)so;XnK}I^sL9N=Y^vunx5?tJ^OL%(eU>{ z({mi6=R9sb88QB7dagtC+{dkFMP?A1p63uf?{VvSQ5Fi-18J7WfTp{^xdBvilYm8_ zY8k777#J%A7^QjGIVLbNFn|gY8K@knqsQRHC*Z^<;l!ul#HZoNXVA>n!`jQz$K21v zbdOI1EGz+50_v?WFfc?w_1*?`IvtVpI`J7e@>w|YIW)8Pu=TR`vGg;yF)<%y1c@0q zAsOY!#{sIG7#J8p^En{nK7vX%!e-rO!eJK3Phh_=Fff4Du`s~Ra^%x+=R3gI40R4u zHOP+&VE+mr+@S;V3j+f~FQ@|v*9&$t$WQKk8<<f%Rmg(fAs!424B(28fq`KL$V^PL z(8H$*SNOOvFfbeenZdxo0BZdr`~-IwnpsTuu(`^Bfq{Vs6ut}$3`;?Q?ZhYG$S2{* zr_jvY$HX)d#1wGi<8b9uaDlN+7#J8FpnBp#Za~%Z7N*XXPk|{8#$jM!kO27~s?Q%} zmm{A*GZT|1D8fN<Q}D=vx~(8B*z}#jqYu>C!=;Y}G<eOxz<|w61srmqPJj(mE)--i zs^2|f-t}Q%U`T<g1GQ&y=Og9`j7X^;9MlGms3Hsu44^RI0yXa|roFRa_JYFP3&w$l z`5UM{bbo^U!U0Ot3=9lym}XYNi~*?wjS+*C;8O=mOA%1>_(ACclD-}J6x{hXFg3IE zF}E=>{pV9~1eGVy`~_OG)&td3kI(}ySU}~CJKq9kP?-ZQFVY!7#R#I@0cTQB*j#{` zu@qzmxIhA@VQ@*sEX4@65gfJ(OcgLr3<CoL2P?=|3=GboGz4)klHD5^5q2}>VOZ_T zw}Fv42-8W9eBdYtrRfZ)`QWqyN$>uk^bQKs7N{I}y$>WEx$q@`^Ia}1>@^q|7}h}5 zHG`ar<~OENm~R*uKxW>6ss(iiL21sBPXcCU8O%&jdC0&9GK_(t5ae%&nNFaX0fl)p zYY!ycVwsT2Lnn~4A)2s|K8y?uEDRt2W07KD1~*MHWtbVDk%lA{#LU1T#K6SxKo%kh z4jTpr25G2xf*eE~92N`=3{RLK>OVlm!Tx4oU|0(kZ;*$m2m6zOfq|C=qTT>BGzu&8 z7#J9?LCt>v6$h7V3=9mbpyCdoMi{KDU|?XVgNjc;6L*J-KR^=)4JCp6WgrM~4>&I} zFfiPNxf4x%5mfvFn)nr{`xS&x&0&Iy7eK|q>5YMb;WX4e7og(cgvr3bFdr)30Bs0> z%M=C%hGLkwD609OG7seb3s7-zIl#cczzS8LAO=wn6F&!a{|BfzxIAEBV3-aS2Ui9R z3=H5r&%nTN66*d2Nr*Y%e9XYWkPa0$kcNnZ^C<%Z!*{5?4bXG~^Y4AA`U#+J7p$IT zU|?v3*$W*S2G`{b3=Es0>LI2xFu>XgflzT6ADX-v9zyK}4P}A!z{Iyg#X)OBki|Qp z;sKy?iGhJZ7$nKSzz_=+2Mxi1guv}31_lN-sCWRh%m%l87#JAdL){OW833t=nFAWt z1;tANG(UseHw+96PK*o;&?E>_4#yz>U=v@ALwyJiaTg}+=7T1iu$d2XFE;VDILz;5 zz;6C?9O5%^m;(xSZ1%pvq5c96anOQDZ01PeP~V6{d=U=!m*5aTghQMgNB9@9U=KG5 z9O9t50Gq$8ak!rchkHOXo!HD-3e86b;E8u=Ta|%<0n{G`B}z~YV9LP2@CP(n^#A|= zl^{U|P+h{nfGiFg83ajz)T<+@-vWwYsCpwL@#9G1j!5FSki-p<#6jr+W<ID*0&`Cf zsD6Z+kL;c!IK+ja`4;3(O(gSGki?PA*F_QswVz=2n&J@8MG{92hYBQd<Zu8D{lWZ& z91aVS)FX$(W+ZWBce;T*1RcWwDS^2&7b*^-ki%ykNB~Q?J;WitAKGpKNh6!X1ufS= z;>h7CjU<ljPGux<<nYwSAwC639F!Me{@RTsu8I_o=a9s$ki;J%i6g7eht@YB_anzw zHIg`Te03v<BgfZvByr^UI)fyR91fE}ooFoKzY|GZ5!wAn;>h98%?V09ko<_O-Uvw? zS$#JS@m)ybpe7kCoWCN8Bb%cFT7v|2KeBpbByrFj7|fhxBynVO=Hn1Qha`?{{sSa& zWb=cd?FmpkBB#S-Byr^M&p{GLPKPBp#E&A0Bd3##NaD!p<Oz~Eayk(N70}RdLry1Z zNaD!utVR+yLrM>;aEPBk5=S-%Gztz%Dd^$D2rc(P;>hZ`ki?P0M+k>_B$7C&?tsO6 zE|R!DlKU%hh_~VppNK<zE)MaPIK+=4iJK$2=RT4+ayWcI64ydf{|`wVS-lFhe*y|m zWb@sT#Ep^6NyH&uibK2wNgT8k5EjnUki?PAKZzs`+Aac9{~bvjS-m7^f(NM{fp$L_ zbfMxPe<7<6!XciCL%a)z_;MWLS8<5H#32rARHM679NPFn7q><dM-HDrBynW_b|Z-+ zt6zvDj;#JRk~p&Zk4WOk>b0T$Nsv2{)jJ}IBdf1Q5=T})5lI|b{b?j|Wc3e`#F5oY zL;I!Z?lD9XM^>MWB#vx;JCZoE`uRxW$oc3XlDG|0etC~1j%*Gmr~?BnSCPe4ki?PA z_eT;(Rv(8Xj-0;=k;IYJPsSns5J}t)+5Jf3$mR$_Ga4wIk;{2U9O8jU;>i9gLJ~)| zcRG?dvb|eyh#y80M-GQ;NaD!me8(ZK3>}XE`3pH7^^nAo&GE+}J`sobX(Vyv^!5Zv z9NByh=y(UnJ;?2lAS7{Qca|WDBbze=NgUanM@Ztx=J1JwYF|h@4cVL`BynA&bg~FZ z95j9kE4N=Di6fi;9!VTDCJ9ro1RCVTk}vX*#PyKuy^SQUjU>(u9rpo+8?t+%k;IYR zlZ+&e?4E2K;<J&&k;7*z4)H%Y#JQyq;f$Pa<&eaY&9}!Pz641e*<b6C#F5RpfI~bK zIxYqZH)Qn%IK;Ohi6h&45J?=_oC`?e$o_qdB#x|}4LW&<?jBtn;z3B_$mP-!Byk<2 zbaDzw966o*LJ~*z7bA3h5ab@@bRv%=j_iIHBynW-Ohgh#R(}ym9NFGqNaD!qg`oq6 zAonOC`O5}L9JySLKoSS7w1DNiQY3L?^XDLmBb&1uhxmOQ;#{Ck5te+di6oBf{!k=w zWP1yd#F5=U8%Z45{kM?Bk<H-)b;h9XK^AwyAzp?<d=(DydpN{}K%HJJ_PXH^ufid| z4u|+#9O95t2C}FJG}JT~lwhFl0Lg*GKo~S10OCWt@8FphkT`5!3))?Si}OIoS)k2B zxcFMA`Os<>F8%~64lTCf;%d<LF*Mu3#qUDJp}`3k&w`4B=BPmK1-TJ~mqEorc@tTD z15_N{9FQ6i2F=}pXpk8o3>!xW?cPBahmE6u0SQ9;9bom)@fz58JxC0M8KC1SHPCTF zkQfNV<`Yh!iNnS<L5sFQR)ExkFl>GaG)|5z4x3-90VzUq4{Ux3v~vkrJ#2ny3z~Y^ z{1Rv@6|#ER{L&jV^$pPWH)ugMvU=G35^Q`Dqz!~&^Gl$G!N}@i^Gl#5n8@O=`6bZG zHe_+w{1Rwk6N)&r{lo)JUm!a{7&gCTf+h}|Uy4B!hs`g6wvZs351U_t<qweAAPk#d zg5?8{7zo4WmvW%_10)8*u=%A9G;!Gc<OVcx*!<)jG;!GcBnvd%fy@SB*!(0zW_D(L zaY1QuMioPDYHo5tC0HagH8nLpuQWHcC^I>}xFjXMI5RyjH6=c`G^Zr9AP1tTEF&c+ zzBoA}HKjBsHNK=MF|Rl=xg;|`53D*dC%!l*znnp@C^aWhuOzji1Y|*RY6;i^u-?qP z)S{Bi#GLq|)U4EGhy>icf};H7)Z$`<>eRB-yb_qv1&Kw8xv3?oMF{zv#Nv|pvc#Oy zRP2h13-SxVs#9PLup^Q)Qj@deixTtFQ{(f~;xqG7QY+%2DzPaj&dE$p1t|apS1!cF z#GK6ZJcg3|f}EVPT!<Z&d5O81$ta-$GL1nmFTW&J&)qLnx40xRIUB4PBoPnsUUFhi zP7+KU94}xMDWDhvvv9>sQEG8!RVr8s*to=^qQuJh<ow)%#3E3DK;?={a!ca#^Kvrt zQlT-Enh~D{3QL66qRisVy!80glyr!BxU9^~D~V4`Ndarbr3NIgS6ot5l9<GxS6rD3 zrokzqC_g2!B#{BEu^`?g(I71?HQ6%0xHKu=k|DJsHMz7THQoflG)6FuEMeL#6U|f8 z43m?<+AI*-5KLnP)5rp=HgkkF1k)J7G&0Ak%?zOp!8ArNjm)rWGeu}aFpW*Isx?8V zMKFy`u&Omis6{Z1jj^gVf~z$}Fij9lV<T{!g3}Q^KVr$>X`mceoLT}(um<s<^k<0G zK0}0khGdw`fNZq^RvQcuHXxWLaJ49@%Mdi~3o5fI!6{4(450ZabTdA<LPWkn>k9~v z0kmNR#st&p(4#P*#WGk7M1aOTK=emw`wYYeVOYBf#0Fu|UPm-5K*9_UK%HI&1_lM_ zcnoNo161C_+G()%R3#I{O&~e2MkrwdYFslgFo60#P$4jdZdVBtq|XnQL?)ad9MGl@ z5DR2KNH4nmpwlOyO&X9gI8K1t4;O$k(A6?R+z;Bw2~`21VEQf40v2XJL=^+WAMm;% u7!N^nI78U5^?M-qgPLYA{jl)MVS==gA*vDN3}}NAw4o9t3UW6#{{sLYhCb*3 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c new file mode 100644 index 0000000..c9f38b0 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c @@ -0,0 +1,132 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_26(char*, char *); +IKI_DLLESPEC extern void execute_27(char*, char *); +IKI_DLLESPEC extern void execute_28(char*, char *); +IKI_DLLESPEC extern void execute_29(char*, char *); +IKI_DLLESPEC extern void execute_32(char*, char *); +IKI_DLLESPEC extern void execute_33(char*, char *); +IKI_DLLESPEC extern void execute_34(char*, char *); +IKI_DLLESPEC extern void execute_35(char*, char *); +IKI_DLLESPEC extern void execute_36(char*, char *); +IKI_DLLESPEC extern void execute_37(char*, char *); +IKI_DLLESPEC extern void execute_38(char*, char *); +IKI_DLLESPEC extern void execute_39(char*, char *); +IKI_DLLESPEC extern void execute_40(char*, char *); +IKI_DLLESPEC extern void execute_42(char*, char *); +IKI_DLLESPEC extern void execute_43(char*, char *); +IKI_DLLESPEC extern void execute_44(char*, char *); +IKI_DLLESPEC extern void execute_45(char*, char *); +IKI_DLLESPEC extern void execute_46(char*, char *); +IKI_DLLESPEC extern void execute_47(char*, char *); +IKI_DLLESPEC extern void execute_48(char*, char *); +IKI_DLLESPEC extern void execute_49(char*, char *); +IKI_DLLESPEC extern void execute_50(char*, char *); +IKI_DLLESPEC extern void execute_51(char*, char *); +IKI_DLLESPEC extern void execute_52(char*, char *); +IKI_DLLESPEC extern void transaction_0(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[26] = {(funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)transaction_0, (funcp)vhdl_transfunc_eventcallback}; +const int NumRelocateId= 26; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc", (void **)funcTab, 26); + iki_vhdl_file_variable_register(dp + 8352); + iki_vhdl_file_variable_register(dp + 8408); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/tb_firUnit_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/tb_firUnit_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/tb_firUnit_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..6347e4da7209e8b0908794cf5461bd74caade9b7 GIT binary patch literal 5704 zcmb<-^>JfjWMqH=Mg}_u1P><4z;Hqk!FB*M9T<cd1Q|Z_%l!)W=={A?ih+T_qgxat z<<V;jqF#UqkKWx26c`v_>>Dt4^kI-rkLEWVD5{%}aA1|#0Wu3>2uw+6Fi3ajZ;#He zI~f=l8g_w{Kn?TgwFU7Y8am%~-iNS|MUmJD!$X6!7y=wY#xXd8MLoKCK?cD*)(!R; zis{Wq6!xbuKz#_-1F@_52uF16VTOw0%v`;c%p(1gr1-SVqR_m|lK7<5jKng1uuM^E zPJS}T1~3n|y5h2u%7Rn|214c~7nK#Kl;#!?QkR>W%aB=|nVXx-kXsD09~6Bcde~!k zXJ;z~jnJghypmD{Lt{N-Jp)~{QZU!3&d5a1z(f-&3=Rqw1_lNJ1_lOJ1_lOqzfcAy z28IJ5F=ntV1A_>ZW~>TgV5|^el;&aQn83)uAi==EAOn@N0@1E~0?kY%d>jlAJr*D_ z1_lO65bePy5WvR)HY)(cXJBAZ2GJgT0#SS%3?Q``AU*>F!%7hC$|umq<j5z{%<RIa zz~s-T;mBv;#Ao5i=TOL}5Wy$m$S2?kwh5#dI|k)(7KV@ivB@%HDFL{^3PGU&X21!4 zFpCXLA`_5sVPjxN7KHhift5i6O<WGlXJvr-AIyb?0|P5V1xx@+doeID2qC!>9L5X` zU~@t71yu&8>=+mr1Q|e~4-vWmp%~nt@eAd`sbC!9<xqQJ_JQM%fq?;(iLlw*2vrX< z4`etvUKtn|x^S4k4u|*=s5xaILtyEEfq~&94)u3&h%+%l(lgW;IHia~+zE$x6b|tm z9OBJ5#HTZY;sudPKzR$~sHHg6Z@?kG8;AHY9O4&oh~L2>{v3z+CmiB`afov;VGn;{ z9O7~~#5Hk<o8S<)$06>8Lp&6RcmfXbY#iccIK&%qi1*+SpN>O(5f1USIK+435C>P7 z@rHWI40=A!1|Y%^L>PexV-R5iB1{?L-F^I>9DU;b-Q0p*L*hdmoqSy58PZDgl0y=c z7&5an<H1#WVo55PQ<jmE6Q7oulNw)^Sd^KVl#?1?l$xGdT#{M@mM>1tE6yy*tV(4l z&de>%foUsF&PYuu%}I?fD9TSxEiO(ij!!I!FUibJjju{A$_Hyrtw>ESEkRPkkeis9 z2bRi6O)QAd%*!mvOw0k94RTg-YDqk(k&%*F6rYoyoLG{XpBJB*7GIQ_n^;hgngZ4c zQdC?P4{B>bTwRb@k`bSmm<#a@NE+0_hzB(>FccJL<~kK+rlhCFr>B;LrzC|JWx~9f zT$Gwvk{X|qTAY~<aU#g5qU89JqRhmc_~iW3yb_25prHU$>Q|Z@1ZpBBmZW;7Fu=TQ zWQJgxBbXKlrX`$dY=mGMBbX)#rYVAnFxMDit}((~V}!XT25@aA2rEnwR+u2HFhN*h zg0R8_VTB383KN7CrU)xc4dJ$#8Znd<CFT_;CWE8UfB~Gwz(Sy87@t~}npcvXn3I!~ zn4Ar6yMW45Ed~Y#ZUzR1KmQ>>93;rV0BX-LfZ8%JaafxLR1WhXsfX3qAaPKW7^dC? zY7V-%D^#3`fdR#QP`wS30;vbJ9bo3ffdsL*2i!PjU|;~L2X#SU>T{v$LE=J4?wkY_ z2iXgfgQ=g1Bo1oDz{KZ4#X)L8Z5EjL3M6q*rv@gz9x4tpAJpoBiEn|5gUk^_a?ft4 zI7mIHmV>E302K$R2gL<U{1lQns0|4de*_f=#UrSl0TX|TB+iFq?*}AtP9*W~P;roZ zK&>H|Ie(zyAoqaUG%#^4P(u+K{-8brOk4y>TpG!Is4?J{nJkRMzyNP~fwV!|dJsvF zUqCF7xIBmf#UQ_fm>_Wl5Ce)~Y>>DjR18GH(kDn<2_yi;22dI#4r_aXgg|X-5DgMn z0WqK$(zj&*yI&P52BJJb1Or4|4ax>lu=Xm*9CeTY6h}a5kT}e3AfW^h0X0Vx$_7!e z_B}{FD2_p5AY1?<pz5`uY!C%&4};X}fCQkp0ZN0!b)jq!)d3=)=IBA$AZh}LfQsuw z*&u2Lh=7X2!Vo0107O8=4WVoh1<NlWdyPN>P`m+3gT#%YY!C(RDMQVHl_4N;SiS(M z2Y0qXf(#4{C!jP)+ziSFQLyv?O3xq+5(8ly5CJVuK;p3WdI*|0tX-aiCJt+7Gw2mp z=9VNTG3XVS6hY_=7^^5XCsD5?wW5SUFD0=gkwGu1xR^n&C?CWDDL2%!K;;_3xD0w= zy<mk!sW}XK$@#gtsd*&~dU^RJse0~yp}NH-pyC_CPtPmWD=5k@NG&R<glbLAh)*j5 z)yq(KQ%Zrt5frwdG7Z@*SbmTPNg_$0aT!2m0I2MMsfQ_j0IGBt7#Ipbk-)&f04noA zdO>9mNDVBXgYpze98?#9#9=rdBnaam(dfdUJODD2T>YT53^Efr?SiaJ00}ZMFw6#V zkTA$D5Em-V0P;78jjsPUNRWYn0n&d4>40FUFu0rniTFeF0yLOFLSPK)FMt@Jum#aD zjLwgN>PPp#0@T0@FpW?e6yH!Lm<orM@gRSI1)xL#s8T_TKak%+7^DOie`!$rk;@8@ z{0FH0p!fmtVHn;2`B42Zc^EB&?jfiIOh1&%P|v^s9&3PF2NPycfa*v0FU<Wgh0~$- zqw9Bo>W7tkF!kvALG2DuoS=u_0cZsWEB8U^AJl$=>4$|M$Uo?IgY0?$wO<3&Xo2?W zLG?MhevlYcDM%X}bATim7#MD#84j`!t_VCf0%@lqi-Fh*phg!11H(cP2MMF=2LLKo B0(<}f literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..b586f2ef8372b8e1eb1ea5c4a309e2bb1bb8655e GIT binary patch literal 10928 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk=W<t()=X|Ns9x7#J8XurM$L$TKj!;9y|5A;`cG zA&iM{h%hiDh%+#B$U^W7Sq26VIR*v?c?gzeU|;}Y69xtb5Efv-1PzP~3{6Z749aW} zIUWWE1`xJ`a2XgG7#J8CA(#QoV_^9I|NnoGG+2%S!3T+fFe`|FVi21N$_CMjAoCa) z7+^F=3M9_Xz`y`<0*D>Lz`y{a9ieJLYCsrdF9?I=EEpIVm>C!tyzr>8WME)mVPIeg z#-qlDfq?<!#uz+m?4fQ;g}Mvm7ET5R1`rm8(jYg3{4g0w4L1V=gAfA)g921d5(5JR z2h`0VwIFjq8004q=3-!A0J#}t?hytC23%@f7#J8pYR}?PlgYrq0J8r&9yM9exPFL7 zO*R7q11|#u!)rWhau^sG_!t-%zT#1n%fP_E4@xU|)Z{TRFo4o1CnIjZ=QA)c2r@7* z2s1*$5)^(Q3<^IG2KgBj&Y*CY!K0=WWCjBRgAyJ!%?u0-A`A=+`gqh#Vqjnp1;ra4 zHB%WF7{nMD7@YB_na04tAkM(R;EPAiOa=x92?hp+a6D?}FfcGkGB7YC;!(4Zfq_Aa zfq@|tkDB!i3=Gl?3=Cy>)a+wmV2}X?J}6#6f&c&ie^7n^2|W;!jRw)k7?jVz@e9pQ zp!5LB%PP=311b}=85kIhq4@=r?gWHnqe1yW3n~UG&!ATQ2g!rXUmzqK4KfE*CV=EX zVjvoXVQLbfYC!o5B#uuFs7xTF22>`%)D$x?Fw{fc2eMB=Og0*nKXsw`6ck2_85kHg zLe+rM6v$tov}z8`r>aO{2r>iYK9Ija<`8l-NDZh=fVl@mgD}h-kl#USKxG0*9Aq|# z24QqHpfUj@j!zA!OdzBNR3;Eo11b{;sR5M<gw%k_1bk{h;S4Gh2&n;;353*u$^=4c zKxG0UHJ~zqkQz{#Ku8U!OdzBNR3;Eo11b{;sR5M<gw%k_1eh978iHX^{?x}($Aan& zP`SYHAVwRM&q3-zY7Gde1(okGwT94o1JoV>wMjtYaC3h<XoJcXkQm5ZBLe1{f)ql_ zBTzX6Dz9MXg3N}S``AI-9Z4;yeFc(-3W3{5aJ3I&wBw)>AU}fI1K8Ap#U8|Hry;2Y zxg9JH6$6DW$Xu}4gBWd4{s2jV%vC}Q2atc^YJWRu*MJm4%OGVO;Q%wYA4x5!d`1oj zP<VjM1)0zA+d+E)l3GwX1~vmK26Hc1?SmNYZBTKLd$G0MK<2{I!wDp{-Z<O~Q+pLj ztq%dUPmt955>WdYNv$6Nwf~UR`V&wKYU6;EgTf(zfLd7E0hQtMIMjl}94YO9)DlW( zu=D^@ODLU*gDrrxJ3;9T$_04=p4P!)4`Q@6zzm35T<Hv!9!!wbg3=k3i()QJtrJud z<X%GQEC5NZ8jdi7nHz<qR-J&_6eP781k@HHsnsN)wjD_=s5}F4L46lcK)~`4EUixm zNkZEeu<{I-T3Ffv)q%L&3pNfZ?SRzcaxY9RtlR;q1+~qP%LkBKK=~M~wy{Bb377%t zkAT{DP%g-87-?q%R1##a4vsJbD|ry3y${TQm<wuaLAhAXJp+{lnX5;@+-pc`an)I1 zB@be>AAlJUH{hzXz)Bv(XukzBAZlT840bHE?FR}oSek^DzaaO5;utx6LFoXlHo8Xp zACkGCwj!vT4(dCC%mVePL25yI7@}*m`9OluHYcc^i>wyZH$_$}kEGTXTDO4A0a*vi zgK)J+o3!<j)PlwiK<<U91^EH4)~r(79!V{z9Stg{U}`~Q4{)_do3wqA)H*OQFo4Pk zm|9TV6|UB-QacJsEokfjSuIE%2!r%89BtB0L{jU7<~LBk1g_SsQo9&Qtuu5?0TiyF zxI@pIAiW@Q7zW9M!eXGrVeWw04~jc{?jcn@%v_j0LjDESUof>G8l)Z+|DbvT#D`&! zI4J&biQ}?2z9heZp(H6jEwd;zFSCRpu_!qsGag9*DiLqU0A)dyz<4>Cd8zU7re+LK zhB=gBW&mRtGbHEdl@#UYfGmhNMCL)w$}dPQN-W7NO9iQ5K;gw3qVmBy;!%{q?6H6{ z3=K@-ESR$l4PfpvG(f1cgsC%xIo8n75GrPA!BCu$nO4G(nU`D?pO}(Tlv-R2l`}Mf zn`;6$!xV0YsWD8QsTo6YX>NRCaxz14Nq$jkd{Js~X-)}D+R}g_*f~BqCqFqG#3)KF zPAvg3GV=;bOM(+~3vyD?xM7JonJFN#w9K56)S}cBgep`Kh(b@O;hrFiQ%e{;<B^R< z;XyR`$D<m<fGz-07VI2fP?VpXT3no&m+q3E2X<yoequ^6ILLyX<1_OzOB|tr2IeLg zp>Q)xf=hEj9s%n@F#StQ3Q9o^MKj+&9;^^-yg!QRARfYS5D#KDR7Ytp1B3-N*+1S5 zCFr0Y3W`rIEh<XQD+w-1EJ<Yu4vNo9t$=bok?aPA8Nz2E9>Q)A4`Me|M`<ntgax-7 z%~!!e@yYqAX+f#!nZ+fkMIdXzi4Gy)9G_d7Q{oTGAbBZ<pa4VS8-e(~r8y-*kYp9? z3`(dlPEdR?C|rZkqck`uz9=;@1r{BU5=1{EKR2~lKg-A@JHMbnKO`VN*fHKQ)Wy?Z zzobAHlqiZ)byG`9Q!*3tN_1h$ii?u<Gg5N&5#@zmSw;$jera(L*g*Z%yyB81Lw#RY zSAEC`zJ6I|Sz=1Qk%5tko}qpin4=Hnr6iUl>X(7c1DRV~k`ixZU|^wNT#}fVl30`i zF}k2AKTE$NsWdYurC8t6($Z4TAl}n2B;LTpz%<^#!o)y7Gq1QLF(;>3KQSjKz91*D zBrU%vw-{y@M2kLAc2U(EC8-r9nfdha1hP%k@Iq#4YAP%$QsQ&+(=(If4GqmqKz_+# z(5Fd^5U`V$-qKCVPpKRTzad*pT@U7!=B5^9CdU_-fO8S;BMs9+nt2F0)M%U(k$i=0 zD@8>ov>gRXZw%lvlk7qmsg*?q(@>gNVDrEg1*n~gjKP8o3=Atkb1@7I47rR93?hsS z3|34G4A&VM7{r(v7}hZ{FuZ4GVCZLNU^vRcz>vVgz+lY^!L6(e3?O`&m4N|-L1u%@ zgwY^2sDBLOlS+g1g64oga|)nw7|@&tsLu!L%Yph*)(i{`AU3GK2I_~oF)%QALgySo z<6@w(CeVB+XudLxfq@~4fq@~Gfq?-uPLROBz>o}@7h+&w0L=q{#`!_x_@HrmP=6mZ z&JG$!FK1w2s9<1VsAOPZsA6DXsAgbb0F5QJGB7Z-F)%Q+GcYi8FfcH5GB7Z7F)%Q6 zGcYiK#>7Em-k>pU(3mx7Od2#NvV?(wVJQOx!!ia2hUL(3UkRNn*~GxWu$h5@VG9ET z!&U|c2GH0cXl@)d#taHaWXu8T@PhhrP#V<FL6*nGwg7c{85kHGpftKZbUw@+2hhMB z0|P?<ltxzv?J~lY!|4D}$C-hFAp%OH>jRCg!WAPiB0!yJ1_p)<D2=WUlrE5z!<inS z4mHS~P#RqyxIYY6jbNaMC%S)N{a=I*2n#(t(e**22cj5>L=R7NebDGZ(g9(jhbOu| zX!Jl7Ba!IgiLMVCJxDqrO!V+X*9VOrh+-tt05n*^z`$SurP1|4qX$U`ged_Uhybl2 zfYRvtpvFNIBa_e$G6$4K*9SEYSqGT?0;>K4lt$MF6$YzCCN6*m6&M&8Za`^teNbU! zEnxNz&}2RX1H%C*jjj(W3|5OwEPze`t$@<#`k=zdTEJ}dbd8=KVe{8u#YhBtx<=Or zn$ty64rijLPjr2twF7X)NDTD!g02s=o&ZTXoQa-Z(Di}V7{C=HGoTR*OE2j9Ky?nX zau^#uy`bv@twn&TMW)fy3%Wkg`UGV4FgAL6LDvUbvj9_zOrxh4bbX-u6j?otjh^4p z^?}wSz|<ns9ngd{0ZOCmlS5XF#4dm)qzWjFt`B|u45k%5U89$mpfNU>T4WkMU8Cy* z%?lx`hq2MiYjk~}wh2rvGL4=-(e<H^_rdj|rB8Hyps_-@S|kQ~`b5_UnnyxX4rijL zPjr2tIVHGaBnEo=MArwJUqVt2XQHQ1bbX+?8@OU526{Pxt`9VigQOhJM6dtQ^?~Me z;EItL5zqoF0$Ko}>jTa2ASs74EuaOD1+*YR*QWzliOSG`76cYh8eJb~-Un3&geL(l z2sEHHx<1ex5JV*^$pI}0G@vxPKG1v+styQ`16mLmKxuS+pt&K4N>ow+S`b)3X>@&{ oc_LID5S|3IAkcu)==wl&Mi7;#BnPx05P;I?`ao+QP<23f0I-;slK=n! literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..6d062c7e439b78ad06ea3bf962f5b4a7b708e403 GIT binary patch literal 3741 zcmZQT0~=f+0v%9#f)|88N{)uWXb6mkz-S22CIsgE|NkF^VWxAz7*JY3oq>U!0fN8$ z|NkE<Jm{%Eeh??>azN+`#<$VFInu5oan|;^4VONJpPFy5=uyCw8-9}~cyNSdED2+Z zTFDvWaiZ{1?xwZL($$mIVpbe!<ql$H;=JfQVMjruoW++Tjoecm?l_1lh*%Uo>ezAM z``LHV{pMwRHtv<a{9|SQ{n<0k-{=3kHhX2_7PkLc4!k;Cs`ZcK4tKm<^?0l6-b`z| zdkX?zWpaPm)?s-xEAmzJ(w;_*Z&6nt|60mXIziBDpWnW=sI8)I_9va=XP=T>-Ec&I z%Gb`{JdY>jEk0Vgcr{1!^8O=@W>dZOJXU%we`0N_e{1gk-a}I=FW&c1NU%*7O%VU& zbh7;Po*VK8^U{)fJRKg1ocd+;_*{rP+ir>H`%W~qER5|bUf+|he@;NHB>&z!ot2xe zPujHH@8tW3f4^;unLnHVS6#h?+miQ}1J|CnD!e!C@l2~G*VXP~`M=d~o-><Zt0jBY z=3VdmcRm~1W-r=zt?c;L|64=%1#=h(d~!M|f9U6v-{%Cc`G3j%EOGVMz3z`{U(S@D ztQ37(A^-N!kE2WD<mULe%xvGg<-Y1Wvz}S`i<<9#{QX$!+`OYskBs=G>rOwHdRn<8 z%~^9|un7ODNY>MK4D$+km~AbyZ#r#clXG3E7B}T5S7F|>lxJVG)6>(lmaJ|)e&E&L z8}7g6&YXMmQs5t{R+;^K`x#&V7A-#5aWuKm;Y`QTUmOXKYX34f{}wT32srcLz=FeX zq$Lt2m$WmA|5&$VzgXz$Girj%l3Z>DI|OTJ&Grjcki4$oakVXY`Bcjq2N%|}ej%r( za82T0tJ&bAFnisE+0s+Z&G*=~@UD5L+u_47J7kqe{?yQEp+&E^e>Klo&3Ecr-R9@P zFR#Z}zZ7}CyJ1@K$}GQ)8;?o<+1Mk$gMGD>!Rs%g0l6>S{BlJiSYp_ntsDP3JAd5w zOZMBss(@KN3mb0)?4Po0R#DBDnw$-XSl<Pw%x5p!6VFohTjcc7OI0_cVm1lSS9c3u zdGg51moHyVJknWXw{Vqq=cY)*)2q|+T%P{Q{Gxd3pK?gauhRl+xi*WlZm_z0YsQJy zQl}<;JbgmwTg&#l{Zqtl&JShmH$F7s(VZRtrUWbgtYmV1H^)Za#JDd&+=hRb-G{rb zMRz^7TP^)RZFcy_Tp^y%vlxq{xiq(%Y-Ig%YW04@?03IeRsPobE$sW`?eMNC@Yl-x z^Yx}5KSy3aZ|?Z>p5V6mSL#_kF8H_aJp1<Z$-iwsYq(DstL4}y9%BBhcce7l&eh}C z{MY;U?AUas)W80I;mS$7=fs9@;g*{lHNV%1GrC@R_ugLxMg^~DES~<^I#4UjV*k&7 zKjbd#zA?dAyD#MZ&C>_oPHmL_#joCS?DJnfh4bI1)wwT>XZR3j`Q%PY>o@7sGk!1r zcIV6QN}*3*J_}E8tIc~=SM{4IC*S1b<)Xj8dA|51OsRWypUb@Nrrmt;So{49d$p77 zEzh%<&Hhoz*(CE_`T+9*jnAIDnL6XQpHG)-n)08m!@qmtualK(2G@_APvy9D{(WQ3 z_D-YubJCflegEh<OsM<E@+5z^#@{D4!Z&grr3*HFDHg8aH}C=*Tfb)R{9o04`_<1o zPk8;#f5CSDjQf*a&*xpdSN$z7b)V^OYf1f6r=<9H#|Qj%d@5Idc{0OOuHx?K6O;4K zUgSH;`Zd2>d0$s==Pj;x%r^S}7$5CtXx$fou!U*gdrzM=Grr9I?UliA_vi8ECYgeo z58suT|6f)6^6_D1>Cvr=|NkvtYi@Uf>)!X;*X{QYTG%;z-1)m8aNhTt{Q^7wRllu# z|NV0<$EEX!3qCMj(D?ggpVEY1iPiS@Eed~q4jeqZAn@18uc{y3o!?sLwby>V{KCe6 za?Oi(D2c7yKPR8bocY&pL#qq-4S&4ezeszRmBY@x+7EujGHz=6?DxRqz~3YD-)9Fn z{GZ?asNG?uJ<E#M_Rkj|h;jJudQblN@{9zT<pKu|F9<w#uu|*6e?5h-y({ed^c7E7 zoKtFWcUauh{5kwVTJy&bzki!rUAS-lK;!)ZmzVm_S0DJ9|N6cDpV?<D{%5!fyqa>W zs>q4`;_3TMj!$kCsX3(oTf1J=Ud(VoJR`r-1JwuR2ObA}WBic+fctM-q3DjVjnmwV z!Ynh-r|U&Ljf|Ol>db8Kn^%`)ZM^QiEO6uI)|hQ`jB|?5ZtK!`ZuIlfqOB7=m#ZJ^ zIdp1Mq#NT^w_|$KdRA#E=Zl{4Nvm<1ksgz#q<W^%`OLE3)}(`a;-61-ZsG9R<zr>~ zu5gk5T%p#NA)$F*Pc9{?&h?W%Iw|tpt!t|y7uRK7TA>puo^x1BXNvL77{2S`T9@k2 zY&L%OQs#R}pS-x`k?_dKb1C9t6J{sfJmYZE>)y0yE2m71(bAhe^~uqT8@-PPX<8PW z6~A+NcI3^;%juKKGJiBp-G2JnhRpR(*5n+N6Wi!jskn2>>Fi|VO}nNTPtu;cDQJcX zw`jH9+?vWNtIB&$>#k2)eJ#r@T*kMzuXoAh^{bpN=|0_5X4d)dr^?qNfyzea9^+k# zE6SdEKNpE!mf3arm&lUVn)FMTP8|7AW4K+eOE&js%i4JUMQb@<>P4of+`hC#_a|S* z>d41l@ul5Uy&d<QIAPtl=hO0aGEFxx?)8#WJaR5}))O`UC%GPr%FnOAD?KslMA7Wv zJk_w-G3Si4D$K=K8^!5Q|CncBwcYh`?MI7R)zAeMQ?@Fx-YL7fz{{&??#+0?F9Bz} ztYoZr2_@WEmnCv}!JEm;r(E_A%oHu3Drs}X{^_bJjcUK}<&*w0mUQ@e><+$N-8P+Z wS#<NUHVc!e)#l}Q&aDz;e$E*2@3KSw+ROz#*Uw*GzN~TLQK5hQ?s1!~0VWtx2mk;8 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..cd7cbc3c66ff96d4022bc58d6566d044618a7e67 GIT binary patch literal 1165 zcmZo=VE}^)#=X-uw=4-0Xgg`8vU%d%nK?&P=ALvjiaaq#XYN}Wl})MMDc&kVH-$Po z9JaY^b5WY8JJEmJnYAm|dnlNx&-wi8T6uR~Ug+QLdh0v)EDt|#VN@mmV$b)9eLuUc zer>4twrtF<;8x%Fi@$(b{KGfZuh&0t<~?|m`jx+cz5m0zZNJtRa92yu^?(0jNBeR9 zsek)RP3+cIe9w6K-7aw6<M!u29$MB_^vPo~|M{2h6|3iwKk3eNyu6cJ?*X&Sz2JK0 z_YcLsy<1)168wY7zu?2nd*bX?Z66qx7yRh@WxS)=`$l!>U(q`U#BaPZJ{UItL-F5v zSJj)=+DYd8ynk@of39tRWD`%{m)!Q}>xQTAxuXB=(J!%`wqIh~AJq*{_1ksp*>8Nh zeqh>uv2A~PH#~jM6#cI$;(GEg&cw_9T>ek2n^#}|QmtxpK(wYJ_OI}r!0C^tTjkHG z+4|&k*XrZm^?$xjIxaf>zRus-`P1(lUN)W4Y;VQ=mw%Uu%bV?0+3@zcZqDyY{@HRx zH@*ZMUe?ZLX3MkTWnzmjf8C<j#<naQUM6zSmJ7P^CE&oab|Ev{ISH4m*=OHVzwzrL zZ_ZzhAM<L0{|nsPyYRDS%>I>g4xWrYr5a-!u<pTG=ci(N4}@j*t^LP%?xEnf`+@(j z{@L)|<@w+8(w+y*dm5X+UzvZ1b<V+uzhBuOu#7oSx8$E--i6qY&F7@s?R9_ddGzP` zQu{l4JL3z#p1w5y@2)2wwyS>EUT5+Bt!={h-S_5i=r7)(-p6z<vYgf2X1kgF`Iq@G z-!DB=UT|n<UEE&xIXlijo?~}d{95tG?;OwAZ`?C>yQlnS@1p%{&u{<U@mV(Y_g>+g z=NYx(e=VQKRQlgMck<7^O>?^=7vwxoIJ7hEd7Ml9*5bUFqs9kCYd>-w|Kj?ee;#{# zfoJ@k1Jb{enD`60#9tUL>HltXy#1}rj>fg`{oj19Ic=5jn%VaE9_Q_{IkgL_7u@H* zn8_V2pCPaJ*EFYg!M56S-&cpquekYq@z2E9%)Gzv{5c*fpK<fK;?EU{ubHbqi3{9k z+*aXz<5TcuaieG2H-5Fb{eFMGul9yjc=KJ$-~MklZ;pO*@TTx@k9YeM`RC4gyRd%d z_lx_^#BWUf*Yxb@yNkPTsBh6L>pgc=@8XUdmcPWToo^?pzi@lQ(cAI1SkL7A4%5ZF z8{JD3vR|*<c|-QAZMUy(b^833vv1g%R#n;moSOdke)zthzdITKr|-D1hwpb}`g{48 zw&!;~R15oEX@2F)X7M?DQ{Q`6s^@6F|G4pY!Swh4gud<GvYUI`lwC>ly(^Qy?U?fY z(~;U8-lw83?OwV^ur~VC?PT_Ib_?<~^S6}Bznk=lFM6Ym|EcPfe$UGCFV&j)F6*a% z;=56F=lToNDV3auVuQYM_IBAW^4=J|MdO>GZddFA*NxvdMU;2WUF5nkIdaYJBi}CE z503s}zb`9k&I!jOJCyCehRIt@yxj89W8ZT5tMh}VPm79OnR@I-P<nr1yPRLfk@P!@ bm-5x-UWn=Bb1#wl@4S9f(|(3s<z^ND*d}|P literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx new file mode 100644 index 0000000..fb29908 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 5120171963492181178 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit" , + buildDate : "May 22 2024" , + buildTime : "18:54:44" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/tb_firUnit_behav/xsimk\" \"xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o\" -L\"/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..616acb81356cff9264742388b9ca2a4b79a2e5db GIT binary patch literal 603 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG==r0Rct((EYz`!8Q#K7Riz`(%Fz_1`V z#3kOx-`&%hfr)_ujF}l27&sXi7}P`68Pp@x8PpBb8PpBc8PucH8Pvno8Pt8$8Pq-0 z8Ps*v85kKD7`%~83Z={_1qKENRtAOzevTobL5@CP=P)oZF#P}jzaC_jBLf420J5Xw z!(5$1{DZ&>85tIU-2+kx@(YLtX$Ikc|NsA=`~Uy{Sg2b=;)_cXOH#r5Kx$bb7Bhr9 zdWOUYJNgFrxH1HX_y@T%1O)jzy9Nh)`nkvZ`1=Pi_=koBgoZ#Ay85}m6oV`?hq=zv z-OtqpY&J1&f_d80FT~Z|72+2L28IThr#+#@7Nw?V7MG+Jxn<@+91b!Z<ZzJPY|zL9 ud6u7n0UQt@8pQt(^}Gg%!@$5$1f};v>AO%`4rCAm14A{G-UOvDL1_R;U}*0E literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28 GIT binary patch literal 16 Kcmd;KKm`B*&;Shp literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..c28c84d68e27da5f1de4e8577d991ed1a8af5b02 GIT binary patch literal 7048 zcmXqFU|`6RU|>*XU|_J2WMJr!W?)E5%qdP~C@CsUWnf@qU|?WoKn08p3=B*R3=ANe z2Py?p2jc&S0(Ap*26aPq1`Y!HLGmCYSfJ)Xwg1m6&0#3c&tNDnsbEM2;rtSY)VxB5 z#N=#-q|_XSq+*7Q5{8^KhO!cdv^0j~B8K98hT=?yl$=zClw?DOlw>1@lw@Oulw=cz zyu@sV;>tXR)RH8I<itFN)Le$*(j<n|;$()j;sS>B;sS=E;sS=!;sOSB1$72>MRf*s zC3OaMWpxI16?F!6Rdoh+HFXAcb#(@H4Rr=}O?3u!Ep-NUZFL599d!nEU3CU^J#_|k zeRT$yx7Cf*8Ptu{8PrYG8PrYH8Pv_x8Pv_y8PqM*8PqM+8Pu)R8Pu)S8Psjm8Psjn z8Px668Px678Ppxr8Ppxs8PuKB8PuKC8Pr|W8Pr|X8Pwg>8Pwg?8Pq-08Pq-18PvVh z8PvVi8Pt8$8Pt8%8PxsM8PxsN8Po&R8Po&S8PtQ+8PtQ-8Pr468Pr478Pvnn8Pvno z8Pp@x8Pp@y8PucH8PucI8PsFc8PsFd8Pwy{8Pwy|8PpTh8PpTi8Pt>18Pt>28PrqM z8PrqN8PwC%8PwC&8Pqe>8Pqe?8Pv1X8Pv1Y8Ps#s8Ps#t8PxOC8PxOD8Pp5Z8Pp5a z8Pto^8Pto_8PrSE8PrSF8Pv<v8Pv<w8PqG(8PqG)8Pu!P8Pu!Q8Psdk8Psdl8Px04 z8B$Vn7?KT*EI@=ML$aZ<0f;aJ5k?@w7(|$W2vZPY1|rNs1W1Q5NQVhXhY3iB2}p+t zNQVhXhY3iB2}p+tNQVhXhY3iBDM*JYNQWs%hbc&hDM*JYNQWs%hbc&hDM*K@C4>3` zbq4i?>I~|O)EU$lt23xCQD;zJs?MOkOr1e}xjKXT3UvncmFf)YtJE3PSF1CquTf`E zU#rfbzD}J%eZ4w^`UZ6d^^NKb>YLOV)Hka$sBckcP~WP~puSC=L4CVAgZd722KAll z4C=eo8Ps>HGpO%TXHeg(&Y-?eok4xSI)nNFbq4i=>I~|K)EU$dt23w{QD;y;s?MN( zOr1gfxH^OS33Ueblj;oWr_>qLPpdPipHXK}Kda85eomc1{k%GZ`UQ0c^^58Z>X+0R z)Gw<us9#ZMP`|3qpngrALH)WqgZd412KAfj4C=Sk8Psp9GpOHDXHdVZ&Y*rzok9J+ zI)nNHbq4i^>I~|S)EU$tt23xSQD;zps?MPPOr1gfxjKXT3v~wdm+B1auhbdTU#l~y zzfosUf2+=*{!X1i{k=Ma`UiCe^^fWd>YvmZ)IX~;sDDvsQ2(mVp#DvrLH)ZrgZdA3 z2KArn4C=qs8PtEPGpPSjXHfsE&Y=EJok9J-Is*e2mh$93r~qMvlt&Vvf`oyAL4*au z7hr*uRXi+^a*Tt8fdQn1g@u8E6{L>^QpWvZW?*1vU|{&c%m6O4zA`f~a56A3d}4;! z`JS19ft!JW;SDnb1E|b<$;`mO%fP_!jG2LfkAZ>VF*5@LKLZ2917-#W0R{$!JIo9W zf(#4{H<%e1gcukYt}rt&2s1D+TwrEk5Mf|oIK#}qAj-hNaDthEL5zWc;RrJWgE#{N z!vSUn1_=fRhCR#-43Z2C3_F<_7^D~&7`8GqFi0~nFl=OIV31*8U|7q{z#z-Oz_5~; zfkBRefng~#1A{yR1H(dQ1_lKN28OxJ3=E143=A`w85oop7#OB9GcYJKFfdGHW?)cZ zU|{HFhLri8%nS@_3=9md%nS_b3=9m7%nS?~3=9ml%nS^g3=9mF%nS@#3=9mV%nS_L z3=9l~%nS@V3=9mp%nS^=3=9mJ%nS^A3=9mZ%nS_r3=9m3%nS?$3=9mh%nS^M3=9mB z%nS^mIwq8vfx(!8fgzBYfx(1<fx(xVfx(o4fx(lRfx(P{fx(rTfx(=Cfx(fPfx&`- zfx(uUfx(i2fx(iQfx(J_fx(oSfx()Afx(cOfx(7>fkBs<fx(u6fkBg*fx(V}fkBm- zfx(`EfkBa(fx&@+fkBp;fx(f1fkBd)fx(G^fkBj+fx(%9fkBX&fx(4=fq|Eqfx(r5 zfq|2mfx(S|fq|8ofx#VA2Qf1+crY+9{AFTb@MK_M_{qe;;Kjhe@Rf;y!JC1B;Ug0R zgAW4(!&@c>244mShL=nX41Np@3{ROD82lL+7#=b)Fa$6#Fx+KgU<hPjV7SS|z!1d1 zz;Km`fgzZIf#D((149S{1H)M+28K`u28NSN3=Clm3=Bt^7#PAC7#I#RF)&0hFfi<8 zVqk~_#Xl1RLlh|fnHU(NLGjPTzz_q9e<lWoSOx}$l}ro_aSRL$OPLrL;z9Ax#K4dM zihm{shD1>OGchnEf#RQufgu?b|4a-FDWLdgVqi#RU|{HEVqi!E#Xl1RLpmt_nHU%{ zK=IGSz>o=we<lWoEKvM2F)(C<;-86uAqN!yObiUUp!jEEV8{c-KNAB(J}CZ~7#Ipb z@z2D-PzZ{DCI*Hg1_p*uCI*ILQ2aA7FqDAepNWB?6cqnV3=CzU_-A5ZC<nzq69Yp9 zDE^rk7%D;W&&0q`1&V(r28L=-{4+5y)PUljiGiUO6#q;N40WLRXJTNe2gN@V149D? z1A`0`14APN1A{md149!71A`C~14A<?-kBH}S{N7@IG7k1T0vzA69YpV0|Uc9Mh1p< zP<%5oFmy05FnnQTVCZCEV0h2Sz|h6O!0?KZfuS1|&x{NVJ)nHf$iUFcz`$^uk%6I) zfq~%~BLhP}D1I3k7$$)7HzNbXL<R<i<BSXplNcBn4lyz?Oa{d(BLl+}1_p*5j0_A@ z85kHgGcqttV_;xd$H>4i9TcC83=A_E7#Nl?GBC_!U|^Wf$iOg*fq`KbBLl;1P&_g+ zFw9|KV3@$jz%ZAAfuWm`fngp414A1l1H*h!{4p{xEC9tHBLl-iQ2a46Ff0PaA0q?9 zVo>}sGB7Lw#UCRB!%|TEF)}bL1H~UB1H*Dq{4p{xtN_IyBLl-qQ2a46FsuT_A0q?9 zYEb+!GBB(G#UCRB!&*@MF)}c$1H~UB1H*by{4p{xYyia{BLl-mQ2a46Fl++FA0q?9 zW>EYwGB9ib#UCRB!&XrIF)}c01H~UB1H*Pu{4p{x>;UCoMh1qRp#00oz_1IHe;FAV zc7yUSBLl-8P&_g+Fzf~8Uq%LoeW3iy$iT24lz$l+7!H8)FDNg8;u941p#00gz;GCp ze?es}DF1@;94P-XFfbeg#VaVTK=~I`CWG=XC~t!DF9QR^DNz1pU|={6ieFINg7PmE zpJZTQI0rTBJk-t$pm>I=1^M9;DE~4rFkA-ZUr=2D%D+%EL1BCilz$l*7_NizFR0D{ z<zJ}Xpfqp`6z`z42Fkynx&@Sfp>71F(R-l$%fP^J9~A$fv<ZrTs9!*N=n*LXL3I=; z{uvk;o`B*X>Ss_Me+G(w1_p-bp!jECV0ZzFe`wf%%Ai-E_-9~XcnykwP#p-0e`pwj z%E))1_y^?`Q2aA6Fnj>TKQydCW%wsh{4+2xd<MloC~tw{9~vj1I_4WF{uvk;zJuZ) zR0o6N9~yU{I`9`L{uvk;euLtlfq~%<DE^^w46395f#RQmfdSMG_zwkn`6a0g<%vak znR)39sYONkMGR?)nK`9Jph6Go4)lHvh!1KTfci0@Iuz7A0M)r5KB(>n^?5*bK1dzZ zn*T+qi7D~9`6;Ok<wcn#sSsvjK|yLBR2XCk1ElT)>4S2?6v#Y~7|483oeL5K)#V^Q z%s!Ys`30$Y@%h;d#U+U)rN!|OALS+Hrb3w@CqS7XW59JQ$ZRkNN`Uw<|AFdgkTA$z zkbNM3g4_dYyMWZe>;=Uih!0W&QqRD^(D48Ne^5~i?*D=6WRN@vgXDEyw?x`|zWWcQ z!Ri<oJ~)H)gLI`8GZYjv<P|fN7Bl1)GZd#LGvsFGF=T-12#|hI9S$-J<Q5Pgqz`H* z$Vv4e0)(OB;I<Bk4^j)lpf(YR50eMAok08-AVWd*0VtjP|Nq~?3DjDHw8cR345wy+ zyaH~sf%yVUuYmFaD89k`1M9C%G=sDW!F&e8K)q8u3?Mc#2DJr1R>AtUAU7dns9Fqv zfZ71K^g+YB0p=%U^FVC{Z2CZILHa-##75QwVuRWcAbp_p0OG?iNG%A1^noyl4Uz-3 zF>t8|$%E8_;t8f6)W#r1Jt$j}q8`*{Aw@l?Z9|HBP}?08HlT40kpEy97H;VA3-UK8 z3_uvf2DSM?`ayjPm|hTFl$j11w@6I`HG&u*eFBg=kQ@wy^n=nrhz8|HkT|H{1L8x| z&wo%K0;CV!9U!$JHz3CaNDPL-g8`sH0O<G(cpv~YFaR1z01Ynaf_edvwm7(d1k(tm zLFFyT9iVapBn0Y9fcT*D669u3-vkuSpgs)9eIR#&%mMiW6qcaA6DUkT?gn9)e?aLN zqz<G9WG)PY%m%U1{RC>ug4Bb|0Li0cm^;zMLFoXbk63#^ZCsFgZ1y0#2~>XJQU~$_ zC`>>!x_dxvVqE$_YC-PArVo^SaOnf7$E6R{=EkKDWFJTlq#wja#-KhMDE>g^B8wxd zMGkXN-;NsUL1_wRAF}x%F=YN4CP+V?Sof_ZQT;j+)Dx4(AQd<R!+hxY1IRxx4DuH& zzk~YPAaPKB1BEpxzk$L8l;1#U3Y6bKeg@??P~3s?8z|gC`3;oMK=}=nc0m|qE-b$- zfZ7Yv3o;*uL3Y5{AoVc*LIUbRZ7)!R0H%HsiRwXpZjgI%xd%C~f!qgbcaowWR4;?n zgTeu%9~mQ?4eG-aqb`ddGERicy&&_E!w;8wP+Wr4f-tgrWPW*KW=VW;Vs1fBDnoHe zeo-nzK~a8kYH@L9UV3~^etrQ%erZWTX$b>ZA*kgERm=bxivgu2ka;i+ax*Bcfa1yq zDhO&1g7~1Z9*~<r?IuwA0<}Xy>S5^yG&Tbo2Lp*gwSp;-9uNc6ssg1wkXax$NDRaW z=?A4*(AdNV76yhNJPZsOybKICco`TJ_!t-*_!t-_@G&q1@G~%U@G~$R;Add?!Oy^; zA;7>8A;7@UA;7?}Lx6$dg#ZJCgdhWhfgl4zgdhV$gCGOL4nYP62_XiC4j~4H9YPEY zAA}ef6oeTVJcJn-8iW}bb_g>td=O?}&=6r@h!A05=n!FGI3dEo@I!=wK|_>*p+S^^ z;fE*#gN7IbLxdOuLx&gx!wxY9h8JQC3<BZ|3>M-H3>o4K3=hN^7z{ueje&t70qQxB zn?Zh@0p)}I0^(ml<8y!lfq{Vm<ady~1(Xj84-h{C$_IrJh(80y2MsQQ`lL`kD4am@ zKcIY={TiSK3#jh|wbucSp8@5A!VRSV1e6bR?+j3bg#i+dV0kn?c<>T5ZUGfH03{4i zUk}QUfbv1{0Mb7Jjei1-4;}<Xvd;oka3S$C(D*aZ_&1<@P#lBo;{Xk&f*L(gdn}-Q zP~3y%#Xuxd_(S<H_hvx(ptJx|IRnav$)7;u|A6vAX$7QS0W^3E3LmJr2b2$s&k8iY K12i4M%m)A<d8H8m literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..447101133e1ec2f208c9b999b5b936b2fcccfe42 GIT binary patch literal 23408 zcma#Z%*o8FP>2Z5%vFeRadKBMFk}c=t()P%z`(G9iGiUY8i7q17#NN*F`xiO7*A3* z8pH-+21(gy0R{#Juu`bF5J(+VTo}p*sZ~Z|gP0(_AaM`|vB@z<1!NG^UR5Nv8WLL_ ziLHUe)<j}!A+bSBkb6Mx0$~^%<}Z*KE$l@OA8n8$p#IW9VuP44_ki31Vxxx}wZZ}B zN09ljcw}H?V9*6QLQ*yw%mz6E8rQm@L;z*mLfHxo3=F<dHpskOB=$ro8)W`gC>vzl zQ8-&R8e|m+GlK{yz5tFy1_nk3gggra1H%t~+35fE3=9?Ehy}@ef`bvHjSWOV@d<Eb zL*zmBfiNz4kW)YympsT_AdE{M<Sr1#CC|#hzyQLy<U!&v40S#OvisQ?7#Khpqz0Qj z2Ll5G2;-9HWME(bVO;WD3=9k)j7y%Ifq?;pamn*AFff2HE_q%C1_ltuCC|sezyQLy z<oOvG7(f`iJR*I7!Wa~fpfFNEihmFvgk=~Q7*_mt0H+rV7mx^Q`oJX*G82Sx$%E1c z2;-6mr410qB@c2p2;-6m`5T0B$%E1c2;-6mr410qB@ap)AdE{Mlr}&ZmpmwKfG{q3 zP}%@tT=Jl_0m8WCL1_boamj<y1_)!9N2CuyXg&nR{0uV?35tJ&yeI<$!-T>HaQZN? z$CL;85mx@JFv64v`4J``V2mjb@*_<C2NrpdA7SzfK$SBCs{25G%!e4u;9&}3AeWDz zG8U%)0~UEu9K+-z3^DbC;ut2+0QX-CI6OeAL3QH=X!+}54H5ylFGU+vwt_G&c~IT~ zVO;W{yaU3x<Ux4{gmKA(@(u{&k_Y7-5XL1B$~z#8OCFSWKp2-iC|p4pmpmw3K^T`j zC|!XtE_qP80%2V8pmYVoxa2|U3WRaVgVGfU<B|uZD-gyd56V{{j7uIQ4#U{e52)P% z!XP!+<V6@57(f`8JSg9SFfMs91_lNY#w9P#z`y{)xa1`m7#Khpm%Jnc0|N--l9ys& zU;trU^3n_p3?Ph49#pP@FfMsn1_lNY#w9Puz`y{)xa8#-7#KhpmprI_2g11I6&V;9 zKp2<25(5JR2;-6mwTVF(mprIV3BtJKL2Y3W#w8ExCx9?6c~IX0gmKA(nxY_#OCHn| z1z}wBpr!~2<B|szupo>}9@IAgVO;W{wmJyolGkHkU;trU^7;%63?Ph4-hhFD0fceM zgQ^k`#w8D`N<bKwJg6!JVO;W{st|;6$%Cpo5XL1Bs_H=)m%KRx0|N--lDA-BU;trU z@|Fw?3?Ph4-im>N0fceMgQ`*x#wBmVz`y{)xa4ga7#Khpm%JSV0|N--k_R<)Kp2-i zXzT!lamhO}Fff2HE_o*g1_ltuCGX6@zyQLy<Uvh!5XL3%%D}(?!now!7#J8p7?->| z0|NsH<C6DaU|;}YT=Jd_3=ANQOWuotfdPbZ$$K*}Fn};Fc^?J_1`x(2?+faGGcYjV zlJ{d^U;trU^8O4A3?Ph4K7fIN0fceM2Qn})fG{rkAO;2o5XL1R%)r0^!nou^7#J8p z7?*q~0|NsH<B|_!U|;}YT=L-z3=ANQOFn{ufdPbZ$wx9UFn};F`6vbk1`x(24;lag zVO;Vt3=9k)j7vV2fq?;pammLqFff2HF8O!{1_ltuC7-~+zyQLy<P#Yf7(f`8d=di# z0|?`iPiA0X0AXD6DGUq@AdE{sm4Sf)gmKBIF)%QIFfRFY1_lNY#wDM@z`y{)xa2b# z7#KhpmwXlj0|N--lFw#fU;trU@;M9)3?Ph4K9_-k0fceM=P@uafG{q3P@fWnamg1j zFff2HF8M+R1_ltuC11qAzyQLy<ck>?7(f`8d<g>s0|?`iFJ)k00AXD6Wef}qAdE}C zoPmJ>gmKANFfcHHFfRE@1_lNY#wB0Hz`y{)xa6xD7#KhpmwXKa0|N--lCNc8U;trU z@^uUh3?Ph4zMg@B0fceMH!v_TfG{rkMg|535XL3n#K6D+!nov{85kHq7?*qt0|NsH z<C1S>U|;}YT=H!U3=ANQOTL|ffdPbZ$#*a?Fn};F`A!A~1`x(2-^IYd0K&NByBQc5 zKp2;N4+8@O2;-9PWnf?cVO;Wk3=9k)j7z?sfq?;pamh~rjf>%FUrc0RU;trU`X@0k zFn};F`N<3n3?Ph4ehLEv0|?`ipUS|%0K&NBr!g=vfG{rk=?n}EAdE|X1_J{F2;-8U z$-uw>!novTF)%QIFfRGo3=9k)j7xqF0|NsH<C34tz`y{)xa8+CFff2HF8TQk3=ANQ zOMU?Z0|N--l3&QczyQLy<QFk8Fn};F`Na$j3?Ph4ehC8u0|?`iU&_G10K&NBmoYFf zfG{rk<qQlAAdE|X1!&#?Px@cUz`y{)xb&}LU|;}YT=J_K7#Khpm;4$A1_ltuCBK$| zfdPbZ$**HzU;trU^6MEG7(f`8{07k6F&_VKWME(bVO;t*F)%QIFfRGc3=9k)j7xqC z0|NsH<C5RXz`y{)xa7AnFff2HF8S>Y3=ANQOMV9f0|N--lHbX|zyQLy<aaSJFn};F z`P~c*3?Ph4eh&i!0|?`i-^;+j0K&NB_c1UqfG{rk{R|8YAdE}?00RR92;-7J$iTn= z!nouQF)%QIFfRGS3=9k)j7$Cq0|NsH<B~thz`y{)xa5z4);ZuwzsDIE7(f`8{u2xg z3?Ph4{v-nf0|?`iKgGbn0K&NBPctwufG{rkGYkw2AdE}?ECT}r2;-7J$H2e<!nowm zGcYiKFfREE3=9k)j7$C^0|NsH<C4F`z`y{)xa2Q0Fff2HF8M1A3=ANQOa3YY0|N-- zlE22lzyQLy<gYU@Fn};F`5O!j3?Ph4{w4zh0|?`izs1170K&NBZ!<73fG{rkI}8jA zAdE}?E&~Gt2;-8!$H2e<!now`GcYiKFfREA3=9k)j7$C@0|NsH<C1^Gz`y{)xa1!* zFff2HF8L=63=ANQOa3VX0|N--l7Gg)zyQLy<exJzFn};F`4<cf3?Ph4{v`tg0|?`i zf5pJS0K&NBUo$W;fG{rkHw+96AdE}?Edv7s2;-7}$H2e<!now$GcYiKFfREI3=9k) zj7$C_0|NsH<C6cxz`y{)xa2=GFff2HF8MDE3=ANQOa3bZ0|N--lK;lQzyQLy<i9g8 zFn};F`5&NlM|kRwp9~BPAdE}@F9rq%5XL3{n}LA=gmKCLVPIeYVO;Wm85kHq7?=D% z1_lNY#wGusfq?;pamhnw`WYBNbF?3x*Mp|27+%;wL>U<n^Z&TyL395gj7uIg_YcCj z<Uw=)AdE{MH1`j}xa2`|{~(M@9yIq4!nou?bN?WWOCB`$55l<QL395gj7uIg_YcCj z<Uw=)AdE{MH1`j}xa2`|{~(M@9yIq4!nou?bN?WWOCB`$55l<QLFo#Famj<kVHjKb z0?qw{Fh~tHdC=TH2;-6m&HaNgE_u-0KM3QJ2hIJ1FfMt}+&>88k_XNGgD@_6(A+-= z<B|u>{ev(rdC=TH2;-6m&HaNgE_u-0KM3QJ2hIJ1FfMt}+&>88k_XNGgD@_6(A+-= z<B|u>{ev(rdC=TH2;-6m&HaNgE_u-0KM3QJ2hIJ1FfMt}+&>88k_XNGgD@_6P=N}< zxa2`|{~(M@9yIq4!nou?bN?WWOCB`$55l<QL395gj7uIg_YcCj<Uw=)AdE{MH1`j} zxa2`|{~(M@9yIq4!nou?bN?WWOCB`$55l<QL395gj7uIg_YcCj<Uw=)AdE{MH1`j} zxa2`qEePY12hIJ1FfMt}+&>88k_XNGgD@_6(A+-=<B|u>{ev(rdC=TH2;-6m&HaNg zE_u-0KM3QJ2hIJ1FfMt}+&>88k_XNGgD@_6(A+-=<B|u>{ev(rdC=TH2;-6m&HaNg zE_u-0KM3QJht2=vk_XNGgD@_6(A+-=<B|u>{ev(rdC=TH2;-6m&HaNgE_u-0KM3QJ z2hIJ1FfMt}+&>88k_XNGgD@_6(A+-=<B|u>{ev(rdC=TH2;-6m&HaNgE_u-0KM3QJ z2hIJ1FfMt}+&>88k_XNGgD@_6(A+-=<B|u>{ev(rdC=TH2;-6m&HaNgE_u-0KM3QJ z2hIJ1FfMt}+&>88k_XNGgD@_6(A+-=<B|u>{ev(rdC=TH2;-6m&HaNgE_u-0KM3QJ z2hIJ1FfMt}+&>88k_XNGgD@_6(A+-=<B|u>{ev(rdC=TH2;-6m&HaNgE_u-0KM3QJ z2hIJ1FfMt}+&>88k_XNGgD@_6(A+-=<B|u>{ev(rdC=TH2;-6m&HaNgE_u-0KM3QJ z2hIJ1FfMt}+&>88k_XNGgD@_6(A+-=<B|u>{ev(rdC=TH2;-6m&HaNgE_u-0KM3QJ z2hIJ1FfMt}+&>88k_XNGgD@_6(A+-=<B|u>{ev(rdC=TH2;-6m&HaNgE_u-0KM3QJ z2hIJ1FfMt}+&>88k_XNGgD@_6(A+-=<C2HX|KpMe&HaNgE_u-0KM3QJ2hIJ1FfMt} z+&>88k_XNGgD@_6(A+-=<B|u>{ev(rdC=TH2;-6m&HaNgE_u-0KM3QJ2hIJ1FfMt} z+&>88k_XNGgD@_6(A+-=<B|u>{ev(rdC=TH2;-6m&HaNgE_u-0KM3QJ2hIJ1FfMt} z+&>88k_XNGgD@_6(A+-=<B|u>{ev(rdD#3vE_u-0KM3QJ2hIJ1FfMt}+&>88k_XNG zgD@_6(A+-=<B|u>{ev(rdC=TH2;-86&Hv+)2hIJ1FfMt}+&>88k_XNGgD@_6(A+-= z<B|u>{ev(rdC=TH2;-6m&HaNgE_u-0KM3QJ2hIJ1FfMt}+&>88k_XNGgD@_6(A+-= z<B|u>{ev(rdC=TH2;-6m&HaNgE_u-0KM3QJ2hIJ1FfMt}+&>88k_XNGgD@_6(A+-= z<B|u>{ev(rdD#3vE_u-0KM3QJ2hIJ1FfMt}+&>88k_XNGgD@_6(A+-=<B|u>{ev(r zdC=TH2;-6m&HaNgE_u-0KM3QJ2hIJ1FfMt}+&>88k_XNGgD@_6(A+-=<B|u>{ev(r zdC=TH2;-6m&HaNgE_u-0KM3QJ2hIJ1FfMt}+&>88k_XNGgD@_6(A+-=<B|u>{ev(r zdC=TH2;-6m&HaNgE_u-0KM3QJ2hIJ1FfMt}+&>88k_XNGgD@_6(A+-=<B|u>{ev(r zdC=TH2;-6m&HaNgE_u-0KM3QJ2hIJ1FfMt}+&>88k_XNGgD@_6(A+-=<B|u>{ev(r zdC=TH2;-6m&HaNgE_u-0KM3QJ2hIJ1FfMt}+&>88k_XNGgD@_6(A+-=<B|u>{ev(r zdC=TH2;-6m&HaNgE_u-0KM3QJht2=vk_XNGgD@_6(A+-=<B|u>{ev(rdC=TH2;-6m z&HaNgE_u-0KM3QJ2hIJ1FfMt>Oh04~HSBzV8=wgT@c9IY`G3$HD>ix1+&>88k_XNG zgD@_6(A+-=<B|u>{ev(rdC=TH2;-6m&HaNgE_u-0KM3QJ2hIJ1FfMt}+&>88k_XNG zgD@_6(A+-=<B|u>{ev(rdC=TH2;-6m&HaNgE_qn|gXYL#@!J8K5JHQ8T=Jl}2Vq?D zptuKNT=Jl}2Vq?DptuKNT=Jl}2Vq?DptuKNT=Jl}2Vq?DptuKNT=Jl}2Vq?DptuKN zT=Jl}2Vq?DptuKNT=Jl}2Vq?DptuKNT=KB^2d#mC#lHn;!VfL}amj<?9)xkpgW?{9 zamj<?9)xkpgW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9amj<? z9)xkpgW?{9amj<?9)xkpgW?{9amj<${(vwpdC=M)5XL1BTKfaSxa2|g4hZ9t2d(`9 zVO;W{wLc(?OCD6NfiNz4P`U?UT=F1s7{-?VL2G|N7^DW9JZS9?2;-6mt^EOET=Jl` zKOl@t9<=rcgmKA(*8YGnE_u+}9}vbR56k}`Kf?U?155tLB@fE`AdE{Ml=ndxmpmx% zgD@_6P~Hb&T=Jm255l<QL3tm9amj=7J_zHI2jzVb#w8ER`yh-<9+dY%7?(UK?}IQd zc~IU5VO;W{ybr>-<Ux5KgmKA(@;(UTk_Y8|5XL1B%KIRUOCFT>K^T`jDDQ(XE_qPi z2Vq?Dpu7*lxa2{3AB1tqgYpFk<B|u7!!Wk|0m}Oz3{rzl9+dY%7?(UK?}IQdc~IU5 zVO;W{ybr>-<Ux5KgmKA(*8YJoE_u+}KM=+x4_f;N!nou?YyUtPmprWe0fiwf{0@K? z6rr_0aLI$p9uUSQ4=Q^=7?(V#>;Yk1@}RN@gmKA(${rBLB@ZflKp2-isO$k@T=Jl@ z2ZV9SgUTKd#w8Cbdq5bMJgDpeVO;W{vIm55$%D!s5XL1BDtkZ}mprKK0byM7pt1*q zamj<q9uUSQ4=Q^=7?(V#>;Yk1@}RN@gmKA(${rBLB@ZflKp2-isO$k@T=JlN1H!oE zLE<osE&qVZ9uNkp!6pwXdq5bMJgDpeVO;W{vIm55$%D!s5XL1BDtkZ}mprKK0byM7 zpt1*qamj<q9uUSZp9)%<3oe2{VFU}G4$y){aQTx8KmQPyJgDpeVO;W{vIm55$%D!s z5XL1BDtkZ}mprKK0byM7pt1*qamj<q9uUSQ4=Q^=7?(V#>;Yk1@}RN@gmKA(${rBL nB@ZflKp2-isO$k@T=Jl@2ZXW9BhCi}l>zYh2Q8>&U|;|Mhp(`7 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..bcc79b7c4c8b4b292bec4f9bcdd28deb5b0ffddd GIT binary patch literal 31968 zcmb<-^>JfjWMqH=W(GS35buBzM8p9?F(i~i84L^z4h$9yybKNuatyKzYzzzxEMPH+ zJWM@|zQF_$htV7mE(0@Ep9F}(z`%e`%Rtq^XpoygLLeGsABc?&e-MF)!e|Bo2p^=6 z6~t5k5ey6rX!Kb%h&YT!)(5sP03y$TMjLQK#9=hDK2X>M#6aW&a!~07U_%)gRzPW( zK2UIh^d&&`B|!DTXa$f0kn^E5EIdKRfUpHLJke=1?=qn4i-77wr(Gb<W`NNkJ3vCg zPfJojY;=2Id|dVgK=s{$h9f#{1BxgH1_l@nvIAsa;M0;6P`H5D#9(N&GXz2H!xax3 zp#Fx@Q0p1=b25|6O!RY7baOKEN-K0LEX;JxO!SKL^^CyQgWLtO1C*xR{X!X-8W;|M z#9;bCY*11J84r^GrmV4aiTi_1OD61IFd^*noZlXnw?Jw@W`Oj7)PM{IsfR`_h|2(C z>oG8Z^CZOojbL$*7>IE(^;|B9#)T&{GB5~Xkql$OE-r>c927p-%#j79MJ(nQGGjNt zodLUe7ZY}IUL5Wz!Qrno9Oi?<7?viHox2!^Iqz_|=K>D%U*Ztg!4W=zIKpQ=4s(9s zP=6PP`_*x{-wTI&Hyrl9!eMU~4s&+l5ck319yJ{5b#U0b0*5)Dak&2|4s*8RFozq5 z`d>KQ>5n6Qmf<je9uD!NILz0>Vg5`U{*A?<z88nTm~ptrfrWtq+5|w(E({Fuo*};R zDXB%N>6yhPsYM~a&N=ycsUe9;IjIcs@#(qwdGW<1iA5#x@erk06=r5<#+PNJ<iw|C z=A_1#B^G6Z^vA>0GQj1NGg6bY<BJmW(o^H})8dPBGLuu|^9#TVl8aIkOH$)gQj0Uw z^S~mh6{*RkC8_ZRMfu68#l@+`U{SC|Xa;5GrKDEC3<4|2%u6jQ$xO_NFG|fyO)dfJ zOw56q3|3N{oROMRnv)t|Qk0lioS0ma3G!@adR}5qd|G00Nqli?Nm62RwgFgkW@>6` zd|qj8YEfo#d~r!iJjj`;De;+kCGm+VDPT2VV^hmg^Gd+7i8=8FiA9OIsU@jJVEN*L z(&7vVE43uPxGcV;vLF=_Xa$KS8S#0Exv3E8lH8K`{JfmZJSac4B)%v)zN84`qvZV3 zJcx(D=2d}pAX%N9n3Dr?H&{HSGA}VV6QmX4N03^uWN`sB?BPKH59;{DlK7I$+|>B0 z)S`T_Vq5``TbfgnS&&l+Rs=FS9&Q?zfGy6+F9)kE&de>%L5uK=)Wm}L%)Crc#AQ}N zyq*FNv!c|T{N%(Eh%iBmP+~B?II}9%kO8a-?5MQTyySRrOreBUacW6?a#2}vd`f9< z0k((%n~(!a9A$|)rK#AX8COW5B$LFVqQuJh<ow)%#3GP6Q19Z>Ta*g&0yyNrZYatu z&df`XPfbaOga^pw6~&pkDVas_;9vqJ=FGJCqSV~Pf`U{?`YcY(E6yx|g)BUpppgzX zJO!L2iZgSaiZWBuQ{&T9OTtr<!izFNX*fPUCo>6@`auOkZenI0LwtO4MPhtfW?o_r z$k^P}+~nK>hTPQL;?xolm0VECkdv8Il#&?_jqv=U`0Ui8ywn`M;(R2jB#3n=Qpuq9 znHht-kEfG!ypf)v37lz+U>fO}GU%5U7wKo@=cekX<`tJD8S4AGy6Q({=49qo=$B=d zC8p#X85o)98S00DIr>muPG*vRPF{tXiC(_Go*oF56cj^5^wX1*buIOb^$hfrGV?%E z;07eP<;KLoNCgNpg_(hofdy<HsBOr|z|1g<g#p%mV3;y-8XKrbwgf7+1<Yq=SP$iw zN@a2~FfcLf0yRDv7#Lvv5?Fs=fik4HkAU_&VB!f-agG9rJWL$MS3nb=;0RHtfhI2C z1Q9nt6JG!ow?Gp&aE7RNKofsp2od){6Q2NSo-+iXiGMJLsE<GscQAp7C!mQ>fQo0J zi9djf7odq7m_p2{Kof6(iZ`H%Ux12tpouG(LCl$eCf)!QpMfTBU;$CT0A1V?BEAAm zya6h{0Zm-M3Zi}on)m{!_yIKW4b~9#C(y(VY$4(o(8M3uLBwyMi5o!E$pbX;2L@2} z`4Ae^_W|{_AUp<!2T0;PFhK?eh8IZUf=J>Yki=nqCy?|HBymlU02IG~x(C#6;Dd^R zs2O=6l7WF8oU<Wp5V-(J9F#9XVj#Q%NgUL-1Brp~1|)H4Rs;z%>_8F+`57$8z`$?- zNgQN1O#B3rI4n<s<SrnILx(6p!VEW%#JRvC5aI!nI5$KHOuj%8=LL&Eh!05O{1729 z`2$HD)VBo-f(U3hgWQhXkK{lS7Y3<=VgV#^P(K<f%pidzE(#L_^|6q|#gN1`ki^B2 z#0`+dC6L4|ki;dC#2t{trI5ruki?~t!~>ATVPjYz=?EloP~RCO2EqwQ;&LDXD9%6< zmxqdhr~)K$<bG`hlDHyBArv<ti6gh`JCMYoMLbx?1SD}4un2^hfh4X95dxD7ki?-w zX<+dcNaE^X5eTsXNn8UW1SWSNiEDvHAjAPAaczhYm^^_b4(e-z1sNC^E+C2P!URX@ z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVEnA@G@B?w3dN8xD_d)|37W3?8iqN|^p%@Mu25 zaTpvj|4ozp7#RMmruZ>1@XI?e{8t6>GeB}LAN>FS|G#RK9|J=MsGI!q0+=5J;)A-t zFAsqEULZcG>-%y8nC}GQgSxyg7l8RzAU>#T|8fGDZv^6ly1p+Pz<ezbAJlDpSpeoM zf%u@V?#l!)Ukbzrb#Y$?fcZioKB#N^(gDop0`Wmz+Ls1kJ`;!!8ZvmP0OtSlWnjnv zb!}e?fcc+5d{CGFB?FlM3d9F>abJG;2lDSD5FgaFefa>)zXjrhh8kX80P`<__@FNC z%L8EkDG(pjwSBn(%s&L;gSxaY7l8S@KzvYF_T>aHe-nrg>cYNk0P|OY_@J)q%K|We z5r_}!vc60J^Jjthpswo605E?Nh!5(bzH|WdyFh$U*Yu?UnBN5AgSw<I6~O!|5FgYP zeJKFu7lHVoF6c`JFh2{#2X#GPe)tRWe-elf>T<q(0Om)5_@J)l%L`zB5Qq=zV!k{8 z=6iwopswZ14Pd?#h!5&gzFYw2TY>nXuH?%JV7?KE59&g`Yyk7MKzvZw@nr#+4^p2t z0h9ni7?geu-+FdljPvMx<k9@(LqLeD;Q_;w9=)~}$_xzuMTLDC7`~+G@yoY>lrwnr z+Wz!qVDRW=1;w+6<&P3kk8aj$K9Ho(`TqgGd;>_~VJPj<YkLx`-V_vX9-99=IzM<E zd}r@*@CUO8<1vqm|4KwWx>@H!4dD3yAdO$%g@FO4ALPCU+g4u&28L4YP>*KYDjx<0 z29M6CB|IM8wrxHP3@<eQ|Ns9Y<^TWx$5>;185kI25A(~T=zm%M|NnoedmO_Z!yH2$ zLxMdzFCTM?U}>%jU@W!s>8^I@uJ-6I_UR4|Y5w)U^9R4nvF2Zlj-B6J_+7qRes|&b z`{2^~@rCT)|NmXIi#=TU*B6KQGX8tn&%nUo(_0+j(d+Et(aqt}?ZDyD>7C%w>73E+ zoY3hU)9szZzy2WqdhZm=6ZI*co&P->k5BMoV3+^_{M(!(e0o(5_;hC@T+r<u^J4R# z|Npx|+EY3YX$O1ouMZA!<lhz|%HYfR$BBQNh*C#zgyo46q3+-u#tWU!G5qTf@vlE+ zd7^HuV;5VrV~k_0W1M6B;bTrt4E)=i96Wki4)AaDa`a&Q+10}M(2Ieg!^!FJgbCQ? zjlA*8HzUbAg3a%0vA6;jbUY047?cc!2Y7%-=Vi~%Kiy6Vu7=-Q59|e{5`NDY$DP21 zU#C+}XI8;+C(ybChR*jdru_c@ztcs9#iR563ynYj|9f^G-3KZpJvxtjbiR6V^w<CY zF5T4$uC4!F`8~h;bbf#F>G%Kt9-Yn=9-YU1I^X$petPi$tnaR8^V^2w&7f2XGQg#C zHz*~$bZ+ioU|=}j+yfnm^ymgF@#t)x0!m=r%^+!y&gMA`3=G|1vCd{tuIcVxz`(!& zj?(TK3=9mGC+d`6&SHQ?X!m50G~)$`Vpycq*LZYi2Xt3wbQkAz2bXkrgG_pH;n)BF z5N78IZRZUB_0A>y+gw-~T=}={VY%zgz~IrHUD3T8<nr##AV+sk2D$dd43IvsW3<6; z=3fu?g-b^bD?>L}yc_B?{??rT|Nr}RCs%lOyE1ro`*L`6Iy-oDdV6>z&xUyL{)<j< zz#RpL+cS^OTQ64p{{O$bMup{t{qO((T{^$NFbAu60jkyDDJvEdX+ot5Bf__p<} zBfrPn&i60Q|NQ^ovGW)x4pV-C;_$fPH)sU1{QUpFJ3FEEt>Ye0LU7^teER>aPv`R& z+P}cjSO9j;KcCJ=FC;-pA-m$Za}8K!=VDNR9B&2%2&jTN297C)Zs&wvkN>R)T=+d- zbasQn={PtjAbM7VBK3GPD8fK`Ji0;Yq!|=dh<INCj%kn>G}gP<z+-v|IHvjge}c2S zEtdu?zQOUj8)RMgW>BPdPX^i1-3>}MFW&zEMKFljc|sc;NBrx-ZU9F!%Rd{|@_hbn z2RxHm+I<**{D0ulon6pfUC~`!(>)pFy%+0127r^GwsQslda$35xv(-Yy>^G@FAOc! zIJ7Xo76EC2gcc)+3C7)E)4RJN9xRdRo(%FdDE)$*4NJfLeIlTA)SF$=up5+Y82DS} zF)%P75>@jSP^RhZ21RB!nA6$30TiCy2jF42gMoqJwKCXN1kpViqyS_eNIA^DdS`Th zb$5g0U(Egf|9>~wWJG}QZ}ZOR@Bt-#kdp3hkUf?sN|*X{R~H~$2v7E))Z@{4|HY&q zpo9iV_WwZ1e&Y{FYWD=w&tEu#6<|&E2=^aza%2RRuU#z`&v2H1!5)ooK*<7J0{-*q zt#UAYYxoVc)Q7>R^ZyHu@BjbXFqQ^;bjGLzcyv1lbbCj1v2b*>sDM(GM{kHq0H}bQ z`2YWZ8|G3ikTMR#Zy=p^-~a#bV(4&D@c^mf0IA9bsbX^ApK|myli}OW7aomAKvqYC zYJ=Fr6A<~;qw!4zBd999?AiIRJ1e2r^?zp*DAgfya1$u5k2ir*Hz*r*t^&E|coQfu zfpSr26UgM_O`wzlkq2d-<4vHn1eSmQ;_O#MF`oDhR0JG>7Xgf4L1j3&G<NKDXLN1- zzY}B%zvl_Z=Kud(`2AmW@~C(uzXTN@qTfJ~)eOp_;DX^9s1jiK{{O#6FF0YibnXU4 z{&8^91DWE|xf+xXjyHo+B*-yc;G(jl8I;_PH=h8h0i{oHmg;Oi1Fj3MK<k3$AOAc$ zeN;I3`<^l}F!Xvbc6Ni-tsQSZ0@c=ffWPA&6R74-Q4#Rz%~6Sfr3g^uM1TkZ{%v5p zI$S_;njO#$&N$thL4nyl85D%w-5}#$ocaPv(jcZbxFq9W53X&%MMQ^-N}x~YcTg!< z{Nw-sjusVAh<kKrXLRodvAQ>d!oGVlD8!-0RU;V(Qo_H!86*oau0;j3?g?z-s~3tt zK&A6>kM7l=VC@D6VE5)Npir3%3N@&KT1W<h!kB+OI84E{4alPq-+FY;Q88d-V1W6$ zMWq0gxIncYE{|XQjK||GDus*;3_hLTJ$k2r4Sr$t{r`V(V0O+?c>yX^pyAu1q5-i9 zcbKFj*@PubG!Zs|41RIq8`vgT$lnBUp&{QnM+KC|A*DVjcXVS$=+94}QXdqdpi&>C z2qj8eR30#Zt9C5zeX$p$7o5zrAr%E`>^%fkKaem1Ipc*K#A!&8ssj=MC%kU3G2Pvu zq-}Yk#Hf2RIC)>_1dGE;TK+z-zyJTg7CGJwO5vd7jY#D_-J3xv!=u|rg~Owp(WBcF zx%mLfUZ8T+>oX{8Ldwx^pmH=56xyKX0|SU*qw?a%Cy)wINdzizP+Jg)@(9#Egcd_i zIff@&-|~CB>~sP(2|=#v%&Iu<R0GP$o$p_ie?*ij+@HXu%05t!8QQj3@c~q@6z911 z<}tdqeskOpGQgGJ^RHv`zkeWSGq~{kz3@za4=P!9e*`%WTsR;~mSrFp_f7`I9ZEwH zWROeeYH%?K&ZQs+b$jP%duP;?bXOO27neA8{&Cbi<JozwJGjP&IXS@9@POk^kg^vO zKm7j>DFs}*oin<<b2|TOo<&YS9?Yvjl@nBX5=gmoj<$0~ZvbQK0kFIIJuY~3zW3>T z_JZZp|NlOnpM1K(ts#$YCXa3}<k$f@5fnRXz}|zz4!Ci5`XjV)m-G=--Ti+N1y+Hp znnQ{o*UsaPo##9{KYMikb?iLr+q=Y;gB_GdpSyG(dZGOB|Nqtl{4H}qiMw~Nfd&J^ zi~sLI1@zt>1`G@@K10|ZHVh0eo<rC#Y#A6{+=j3foER8hoQJSqfY^uMgFL9C;?uh} zgAHWMdyme0E}frTI)A=+{s9zchdsJkR6Kh3XefYP@yw_5*Ne9wK)R5W_JECf?$LP< zBz+E~vGFL#K#xv@D%6JU%Z?BK|HI02$FSf4kIv7Y`#|e8K%*j{iud~q(|7;>dw^rH zpuvWNxm3*a|1pS+^t=E6k2Nm<4cNSP^6af+GW_;}9i+OqTEp<0;U&Y9FErl$|KD<; z#L=_)7&AoEv$r7QnlCUhFm!i=wt9f7f3P7Qy}KtcF)(;E9`0ZURo3?)ZjF1{3<|5} zBOK9=agdfc#Kmy;f)*qr+}jGVU<TNN1x%oFnuWuo`3DPs`#Nxh@%qa$FnA=hG<q<e z`2WDOw~pEH+Y5h)E>Ka^-3=-VKz`+KoepaHH6LSvxLxZl$ip5i3=E7fL6xg#Zym4U zw->??y*EJBNjIp(1L-X-^K3rG2hsQG4NM>NOHfAhY(6IPy3n(?PTcU@i>q(I0fJ&P zQ>nja^D&9n@}AAdWWi>@!eaTG|NlLkk8!=0_G~`J29|m;8E!noYaP$#V*(K28n`gi zYf;bUV^R>|RJbsUNAK<vkPtUuK?!lecmMxS2nMD9{h16543NNmp$%~<B4itEI2cPg zk2QZ_VPIf>%?@EOdGzk)098|shi^bkjedFXE!?~;gn74LgME%^-V9c#c_0SJJO_w* z4Xj{SM!zgXn3s((uN#YbOd$6;utCiOF+k>l3TKbT!y0T*^Ar*0fflnt{cDZIJg|RX zz{~?NK;~_LxbFhYyo+z({snEhfSULE6{df|?wi04bsvZUGVcY%yb5-x`x+7Effmg` z&6|zIJZ6x8EjXa&ffyk3KwE)48V@UQK+Q8mm<L+?05#7Wmw69h=7AU>^CBRr<^;^V zhp+$tp8(5`o&P-cCowQEfCf?xzrFbS^8bI&-fizeo&4V2piNA>KwDH^=z>yH@9qMK zQ4?4g7{KBlo$!304Kfvy*FhwFcm-5Qb^iC<R}QxX)Enr12?||MZ38K%JmBS2F~|jt z$KQhn-g<X?ut9C|>HPO%1t^MpcZ0SHcr+e1U}In~ytEVKJXga59-a4IDljrIKub1V zkoky`&F>|sWaDq)fv5nLA>1Gp-QWgyH@FoIDhK#mUxCVy-Z~b;Z!ccH0J#y|8HbcI zKE10!?(ygr;qd5o;o$J-oDAl7HV1%e0*K3edKW`o1{zCtH9X+c`5#m<a=!wX1+0*K z-TUJIe{l7`4>SS|?r|BObld?No_5>`8V-8#`vs`6uz3flHP5_x0}}&-;eUi;uo}l5 zAk9$qr(gX4zv~0I9roU*^V^H+SO5R-0y$wPND%H0cq`{+)yx0?;k?7J^suiLC7zx> z2ZaG5o>)EqAA9Wr4dfFbNkkyOd=3d@a1R_(P%i_i=xzqZQFk|}oB;)Lsg)<ZkZyhc z|Nrsk7oceXaB;u;0;o1=JbZzj0kV`8DTQ%@QbunbtKqj7eh_ml7(w+CsJsE2tAQwG zbs-9FFoP640Ff*l{||J6ZF)TeT0SG!PmuC+65R7IUOxl*ycuCavkeCyD8EjC<u4Ee zl)nTZnW6%gzZzda^OtAmH;?^O5k}5<_W!?UcN@q^$L>C`t7JHkW3}_<i;(C4{~vtJ z;@I5=ig{1wd7zm0=;o2|=ys9d@a*if;ACL%>}+!YQN8;fFflNAHXc*pWMBYCwom6b zsOMhZdX8u<OaSM1uzRK<+`~bHdyYJVxu*eS74y6bkZ+OP^8)0M&bALAs&^j;GuS;B zu(-zy#XX^pVW80m0Z`f5`Pmax41szJ&|)a>DX0tf0b~_(^9vBw>7tV0aoj~E1C-=F zc7PH#sPXF2>7r85-3{7h>cQMC07^WaJ}MO+$6HiDO;)ht&K4C=Jq{X)5b*5XwnG)v zYWw~|3gr9FW8FC_5gxrIDghqHSyVvNAs#zGlOQm|IXpU_gR|!gsi&aWWAp6x<M8Ng zp1}nwox2xsfkvC$1Ux#MS3sB&9-Yk_K$5+?4M5ImJlw#=z_1Hsrbp+!7cS5K|L=VN z;_s9H|2-OyfV>~=7zZE!2myr&xPuCA&3fzyb)%tS67}T&f1lpHAm?{CJAjO4ZU*hj z1O-6`C};{WU0(qW2hg+($o~=^pjP_77kSS>g-eM_f=6eI3MgNCbi1fTfI3tO9-TcZ z65v>AQ2{xxyLke{E=W7CMFmvKg2ETny9G`Ec<uq24hk=y&L!Y3F{o<{>J~sPD+Kwq z^QcSr9u-hQ;L*E9<p(IUA9qpV02$!ZnW7@#(OaX!0SV}hk3l)lmB9l%EZiKy4G!r9 z5Y_Dp3h4|86BN<~+zbret_q#a6(F(R-Jor*9*u_`xEUCBft&>j@{3PE9g6QSIv<1R z|1TOr<~JSz1v9Api*t;RJv;$aUxCU1&_u|-#qc}=s;eG80=WlK271Els^gFT|99+e z=l5e^=xlc20VRcYc0Z7Fn=K%`|DZXh&SnD$@4YWbN&k0W28NfQau!;SHh?TZl%oqD zLCR53yg(bgaUd1l%^)XtcZ1qP;PS2*QI6U|Tw;vu5^WThC_{Kqmk9fTT_Oz@?AG7` zyIBE5_3mx}#dqUj4jx1pf=B6Ic02|(4!{NQ*@vJoX<h*?fI$r^u!ABI1@Ib(f&@^} z+noV25nOAQfNM>#MV*_$)^>+*2zYc(2D!_lJA^~RqjNGS?7Cez6d+;xGV?#EqKb2j zM=q}+{XcMi0cCX0&hMZyWcNmR%z-+Y>JLF#);+_q+aJ=*2=@4Y7&Ojz{{;_Ns?8i6 z4t@3@s<ZzCD4IIkUx29I{VTv_+3^dYZ~&DzKArzO8jpZ%gC(1nWuSQJtp@d_c0T|G zPCcwIb>{&j5<z1)9=+9|7ROAGithFYj0_Bp-Tk0eBPh`LTiHOlt+yJ~<S2tE0cADE z?tW0lYpCH6VdiiB1j;bYhgl(x_kZyJ|9()`*$3+Q?*iq$ouJI`2rd_){q$WJW_k3w zaIk=iiv*7yAmt!Wfw&o-dq7N(F`&FyaNI=&l*Sl9B?fYd83BsZ&ci4rCa8o3X+o5f zpYDT_2D3-EH-{%QETJKbHAr6=Jp`ACuR+>SgZHpU^I>*~k7Mr>;o}qcLDAig6ndZ( z#R6)p@pyJl2S;mXJ1CN0%Kk&H94AbG_MbrE2`z44-vfE08MHsTyL$&b0YjR7GkC!n zW&w!m-R%LYpc)T1@IotLkIsA1FQXrz*Z`{Tn%{VUTf_f6_JekJfJTN4zrAPzTjXre z?QH?-UAw3_cyvAjl{=P?|Nrl3{s9^U>@C*tXgmx`Ifj>@O}`Fda5V-h;5OX<|9=;V zzY|1&#wNkda*t@oxR+P%L7V|A-#qq%Hi|&4X1@pO>Vmr6Og_EE2|m5MJ3#GBh!x-_ z<9ATIJK#P{88h5^3oo$sAZbImG!tBU#XXobr0C$i4{-*lYXHV*;bFr7+PuWTVEFAt z(Oq!BgGRz34Rh2`QGNsp6%S_6AopfazaG>TU<P*uK<#G79ShnR!Q-=?_g+lD_y7Mc z5Pv6#Kn<APcTrpf3LnQ1$e0SKF=CH!(8D{RWYy*lDkHl4{2@gqXy3L+XY&WJJHTV! zKD~=UEjz<YJ3*NV9D3k}ztBCf_Hc;ycns}O4URi9<k&#dE}+iBy}MvN=@32nxb>{i z=7i~41<_Lu(Nm9GkA{>3Oivj^Pdh|UKW;r4>dr7dP7poQA$sQH*0aLI4W@?=qGvfo z&wAW?UO0Nf^xT33`*w(){kZjL`1`>0EQ9Dd4$*TSx1Nj`f0&*kh@R^ZJ@;|zS&<n8 z(_;tG^Bkh*J#IZO%0i)fAkFd^&~(?!|F{4D2Q458_UQb*6SSnqqgOOr3$%L0w1kU+ z;RXL4(D>x;1quudFDBmxvu}Xd?YIB`k3J0230~UOYw8A4-3U?vTG)jop$n3jap(Vk zP&<s_MI6XLn3B+7knYak9-Uu76I%_tK$VC`ujv$UtJM}nz3{yS8p(XudH=;?km<d) zEFc5JL88633Ly6C+c3i)-u(YRG&qYPz!78|gCp2Vk6zx~{~(u(`hqD_9x!Fg1JZl{ z=Kud5%|{gWr+{{LDc*va1o9s^oMI841i2dIz)?IJ0;3@?8UmvsFd71*Aut*OqalDd z1Q;2Z7(8$M|6g<C|Nk{m4CC*5^#6bE<NyD^J^KG&@A3ctCXfIB2OSxrmy%heUy>A` zmRS^<mst{@l$w!PrVo|@AGrdu5X{4^uDA?zk_`g`0|9lQvsFMxs}NF`o0`jzS)7@h zo6C?}46+~8T4t1HwgwITgBFv!od5qnhmnEd%EkZxr!X=wJh}M)e+Y<w>Hq&Vj0_Ae zSN{J84YjCT`~TmBiGji8=Kueok?bos|Nq~^#K4ep@Be?$pf$^b|Nldn85md|{{KIR znSo);!~g$5a}gqs|NrM;VPHsk{QtiS3j@QJ$N&F>&QM}`^8bGf3j;&QlmGuKSQr?V zJo*2B3JU|nmnZ-KZ(w0yaC!Rw{~3_@)Bpd!fYd$z|KEj`fg$9@|Nk|t3=B(N{QnP{ zFg1Dk|NjA228JUq|Nno%%D`~t)&Ku8Yzzz`Z~p&J0G;^p?*IQ8ApVE{|9`MCFl_no z|Gx-31B1!O|Nm{+85oXy{Qp0Loq-|b)BpdV3CW{h{{KJ2&cN{h%m4qNX%&gD|Nnyy z1+@P9|GxwW0|RJ-0w^3AtAZF9D+Cy&dDuB7FhayZn+G~B{QnOMR|Xe$P&bwVG>8g1 z8soy*|NlV~{tSEqZhR76{M_Xn4Gi{D)>_6YpgjR#b3sQNT3q=5AGC=BWCsX?20BVW z`}i;Z{|}moVsPRUaN?72;!|+q({SW7XlCnS?Pcj>?q_1U$EN`nmH;aO&89FgFo0He zg<Sgo|2DXDkEGX$&%lw-!jaFRnZ1Xtm$i?jpSg{R`6weu%)klBC`Ue!dpsBz82*5I z8<+q8{|FlXa3pBfZ6+LMf&Apb$iT4Y+W-Hcb@mK!vmE&}-1!bLHbb4mR1NZ@0@%L- z2zRtFGB5~S|Np-iv|9$Q7wl$`pWOL2Fr#>?kOjL#Kz_Qw$iNVC`~UwL#Dz~2uJ8e! z11ZDAz_8-Z|No%Td4!+f?m`P|rhC|21v=g%g^7V7;qL$cOF;?1iBG_hPr{K;p_#dl ziD@E;Dd5D%;mW7r0%L>H7ie9<oxA`4$AkQVs^=|CohzRLQyh!~I$IQU9sm;q1IzvY z|NTLBIr0fKGckFBA{?}l<_!}Ag9Q#bP@d1hA*aI3z_0*^9BAU@3J$p#W(Ee12iV<G z!OXy5gGCOMzosxVFcdua|34Ju2UNd%!o2Ilz`(GFnSo)&ga7|Q<L$Wf5%UB_q|^@% zY6C}95e5bZP?+<uFfedD`v3ncroFRa_JYFP3&w$lxeE&e!-Yqf{sj3YfrWvA<?;Xj zZJ1_O!HfZ^>tJDE$RVH(l$Jnc>6OR-|MP>=1thOH@+r9UZD49<>0@qVV*1ag;0P*D zp!o}QKJ61028In!{{OE>=m8fjpz_9@Zviu?%z>5{>5QOaL<1}hDtEw{6cjcZtPBiq zp8o&86l4atKmw;>a7o21#R#?$9JUHf6)+B{{7YbEU`ToX|GzVO8RN)j;Lf*!5n(q| z9){Jfd>a^<gD{=s$On#cP?`qS6(KL7X$6wr{Xyv+6sC7r85m}~{Qn=k9|4k%T=){e z`7Re0_MkI4SlAdCKD_+@zZn`wP9RAIP<Zw;F_pr6!vHS(L2DU8Uj6?Mnyvz+IY&MT zn3-iDZJ@jiDi0&r7#Q}v`v1QW<ZnoLIe}sZ6z0vWJ&<sVWkM<soj}fJ0QqSO8w10~ zH~;^Gk0f#h<>3;r9H_3^z{bG9|MvfX&_*~04?ckaJ`QkQbApY5LE!EG|DahAka!dy z2RKbXU}IpAe*6FbN|4`N`2^aS9Qh=gnO*o4nEXMO8#sYVEr&urg$S_o!4VEpJBmj` zU^E19h5+pRIM{h{pkfiE7<^bXbbA7bUk_^2An`$G*nz}B^MoM!7*rm#Q5VFAo!1T8 zs0-pB0Id&YU|;~}cLu~ccA$-}AbC(*4n%|c0U#Q7o*k&|3gW}$zyA9VS}ex^UhvAm zzyR8a43am1DgbRn2JugT7Q{kVC4%?{P=%mbKoB2PK7nY^MqUsN!%%Gu4WK1{(77Uz z+ySTrsO$pqp(~phKpTBQd;`#qVg?5AIb$F`18B(?0|Tgu4(5W^jDQ%NAOc$7f|xLO zfY>1X`#;2gj8Lurp!@^Sfcy{T!{X@!l%D{V{|V*8!VP35H8Jd5b96J!Knr0Q7#Q53 zbQqLQgVJSCx(!NCgVM{O^foAc3`$>v($ApuHz>^p+PTcYz#s;t)u6N)ly-yCVNf~^ zN|!<DHYhy}N-u-b+o1F@D18k|KZDZWpfnq}SH!>|2Bp=Yv>B9kgVJG8It@yfLFqOq zJq=1PgVN~XNr(?S#}Qo}>>Nkfxs3~;=QP63WrUr>2s?KXcFrQ~Tt(P9im-DNVdo^m z&P9ZsgQx&K-w<}rA?#d31JE=y0|Nu>+(Otng|Kr8VdoIS&K-oEGYCId5Y#wC@|3%? zvz3BIXi{ljNvVRNG3dZfU9(a!*Qn0OM9;uP6Do|P2@4aHUsxDE{zsPr<wwx@oHfw= z4NYbYpaTG~sRwPeXJX)I_<$B~AblWl1#rU!VGHOOOOQCMe1*w)g3D4#h7U;R7J}qJ zeFa7aQ3hD~2NDBeP}>h=4y?QeiGeU^1so%T2>e`7kQfLr2jAC#>0i)!<=Fg%%fFyW zKSl;&2AxQdsi+v6Imf_CgcxA=1c12=3=B8H{uPIpt1$8RU~w@9^m0X(8Fb}?1Oo#! zd|>K9eOS<84KO~81}&-*WRPHhoofo)T?AU{Cd9zc084-1{ssdBgEaX3Zhi(>dItA1 z85kHK=bQ60z|u3g55>U1U<g(ZvkgHjg3syZXMo+m0Ul#vU|?{;p*{wOIB547C=DS@ zhOipI>iHR9`5D}{2kmhHi8Bc>!0J_qY6gahAaMqMh6A9E0|NsCD7}K@mP5rEKqoUW zFff3}N*EXz_JYMhDp2uF9OAEWi2nzR^E1H87w{MXsQv|?SI*A>D_3CQED9D!wG+gX z!y#@97UySxl^@_fAZYOvR2)|Bz|0Q@i-Sx=#U)IjbcHGg;(^A%u%)ZzILtZ1#K0iP zAjkl_Uj*9xVYrM#J!s4VoB7|s>iHR9<srCV3d$4U^bc|wDwbvjogIvsFEpU(@QW)% zJ**fq1dBt&kV(jW2K)@L`T^VzWME(bjdh?EZD4KXU~~8x6hJ3`FfcHH$L$yx7;v3a zzYeS(tQCbg3>N2SSb&y3Z$iak^$si^pMb?ti~w^O!RO}lGr;OG@c0q~0|RL616w#7 zvM?|RGD*P8Td*Mv3=Fnlb6`9Kjq6<gTBteb^=}v09DaDc2OcM3U|^UE6^GTApz<AL z3+O%>Z0=kKRu58vig(};KMWS<XMokC;PD9t28MHBaa1!vydOBkWmrM?dGIs9&hZ76 zvmo_aP;prM0Xz=Cz`$V23QC8F`U|8MgdM@^K`d091{UXMP=Fp13Lal&U|;}^nS;t_ z257nh)k7eilc4H1Koc@}jG2LfVFg$mqyiOh#UTzFdk2{hYsbLS)n^>)CD<V00BaY) z)GLF<QSAirV!-133>%>S0+0PNFfbH>#X%}i@njt0YryW|XIKc$zu++w1_p*XVD<bA zuyzzIT`dQTqnZuk?E;JQGr-zq-~lEE28N@caTNwhh97A4=_#;!kWN&54=m2l;Gho? z2aiKDFfe=ti$lba$^T$+eg;@O4wlZj*dgWQ4e0$ruyPV~-Z>~<ZbSVG>laF}gTf!# zWC+`U9hBZM^RGErJwF4i-3w|bfpj>5#X&4o91j-fXJCNF7c9M%Ld74Tl}p`Vaa1!v zyoET#H{%e$1{UXMfVHDx>FOa=9M=AZiNAr0i$nX(;BhZdy$up);=!oIzJtU;4nxPm z$weiGdif0T@rg;9@g<4r49P_$#U-U_X?n>Fh<iceOLF6rK{taGGsMTI<j1Gy<R>NO z#HW<x7Zt}RmR2x;E;7hTElEw$Gr+C_bV)#FJml7c)Vz|SN`^Gh)fk|g7IG^Y;^UEc z;42_dl$PWd<m8m)f~O7R4fT>4;^W<d9DQBmUHx1@H<QG>`-R54dO!s|T!I+l-F^I> z9DU;b-Q0p*L*hdmoqSy58RA_c{TzKgoxxWf6eC@-0lE(&Br%BrcIAkP1%hdSV48sL zG$>9jae&=P0J?nuG*OA|wuDUBttiNMHK5(80<+J^oB<p_4B-183gRsj%~R71lau0$ zOOxV_u!@?2JpsN90urjAC_uSO0`o={^b0m%)*Bna{b&p^7jh3ob|&Z&6kIozz;v5f z!gZUNB3y-bM+^M27kpRFfWi!Pl?B>mCtzRVy0|0W(?7nrBqcL1zO)#6(FIsN?kiwm z4mUNxbE!>yd`e<TBINcP2I%D#kh?a(afIb67|{J5Fr$qu(C)@SO){X%WYA(6cgUgK zZi9Ji2Ntso;SpkN4vr9<*TBHsYitZwhs$g5OJ3sRLwuc~*$xz0;E)Diq=Nk}6tFyw zYk}h9Q;PHBGZOPsa#G<AHZemmjlga~yR`&<9}M*N8<-DF4Z*6x7QzDwoMuq3_JQ9( zgUhe58-0-VBFX?GONRLPq~c;|!hnYX-b-PyM3Dv7Ol^Wy6mkO*crgZf_us&S)Yt+X zNT4hRTB?zP>$VtJpc<Qi)e{U<Y`5)z!?z&bB+(!(Ej8H^T$EXYjRRQ^S_%Rx{IOoM z1HPCD<^~gUP^p`oTY!><;IhUH@u_*x`)$zg6oQ01iVF-OfeE=*2xf?>5rbc8ZV>c> zAy0^DU{6Dmb~5ZrrTA1(p#Tp~BQpk!J8|Hy1ce>M0Vt)pIo2qKWIvdDpf~cQ7K43W zPzg8F5E38Ypv6)GL2n6y8Doqnn2k*tAQ$$4u2+IY0_^%LxMlGucRn%b6<6k#BqlNF z6_*r2=nNPuGp{7IsDME)FTW&JFFmhRub?QuAhoEZ5=khjG&3hfH!}q)<mlw73u<0~ zm1iUtXE5lcROS^|=0fO_A_lNbS!z*nW_}(DC%%Y5uP8Mq5u^diD#$5e&;xr#uOO#H zFFn76L9ZmWqJ%*&Ei*5ZL9Zwu98Y?w8PJABMoJL_oCnbgX<<Ngz}V1ol0grmJ*l{u zK`%K!H#ap8w3-FI@kBZwG@k()YXnV~f^>s+up-mw<MJT2AbA)Db$38>3o!klouD8& z7>zzg1fBK(DFI{9uq?8E(8fuS1dN6@Z$Uy}3~P^rIiNlA&^Zj4{jhOS7>#Z}y8dtm z28M6{|L4Q>!{%vVG|Yb3JPo@06QLXZVESS6I50W`Y9Yvvps<7Khs~paPRalc<-+vC z=7r!ibRG!iUyvCvHi#}{U;rH>3wJ+kUIj+SffO+?fbY=-F=6(==sE@l&@rrV{jhl( z7!6v-0Mdu<evlXl_kzc5A)BT^eAqk^jE2o4p@$#L|Ddx{KtTi@_Gf_2OTlQ^JQhel zXzmI<{1$@7o{;<po5zFEp!5xP7nA_m1!aOM(8gv^n1aj)2`E4l42%Yivw_567`zyb z0o1pHh=9hek@atY4o1Ofn0q0rp_61t^K77XWytzr<FYUsy!Zj88OdIl&^9#x!^T%( zG<2E`rW|~iGMoci%LCO9OAoN|K^T1pSuv8kVZxweyg=@U>4%M@!)Vw&yD;_W;eQFu zeu*H6N;nNlcn~2li5{LH_kpG^L3s$I0CwLP>^@#}^)Nn+1|4VyniGcUhs|R|fELkU z@h?mtjQ#>mdoca5c>&n{%AiA3K<<Kh1Evp_j#fkc57Q5u$8CUST+nzrNIwk2^uy@C zX!_yv4+#(*uyH5|52G8-zzm(Zh1n0A-}wO553>iR9~9RxHi!nzV}Qiarok9s`jPL_ z0jUS6MW<nD2P6)|3ZQ}&l-{8e;GlX4H1+{=53KwE&4<C<4$}*w4M0cofaXnL8bE^3 fu!1td6lfY8n|^O-^(qK+FjzGM=->b-3qk<^%3^o3 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log new file mode 100644 index 0000000..560e344 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log @@ -0,0 +1,4 @@ +Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 53887 +Design successfully loaded +Design Loading Memory Usage: 20176 KB (Peak: 20764 KB) +Design Loading CPU Usage: 40 ms diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..eaeef5a8c06ad9cd1c4e5090de4aae74a4f61e50 GIT binary patch literal 8556 zcmWg2k!R*+U|7Jwz`)?}q)MKd<K-&d3=ofzK|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu$V1Zs}Xm-n(dVUvJ}6=G#x^?qWRS5XHd2@E-~sd>I%Rn!8u=EpF+vfGXr| zZ|>%8T(pq4zrVLtS*eX@abH7A^NPhCJROS`x3_fh^e^U>6%n=&uoW=0l{bbd<6YR% z)7#BUeG_FE7#Ns9o@H=gWMJ69%*4oG&BWZicp-;ZvZI&3V^+or8`buFuZU9+VYUR< ztTfLoIcM&||Hl(lLBX?D&YFqE)6>(HZ`JCB3mrUMT@w5pys|PLFMH2$c(LLGW=4oA zhxhx|$}u5xki;QOs6pJ#ix=89wk+!CS<$y_naTy8RK3#>1z<B682BCh85kHOpl$~_ z7lauYP=r~0k`q$E1iA#sv0xW7FgPq^U|@g+0&<Lk*sw@rU~ph!5MW?n;C9GkU|^7k z+RNVB*xTM{+XAIU9NL)$7#JK{m<1S^m>3x>7{IC(V5%2)EbLfh+rkc^ai~^=suuEc z^>fVj^h@$}%*e`2@^^J`1q<y43+;diO~r1b64VR<PyYlj7k}4`3{Q`Q<Rk}IkiaY? z0UY|3q58QOceQjcY;Ndnx9tV94Z&<#6kC}YOd$SLf$HL2xUgeUb92MumcAC-UMNoh z%)_Bm6;0=&Mr55}9uA#qP@SATD;9!n=;iELVF+g7(4mf`1MC~H4loOc4h^Udo~+EI ztjq*2#{@rz1PEsXn6m+UcxXcPi8i;iH>~Pt@9$|?*xa$G1C+~cd%>bsU{OP;sFW=h z7cepiFgUE|5@2xH03tSmh)p13Gl<v%BDR8vZ6IPhh}Z!lc5(?YFfcm=F$*yKcUZ~_ zB3T&(82*C<1DFLE92T<*FgP%S3||LQ>Hs2H9qN&C6$6vQYLHQDxC9s&QDqn%)`B!y zNkYBIz^Dgjg3A&H1`Gj*1_lNObXDL?2qwTLVpW4ys-SThz<v}(H~9a51_lNzDTMpr zOql!71;BQr3xb^nCJ^pJSA$$wA+s64;Vz1<9O5!qiNnCC2WP@uhAseh8M+{-yaQoK z9D%|XT?M=Vhcg%)Kxse}T_MOtjIcV7;lB<%&=hrHOi1Ox2&x^xB`}ykDv?Pj;?cE2 z`JnQZ-@%1}fx!T3DSOA_hQ$kQ7ei@d1_lOZR)>j5D!9SfXQA!lmWGww65{eQ0*1E6 zA_A5U7eJ{KR1q_vsAuh1)Vp{gtAvrPu^~haBLl;KR5kqly}j+Woqa6}7j`uEF6!r( zmz5Q_m6Q`PG?WmOHIj$uW5UqK1}PobcJ17>Yv%@tDrO8-{7@G+_jQ0>%@1|8u$%;v z%UMABnE(GbfQ7#>sHM@ds%3G*qK^Jv+m`OeMGYV^3p6nX28Z*YEDOra4(C9uiy-0> zh`0<Qu7HTETmlTLuux`Z(qRH&P~u|(I~zi9fa^Pur~@A(0|P<>OY_<V&0qo~i9?Gx ziWX)jbtVwTqk$JqgB}wzlODKTg2M)W6b&rRYuAAswXPYD9g^r;)`PUH$EQUCq(#FJ znj-nZDROaN$D&0m8f_OX1oI7Hd?RR@VsN<4z`&qk1l7djndO+3k(K7@?~t4U=Ij7- zHtzrjGy_CA0|Nt_!*x*X+yD_bLBuT(aT`S30T;obEaGqtl(fMlq)dh-5e6o3+Xu!4 z6%8N^ZTY~2!L1=M!N7o&<x8P%bpY2kpuz;E0Ti4d3~CL*+PcVW24;szNI8-L>?enC zWFb}uT#3+OJ_7>-EN~eZ9qxks3bGw82C|xgfq@+y@bIEwG1wLc2C#luI5RLh+=J<d zi{aPL$zlohIH>6lYW_ltG*BjjYGvSJ0++u?D#0?)HXp3**8-`dL5{~z32E=a+I=nH zikyMbp@)Hi!5U$|7!n(71K3)0L1^O<)i8$#0t^fm=t`M66*xiIAre&I$1@8sFtXVo z>;oH*#0T36PSFctDH@u>k@(;!VqnCo7o{|YmUEzz865qL4pj^c47O0~IbjU2rJ%qE z1su8{cSmP)2e>G1S%l1n+K;XrdyK4M5ny0oVPvy|S`D)di4S%JDLzNn3(nOjSscaz zCrJhtP_+gw%ordQDYj(B3=UKzmBc#>t4?rl0ZRu0RmcI{pag|Jy3L@z0!HTnMZf{n z4rO3;h-YA6a6=1TBtG%}VAJw|8UsmTFdo<hQ11&VRUxM~XbJ;mC{L&X3=9lnNNlhP z;9x=*Bqf-@*$mwPP!<DW<ZR}Ja0S>>BtF<Bgc1zI`{=r$%@=SZ#sO5PGcdCGpxK1P zC&4CkUC4op%mxRv7O3kDO3e^g!gyfo7#J8ZT*=55faXXfK3ErV!GW#|qosz{a&rK+ zc(j6`c0(Ks<AJSZU|=B13`kKO3^fRpvXIzdlfaRTE=Xhsf)w89nn5WFgppHK2*L$m z3z7I>R}dGB=(=DHS4jKS0n|cdU}OtJvkQq&f?epkhzf44NT}VAD1-69)`FTz5QjpY z4R$Xh8)(!Glq6xLI}#tPkGP;g*M-qqhn7FE*1H3!C9M^M;#?RHY%M5-q3J<46Kn<~ zg~dV*0;Mn{HrOO^grf_RTy~)wz|6_d3BnE$h$ccD!WCdkk@#Sj5Eqo_x{yl|WHz`& zVPIrSK(iHzPlB!Jy3hj~oevIttz@X(j1Ef}7#LtYu(hB*<bMW62T-gcOMn}o4vQEV z7&4)nVJQ!Z4>kcjZiYOD24y>d#%~xHm`q_B7%gBdus%?47r6l^hAs<^9CSgVS~1`f z2dj3BvK!nb!Rth%1jN7q4v{Rh5JBRDeFhy7L>(W5@*F?|TA)yYX=1d1vA}vULIqtG z>@9Rb5<>-DJC0C+DZ}PO%uvZj3l$_j*k`a%0graVMok+aJO|JSA1G8{niwr$EU;dT zP(hakhYGqNiJ^j_9j&@WFWbS@FC_iIv|@8MW=Q3rg%lDW>|2zvUg)T=18DdY6hbh? zj218!SPw=Bq053p2wjlG5JK0EBi+E1VRIs8Xyl@W1`;3aGf-%NN3TKS*s!t%G!6_3 z4VYp^3m6Nm2O~7lWx=6=E=Xc%U}z^ky}-0$b2erOfo5co>wY9Y*td|OdX#Z{D8~Ub zR1OL!m=;D07z?ZuBb?A>!Qq51NMbmlYlk*$pcJ@$1Ia}&h1eX487lc`=>~}p_8WNq z0sE{3hNuI0Hi3c36lMsc1&jqY3nQG+Wx?TuE=XcHp=*Z*5R^g+CzwKPjzkG325u?X zOdbORBV+)_j*)=@>{^5j#EYDbEuFpXw#|zdB3O`lABa2y1A`Q7rVnHqc(w*^8bSu5 z8es;4g>D*bCJ<yAxR(w$4Ix9kX|Op#kZGWPy93-bgbeYf!Da|SrhytV4k55`L&y+s z8f@keWE!XefG`aqL%eCQ*+h_Opo$Y=8bXG6(_k}<Ak#pV48k;o4DqJHW*<SOfeLAa uX$TqOO@qx$f=mMyWeC#{GQ^t(o38|!2FmaV(-1O5n+8#cOgezpSpWcnirg&# literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..2299a0e2f909e085d29c3bb8d267f866c41e1a03 GIT binary patch literal 10477 zcmWg2k!R*+U|7Jwz`)?}q)MKd!)LW_28hSVprF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+`SWxAd)8)Y7H=agS@O66*nnC<X?G|4`uI$-uzS+`Wo#aZ8`YqLwb+_U3Nh z#zhNx`}=!am6h6f7WXx@G_P3P!PBv5aeGS_Pyb?GSrK6i0b2n>TX|!cGTwz9J-yw$ z)HO|(fq{V&<Us}pMh1ortW1my)=bRJix+ZuB|CcgJ7#69uu*N#_lh_L5oSwp%}Vpk zl5^%R{C_+_6%-_E<*b=lJUu;K`Btr7xX{7F)g{5t!7D4{@v`>}hZie8U}l7<a(KUQ ztsE0F2T2^lgc`)%ym+B)W6PqBo)vw|mZ@CeN!2?IQNWB#KM9tA-2pMqnh7l)xk2&R z-n(dVUvJ}6=G#x^?qWPcYdd*Cc6O|4S=_LwqrbOO_lo0u3;F#JS2A!p_%kptNJ3)? z6fq#oz<?sm;**?^0w&NUK+yt@8wLi4g$xV~u;_-RR}c+Kk_-$EObh}H3=C`zc?=8; z3Q+slTN``Z8*N*lw1`7Hvj78wLkqJ20}~S?g9QUvwIWRQ;*NzKi)>riAv6xvN>J6j z9qs)+4GR~q=<jQ>ZGrF%Av_U>+1Tt+hUygZa`kh}_Vi2gb<D`hO!9Yia0LtP1`F+g z2u;QARu!lj0${ZnUY^OGS$?kG4hax}9dLmSC~jb8FoF106{=sfxuv~f6~qh89g8|Z z`PQ}<ENTT7HH3@GqPPTX5+j2EgTqBG0S1RlAmTEJxB?=sf{1He0t^hy4nfQU4F4UL zvVurf1_6fuAi)4;0S1S~tO5)U%pfx^fRs9bNLGh>q=dr2<Zu>b)HyBz21ZmFMu+nt zO;%D+pD-}$!I|KKfPn!+z@dSGfdO3=I9-7Wu!&gJpcM&dTn4ZoMbQoZ|DS<@!Act8 zJ~$KRK6C-F-ROc~r-2EC`_R=Omo&(125`8GqAQ2E43>!)81>*xn9I-wz%D}<1Z80m zhQtvlY|&M~OJ6vH!2y&8M9~$3T*L^gq!|8dzynQD3&w<$?95ay#=zy%P%#tiSV$4a z1Fk=Hpn-#2-h<c{(6XNa5@?WG!r=`l!*GMkIz6aHXo-lcWHf-37Wy#NkP;DB$p}?# z096ex%t$TYpk^3C%@FYPPw;Z_cg@J~^hiifa&QF+%t8`CFCU@$jiCCu7k9OEFKlk; zZMW?Ovkk#)^s)@9%NVMQcj3a0Ma|6(i(C3yY<r<R0Wc4TP7^epiyD!2f_XS}nnHDQ z_N-V4wxO4^XN4h{g+qrKk`AzMz&gMz96HRQI(V`&ld>`syc`q!91<X$4Ped&w6Yx< z9u`o2;QD}=T0+Ve(*@un#o;X^?Y#$;<{v=BM-cG|M0^GjUqHlH5b+H}d<PLfK*Udw zMu$oU1_oGSXK;82l7N^f1xv{c42%w7)9n};7{J99LI&&v2F}Ko&fa$0=EVyUEQr4$ z@}Rl_meN6{ft?9A4Iu+jjW7ejLN^VT6F{bc{R=k@Aw#@rusi`W4IFTA(-1Pmn+D4v zAk)Ax5CRJ~gbeYf!Ez4BG;mbHO+&~KZyGE&flLFZ3Akwp8RAWY<uH(G;KT(t4Ix9k zX|UV}G7X$c;ie&Eh&K(EGeM?-lRMlrgbeYf!SXA}G*G^AfSZPpA=)%}ZEOImg265P zMJ-*nE!~Za8bCZyn;XJoU;tMy;5MAYYf%0K^%kw61qev(3V7cD#Iu0&aMn?_P|d{E zQFbuZMAcFDP}Pw3I#I26Xqo5$)d{XmAT>)1m<_2}(0dC|U5-#)(7FUtv$Q~YkeUUD zPA4>-;JO5^6U@V*(;2E0UbD2oYZe?jT#$5t>l3gJFbjtcSEvq1Wdf;LTp=7t&4QzT zc7y5zRp-d{djd!Rxqin{Gr7a{gS$`g?he8J52)Y;jfx0y3otNnJBV-#FgS>U2r&>L z4k9E#gd~WN0uj<6LIy<0f(SW~MsR%uOWX_&!XOEViBhms3(A9F)8VBwLWbx(C<RN^ zAk)CkgqwztA>K4tst1_{_AkgZPJ}4LByi=4E+~+kkmcc-jTjce5CC^H86A=t7#Pqs zJJezk<cHYC;Ghqxc(AE}DqsMc3??{WLJSO2u#5=u3^?9Eo&mcZAp=p4sGt!n^r{+` zK|!X069C*agbeYf!7?q#G;m6Sn}(1f-ZWT72AKv<l5o=yGQ^t(%j6)_z-b$98bXG6 z(_jSv$TV;!ft!YqA>K4tu>dj+oZH|P7(#}4(_n=J$TU#41y_fh2vLYh;3R`Ch>^N6 z1i<MLlHAcXgOfYDAZBt$R{?Pj1NP(&uZ=alphcfxM_+GqXJ5<0g&mE(i~4OF7lK5D zkwgRyA*mcZ(B*KOfq_B88>*Qn%iGm01Kjra$N+P8fH^aEU}*7yYT?Vs@J#mda?En| zcXjZ{fO02-xmdLMqG?O^K+y)~V$tRY)yCzUnE^K1BZJE~a|f7-MLB3x5j5@#QV#Y2 zL^+s=MR@?!bl$})`WLV01=nni3n4sNFb^}#%tK(B6l_65oY1Z{FPMkuXfx=*)H%3< zst5*$P6h@Bm>4{6jTspj^e{w0V<+f>;DJyu;cyPrp2DUE)Yo4Dbr3R}!C@_^+r%vs z26a2!evm2Xf@lq_7Ke>6`v|H84gN96gk!S>T@X5)3L89Vao7a2g`i5%=putm1U6gH z1#t~JppHX0Y=+rQrhd>UCxc8RHW#4_f?71lO&stL28w{g7MN?$^@4IVW*$csaj*j= zHyO}O4Jap|#5B4fxS<MZv_ghMplpY&Fc+Zf0GACY1qF=bU=Ox88e15k3ql*ZkY+Dz zKn2Qk*aov3T^F>7K`U`!Tn7iR{V~|=M;C<I4{k2Q?T7Fjw!`d4*9Eg5TvowLJVz+k z!4YhKEH?Ym1>sF_&`=F@n8#rU%wBZWpu!AX;DHM>STX3}1hy{@n|<hlAp5|@8Q4Au z%V8(XK6KR}`@kh8#6Bp~!5M5{JU08#1tBedNb?^$umt5e?1I^fu7?*(84N3t9bCY6 zCt$N1T@cUU6vmL1!)};sC^7{+vjHh$&6A*R<OkOWh)ynqkKD~=(1EFS$N_l|QbWMR z;Q1C@Ltu!4%58K(aOD6d5H$q48c<&w;V4udgTp>ZEs+BC1KbTD+t39uYKcRzuq3Dw zQcI*_vjtrcqn0=fvxT5aNG*|u%@%Y)Y~6m?Ab`UWn2jXq0!@A~$fRSl9bFKq6ow2P zKuX~jhodl?(RC0kg+Y`17^OeDAgmMw4=%%o5Fn*+i^DOP-RQbtr69CKLgPY9;Y@7) zMi+$H4=#7%_Ct6M$6@xP>w;MbEq2kk&{8-HoBim5pi&XycLdAf1k7G^)u2)l>SrV- zv=q+9W*@pB$QE$f3mQg&#qmj)edww|mOzVMBqp>J&cS9Mx*&2X3>}1ll)|nKr(m|C z>mi~P&c$Xox*&Qh2Rx92+{#ICI1O_Hx?U1mIiOj6jC77JD1d!Z9BG!^;S9_zWatG= zZbOSs1_lNuaC0AAqBDaRA2_TAnY{-@2!e`v2M`IFyoL>=GBAPHJ;1o2IaCmau6lq8 zgG+WW!N7ntH(CIV4hQh;JE)|FX@C{qpw$qdRXi}7fz#m^$T{+$VXFBI3=FU?Bm*P3 z@d)k#!^NPcAcjg1EJ)vw!2x6jtS8C92yO_1&47y$X$Guo$-oFMM!;sk#fUTm*3V>M z1Q!KhGvH!GngQ!{GBAR(H`olg7?EbcdZG-B;4BL^11?6S8L;jt10%Qr1U3UMM%WA{ z2RBd*=Ymoln-pyE3uyEMQ~^7{M`jQ*5Hk@Gj9@{d8lr%KK?=4w24otjqD7d7kbx*h zn1NuSn+97v12PR%ae__bM2JF60{b6b5Mv+)LjY-H23<3FWCmRjb7Tfx1;jZxMrNd7 z>v=$)0rd;Po&mcZAp<c15g-T_deFdD_JB+Sl{An+D}*S-B(U-5f*2uzA%GM#=$gSn vgD!{}H0UZI&cPKluw_Od&wv`uV9$Wvj*x+vfCvx-3oU3M3Xw?%&_YK5Inu2v literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..d43f532c5edf19d27d160ac3b3b76819720125ce GIT binary patch literal 14641 zcmWg2k!R*+U|7Jwz`)?}q)MKd!)LW_28hSVprF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+?_LxAd*(Sk<z)VNpkaZ>8=P$N3iW`yHYf7#RLTfrCE-14DE7D!#=neHL(~ zyzR~1yp4+%^7i-lwkj*N@ht9ZXlY)txPzx-(c<=&E}s6yys{#~76P^chPLv?FlD?8 zJ9>J%d1+y$Dgy%p6UfU94vY*88<?3G8LXL@n-?$S@Je>{@^{S2SYe~up6?ZL3L?yw z;F^`@nI-4UUHJcaf+{GG*2-Bkv3PoVy7H}By>Ov}hpS71pMzIc#^Yu084fR2e89{I zQRVP{-)WDb%zgb6i>yLs_K+s2kf9X%`hmMv4cz>}(X8lnJf1_J{>I4BgMZU;FR zgc%r6gjsx&6H>qgx&+9vU>7qmI4opfV1NYzYP^DYh^S*=aA0B(U|?Y2cF1F3V9<ct z&EDGB+umr~0;NS9+L;9y7#v!d1sIr^7#S=Wz^XN2suy=G>{w*m!VaNvsMdn2=Iv<j z?`c@Lctw9-i){;pX9(emILyXok2X{%_u{UW?uE?_z3sLwV74Kcjl(`2s4m`x3p*Ay zH#aP90ow=R34nPxbn2q%T-1oH6U@V*QxB?>vuDLZunjGoJu3{sEF3!Yk#vB41J(g% z;m~0K)xndMnUs~8;N_U$=imzAYyfknVvj9Ds6GKt{{$}=f7gr*PmhG;B!>i$z$_$z z4Ji7V8B8FtZ3Nfvm*nf1;pLg^ndRr|4bl%1*Z~*VfD(&f{frC(3=ZqL1Q;APfQXGC zViSni3?jCGh^-)E8;IBrB6fg?om>J849pHe%mNJm9hS0!NLB^`hW{YJ0A>LOhsCS{ z3=Yg7!`Fe7I)F%4hk6DE23Rg)U~*UuGHMN%00Sec45PzZkR~f7sK*!>_25i!*}=eo zA>h!!z`%g63Y_V{1lUBZYS2m%G%f?!kD}-X|Nqaxz+k0}a37orb04|@*lu(|u+zW< z!hPs!kP9bdHUl`^MbVW*Tm~y)7#Q{7Oqk2i1;8#t7X+1WAPk8kP}riYfEU<s27?1A z4Tz#E1i6S2R?{*3H-!h9qB)ESsSFrFwE?)q1rtamFbPFEx>hJ3RF;Z4xG*p<SU@f1 z@$_@`PWH^oaCPxa261M?I1bTlpn{i;(IJgdfPwk{e*>69g|b}zGd;6ivmBE>lM)=< zJdzzjLOW4~7#JMRgHkpq%{!dq5@1k;*~!eL!vw;hs9<uq08#`dIKU-6NYsIk5mZ6I zG_W+UUC<0BK$1ALh@)s>W@2XoVUPw!uvfqqfw&9|4(w=ZxtN%lxWE-Mvf&^{K{YVq z(Eti3u;E~W2n`@}F`Puu2DmlIHfUHv<B@++$Kr)8ogIt1I(i#z7cGSGjlg^(Lx|hJ zHKfCBP)%wB)hGaq?<{Xuw+x5m44A-Xh`{C@7+P(iTKN^4`@n6n#qBG4Y#SHC__AQW zpdr|gkXjjHryWeA5}HORAE!ops7Bt!?JE|78f{?vAUvbR?JHz#vDoGS)x?wKmzm+2 z?B(U?;E@60YzA>=?7(oKBUB5UZzd=xz|>|;Wlm6Kf{>;{bH}0%P&?9g(L#ubAzZ`= zGZ37in)xyyj`DK!Om;}lfO02-xtn)@GaUm1INZ!jp;}nM4Gaj$04Xh?#RDj(LDB_- z!y_&M1}+wu9x#`I!QnBN00R@akb}uU)iXdFQLx5T3#1|h6?PaZAq^;4L#hQ_TQV>@ z^e`|mltbOfz`!7e#0FaewiaCw+8RSO%;A9m1A_&+Qf5vCP7rp81XZf>%mNIIY!wLm zz{Vr-!FIxuaWW(sBhocoz~L6CdS!H&!N9<PuA9+eHdfIkSVaH-XP|}|7U*tZ=H%xD zVTTBiuO-<)4TMk-6I6aMIzR-f7#J8Tp+Uh3V}Jty)V%^lBDx@VM`v?~ZD(K0!j?tI zYz9VfIHD`Zo;udB2rw|PKw=)`1aR5Oz#xXi20H?5B)TBDZH(MbhO!;NO)hW>K-U6_ zeGo>Z04BC-sJ9sy7&wvG2z${5iA)lV4z&yn4CtD{#)85Wlxo05A|nGMarUx#dHTD! zW<V)$p#uqPbUPrO4b-j&jOPGul!7Y(5TU?UgXDcAHrSouU`H1u+WW~23=HU+!C`_f z2&xo8_BrG+GB99O!4Hx~2{k4LMox5d=;U0eTNrRVmk+80Tr_|q8Jw`qo1tON11+;1 zl0E!gQ8>7ZV?J(BaSSuT0mNlsaNy^L6~{0csMUCiV+@seisM$Oi$TRP5*us@IJnUT z@f64CN<kSBgb|sMk*y73AJ}*#KG;rZ_2vk!-r%(zjO*|aQWS$S9=cAjb_NCpMh8%# z&<?eS6UKl^gKb3@L@wWu+0e2MT{+>ht^;a4C|Hr$U`K#mfG&u&cMt9BJAiwa;PMV# z8z^`|7&(YL5q5*ELgIt%Cq9VLb%M3SgSZQ7j~I*rlLp(0E(r4=c-Wx@mFEENIfFfj zt_|cl5JvW3H`HQKc>$`qkoaIH5amHJbX{OqqYDyYltTh&NC}i8(KW-1y}68_9uk8& zXfz8{P_Q>PHrV#8SO}wW7YcgdLII}F0mNlsaL|Vr3NRV4zZn?t6bcwB@e~S+5dkcQ z#0Fae4kmO#JcR<fQcz@qFmi-~T1%jCfkh}1A8aSMeVv>Er5x@<3IR}i3|%FpJ%%X? z5&>bTEnrjs|7Wm37X!7UKp4F(1u0aPLc@g<#sHfGb2YjkazTR3h88O5$_W=L%b?bS z3Kb+a*b(4pK^H_BzJm_rIe-VKz<CW_IVf5{7&&T|BkTlQfy4*f3yl*fg&Z~LDj`vW zDGG`LbWu>$po@W`1%$Cj%?hYH#b6Au>tIoXE(i)3@Yp11loHme1P|JQ0|s3=C{REc zIbc>oEreNt#0Ogq4G|~>3m8ywg{~6P)kNucLOBliKq;Mp!F)Z`GN@7q&*X$GXcsjZ z!ogjH1c8eXm<bLbE(3!@FuVwX$$(vrw+O*diKhtJ2z4<its=3(mVgryx*(n+1YId8 zDnJ-HIyNEf0~?RT2ipnha4&>+xM5vzWD$o4px}md^w9M~QZJ?`DD|R?f>JNK7%1g} zF!t2D8R~jY7z6BPSkgilL@rE_+0cRoT{+=`Wee1LP-G#o!HxijCb}SGm>y-!9?Ee5 zk9vc15xOQ&lz}i(w1Gziwj$Y%#0J~Wi7o(k4!R&TlR+tPUW0Vb&=tbF8K5cz+Q9<5 z6<s07ogj?lR<>;jcY<Ak#0R^Tgd`@0u9p*C0ILm94?-!ZKS8}P4BepS2)dv=*p&<n z4*IZO7`h5@D+QG5;K9R$Xx*SIhPQ5dLF2!SY&+0`2Z;~1n1tZrMAr)r4Rk?hFhD7! z&_GuR?s1|EVul8~3M`=k_6TT_@e*h-`Z9>P0wS)0h-)C?I*7OdB0$4y;9eZK)dnti z8Nrj34i`bi448z><iN(u8JNH`q%baMLIH%K^P@0f@SG`_U|>L+C^!HOeh2Uz6{wzp zX@EMK0W@QZm={OoF>pCdLK^L70QYG*VB_ixj1Hhw2IoRe1cxiSAXE;i^Ng+nmI*o0 zCBU(aE(jeBfl|;sOSEfXb|KO{y7_STf<{Zg#&bB#XJBA}4VW`9I@|<BCn!YWVo*(> zc@UII5jY1Nehds?lVBt042+QB0+2~?F_KJz4X86PI^5<GVBm!Fp{9TX5M2-&a!?BF zLr8R@D+EU;x*%o%qN{+~%>XtTOmILw4jaa2V05?xaxR<?)dF@dx**h@Pzr1w#JT7S z!Ole&#B?sY3aH&!oC}*gU|@8(3vw=;57h#8F1jGpolpwNx#$YP&P5l*EQHWiK<&oj zT-dY)10%SZ$O-2|wSb+AE(moeltOYYx<asX(FH-Xry$c2xd~kbNEU>_CW8rBVt`GV zFff8kYEC#Gq#RV|fU*U;Ak>{u3Tz%EF`z31I~QFL)4Av>pmt*kUD(tO10%Rq$O-2| zwSYqxT@dO{D23!)bcJB&q6=cCU33*tyRkSIHd(~L2p%GHNM~SRfQ!Mkfr1!alzmZ0 zXUF2c78nhlPy!eC=qkaEMi+#e4IkP?R{{4HXnGh_cP?UJU`T@2R<MXi;)6|uO-rIr zQ^NS*GMRya(G;c;(rSnCz-BNoFbHs>i-6+|U68Z6qp!1Np>6MC1dD+Q>|b=Hw$Nq| zR!Mk^!HN?!?ZFAErjSP*z?P#6BCJHPpq8U6y@PByx+J>gDTp8j2M5@4bU}ob2o}_G zbft5d!Nx$w@6jdEEl<T}Il3UiN(2jPIl59)HYCf@CDAQU!)7_UAi_!n3u-yKQX@_z z%h4s#EeGX1j5tRZL|BPnK`lpD%FlyjIl3ge<)Gz}7?z_8BCJHPpq8U6^@UD!fKxlV zB)a99*n%Bh5Md>P1+^SqX*;y!09%eOiEeooHp|fk5mq8tP|MMkqF9bDiEeo|Hp|fk z5mq8tP|MMkq69m-B)a7}*epjEL|BPnK`lpDijv^aCDAR<#b!CWAi_!n3u-yKQk1NQ zE{SeAXr(7cYDX7DSczakEk{?1Qpli7qFbJi&FAQX2rCgRsO9KNQHob|Np#B#uvv~S zh_DjDf?AHQ6s2N8mqfR`5S!)bf(R=SEU4w^N>Qp*bV)SJ!Tl-$@R|lH5}*a<pgx`e zw*Z3!sCfeF3qw;DxY|VGgG*!3Qd5kzrziprpoO0d3{0jlt&A2h7T5#^1_sdh5(tCG zn8eU!vD!<_bQEYj3tVKPn+Pq-862iE3NYYx7t(qX21tNRMZ`JSVMu(icVJ7pdcjM& z5KFvZd~kmi)Lw&WWaNag!1`hBKy*Q(*CK$Lw&<FP39Vb8cn2?(f%<eBnop7VV3+bk z*Py|ChRz3fbwNIbX=LPtvB3IaK1CNKs>KUg4uY<g7=MDtFp&K@6V0DUe6Twqi{=(V z;}ew!?jM8v2-CzU24jKs!u*IXNK`6u2msBeg5ncHJ264;a2PZk2}!kc(ENzR2fGtG zodR0q2V3!nI`hJ43e&<U24jJBg4PnD3xG2Qx*(fpx@(4KGL(X@tV36X8oH=Fa9BHl zCJWJZVYQEdm$2$#bIWq^_w<8O3=9sxm>Hmb%m<7D3=Wdu-V9_U7!)t)4uOuqLMd?f z-k}T<3kIMPH3UR}iq(B+@qxq#hpK=lXazpTl6)iq2k=sQ21ZkuRz^-33v2=`<)I4- zBqwBfcxEHEzhDS}7yCo<7rJJmN3OwUfC&ZARun1NHWbjJ6!5MAJJ85GC<qZU5NC5X zwsiKk+cqy=h+sjsFF@oO7#O5r+f+cNfwv#PO+&~)R3pqlu+U9|ZDRqM23|4-GK~`< z3NZ<sUeE<G!VW_K90rh)8g$LzstH{X+R{gwnm|_paSj6m*kmxl0TW_ikb>>30eJ?z zI1uC+u-g$b5aoyfL9oz+2Dak{WEyzs4cs(@4DqJHcHw|b1Fv&|n}(1f-Za?G9FS?? znS8iu2pQr{gYDV@nFgNzhMR_vA>K6DjvkO{;Aud(X$TqOO@r<80htDBOgV(WG7mzA zc++6Jen6&y$`G(=oCr~fN#G=dE{Ku3F$BQr5t7`|HG`8ox*%q9M^^!H4vypwlSI%C Hpv{s10yZWz literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..121f184ea2da13e6da930c577d4ed654207af4fd GIT binary patch literal 7608 zcmWg2k!R*+U|7Jwz`)?}q)MKd!)LW_28hSVprF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+_I+T>UeXUA-Ngv-B#|!`tVtZghxZU|{$U1r9z83=Cdw+5K6r{yQP+7WjF& zE$~RrSdf&I;InG6dvBJ%qpMeDmS?YLa+aT~cW+YGf(=t=?VRW^afidET`*+}GCX|~ z+!j#XKt%=y25yi~85|fH7&fplF)~;)F*h$>$l;aj=;iO2m9fG`wLRY};uJ)fEx|P_ z%`;2RnY-}+@dQ;+*sPVaW@7R5^mOH0wR+(~2M<@51V0C_tc=IY-ZLCttoVSL5u(cB z{l2wwOvoH0aR?J?5O?$9g|>|?i#mE%^etPaa)BpR?=(aKGcNrkSORtj#5ijvv<PKi z+|svVQA?Nd$33pCN~{MUY8hA@{23S+WT9aN3LX$<U_cRO@kvfd0TbvFpuho#5Cen5 zLIwr~SfC>%9}p9oLKqkvm>2{=apJ%TqM03nm<1UAJ1k`dk*o{?4F4Gzm>mL`1sEI_ zvkEXcFf#}+IPBsQV1SUU4)sWmWngmH&LzO$u!Bp0fe}@P(P1Y@la(CQUkr?Ta3;ul z1_lNU0fz<#1_pFhV84M0u!&gJpd}78E(6$)qUZ+y|Ifg{U?q=mADjtuAG!e8ZgfGg z)4&A6edubC(;PCJ0UYk4=*l53gGD3*qaK_Ia~Zk-*k$N~pkxHXkT?Q`ExHPLK7=zE z96)J66kQ?6MU1d=i{ZZ#JkS(XU`$9JXQFZ@0_PE0m<cu*k{LK1JQ)}mRG}V$<x&uB zv8bhs0pc-8o_4qZO4Mu)c?=8;>M#whjlJ!Swk_-sTEwB98I)UEm<1S^m>3xh7{IDE zV5%2)EbLfh+rkc^aj4dWs^;xz@9$|?xOhc>UyE%Egl7ohi8##0W{(zBr;wMcpJTSC zUy`q5MpkB$zpH~QSZFs`Xa_`SDt5POL(LEXtIhE8O!frT9u5f*fgNyx4JdA4hWS+o zs$aCZrM+Pl#0$+Gi#is!w{+R|f<>*sqK0r$SrnImOado$hl`N#z6>g5u7HTEAmSQ` zxDFz2faD!2k>ZcR;Sxv!qEQMKNem2(4q!v<7#SGAxdkBuwuynWv8A)O-L`r0LIexq zD2O}*1A`PS5<#YcT?01_Ap=p3FayCtHw_laAk)C!0-45%5QUfob}YJ}KypHshi5jT zKEx0J#|)!GG6Mqxx@L!3EQ0(H+ZY`5K>>tK1ylh8*kmxl0TW_ikb<Q<kY~Wr1M&>m z?Fbo&azub2Sm;3mOMxKM!0{Zy$iTpf5QUfoHXdCNBP1{cz;1^G4Z3D<(4Y%q1`WCj zh;tZl1Pv@ZfII_AuMQy3fZdLeftY{@5CjV?XyApg0j%T|K(rGbT-`j99YF%n>K-D% zzyL0{z}2|Jc`gA44Si@1<?!)H@bhr+$bd3t?0{J304lv4ZZj}2Xc)khW_f0KCWDkh z7+91VLY4AC$_+>v<B<X3LW`UkJHWxufMkOaRGTnTaRDjg8W)1apoIlQOwbU+iN;U^ z1i^*MLU^IlxDX<OC}so=k!?Q-@}CJ*vlvo=2`}J0GQh&nLK7~G>UWSa<{?mHI2CN6 z%{&MTQiU++z~mjif=Vm~hfW3t2ACKm@);N$j2Rgi^e{w0H8;8-xG4xGz>P0(YC=~7 zs=+|*O&HDKuoh%Dn@kwgt#ErmHlPbat20=&*5a@cRIFlC2~9ijMC6g-@E&B5OgJ`s z&;_9tA*@1dao7a22VErxB;k2vz!?r7!1hF7vj<%eQhB0Qr7)huW|*Dmx*%y6T7$xp zGMwx15o~`XHv7>9!L=`z3K><%VGGP9==#Aq0a}?taso7Wpouwr0=o&+Q-t)rAh8Ti zA?SjT`W&NzM-p(@3Ud#-UP#u$%xuUa4xhnpiN+Q_=z`#80n{(>91LkJV2C<wgSiUb z6mWKh1}QwdLUS>uu)`OS`yknZ0aQGLGA9$bhvcvtWW_tMJcxvJZ(wCE0~5G+2IGQS z*&qz<o56&^`4dbqFd(&_W1+zT?ty{h1*QQKRSXQE4jZ)VkHlnPcen|1)O-d823P^k zzzEK3U{l~?P-75v2!aJEJ1x+aGIR2Cg0MpbsN)B+09NcXFoH7~*aEm1K?@ihKxV+| z0|rKLM&X3>q58pwq6=bF*BAoeA{<hGqiY7&-{^vv^*6c-sC!WBZ?G3&brS<4IA4KX z3>SlHLxe7Z1r29(rJyhdVMI8?>MjOGaAx6z^Pz@=ZA2Hu2oekdq%cC)3=Si7LCi2h zR{?bomN0_VpbU)Q`~-F}TnwrW5i$rCB#anX9TqV#Fjzn{1!$BERLLOm!A9V!WEdDt zVM-Ytno$h`YZQY?gLxzx?{Gl`q+<uO0D~@uIiN-|x*#jm7H}Y-OEP8nGiCTY9AX3w zz<_;iiRNo0KG-05O$TRyb0Y%-qbW=&vjvO=*1^EQ07_sW3`u05FhN&=MT-I_Gp7Ql zLnO$j=*qz<23=4XY8}|8=#of21xE_HJQfEa6+pV^%CR~CqzL2&LJnYH00*@VT2LeL zL8dT}R=+YZn!*f#Bs&-nY!(9pgBZF1*qP{pBw31_7|{&^hY-483e+)JtwxF>Xb9P( zg%A=SY!ESRAqGZMm^N;QISdR8Fdo<h1_lP9=0#|r8H*6O_yVUK2ZW=*Mt}`P7Zhq< zhzb@pV-W%ys*A1{9CGM_6B)slK}HJDC6Pi7>_kUwPDB^P;z=w*5GSJR1v?R4Fp>$$ ziRhB(PISWNM07!rdloKQv=9+L;HW_pf;bUfFW8Cbf|;Ol4pe@E3UqWybSFAvb0WGR z%!vp$!kvgD1aTs|Ua%9<1q}s}LJ?gO-H9&PoQN(c)C>;6g^S=R668dX>yU*YPDIxW zb|SjqPh=;eOQJi`6`K>$1wnaZ(LyBWECgp4G$Du+(e;9zh%R_g2q_fNCDEPehRuoS zf)FPnQsN>=js^!UT(lYDM0CAiC!z~>LhCDVS%@x)?nHNNPDB?3rA4q)P$C*Ef)w`< zC!*^GI}u$l5Y*OyWfycwbSHXXb0WGRC=|i2gScuDG!)T<AWlTr3w9#9prj~LM59Zh zI}tRhj?x7M)d%Q;;Ow#xIWa=A3#t&riRgO4PDB@ci0njkNhBvSFgSbz_3j`ZLLnVM GbG85$JJHAh literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx new file mode 100644 index 0000000..2fd2f7c --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -0,0 +1,8 @@ +0.7 +2020.2 +May 22 2024 +18:54:44 +/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/controlUnit.vhd,1746778731,vhdl,/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/firUnit.vhd,,,controlunit,,,,,,,, +/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/firUnit.vhd,1746771888,vhdl,/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/tb_firUnit.vhd,,,firunit,,,,,,,, +/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/operativeUnit.vhd,1747823428,vhdl,/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/firUnit.vhd,,,operativeunit,,,,,,,, +/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/tb_firUnit.vhd,1746771888,vhdl,,,,tb_firunit,,,,,,,, diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini new file mode 100644 index 0000000..65c1bae --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini @@ -0,0 +1,490 @@ +std=$RDI_DATADIR/xsim/vhdl/std +ieee=$RDI_DATADIR/xsim/vhdl/ieee +ieee_proposed=$RDI_DATADIR/xsim/vhdl/ieee_proposed +vl=$RDI_DATADIR/xsim/vhdl/vl +synopsys=$RDI_DATADIR/xsim/vhdl/synopsys +uvm=$RDI_DATADIR/xsim/system_verilog/uvm +secureip=$RDI_DATADIR/xsim/verilog/secureip +unisim=$RDI_DATADIR/xsim/vhdl/unisim +unimacro=$RDI_DATADIR/xsim/vhdl/unimacro +unifast=$RDI_DATADIR/xsim/vhdl/unifast +unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver +unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver +unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver +simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver +axi_epu_v1_0_4=$RDI_DATADIR/xsim/ip/axi_epu_v1_0_4 +xlconcat_v2_1_6=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_6 +emb_fifo_gen_v1_0_5=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_5 +mipi_csi2_tx_ctrl_v1_0_6=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_6 +c_mux_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bit_v12_0_9 +smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0 +v_smpte_uhdsdi_tx_v1_0_4=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_4 +cam_v3_0_0=$RDI_DATADIR/xsim/ip/cam_v3_0_0 +microblaze_v11_0_13=$RDI_DATADIR/xsim/ip/microblaze_v11_0_13 +axi_fifo_mm_s_v4_3_3=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_3_3 +v_hdmi_rx1_v1_0_9=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_9 +video_frame_crc_v1_0_6=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_6 +hdcp22_cipher_dp_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_2 +generic_baseblocks_v2_1_2=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_2 +axis_clock_converter_v1_1_32=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_32 +psx_vip_v1_0_4=$RDI_DATADIR/xsim/ip/psx_vip_v1_0_4 +g975_efec_i4_v1_0_22=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_22 +axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4 +axis_dbg_stub_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_1 +ethernet_1_10_25g_v2_7_15=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_15 +an_lt_v1_0_12=$RDI_DATADIR/xsim/ip/an_lt_v1_0_12 +hdmi_gt_controller_v1_0_13=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_13 +axi_cdma_v4_1_31=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_31 +xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip +videoaxi4s_bridge_v1_0_7=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_7 +mdm_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/mdm_riscv_v1_0_2 +axi_uartlite_v2_0_35=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_35 +axi_perf_mon_v5_0_33=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_33 +axi_master_burst_v2_0_9=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_9 +aie_ps_v1_0=$RDI_DATADIR/xsim/ip/aie_ps_v1_0 +ibert_lib_v1_0_11=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_11 +axi_datamover_v5_1_33=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_33 +noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0 +xbip_dsp48_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_addsub_v3_0_9 +v_axi4s_vid_out_v4_0_18=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_18 +axi_chip2chip_v5_0_22=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_22 +gtwizard_ultrascale_v1_7_18=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_18 +axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0 +switch_core_top_v1_0_16=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_16 +vitis_net_p4_v2_1_0=$RDI_DATADIR/xsim/ip/vitis_net_p4_v2_1_0 +axi_sideband_util_v1_0_15=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_15 +axis_infrastructure_v1_1_1=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_1 +dist_mem_gen_v8_0_15=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_15 +shell_utils_addr_remap_v1_0_10=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_10 +xbip_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_addsub_v3_0_9 +dds_compiler_v6_0_25=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_25 +bscan_axi_v1_0_2=$RDI_DATADIR/xsim/ip/bscan_axi_v1_0_2 +fifo_generator_v13_2_10=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_10 +dprx_fec_8b10b_v1_0_3=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_3 +pcie_axi4lite_tap_v1_0_2=$RDI_DATADIR/xsim/ip/pcie_axi4lite_tap_v1_0_2 +av_pat_gen_v2_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v2_0_2 +polar_v1_1_4=$RDI_DATADIR/xsim/ip/polar_v1_1_4 +v_tpg_v8_2_5=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_5 +tcc_encoder_3gpplte_v4_0_19=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_19 +axis_accelerator_adapter_v2_1_18=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_18 +mem_pl_v1_0_2=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_2 +perf_axi_tg_v1_0_13=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_13 +trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0 +axi_pcie_v2_9_11=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_11 +axi_emc_v3_0_31=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_31 +tsn_endpoint_ethernet_mac_block_v1_0_16=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_16 +soft_ecc_proxy_v1_1_1=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_1_1 +axi_apb_bridge_v3_0_20=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_20 +axis_broadcaster_v1_1_30=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_30 +axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1 +vid_edid_v1_0_2=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_2 +noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0 +v_vid_in_axi4s_v5_0_4=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_4 +v_dual_splitter_v1_0_11=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_11 +axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0 +pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0 +msm_cpp=$RDI_DATADIR/xsim/ip/msm_cpp +debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1 +v_frmbuf_wr_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_5_2 +v_smpte_uhdsdi_rx_v1_0_3=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_3 +mpegtsmux_v1_1_9=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_1_9 +noc_nps_v1_0_1=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_1 +v_multi_scaler_v1_2_6=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_6 +x5io_wizard_v1_0_4=$RDI_DATADIR/xsim/ip/x5io_wizard_v1_0_4 +axi_remapper_rx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_2 +emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0 +sem_ultra_v3_1_26=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_26 +axi_utils_v2_0_9=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_9 +v_tc_v6_2_8=$RDI_DATADIR/xsim/ip/v_tc_v6_2_8 +ieee802d3_clause74_fec_v1_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_18 +jesd204c_v4_2_14=$RDI_DATADIR/xsim/ip/jesd204c_v4_2_14 +xtlm=$RDI_DATADIR/xsim/ip/xtlm +hdmi_acr_ctrl_v1_0_3=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_3 +icap_arb_v1_0_2=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_2 +nvme_tc_v3_0_6=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_6 +axi4svideo_bridge_v1_0_18=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_18 +jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi +axi_intc_v4_1_19=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_19 +v_demosaic_v1_1_11=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_11 +pcie_jtag_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_1 +xlslice_v1_0_4=$RDI_DATADIR/xsim/ip/xlslice_v1_0_4 +axi_vfifo_ctrl_v2_0_34=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_34 +gmii_to_rgmii_v4_1_14=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_14 +util_reduced_logic_v2_0_6=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_6 +nvmeha_v1_0_12=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_12 +axi_c2c_v1_0_9=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_9 +ft_prach_v1_2_2=$RDI_DATADIR/xsim/ip/ft_prach_v1_2_2 +v_smpte_uhdsdi_v1_0_11=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_11 +axi_pmon_v1_0_1=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_1 +gtwizard_ultrascale_v1_6_16=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_16 +gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux +displayport_v7_0_24=$RDI_DATADIR/xsim/ip/displayport_v7_0_24 +msm_adapters=$RDI_DATADIR/xsim/ip/msm_adapters +v_frmbuf_wr_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_10 +fast_adapter_v1_0_8=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_8 +rst_vip_v1_0_6=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_6 +v_hdmi_phy1_v1_0_12=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_12 +pcie_qdma_mailbox_v1_0_5=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_5 +pr_decoupler_v1_0_11=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_11 +v_hdmi_tx1_v1_0_8=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_8 +processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6 +axis_cap_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_1 +common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1 +xtlm_ipc_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ipc_v1_0 +v_tpg_v8_1_10=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_10 +v_hcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_11 +axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0 +axi_intc_v4_1=$RDI_DATADIR/xsim/ip/axi_intc_v4_1 +mammoth_transcode_v1_0_2=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_2 +axi_timebase_wdt_v3_0_23=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_23 +floating_point_v7_0_23=$RDI_DATADIR/xsim/ip/floating_point_v7_0_23 +displayport_v9_0_9=$RDI_DATADIR/xsim/ip/displayport_v9_0_9 +noc_hbm_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_0 +versal_cips_ps_vip_v1_0_9=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_9 +amm_axi_bridge_v1_0_17=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_17 +v_vid_gt_bridge_v2_0_5=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v2_0_5 +v_gamma_lut_v1_1_11=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_11 +rld3_pl_v1_0_14=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_14 +l_ethernet_v3_3_10=$RDI_DATADIR/xsim/ip/l_ethernet_v3_3_10 +ernic_v4_0_3=$RDI_DATADIR/xsim/ip/ernic_v4_0_3 +fec_5g_common_v1_1_4=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_4 +sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0 +dfx_bitstream_monitor_v1_0_5=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_5 +axi_mmu_v2_1_29=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_29 +v_sdi_rx_vid_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_2 +v_csc_v1_1_11=$RDI_DATADIR/xsim/ip/v_csc_v1_1_11 +usxgmii_v1_2_17=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_17 +trace_s2mm_v2_0_1=$RDI_DATADIR/xsim/ip/trace_s2mm_v2_0_1 +ieee802d3_rs_fec_v2_0_22=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_22 +v_hdmi_tx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_4 +ldpc_5gnr_v1_0_3=$RDI_DATADIR/xsim/ip/ldpc_5gnr_v1_0_3 +rama_v1_1_17_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_17_lib +rs_decoder_v9_0_22=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_22 +ieee802d3_400g_rs_fec_v3_0_2=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v3_0_2 +xscl=$RDI_DATADIR/xsim/ip/xscl +iomodule_v3_1_10=$RDI_DATADIR/xsim/ip/iomodule_v3_1_10 +axis_mem_v1_0_2=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_2 +axi_tft_v2_0_28=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_28 +fc32_rs_fec_v1_0_27=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_27 +axi_remapper_tx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_2 +dfx_axi_shutdown_manager_v1_0_3=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_3 +util_vector_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_4 +axis_ila_intf_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_2 +axi_amm_bridge_v1_0_21=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_21 +xpm_cdc_gen_v1_0_4=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_4 +c_accum_v12_0_18=$RDI_DATADIR/xsim/ip/c_accum_v12_0_18 +cmac_v2_6_15=$RDI_DATADIR/xsim/ip/cmac_v2_6_15 +axi_firewall_v1_2_6=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_6 +dft_v4_2_8=$RDI_DATADIR/xsim/ip/dft_v4_2_8 +ernic_v3_1_5=$RDI_DATADIR/xsim/ip/ernic_v3_1_5 +xsdbs_v1_0_4=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_4 +stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0 +axi_timer_v2_0_33=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_33 +lmb_v10_v3_0_14=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_14 +v_deinterlacer_v5_1_4=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_4 +axis_data_fifo_v1_1_32=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_32 +hw_trace=$RDI_DATADIR/xsim/ip/hw_trace +sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1 +axi_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_17 +mipi_dphy_v4_3_11=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_11 +ieee802d3_50g_rs_fec_v2_0_17=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_17 +xdfe_equalizer_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_9 +flexo_100g_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_28 +v_uhdsdi_audio_v2_0_8=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_8 +v_tc_v6_1_14=$RDI_DATADIR/xsim/ip/v_tc_v6_1_14 +ddr4_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_2 +sem_v4_1_15=$RDI_DATADIR/xsim/ip/sem_v4_1_15 +c_shift_ram_v12_0_17=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_17 +lib_pkg_v1_0_4=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_4 +bs_switch_v1_0_4=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_4 +shell_utils_msp432_bsl_crc_gen_v1_0_2=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_2 +axis_dbg_sync_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_1 +high_speed_selectio_wiz_v3_6_9=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_9 +emc_common_v3_0_7=$RDI_DATADIR/xsim/ip/emc_common_v3_0_7 +fir_compiler_v7_2_22=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_22 +microblaze_mcs_v2_3_7=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_7 +oran_radio_if_v3_2_0=$RDI_DATADIR/xsim/ip/oran_radio_if_v3_2_0 +i2s_transmitter_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_8 +floating_point_v7_1_18=$RDI_DATADIR/xsim/ip/floating_point_v7_1_18 +sim_trig_v1_0_12=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_12 +hbm2e_pl_v1_0_1=$RDI_DATADIR/xsim/ip/hbm2e_pl_v1_0_1 +axis_protocol_checker_v2_0_15=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_15 +vitis_deadlock_detector_v1_0_2=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_2 +axi_msg_v1_0_11=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_11 +c_compare_v12_0_9=$RDI_DATADIR/xsim/ip/c_compare_v12_0_9 +c_mux_bus_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bus_v12_0_9 +xsdbm_v3_0_2=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_2 +rld3_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_2 +mpram_v1_0_4=$RDI_DATADIR/xsim/ip/mpram_v1_0_4 +oddr_v1_0_4=$RDI_DATADIR/xsim/ip/oddr_v1_0_4 +bs_mux_v1_0_1=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_1 +ptp_1588_timer_syncer_v2_0_6=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_6 +dfx_controller_v1_0_7=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_7 +noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0 +rs_toolbox_v9_0_13=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_13 +pc_cfr_v8_0_2=$RDI_DATADIR/xsim/ip/pc_cfr_v8_0_2 +multi_channel_25g_rs_fec_v1_0_26=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_26 +lmb_bram_if_cntlr_v4_0_24=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_24 +hdcp_keymngmt_blk_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_2 +axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0 +compact_gt_v1_0_17=$RDI_DATADIR/xsim/ip/compact_gt_v1_0_17 +v_vcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_11 +mipi_dsi2_rx_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_dsi2_rx_ctrl_v1_0_0 +axi_quad_spi_v3_2_30=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_30 +div_gen_v5_1_22=$RDI_DATADIR/xsim/ip/div_gen_v5_1_22 +v_frmbuf_rd_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_10 +can_v5_1_3=$RDI_DATADIR/xsim/ip/can_v5_1_3 +axi_pcie3_v3_0_30=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_30 +emb_mem_gen_v1_0_9=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_9 +noc2_xbr2x4_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr2x4_v1_0_0 +xbip_counter_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_counter_v3_0_9 +axi_jtag_v1_0_2=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_2 +tmr_manager_v1_0_12=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_12 +noc_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_sim_v1_0_0 +mipi_csi2_rx_ctrl_v1_0_10=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_10 +axi_bram_ctrl_v4_0_15=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_15 +axi_gpio_v2_0_33=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_33 +rs_encoder_v9_0_21=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_21 +rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1 +axi_bram_ctrl_v4_1_10=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_10 +uram_rd_back_v1_0_4=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_4 +lib_fifo_v1_0_19=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_19 +canfd_v3_0_10=$RDI_DATADIR/xsim/ip/canfd_v3_0_10 +hdcp22_rng_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_3 +xfft_v9_1_12=$RDI_DATADIR/xsim/ip/xfft_v9_1_12 +axi_memory_init_v1_0_12=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_12 +common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0 +ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig +c_reg_fd_v12_0_9=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_9 +axi_mm2s_mapper_v1_1_30=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_30 +ldpc_v2_0_15=$RDI_DATADIR/xsim/ip/ldpc_v2_0_15 +sim_cpu_v1_0=$RDI_DATADIR/xsim/ip/sim_cpu_v1_0 +axi_data_fifo_v2_1_30=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_30 +g709_fec_v2_4_10=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_10 +axi_mcdma_v1_1_12=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_12 +axi_lmb_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/axi_lmb_bridge_v1_0_3 +lte_fft_v2_1_10=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_10 +axi_interconnect_v1_7_23=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_23 +axi_dwidth_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_31 +axi_usb2_device_v5_0_32=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_32 +axi_hwicap_v3_0_35=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_35 +ldpc_5gnr_lite_v1_0_2=$RDI_DATADIR/xsim/ip/ldpc_5gnr_lite_v1_0_2 +fifo_generator_v13_1_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_5 +axi_protocol_checker_v2_0_17=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_17 +c_counter_binary_v12_0_19=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_19 +axis_ila_pp_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_2 +mem_tg_v1_0_13=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_13 +xbip_utils_v3_0_13=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_13 +aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0 +xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0 +v_vid_in_axi4s_v4_0_11=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_11 +cmac_usplus_v3_1_17=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_17 +xdfe_common_v1_0_3=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_3 +viterbi_v9_1_17=$RDI_DATADIR/xsim/ip/viterbi_v9_1_17 +xdfe_nr_prach_v2_0_3=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v2_0_3 +v_smpte_sdi_v3_0_11=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_11 +mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2 +clk_vip_v1_0_4=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_4 +mdm_v3_2_26=$RDI_DATADIR/xsim/ip/mdm_v3_2_26 +xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0 +xbip_dsp48_multadd_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_multadd_v3_0_9 +noc2_nsu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nsu_v1_0_1 +timer_sync_1588_v1_2_5=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_5 +axis_ila_ct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_1 +dcmac_v2_4_0=$RDI_DATADIR/xsim/ip/dcmac_v2_4_0 +v_dp_axi4s_vid_out_v1_0_8=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_8 +xbip_dsp48_acc_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_acc_v3_0_9 +noc2_xbr4x2_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr4x2_v1_0_0 +sd_fec_v1_1_14=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_14 +xbip_accum_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_accum_v3_0_9 +displayport_v8_1_9=$RDI_DATADIR/xsim/ip/displayport_v8_1_9 +ilknf_v1_3_4=$RDI_DATADIR/xsim/ip/ilknf_v1_3_4 +v_letterbox_v1_1_11=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_11 +iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0 +dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf +cpm5_v1_0_16=$RDI_DATADIR/xsim/ip/cpm5_v1_0_16 +ieee802d3_25g_rs_fec_v1_0_30=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_30 +jesd204_v7_2_20=$RDI_DATADIR/xsim/ip/jesd204_v7_2_20 +clk_gen_sim_v1_0_4=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_4 +g709_rs_decoder_v2_2_14=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_14 +cpri_v8_11_20=$RDI_DATADIR/xsim/ip/cpri_v8_11_20 +ieee802d3_200g_rs_fec_v2_0_11=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_11 +v_warp_init_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_init_v1_1_4 +v_hscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_11 +spdif_v2_0_29=$RDI_DATADIR/xsim/ip/spdif_v2_0_29 +func_emu_util_v1_0=$RDI_DATADIR/xsim/ip/func_emu_util_v1_0 +axi_uart16550_v2_0_33=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_33 +axi_clock_converter_v2_1_30=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_30 +dprx_v1_0_4=$RDI_DATADIR/xsim/ip/dprx_v1_0_4 +mrmac_v2_3_0=$RDI_DATADIR/xsim/ip/mrmac_v2_3_0 +cic_compiler_v4_0_19=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_19 +c_gate_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_gate_bit_v12_0_9 +noc_sc_v1_0_0_legacy=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0_legacy +ten_gig_eth_pcs_pma_v6_0_27=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_27 +xdfe_cc_filter_v1_1_4=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_1_4 +axi_crossbar_v2_1_32=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_32 +axis_itct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_1 +xbip_pipe_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_9 +tsn_temac_v1_0_11=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_11 +lib_srl_fifo_v1_0_4=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_4 +ecc_v2_0_16=$RDI_DATADIR/xsim/ip/ecc_v2_0_16 +mutex_v2_1_13=$RDI_DATADIR/xsim/ip/mutex_v2_1_13 +lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0 +ahblite_axi_bridge_v3_0_26=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_26 +tmr_inject_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_6 +qdriv_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_2 +tcc_decoder_3gppmm_v2_0_27=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_27 +ai_noc=$RDI_DATADIR/xsim/ip/ai_noc +util_idelay_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_4 +noc2_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps_v1_0_0 +etrnic_v1_1_8=$RDI_DATADIR/xsim/ip/etrnic_v1_1_8 +axi_ahblite_bridge_v3_0_28=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_28 +ieee802d3_50g_rs_fec_v1_0_24=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_24 +noc_hbm_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_nmu_sim_v1_0_0 +noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0 +dfx_decoupler_v1_0_9=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_9 +dsp_macro_v1_0_6=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_6 +cpm5n_v1_0_8=$RDI_DATADIR/xsim/ip/cpm5n_v1_0_8 +axi_dma_v7_1_32=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_32 +sim_ipc_multi_intf_v1_0=$RDI_DATADIR/xsim/ip/sim_ipc_multi_intf_v1_0 +hdcp_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp_v1_0_5 +axi_epc_v2_0_34=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_34 +tmr_comparator_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_7 +v_warp_filter_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_1_4 +ai_pl=$RDI_DATADIR/xsim/ip/ai_pl +xdfe_nlf_v1_1_1=$RDI_DATADIR/xsim/ip/xdfe_nlf_v1_1_1 +v_scenechange_v1_1_6=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_6 +vfb_v1_0_25=$RDI_DATADIR/xsim/ip/vfb_v1_0_25 +axis_interconnect_v1_1_23=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_23 +xlconstant_v1_1_9=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_9 +adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0 +mult_gen_v12_0_21=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_21 +i2s_receiver_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_8 +qdriv_pl_v1_0_13=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_13 +xbip_dsp48_wrapper_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_6 +lut_buffer_v2_0_1=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_1 +vid_phy_controller_v2_2_18=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_18 +advanced_io_wizard_phy_v1_0_3=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_3 +uhdsdi_gt_v2_1_4=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_1_4 +axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub +anlt_subcore_ip_v1_0_2=$RDI_DATADIR/xsim/ip/anlt_subcore_ip_v1_0_2 +xxv_ethernet_v4_1_10=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_1_10 +cdcam_v1_1_0=$RDI_DATADIR/xsim/ip/cdcam_v1_1_0 +pci32_v5_0_13=$RDI_DATADIR/xsim/ip/pci32_v5_0_13 +v_mix_v5_2_9=$RDI_DATADIR/xsim/ip/v_mix_v5_2_9 +pci64_v5_0_12=$RDI_DATADIR/xsim/ip/pci64_v5_0_12 +in_system_ibert_v1_0_22=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_22 +proc_sys_reset_v5_0_15=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_15 +axis_dwidth_converter_v1_1_30=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_30 +sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0 +sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0 +axi_traffic_gen_v3_0_17=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_17 +v_hdmi_rx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_4 +xdfe_resampler_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_9 +zynq_ultra_ps_e_v3_3_12=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_12 +axi_i3c_v1_0_0=$RDI_DATADIR/xsim/ip/axi_i3c_v1_0_0 +g709_rs_encoder_v2_2_12=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_12 +xpm=$RDI_DATADIR/xsim/ip/xpm +dptx_v1_0_4=$RDI_DATADIR/xsim/ip/dptx_v1_0_4 +v_axi4s_remap_v1_1_10=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_10 +tri_mode_ethernet_mac_v9_0_32=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_32 +xbip_bram18k_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_bram18k_v3_0_9 +shell_utils_build_info_v2_0_0=$RDI_DATADIR/xsim/ip/shell_utils_build_info_v2_0_0 +cordic_v6_0_22=$RDI_DATADIR/xsim/ip/cordic_v6_0_22 +sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1 +axi_hbicap_v1_0_7=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_7 +zynq_ultra_ps_e_vip_v1_0_17=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_17 +gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4 +remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4 +axi_vdma_v6_3_19=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_19 +x5io_wizard_phy_v1_0_1=$RDI_DATADIR/xsim/ip/x5io_wizard_phy_v1_0_1 +tcc_encoder_3gpp_v5_0_22=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_22 +axis_combiner_v1_1_29=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_29 +microblaze_v9_5_5=$RDI_DATADIR/xsim/ip/microblaze_v9_5_5 +advanced_io_wizard_v1_0_13=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_13 +lib_cdc_v1_0_3=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_3 +axis_ila_adv_trig_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_1 +qdma_v5_0_9=$RDI_DATADIR/xsim/ip/qdma_v5_0_9 +ddr4_pl_v1_0_14=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_14 +noc_mc_ddr5_phy_v1_0_1=$RDI_DATADIR/xsim/ip/noc_mc_ddr5_phy_v1_0_1 +xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0 +axi_sg_v4_1_18=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_18 +xdfe_cc_mixer_v2_0_4=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v2_0_4 +processing_system7_vip_v1_0_19=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_19 +mipi_dsi_tx_ctrl_v1_0_9=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_9 +microblaze_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/microblaze_riscv_v1_0_2 +cpm4_v1_0_16=$RDI_DATADIR/xsim/ip/cpm4_v1_0_16 +xbip_multadd_v3_0_20=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_20 +axis_data_fifo_v2_0_13=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_13 +ltlib_v1_0_2=$RDI_DATADIR/xsim/ip/ltlib_v1_0_2 +axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1 +sim_clk_gen_v1_0_4=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_4 +xdfe_fft_v1_0_7=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_7 +hsdp_trace_v2_0_2=$RDI_DATADIR/xsim/ip/hsdp_trace_v2_0_2 +blk_mem_gen_v8_4_8=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_8 +cam_blk_lib_v1_1_0=$RDI_DATADIR/xsim/ip/cam_blk_lib_v1_1_0 +tmr_voter_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_6 +util_ff_v1_0_3=$RDI_DATADIR/xsim/ip/util_ff_v1_0_3 +interrupt_control_v3_1_5=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_5 +xdfe_ofdm_v2_1_0=$RDI_DATADIR/xsim/ip/xdfe_ofdm_v2_1_0 +audio_clock_recovery_unit_v1_0_4=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_4 +ten_gig_eth_mac_v15_1_12=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_12 +axi_protocol_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_31 +xbip_dsp48_mult_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_mult_v3_0_9 +tmr_sem_v1_0_26=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_26 +v_frmbuf_rd_v2_4_3=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_4_3 +cmpy_v6_0_24=$RDI_DATADIR/xsim/ip/cmpy_v6_0_24 +ta_dma_v1_0_15=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_15 +v_tpg_v8_0_14=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_14 +axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0 +axi_iic_v2_1_7=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_7 +c_addsub_v12_0_18=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_18 +audio_tpg_v1_0_2=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_2 +axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0 +v_vid_sdi_tx_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_2 +noc_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_sim_v1_0_0 +axi_register_slice_v2_1_31=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_31 +axis_switch_v1_1_31=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_31 +axi_stream_monitor_v1_1_1=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_1 +v_uhdsdi_vidgen_v1_0_3=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_3 +bscan_jtag=$RDI_DATADIR/xsim/ip/bscan_jtag +audio_formatter_v1_0_13=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_13 +axis_vio_v1_0_11=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_11 +interlaken_v2_4_17=$RDI_DATADIR/xsim/ip/interlaken_v2_4_17 +axis_register_slice_v1_1_31=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_31 +remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4 +hdcp22_cipher_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_5 +picxo_fracxo_v2_0_2=$RDI_DATADIR/xsim/ip/picxo_fracxo_v2_0_2 +xdma_v4_1_29=$RDI_DATADIR/xsim/ip/xdma_v4_1_29 +accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0 +noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0 +roe_framer_v3_0_8=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_8 +g975_efec_i7_v2_0_23=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_23 +quadsgmii_v3_5_18=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_18 +axi_ethernet_buffer_v2_0_25=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_25 +fit_timer_v2_0_12=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_12 +bsip_v1_1_1=$RDI_DATADIR/xsim/ip/bsip_v1_1_1 +lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0 +mailbox_v2_1_17=$RDI_DATADIR/xsim/ip/mailbox_v2_1_17 +v_vscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_11 +fifo_generator_v13_0_7=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_7 +av_pat_gen_v1_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v1_0_2 +v_frmbuf_rd_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_5_2 +mipi_rx_phy_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_rx_phy_v1_0_0 +gig_ethernet_pcs_pma_v16_2_18=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_18 +noc2_nmu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nmu_v1_0_1 +ats_switch_v1_0_10=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_10 +axi_ethernetlite_v3_0_30=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_30 +axis_mu_v1_0_1=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_1 +vby1hs_v1_0_5=$RDI_DATADIR/xsim/ip/vby1hs_v1_0_5 +noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0 +convolution_v9_0_20=$RDI_DATADIR/xsim/ip/convolution_v9_0_20 +axi4stream_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_17 +axis_subset_converter_v1_1_31=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_31 +srio_gen2_v4_1_19=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_19 +lib_bmg_v1_0_17=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_17 +system_cache_v5_0_11=$RDI_DATADIR/xsim/ip/system_cache_v5_0_11 +dp_videoaxi4s_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_3 +sid_v8_0_21=$RDI_DATADIR/xsim/ip/sid_v8_0_21 +blk_mem_gen_v8_3_7=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_7 +noc2_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_sc_v1_0_0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak new file mode 100644 index 0000000..65c1bae --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak @@ -0,0 +1,490 @@ +std=$RDI_DATADIR/xsim/vhdl/std +ieee=$RDI_DATADIR/xsim/vhdl/ieee +ieee_proposed=$RDI_DATADIR/xsim/vhdl/ieee_proposed +vl=$RDI_DATADIR/xsim/vhdl/vl +synopsys=$RDI_DATADIR/xsim/vhdl/synopsys +uvm=$RDI_DATADIR/xsim/system_verilog/uvm +secureip=$RDI_DATADIR/xsim/verilog/secureip +unisim=$RDI_DATADIR/xsim/vhdl/unisim +unimacro=$RDI_DATADIR/xsim/vhdl/unimacro +unifast=$RDI_DATADIR/xsim/vhdl/unifast +unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver +unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver +unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver +simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver +axi_epu_v1_0_4=$RDI_DATADIR/xsim/ip/axi_epu_v1_0_4 +xlconcat_v2_1_6=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_6 +emb_fifo_gen_v1_0_5=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_5 +mipi_csi2_tx_ctrl_v1_0_6=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_6 +c_mux_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bit_v12_0_9 +smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0 +v_smpte_uhdsdi_tx_v1_0_4=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_4 +cam_v3_0_0=$RDI_DATADIR/xsim/ip/cam_v3_0_0 +microblaze_v11_0_13=$RDI_DATADIR/xsim/ip/microblaze_v11_0_13 +axi_fifo_mm_s_v4_3_3=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_3_3 +v_hdmi_rx1_v1_0_9=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_9 +video_frame_crc_v1_0_6=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_6 +hdcp22_cipher_dp_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_2 +generic_baseblocks_v2_1_2=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_2 +axis_clock_converter_v1_1_32=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_32 +psx_vip_v1_0_4=$RDI_DATADIR/xsim/ip/psx_vip_v1_0_4 +g975_efec_i4_v1_0_22=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_22 +axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4 +axis_dbg_stub_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_1 +ethernet_1_10_25g_v2_7_15=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_15 +an_lt_v1_0_12=$RDI_DATADIR/xsim/ip/an_lt_v1_0_12 +hdmi_gt_controller_v1_0_13=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_13 +axi_cdma_v4_1_31=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_31 +xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip +videoaxi4s_bridge_v1_0_7=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_7 +mdm_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/mdm_riscv_v1_0_2 +axi_uartlite_v2_0_35=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_35 +axi_perf_mon_v5_0_33=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_33 +axi_master_burst_v2_0_9=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_9 +aie_ps_v1_0=$RDI_DATADIR/xsim/ip/aie_ps_v1_0 +ibert_lib_v1_0_11=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_11 +axi_datamover_v5_1_33=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_33 +noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0 +xbip_dsp48_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_addsub_v3_0_9 +v_axi4s_vid_out_v4_0_18=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_18 +axi_chip2chip_v5_0_22=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_22 +gtwizard_ultrascale_v1_7_18=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_18 +axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0 +switch_core_top_v1_0_16=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_16 +vitis_net_p4_v2_1_0=$RDI_DATADIR/xsim/ip/vitis_net_p4_v2_1_0 +axi_sideband_util_v1_0_15=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_15 +axis_infrastructure_v1_1_1=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_1 +dist_mem_gen_v8_0_15=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_15 +shell_utils_addr_remap_v1_0_10=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_10 +xbip_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_addsub_v3_0_9 +dds_compiler_v6_0_25=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_25 +bscan_axi_v1_0_2=$RDI_DATADIR/xsim/ip/bscan_axi_v1_0_2 +fifo_generator_v13_2_10=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_10 +dprx_fec_8b10b_v1_0_3=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_3 +pcie_axi4lite_tap_v1_0_2=$RDI_DATADIR/xsim/ip/pcie_axi4lite_tap_v1_0_2 +av_pat_gen_v2_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v2_0_2 +polar_v1_1_4=$RDI_DATADIR/xsim/ip/polar_v1_1_4 +v_tpg_v8_2_5=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_5 +tcc_encoder_3gpplte_v4_0_19=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_19 +axis_accelerator_adapter_v2_1_18=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_18 +mem_pl_v1_0_2=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_2 +perf_axi_tg_v1_0_13=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_13 +trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0 +axi_pcie_v2_9_11=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_11 +axi_emc_v3_0_31=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_31 +tsn_endpoint_ethernet_mac_block_v1_0_16=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_16 +soft_ecc_proxy_v1_1_1=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_1_1 +axi_apb_bridge_v3_0_20=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_20 +axis_broadcaster_v1_1_30=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_30 +axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1 +vid_edid_v1_0_2=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_2 +noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0 +v_vid_in_axi4s_v5_0_4=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_4 +v_dual_splitter_v1_0_11=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_11 +axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0 +pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0 +msm_cpp=$RDI_DATADIR/xsim/ip/msm_cpp +debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1 +v_frmbuf_wr_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_5_2 +v_smpte_uhdsdi_rx_v1_0_3=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_3 +mpegtsmux_v1_1_9=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_1_9 +noc_nps_v1_0_1=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_1 +v_multi_scaler_v1_2_6=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_6 +x5io_wizard_v1_0_4=$RDI_DATADIR/xsim/ip/x5io_wizard_v1_0_4 +axi_remapper_rx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_2 +emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0 +sem_ultra_v3_1_26=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_26 +axi_utils_v2_0_9=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_9 +v_tc_v6_2_8=$RDI_DATADIR/xsim/ip/v_tc_v6_2_8 +ieee802d3_clause74_fec_v1_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_18 +jesd204c_v4_2_14=$RDI_DATADIR/xsim/ip/jesd204c_v4_2_14 +xtlm=$RDI_DATADIR/xsim/ip/xtlm +hdmi_acr_ctrl_v1_0_3=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_3 +icap_arb_v1_0_2=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_2 +nvme_tc_v3_0_6=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_6 +axi4svideo_bridge_v1_0_18=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_18 +jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi +axi_intc_v4_1_19=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_19 +v_demosaic_v1_1_11=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_11 +pcie_jtag_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_1 +xlslice_v1_0_4=$RDI_DATADIR/xsim/ip/xlslice_v1_0_4 +axi_vfifo_ctrl_v2_0_34=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_34 +gmii_to_rgmii_v4_1_14=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_14 +util_reduced_logic_v2_0_6=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_6 +nvmeha_v1_0_12=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_12 +axi_c2c_v1_0_9=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_9 +ft_prach_v1_2_2=$RDI_DATADIR/xsim/ip/ft_prach_v1_2_2 +v_smpte_uhdsdi_v1_0_11=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_11 +axi_pmon_v1_0_1=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_1 +gtwizard_ultrascale_v1_6_16=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_16 +gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux +displayport_v7_0_24=$RDI_DATADIR/xsim/ip/displayport_v7_0_24 +msm_adapters=$RDI_DATADIR/xsim/ip/msm_adapters +v_frmbuf_wr_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_10 +fast_adapter_v1_0_8=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_8 +rst_vip_v1_0_6=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_6 +v_hdmi_phy1_v1_0_12=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_12 +pcie_qdma_mailbox_v1_0_5=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_5 +pr_decoupler_v1_0_11=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_11 +v_hdmi_tx1_v1_0_8=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_8 +processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6 +axis_cap_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_1 +common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1 +xtlm_ipc_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ipc_v1_0 +v_tpg_v8_1_10=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_10 +v_hcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_11 +axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0 +axi_intc_v4_1=$RDI_DATADIR/xsim/ip/axi_intc_v4_1 +mammoth_transcode_v1_0_2=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_2 +axi_timebase_wdt_v3_0_23=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_23 +floating_point_v7_0_23=$RDI_DATADIR/xsim/ip/floating_point_v7_0_23 +displayport_v9_0_9=$RDI_DATADIR/xsim/ip/displayport_v9_0_9 +noc_hbm_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_0 +versal_cips_ps_vip_v1_0_9=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_9 +amm_axi_bridge_v1_0_17=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_17 +v_vid_gt_bridge_v2_0_5=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v2_0_5 +v_gamma_lut_v1_1_11=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_11 +rld3_pl_v1_0_14=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_14 +l_ethernet_v3_3_10=$RDI_DATADIR/xsim/ip/l_ethernet_v3_3_10 +ernic_v4_0_3=$RDI_DATADIR/xsim/ip/ernic_v4_0_3 +fec_5g_common_v1_1_4=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_4 +sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0 +dfx_bitstream_monitor_v1_0_5=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_5 +axi_mmu_v2_1_29=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_29 +v_sdi_rx_vid_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_2 +v_csc_v1_1_11=$RDI_DATADIR/xsim/ip/v_csc_v1_1_11 +usxgmii_v1_2_17=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_17 +trace_s2mm_v2_0_1=$RDI_DATADIR/xsim/ip/trace_s2mm_v2_0_1 +ieee802d3_rs_fec_v2_0_22=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_22 +v_hdmi_tx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_4 +ldpc_5gnr_v1_0_3=$RDI_DATADIR/xsim/ip/ldpc_5gnr_v1_0_3 +rama_v1_1_17_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_17_lib +rs_decoder_v9_0_22=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_22 +ieee802d3_400g_rs_fec_v3_0_2=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v3_0_2 +xscl=$RDI_DATADIR/xsim/ip/xscl +iomodule_v3_1_10=$RDI_DATADIR/xsim/ip/iomodule_v3_1_10 +axis_mem_v1_0_2=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_2 +axi_tft_v2_0_28=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_28 +fc32_rs_fec_v1_0_27=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_27 +axi_remapper_tx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_2 +dfx_axi_shutdown_manager_v1_0_3=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_3 +util_vector_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_4 +axis_ila_intf_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_2 +axi_amm_bridge_v1_0_21=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_21 +xpm_cdc_gen_v1_0_4=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_4 +c_accum_v12_0_18=$RDI_DATADIR/xsim/ip/c_accum_v12_0_18 +cmac_v2_6_15=$RDI_DATADIR/xsim/ip/cmac_v2_6_15 +axi_firewall_v1_2_6=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_6 +dft_v4_2_8=$RDI_DATADIR/xsim/ip/dft_v4_2_8 +ernic_v3_1_5=$RDI_DATADIR/xsim/ip/ernic_v3_1_5 +xsdbs_v1_0_4=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_4 +stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0 +axi_timer_v2_0_33=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_33 +lmb_v10_v3_0_14=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_14 +v_deinterlacer_v5_1_4=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_4 +axis_data_fifo_v1_1_32=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_32 +hw_trace=$RDI_DATADIR/xsim/ip/hw_trace +sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1 +axi_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_17 +mipi_dphy_v4_3_11=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_11 +ieee802d3_50g_rs_fec_v2_0_17=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_17 +xdfe_equalizer_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_9 +flexo_100g_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_28 +v_uhdsdi_audio_v2_0_8=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_8 +v_tc_v6_1_14=$RDI_DATADIR/xsim/ip/v_tc_v6_1_14 +ddr4_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_2 +sem_v4_1_15=$RDI_DATADIR/xsim/ip/sem_v4_1_15 +c_shift_ram_v12_0_17=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_17 +lib_pkg_v1_0_4=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_4 +bs_switch_v1_0_4=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_4 +shell_utils_msp432_bsl_crc_gen_v1_0_2=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_2 +axis_dbg_sync_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_1 +high_speed_selectio_wiz_v3_6_9=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_9 +emc_common_v3_0_7=$RDI_DATADIR/xsim/ip/emc_common_v3_0_7 +fir_compiler_v7_2_22=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_22 +microblaze_mcs_v2_3_7=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_7 +oran_radio_if_v3_2_0=$RDI_DATADIR/xsim/ip/oran_radio_if_v3_2_0 +i2s_transmitter_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_8 +floating_point_v7_1_18=$RDI_DATADIR/xsim/ip/floating_point_v7_1_18 +sim_trig_v1_0_12=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_12 +hbm2e_pl_v1_0_1=$RDI_DATADIR/xsim/ip/hbm2e_pl_v1_0_1 +axis_protocol_checker_v2_0_15=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_15 +vitis_deadlock_detector_v1_0_2=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_2 +axi_msg_v1_0_11=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_11 +c_compare_v12_0_9=$RDI_DATADIR/xsim/ip/c_compare_v12_0_9 +c_mux_bus_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bus_v12_0_9 +xsdbm_v3_0_2=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_2 +rld3_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_2 +mpram_v1_0_4=$RDI_DATADIR/xsim/ip/mpram_v1_0_4 +oddr_v1_0_4=$RDI_DATADIR/xsim/ip/oddr_v1_0_4 +bs_mux_v1_0_1=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_1 +ptp_1588_timer_syncer_v2_0_6=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_6 +dfx_controller_v1_0_7=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_7 +noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0 +rs_toolbox_v9_0_13=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_13 +pc_cfr_v8_0_2=$RDI_DATADIR/xsim/ip/pc_cfr_v8_0_2 +multi_channel_25g_rs_fec_v1_0_26=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_26 +lmb_bram_if_cntlr_v4_0_24=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_24 +hdcp_keymngmt_blk_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_2 +axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0 +compact_gt_v1_0_17=$RDI_DATADIR/xsim/ip/compact_gt_v1_0_17 +v_vcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_11 +mipi_dsi2_rx_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_dsi2_rx_ctrl_v1_0_0 +axi_quad_spi_v3_2_30=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_30 +div_gen_v5_1_22=$RDI_DATADIR/xsim/ip/div_gen_v5_1_22 +v_frmbuf_rd_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_10 +can_v5_1_3=$RDI_DATADIR/xsim/ip/can_v5_1_3 +axi_pcie3_v3_0_30=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_30 +emb_mem_gen_v1_0_9=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_9 +noc2_xbr2x4_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr2x4_v1_0_0 +xbip_counter_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_counter_v3_0_9 +axi_jtag_v1_0_2=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_2 +tmr_manager_v1_0_12=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_12 +noc_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_sim_v1_0_0 +mipi_csi2_rx_ctrl_v1_0_10=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_10 +axi_bram_ctrl_v4_0_15=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_15 +axi_gpio_v2_0_33=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_33 +rs_encoder_v9_0_21=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_21 +rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1 +axi_bram_ctrl_v4_1_10=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_10 +uram_rd_back_v1_0_4=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_4 +lib_fifo_v1_0_19=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_19 +canfd_v3_0_10=$RDI_DATADIR/xsim/ip/canfd_v3_0_10 +hdcp22_rng_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_3 +xfft_v9_1_12=$RDI_DATADIR/xsim/ip/xfft_v9_1_12 +axi_memory_init_v1_0_12=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_12 +common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0 +ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig +c_reg_fd_v12_0_9=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_9 +axi_mm2s_mapper_v1_1_30=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_30 +ldpc_v2_0_15=$RDI_DATADIR/xsim/ip/ldpc_v2_0_15 +sim_cpu_v1_0=$RDI_DATADIR/xsim/ip/sim_cpu_v1_0 +axi_data_fifo_v2_1_30=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_30 +g709_fec_v2_4_10=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_10 +axi_mcdma_v1_1_12=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_12 +axi_lmb_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/axi_lmb_bridge_v1_0_3 +lte_fft_v2_1_10=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_10 +axi_interconnect_v1_7_23=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_23 +axi_dwidth_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_31 +axi_usb2_device_v5_0_32=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_32 +axi_hwicap_v3_0_35=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_35 +ldpc_5gnr_lite_v1_0_2=$RDI_DATADIR/xsim/ip/ldpc_5gnr_lite_v1_0_2 +fifo_generator_v13_1_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_5 +axi_protocol_checker_v2_0_17=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_17 +c_counter_binary_v12_0_19=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_19 +axis_ila_pp_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_2 +mem_tg_v1_0_13=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_13 +xbip_utils_v3_0_13=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_13 +aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0 +xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0 +v_vid_in_axi4s_v4_0_11=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_11 +cmac_usplus_v3_1_17=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_17 +xdfe_common_v1_0_3=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_3 +viterbi_v9_1_17=$RDI_DATADIR/xsim/ip/viterbi_v9_1_17 +xdfe_nr_prach_v2_0_3=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v2_0_3 +v_smpte_sdi_v3_0_11=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_11 +mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2 +clk_vip_v1_0_4=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_4 +mdm_v3_2_26=$RDI_DATADIR/xsim/ip/mdm_v3_2_26 +xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0 +xbip_dsp48_multadd_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_multadd_v3_0_9 +noc2_nsu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nsu_v1_0_1 +timer_sync_1588_v1_2_5=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_5 +axis_ila_ct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_1 +dcmac_v2_4_0=$RDI_DATADIR/xsim/ip/dcmac_v2_4_0 +v_dp_axi4s_vid_out_v1_0_8=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_8 +xbip_dsp48_acc_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_acc_v3_0_9 +noc2_xbr4x2_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr4x2_v1_0_0 +sd_fec_v1_1_14=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_14 +xbip_accum_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_accum_v3_0_9 +displayport_v8_1_9=$RDI_DATADIR/xsim/ip/displayport_v8_1_9 +ilknf_v1_3_4=$RDI_DATADIR/xsim/ip/ilknf_v1_3_4 +v_letterbox_v1_1_11=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_11 +iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0 +dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf +cpm5_v1_0_16=$RDI_DATADIR/xsim/ip/cpm5_v1_0_16 +ieee802d3_25g_rs_fec_v1_0_30=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_30 +jesd204_v7_2_20=$RDI_DATADIR/xsim/ip/jesd204_v7_2_20 +clk_gen_sim_v1_0_4=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_4 +g709_rs_decoder_v2_2_14=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_14 +cpri_v8_11_20=$RDI_DATADIR/xsim/ip/cpri_v8_11_20 +ieee802d3_200g_rs_fec_v2_0_11=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_11 +v_warp_init_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_init_v1_1_4 +v_hscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_11 +spdif_v2_0_29=$RDI_DATADIR/xsim/ip/spdif_v2_0_29 +func_emu_util_v1_0=$RDI_DATADIR/xsim/ip/func_emu_util_v1_0 +axi_uart16550_v2_0_33=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_33 +axi_clock_converter_v2_1_30=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_30 +dprx_v1_0_4=$RDI_DATADIR/xsim/ip/dprx_v1_0_4 +mrmac_v2_3_0=$RDI_DATADIR/xsim/ip/mrmac_v2_3_0 +cic_compiler_v4_0_19=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_19 +c_gate_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_gate_bit_v12_0_9 +noc_sc_v1_0_0_legacy=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0_legacy +ten_gig_eth_pcs_pma_v6_0_27=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_27 +xdfe_cc_filter_v1_1_4=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_1_4 +axi_crossbar_v2_1_32=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_32 +axis_itct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_1 +xbip_pipe_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_9 +tsn_temac_v1_0_11=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_11 +lib_srl_fifo_v1_0_4=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_4 +ecc_v2_0_16=$RDI_DATADIR/xsim/ip/ecc_v2_0_16 +mutex_v2_1_13=$RDI_DATADIR/xsim/ip/mutex_v2_1_13 +lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0 +ahblite_axi_bridge_v3_0_26=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_26 +tmr_inject_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_6 +qdriv_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_2 +tcc_decoder_3gppmm_v2_0_27=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_27 +ai_noc=$RDI_DATADIR/xsim/ip/ai_noc +util_idelay_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_4 +noc2_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps_v1_0_0 +etrnic_v1_1_8=$RDI_DATADIR/xsim/ip/etrnic_v1_1_8 +axi_ahblite_bridge_v3_0_28=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_28 +ieee802d3_50g_rs_fec_v1_0_24=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_24 +noc_hbm_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_nmu_sim_v1_0_0 +noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0 +dfx_decoupler_v1_0_9=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_9 +dsp_macro_v1_0_6=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_6 +cpm5n_v1_0_8=$RDI_DATADIR/xsim/ip/cpm5n_v1_0_8 +axi_dma_v7_1_32=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_32 +sim_ipc_multi_intf_v1_0=$RDI_DATADIR/xsim/ip/sim_ipc_multi_intf_v1_0 +hdcp_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp_v1_0_5 +axi_epc_v2_0_34=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_34 +tmr_comparator_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_7 +v_warp_filter_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_1_4 +ai_pl=$RDI_DATADIR/xsim/ip/ai_pl +xdfe_nlf_v1_1_1=$RDI_DATADIR/xsim/ip/xdfe_nlf_v1_1_1 +v_scenechange_v1_1_6=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_6 +vfb_v1_0_25=$RDI_DATADIR/xsim/ip/vfb_v1_0_25 +axis_interconnect_v1_1_23=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_23 +xlconstant_v1_1_9=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_9 +adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0 +mult_gen_v12_0_21=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_21 +i2s_receiver_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_8 +qdriv_pl_v1_0_13=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_13 +xbip_dsp48_wrapper_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_6 +lut_buffer_v2_0_1=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_1 +vid_phy_controller_v2_2_18=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_18 +advanced_io_wizard_phy_v1_0_3=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_3 +uhdsdi_gt_v2_1_4=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_1_4 +axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub +anlt_subcore_ip_v1_0_2=$RDI_DATADIR/xsim/ip/anlt_subcore_ip_v1_0_2 +xxv_ethernet_v4_1_10=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_1_10 +cdcam_v1_1_0=$RDI_DATADIR/xsim/ip/cdcam_v1_1_0 +pci32_v5_0_13=$RDI_DATADIR/xsim/ip/pci32_v5_0_13 +v_mix_v5_2_9=$RDI_DATADIR/xsim/ip/v_mix_v5_2_9 +pci64_v5_0_12=$RDI_DATADIR/xsim/ip/pci64_v5_0_12 +in_system_ibert_v1_0_22=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_22 +proc_sys_reset_v5_0_15=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_15 +axis_dwidth_converter_v1_1_30=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_30 +sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0 +sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0 +axi_traffic_gen_v3_0_17=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_17 +v_hdmi_rx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_4 +xdfe_resampler_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_9 +zynq_ultra_ps_e_v3_3_12=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_12 +axi_i3c_v1_0_0=$RDI_DATADIR/xsim/ip/axi_i3c_v1_0_0 +g709_rs_encoder_v2_2_12=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_12 +xpm=$RDI_DATADIR/xsim/ip/xpm +dptx_v1_0_4=$RDI_DATADIR/xsim/ip/dptx_v1_0_4 +v_axi4s_remap_v1_1_10=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_10 +tri_mode_ethernet_mac_v9_0_32=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_32 +xbip_bram18k_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_bram18k_v3_0_9 +shell_utils_build_info_v2_0_0=$RDI_DATADIR/xsim/ip/shell_utils_build_info_v2_0_0 +cordic_v6_0_22=$RDI_DATADIR/xsim/ip/cordic_v6_0_22 +sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1 +axi_hbicap_v1_0_7=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_7 +zynq_ultra_ps_e_vip_v1_0_17=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_17 +gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4 +remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4 +axi_vdma_v6_3_19=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_19 +x5io_wizard_phy_v1_0_1=$RDI_DATADIR/xsim/ip/x5io_wizard_phy_v1_0_1 +tcc_encoder_3gpp_v5_0_22=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_22 +axis_combiner_v1_1_29=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_29 +microblaze_v9_5_5=$RDI_DATADIR/xsim/ip/microblaze_v9_5_5 +advanced_io_wizard_v1_0_13=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_13 +lib_cdc_v1_0_3=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_3 +axis_ila_adv_trig_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_1 +qdma_v5_0_9=$RDI_DATADIR/xsim/ip/qdma_v5_0_9 +ddr4_pl_v1_0_14=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_14 +noc_mc_ddr5_phy_v1_0_1=$RDI_DATADIR/xsim/ip/noc_mc_ddr5_phy_v1_0_1 +xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0 +axi_sg_v4_1_18=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_18 +xdfe_cc_mixer_v2_0_4=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v2_0_4 +processing_system7_vip_v1_0_19=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_19 +mipi_dsi_tx_ctrl_v1_0_9=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_9 +microblaze_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/microblaze_riscv_v1_0_2 +cpm4_v1_0_16=$RDI_DATADIR/xsim/ip/cpm4_v1_0_16 +xbip_multadd_v3_0_20=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_20 +axis_data_fifo_v2_0_13=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_13 +ltlib_v1_0_2=$RDI_DATADIR/xsim/ip/ltlib_v1_0_2 +axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1 +sim_clk_gen_v1_0_4=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_4 +xdfe_fft_v1_0_7=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_7 +hsdp_trace_v2_0_2=$RDI_DATADIR/xsim/ip/hsdp_trace_v2_0_2 +blk_mem_gen_v8_4_8=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_8 +cam_blk_lib_v1_1_0=$RDI_DATADIR/xsim/ip/cam_blk_lib_v1_1_0 +tmr_voter_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_6 +util_ff_v1_0_3=$RDI_DATADIR/xsim/ip/util_ff_v1_0_3 +interrupt_control_v3_1_5=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_5 +xdfe_ofdm_v2_1_0=$RDI_DATADIR/xsim/ip/xdfe_ofdm_v2_1_0 +audio_clock_recovery_unit_v1_0_4=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_4 +ten_gig_eth_mac_v15_1_12=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_12 +axi_protocol_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_31 +xbip_dsp48_mult_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_mult_v3_0_9 +tmr_sem_v1_0_26=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_26 +v_frmbuf_rd_v2_4_3=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_4_3 +cmpy_v6_0_24=$RDI_DATADIR/xsim/ip/cmpy_v6_0_24 +ta_dma_v1_0_15=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_15 +v_tpg_v8_0_14=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_14 +axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0 +axi_iic_v2_1_7=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_7 +c_addsub_v12_0_18=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_18 +audio_tpg_v1_0_2=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_2 +axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0 +v_vid_sdi_tx_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_2 +noc_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_sim_v1_0_0 +axi_register_slice_v2_1_31=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_31 +axis_switch_v1_1_31=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_31 +axi_stream_monitor_v1_1_1=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_1 +v_uhdsdi_vidgen_v1_0_3=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_3 +bscan_jtag=$RDI_DATADIR/xsim/ip/bscan_jtag +audio_formatter_v1_0_13=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_13 +axis_vio_v1_0_11=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_11 +interlaken_v2_4_17=$RDI_DATADIR/xsim/ip/interlaken_v2_4_17 +axis_register_slice_v1_1_31=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_31 +remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4 +hdcp22_cipher_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_5 +picxo_fracxo_v2_0_2=$RDI_DATADIR/xsim/ip/picxo_fracxo_v2_0_2 +xdma_v4_1_29=$RDI_DATADIR/xsim/ip/xdma_v4_1_29 +accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0 +noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0 +roe_framer_v3_0_8=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_8 +g975_efec_i7_v2_0_23=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_23 +quadsgmii_v3_5_18=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_18 +axi_ethernet_buffer_v2_0_25=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_25 +fit_timer_v2_0_12=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_12 +bsip_v1_1_1=$RDI_DATADIR/xsim/ip/bsip_v1_1_1 +lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0 +mailbox_v2_1_17=$RDI_DATADIR/xsim/ip/mailbox_v2_1_17 +v_vscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_11 +fifo_generator_v13_0_7=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_7 +av_pat_gen_v1_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v1_0_2 +v_frmbuf_rd_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_5_2 +mipi_rx_phy_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_rx_phy_v1_0_0 +gig_ethernet_pcs_pma_v16_2_18=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_18 +noc2_nmu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nmu_v1_0_1 +ats_switch_v1_0_10=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_10 +axi_ethernetlite_v3_0_30=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_30 +axis_mu_v1_0_1=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_1 +vby1hs_v1_0_5=$RDI_DATADIR/xsim/ip/vby1hs_v1_0_5 +noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0 +convolution_v9_0_20=$RDI_DATADIR/xsim/ip/convolution_v9_0_20 +axi4stream_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_17 +axis_subset_converter_v1_1_31=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_31 +srio_gen2_v4_1_19=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_19 +lib_bmg_v1_0_17=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_17 +system_cache_v5_0_11=$RDI_DATADIR/xsim/ip/system_cache_v5_0_11 +dp_videoaxi4s_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_3 +sid_v8_0_21=$RDI_DATADIR/xsim/ip/sid_v8_0_21 +blk_mem_gen_v8_3_7=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_7 +noc2_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_sc_v1_0_0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log new file mode 100644 index 0000000..a314af5 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log @@ -0,0 +1,6 @@ +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb new file mode 100644 index 0000000000000000000000000000000000000000..fe5d9107fdaa67cbf22cc3bec37f078e20b131da GIT binary patch literal 802 zcmd<u!pNoJn3tGSS(TZWt`O$o;-iq3nUkuZq*|<`keOGKuaJ|ORFqg$si0acz$(RT zXlAU%T~VBwTb5dsnU<Ms6v*YTpOK%NTCAUCWRjg<P@o?Y5FhLq?-=Uh>91c>pqrMN zQ&N<wn_5zul9`xSq6<@2T$HSzk&>gIUyxdqSdv+m8k(0`qF0uYVkE%DSCN?$pOTuE zSejFklbIC7W@w;mXl9(jP{3NjI)RZvfQieWOEeMg?$o@J%#un4b=6{Z0ahs%BQr~L zR9EtI@uJuh#cpVzYiwv>j%?&QO2fjN%agLONXslDEfhRS3I$FscBl<l{lAe?|NC+I qQ0D)Vq<E+UiBAYVBn1Hv7Z<`3tf9ci#pRlpq7amtoL`h8zz6`Udh<R2 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.xpr b/proj/AudioProc.xpr new file mode 100644 index 0000000..45057ae --- /dev/null +++ b/proj/AudioProc.xpr @@ -0,0 +1,299 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<Project Product="Vivado" Version="7" Minor="67" Path="/homes/j24kopp/TP_SA_AUDIO/tp-filtre-etudiant-j24kopp/proj/AudioProc.xpr"> + <DefaultLaunch Dir="$PRUNDIR"/> + <Configuration> + <Option Name="Id" Val="7523989e6fa2422a8d0e9c1f5ee41214"/> + <Option Name="Part" Val="xc7a200tsbg484-1"/> + <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/> + <Option Name="CompiledLibDirXSim" Val=""/> + <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/> + <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/> + <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/> + <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/> + <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/> + <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/> + <Option Name="SimulatorInstallDirModelSim" Val=""/> + <Option Name="SimulatorInstallDirQuesta" Val=""/> + <Option Name="SimulatorInstallDirXcelium" Val=""/> + <Option Name="SimulatorInstallDirVCS" Val=""/> + <Option Name="SimulatorInstallDirRiviera" Val=""/> + <Option Name="SimulatorInstallDirActiveHdl" Val=""/> + <Option Name="SimulatorGccInstallDirModelSim" Val=""/> + <Option Name="SimulatorGccInstallDirQuesta" Val=""/> + <Option Name="SimulatorGccInstallDirXcelium" Val=""/> + <Option Name="SimulatorGccInstallDirVCS" Val=""/> + <Option Name="SimulatorGccInstallDirRiviera" Val=""/> + <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/> + <Option Name="SimulatorVersionXsim" Val="2024.1"/> + <Option Name="SimulatorVersionModelSim" Val="2023.2"/> + <Option Name="SimulatorVersionQuesta" Val="2023.2"/> + <Option Name="SimulatorVersionXcelium" Val="23.03.002"/> + <Option Name="SimulatorVersionVCS" Val="U-2023.03-1"/> + <Option Name="SimulatorVersionRiviera" Val="2023.04"/> + <Option Name="SimulatorVersionActiveHdl" Val="14.1"/> + <Option Name="SimulatorGccVersionXsim" Val="9.3.0"/> + <Option Name="SimulatorGccVersionModelSim" Val="7.4.0"/> + <Option Name="SimulatorGccVersionQuesta" Val="7.4.0"/> + <Option Name="SimulatorGccVersionXcelium" Val="9.3.0"/> + <Option Name="SimulatorGccVersionVCS" Val="9.2.0"/> + <Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/> + <Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/> + <Option Name="TargetLanguage" Val="VHDL"/> + <Option Name="BoardPart" Val=""/> + <Option Name="ActiveSimSet" Val="sim_1"/> + <Option Name="DefaultLib" Val="xil_defaultlib"/> + <Option Name="ProjectType" Val="Default"/> + <Option Name="IPRepoPath" Val="$PPRDIR/../repo"/> + <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/> + <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/> + <Option Name="IPCachePermission" Val="read"/> + <Option Name="IPCachePermission" Val="write"/> + <Option Name="EnableCoreContainer" Val="FALSE"/> + <Option Name="EnableResourceEstimation" Val="FALSE"/> + <Option Name="SimCompileState" Val="TRUE"/> + <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/> + <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/> + <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/> + <Option Name="EnableBDX" Val="FALSE"/> + <Option Name="WTXSimLaunchSim" Val="7"/> + <Option Name="WTModelSimLaunchSim" Val="0"/> + <Option Name="WTQuestaLaunchSim" Val="0"/> + <Option Name="WTIesLaunchSim" Val="0"/> + <Option Name="WTVcsLaunchSim" Val="0"/> + <Option Name="WTRivieraLaunchSim" Val="0"/> + <Option Name="WTActivehdlLaunchSim" Val="0"/> + <Option Name="WTXSimExportSim" Val="0"/> + <Option Name="WTModelSimExportSim" Val="0"/> + <Option Name="WTQuestaExportSim" Val="0"/> + <Option Name="WTIesExportSim" Val="0"/> + <Option Name="WTVcsExportSim" Val="0"/> + <Option Name="WTRivieraExportSim" Val="0"/> + <Option Name="WTActivehdlExportSim" Val="0"/> + <Option Name="GenerateIPUpgradeLog" Val="TRUE"/> + <Option Name="XSimRadix" Val="hex"/> + <Option Name="XSimTimeUnit" Val="ns"/> + <Option Name="XSimArrayDisplayLimit" Val="1024"/> + <Option Name="XSimTraceLimit" Val="65536"/> + <Option Name="SimTypes" Val="rtl"/> + <Option Name="SimTypes" Val="bfm"/> + <Option Name="SimTypes" Val="tlm"/> + <Option Name="SimTypes" Val="tlm_dpi"/> + <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/> + <Option Name="DcpsUptoDate" Val="TRUE"/> + <Option Name="ClassicSocBoot" Val="FALSE"/> + <Option Name="LocalIPRepoLeafDirName" Val="ip_repo"/> + </Configuration> + <FileSets Version="1" Minor="32"> + <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audio_init.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/debounce.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/fir.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audioProc.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/tb_firUnit.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="audioProc"/> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/constraints/NexysVideo_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1"> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="tb_firUnit"/> + <Option Name="TopLib" Val="xil_defaultlib"/> + <Option Name="TransportPathDelay" Val="0"/> + <Option Name="TransportIntDelay" Val="0"/> + <Option Name="SelectedSimModel" Val="rtl"/> + <Option Name="PamDesignTestbench" Val=""/> + <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/> + <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/> + <Option Name="PamPseudoTop" Val="pseudo_tb"/> + <Option Name="SrcSet" Val="sources_1"/> + </Config> + </FileSet> + <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + </FileSets> + <Simulators> + <Simulator Name="XSim"> + <Option Name="Description" Val="Vivado Simulator"/> + <Option Name="CompiledLib" Val="0"/> + </Simulator> + <Simulator Name="ModelSim"> + <Option Name="Description" Val="ModelSim Simulator"/> + </Simulator> + <Simulator Name="Questa"> + <Option Name="Description" Val="Questa Advanced Simulator"/> + </Simulator> + <Simulator Name="Xcelium"> + <Option Name="Description" Val="Xcelium Parallel Simulator"/> + </Simulator> + <Simulator Name="VCS"> + <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/> + </Simulator> + <Simulator Name="Riviera"> + <Option Name="Description" Val="Riviera-PRO Simulator"/> + </Simulator> + </Simulators> + <Runs Version="1" Minor="22"> + <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"> + <Desc>Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold</Desc> + </StratHandle> + <Step Id="synth_design"> + <Option Id="FsmExtraction">1</Option> + <Option Id="KeepEquivalentRegisters">1</Option> + <Option Id="NoCombineLuts">1</Option> + <Option Id="RepFanoutThreshold">400</Option> + <Option Id="ResourceSharing">2</Option> + <Option Id="ShregMinSize">5</Option> + </Step> + </Strategy> + <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> + <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2017"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Vivado Implementation Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" LaunchOptions="-jobs 2 " AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"> + <Desc>Vivado Implementation Defaults</Desc> + </StratHandle> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"> + <Option Id="BinFile">1</Option> + </Step> + </Strategy> + <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> + <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2017"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + </Runs> + <Board/> + <DashboardSummary Version="1" Minor="0"> + <Dashboards> + <Dashboard Name="default_dashboard"> + <Gadgets> + <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/> + </Gadget> + <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/> + </Gadget> + <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/> + </Gadget> + <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/> + </Gadget> + <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/> + <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/> + <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/> + </Gadget> + <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/> + </Gadget> + </Gadgets> + </Dashboard> + <CurrentDashboard>default_dashboard</CurrentDashboard> + </Dashboards> + </DashboardSummary> +</Project> diff --git a/src/hdl/operativeUnit.v b/src/hdl/operativeUnit.v deleted file mode 100644 index 167baf6..0000000 --- a/src/hdl/operativeUnit.v +++ /dev/null @@ -1,4199 +0,0 @@ -// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. -// -------------------------------------------------------------------------------- -// Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 -// Date : Wed Apr 9 16:08:04 2025 -// Host : marzel-XPS-13-7390-2-in-1 running 64-bit Ubuntu 22.04.5 LTS -// Command : write_verilog -// /home/marzel/Documents/enseignements/IMTA_ELEC_A1S2_TAF/UE_EE/SAR_TA/Filtre_NexysVideo_PROF/proj/operativeUnit.v -// Design : operativeUnit -// Purpose : This is a Verilog netlist of the current design or from a specific cell of the design. The output is an -// IEEE 1364-2001 compliant Verilog HDL file that contains netlist information obtained from the input -// design files. -// Device : xc7a200tsbg484-1 -// -------------------------------------------------------------------------------- -`timescale 1 ps / 1 ps - -(* STRUCTURAL_NETLIST = "yes" *) -module operativeUnit - (I_clock, - I_reset, - I_inputSample, - I_loadShift, - I_initAddress, - I_incrAddress, - I_initSum, - I_loadSum, - I_loadOutput, - O_processingDone, - O_filteredSample); - input I_clock; - input I_reset; - input [15:0]I_inputSample; - input I_loadShift; - input I_initAddress; - input I_incrAddress; - input I_initSum; - input I_loadSum; - input I_loadOutput; - output O_processingDone; - output [15:0]O_filteredSample; - - wire \<const0> ; - wire \<const1> ; - wire GND_2; - wire I_clock; - wire I_clock_IBUF; - wire I_clock_IBUF_BUFG; - wire I_incrAddress; - wire I_incrAddress_IBUF; - wire I_initAddress; - wire I_initAddress_IBUF; - wire I_initSum; - wire I_initSum_IBUF; - wire [15:0]I_inputSample; - wire [15:0]I_inputSample_IBUF; - wire I_loadOutput; - wire I_loadOutput_IBUF; - wire I_loadShift; - wire I_loadShift_IBUF; - wire I_loadSum; - wire I_loadSum_IBUF; - wire I_reset; - wire I_reset_IBUF; - wire [30:15]L; - wire [15:0]O_filteredSample; - wire [15:0]O_filteredSample_OBUF; - wire O_processingDone; - wire O_processingDone_OBUF; - wire SC_addResult_i_100_n_0; - wire SC_addResult_i_101_n_0; - wire SC_addResult_i_102_n_0; - wire SC_addResult_i_103_n_0; - wire SC_addResult_i_104_n_0; - wire SC_addResult_i_105_n_0; - wire SC_addResult_i_106_n_0; - wire SC_addResult_i_107_n_0; - wire SC_addResult_i_108_n_0; - wire SC_addResult_i_109_n_0; - wire SC_addResult_i_110_n_0; - wire SC_addResult_i_111_n_0; - wire SC_addResult_i_112_n_0; - wire SC_addResult_i_113_n_0; - wire SC_addResult_i_114_n_0; - wire SC_addResult_i_115_n_0; - wire SC_addResult_i_116_n_0; - wire SC_addResult_i_117_n_0; - wire SC_addResult_i_118_n_0; - wire SC_addResult_i_119_n_0; - wire SC_addResult_i_120_n_0; - wire SC_addResult_i_121_n_0; - wire SC_addResult_i_122_n_0; - wire SC_addResult_i_123_n_0; - wire SC_addResult_i_124_n_0; - wire SC_addResult_i_29_n_0; - wire SC_addResult_i_30_n_0; - wire SC_addResult_i_31_n_0; - wire SC_addResult_i_32_n_0; - wire SC_addResult_i_33_n_0; - wire SC_addResult_i_34_n_0; - wire SC_addResult_i_35_n_0; - wire SC_addResult_i_36_n_0; - wire SC_addResult_i_37_n_0; - wire SC_addResult_i_38_n_0; - wire SC_addResult_i_39_n_0; - wire SC_addResult_i_40_n_0; - wire SC_addResult_i_41_n_0; - wire SC_addResult_i_42_n_0; - wire SC_addResult_i_43_n_0; - wire SC_addResult_i_44_n_0; - wire SC_addResult_i_45_n_0; - wire SC_addResult_i_46_n_0; - wire SC_addResult_i_47_n_0; - wire SC_addResult_i_48_n_0; - wire SC_addResult_i_49_n_0; - wire SC_addResult_i_50_n_0; - wire SC_addResult_i_51_n_0; - wire SC_addResult_i_52_n_0; - wire SC_addResult_i_53_n_0; - wire SC_addResult_i_54_n_0; - wire SC_addResult_i_55_n_0; - wire SC_addResult_i_56_n_0; - wire SC_addResult_i_57_n_0; - wire SC_addResult_i_58_n_0; - wire SC_addResult_i_59_n_0; - wire SC_addResult_i_60_n_0; - wire SC_addResult_i_61_n_0; - wire SC_addResult_i_62_n_0; - wire SC_addResult_i_63_n_0; - wire SC_addResult_i_64_n_0; - wire SC_addResult_i_65_n_0; - wire SC_addResult_i_66_n_0; - wire SC_addResult_i_67_n_0; - wire SC_addResult_i_68_n_0; - wire SC_addResult_i_69_n_0; - wire SC_addResult_i_70_n_0; - wire SC_addResult_i_71_n_0; - wire SC_addResult_i_72_n_0; - wire SC_addResult_i_73_n_0; - wire SC_addResult_i_74_n_0; - wire SC_addResult_i_75_n_0; - wire SC_addResult_i_76_n_0; - wire SC_addResult_i_77_n_0; - wire SC_addResult_i_78_n_0; - wire SC_addResult_i_79_n_0; - wire SC_addResult_i_80_n_0; - wire SC_addResult_i_81_n_0; - wire SC_addResult_i_82_n_0; - wire SC_addResult_i_83_n_0; - wire SC_addResult_i_84_n_0; - wire SC_addResult_i_85_n_0; - wire SC_addResult_i_86_n_0; - wire SC_addResult_i_87_n_0; - wire SC_addResult_i_88_n_0; - wire SC_addResult_i_89_n_0; - wire SC_addResult_i_90_n_0; - wire SC_addResult_i_91_n_0; - wire SC_addResult_i_92_n_0; - wire SC_addResult_i_93_n_0; - wire SC_addResult_i_94_n_0; - wire SC_addResult_i_95_n_0; - wire SC_addResult_i_96_n_0; - wire SC_addResult_i_97_n_0; - wire SC_addResult_i_98_n_0; - wire SC_addResult_i_99_n_0; - wire SC_addResult_n_100; - wire SC_addResult_n_101; - wire SC_addResult_n_102; - wire SC_addResult_n_103; - wire SC_addResult_n_104; - wire SC_addResult_n_105; - wire SC_addResult_n_70; - wire SC_addResult_n_71; - wire SC_addResult_n_72; - wire SC_addResult_n_73; - wire SC_addResult_n_74; - wire SC_addResult_n_91; - wire SC_addResult_n_92; - wire SC_addResult_n_93; - wire SC_addResult_n_94; - wire SC_addResult_n_95; - wire SC_addResult_n_96; - wire SC_addResult_n_97; - wire SC_addResult_n_98; - wire SC_addResult_n_99; - wire [11:0]SC_multOperand2; - wire \SR_filteredSample[3]_i_2_n_0 ; - wire \SR_filteredSample_reg[11]_i_1_n_0 ; - wire \SR_filteredSample_reg[11]_i_1_n_1 ; - wire \SR_filteredSample_reg[11]_i_1_n_2 ; - wire \SR_filteredSample_reg[11]_i_1_n_3 ; - wire \SR_filteredSample_reg[15]_i_1_n_1 ; - wire \SR_filteredSample_reg[15]_i_1_n_2 ; - wire \SR_filteredSample_reg[15]_i_1_n_3 ; - wire \SR_filteredSample_reg[3]_i_1_n_0 ; - wire \SR_filteredSample_reg[3]_i_1_n_1 ; - wire \SR_filteredSample_reg[3]_i_1_n_2 ; - wire \SR_filteredSample_reg[3]_i_1_n_3 ; - wire \SR_filteredSample_reg[7]_i_1_n_0 ; - wire \SR_filteredSample_reg[7]_i_1_n_1 ; - wire \SR_filteredSample_reg[7]_i_1_n_2 ; - wire \SR_filteredSample_reg[7]_i_1_n_3 ; - wire [3:0]SR_readAddress; - wire \SR_readAddress[0]_i_1_n_0 ; - wire \SR_readAddress[1]_i_1_n_0 ; - wire \SR_readAddress[2]_i_1_n_0 ; - wire \SR_readAddress[3]_i_1_n_0 ; - wire \SR_readAddress[3]_i_2_n_0 ; - wire [15:0]\SR_shiftRegister[0] ; - wire [15:0]\SR_shiftRegister_reg[0] ; - wire [15:0]\SR_shiftRegister_reg[10] ; - wire [15:0]\SR_shiftRegister_reg[11] ; - wire [15:0]\SR_shiftRegister_reg[12] ; - wire [15:0]\SR_shiftRegister_reg[13] ; - wire [15:0]\SR_shiftRegister_reg[14] ; - wire [15:0]\SR_shiftRegister_reg[15] ; - wire [15:0]\SR_shiftRegister_reg[1] ; - wire [15:0]\SR_shiftRegister_reg[2] ; - wire [15:0]\SR_shiftRegister_reg[3] ; - wire [15:0]\SR_shiftRegister_reg[4] ; - wire [15:0]\SR_shiftRegister_reg[5] ; - wire [15:0]\SR_shiftRegister_reg[6] ; - wire [15:0]\SR_shiftRegister_reg[7] ; - wire [15:0]\SR_shiftRegister_reg[8] ; - wire [15:0]\SR_shiftRegister_reg[9] ; - wire [35:0]SR_sum; - wire \SR_sum[35]_i_1_n_0 ; - wire [15:0]p_0_in; - wire [35:0]p_1_in; - - GND GND - (.G(\<const0> )); - GND GND_1 - (.G(GND_2)); - BUFG I_clock_IBUF_BUFG_inst - (.I(I_clock_IBUF), - .O(I_clock_IBUF_BUFG)); - IBUF I_clock_IBUF_inst - (.I(I_clock), - .O(I_clock_IBUF)); - IBUF I_incrAddress_IBUF_inst - (.I(I_incrAddress), - .O(I_incrAddress_IBUF)); - IBUF I_initAddress_IBUF_inst - (.I(I_initAddress), - .O(I_initAddress_IBUF)); - IBUF I_initSum_IBUF_inst - (.I(I_initSum), - .O(I_initSum_IBUF)); - IBUF \I_inputSample_IBUF[0]_inst - (.I(I_inputSample[0]), - .O(I_inputSample_IBUF[0])); - IBUF \I_inputSample_IBUF[10]_inst - (.I(I_inputSample[10]), - .O(I_inputSample_IBUF[10])); - IBUF \I_inputSample_IBUF[11]_inst - (.I(I_inputSample[11]), - .O(I_inputSample_IBUF[11])); - IBUF \I_inputSample_IBUF[12]_inst - (.I(I_inputSample[12]), - .O(I_inputSample_IBUF[12])); - IBUF \I_inputSample_IBUF[13]_inst - (.I(I_inputSample[13]), - .O(I_inputSample_IBUF[13])); - IBUF \I_inputSample_IBUF[14]_inst - (.I(I_inputSample[14]), - .O(I_inputSample_IBUF[14])); - IBUF \I_inputSample_IBUF[15]_inst - (.I(I_inputSample[15]), - .O(I_inputSample_IBUF[15])); - IBUF \I_inputSample_IBUF[1]_inst - (.I(I_inputSample[1]), - .O(I_inputSample_IBUF[1])); - IBUF \I_inputSample_IBUF[2]_inst - (.I(I_inputSample[2]), - .O(I_inputSample_IBUF[2])); - IBUF \I_inputSample_IBUF[3]_inst - (.I(I_inputSample[3]), - .O(I_inputSample_IBUF[3])); - IBUF \I_inputSample_IBUF[4]_inst - (.I(I_inputSample[4]), - .O(I_inputSample_IBUF[4])); - IBUF \I_inputSample_IBUF[5]_inst - (.I(I_inputSample[5]), - .O(I_inputSample_IBUF[5])); - IBUF \I_inputSample_IBUF[6]_inst - (.I(I_inputSample[6]), - .O(I_inputSample_IBUF[6])); - IBUF \I_inputSample_IBUF[7]_inst - (.I(I_inputSample[7]), - .O(I_inputSample_IBUF[7])); - IBUF \I_inputSample_IBUF[8]_inst - (.I(I_inputSample[8]), - .O(I_inputSample_IBUF[8])); - IBUF \I_inputSample_IBUF[9]_inst - (.I(I_inputSample[9]), - .O(I_inputSample_IBUF[9])); - IBUF I_loadOutput_IBUF_inst - (.I(I_loadOutput), - .O(I_loadOutput_IBUF)); - IBUF I_loadShift_IBUF_inst - (.I(I_loadShift), - .O(I_loadShift_IBUF)); - IBUF I_loadSum_IBUF_inst - (.I(I_loadSum), - .O(I_loadSum_IBUF)); - IBUF I_reset_IBUF_inst - (.I(I_reset), - .O(I_reset_IBUF)); - OBUF \O_filteredSample_OBUF[0]_inst - (.I(O_filteredSample_OBUF[0]), - .O(O_filteredSample[0])); - OBUF \O_filteredSample_OBUF[10]_inst - (.I(O_filteredSample_OBUF[10]), - .O(O_filteredSample[10])); - OBUF \O_filteredSample_OBUF[11]_inst - (.I(O_filteredSample_OBUF[11]), - .O(O_filteredSample[11])); - OBUF \O_filteredSample_OBUF[12]_inst - (.I(O_filteredSample_OBUF[12]), - .O(O_filteredSample[12])); - OBUF \O_filteredSample_OBUF[13]_inst - (.I(O_filteredSample_OBUF[13]), - .O(O_filteredSample[13])); - OBUF \O_filteredSample_OBUF[14]_inst - (.I(O_filteredSample_OBUF[14]), - .O(O_filteredSample[14])); - OBUF \O_filteredSample_OBUF[15]_inst - (.I(O_filteredSample_OBUF[15]), - .O(O_filteredSample[15])); - OBUF \O_filteredSample_OBUF[1]_inst - (.I(O_filteredSample_OBUF[1]), - .O(O_filteredSample[1])); - OBUF \O_filteredSample_OBUF[2]_inst - (.I(O_filteredSample_OBUF[2]), - .O(O_filteredSample[2])); - OBUF \O_filteredSample_OBUF[3]_inst - (.I(O_filteredSample_OBUF[3]), - .O(O_filteredSample[3])); - OBUF \O_filteredSample_OBUF[4]_inst - (.I(O_filteredSample_OBUF[4]), - .O(O_filteredSample[4])); - OBUF \O_filteredSample_OBUF[5]_inst - (.I(O_filteredSample_OBUF[5]), - .O(O_filteredSample[5])); - OBUF \O_filteredSample_OBUF[6]_inst - (.I(O_filteredSample_OBUF[6]), - .O(O_filteredSample[6])); - OBUF \O_filteredSample_OBUF[7]_inst - (.I(O_filteredSample_OBUF[7]), - .O(O_filteredSample[7])); - OBUF \O_filteredSample_OBUF[8]_inst - (.I(O_filteredSample_OBUF[8]), - .O(O_filteredSample[8])); - OBUF \O_filteredSample_OBUF[9]_inst - (.I(O_filteredSample_OBUF[9]), - .O(O_filteredSample[9])); - OBUF O_processingDone_OBUF_inst - (.I(O_processingDone_OBUF), - .O(O_processingDone)); - LUT3 #( - .INIT(8'h80)) - O_processingDone_OBUF_inst_i_1 - (.I0(SR_readAddress[1]), - .I1(SR_readAddress[3]), - .I2(SR_readAddress[2]), - .O(O_processingDone_OBUF)); - (* METHODOLOGY_DRC_VIOS = "{SYNTH-13 {cell *THIS*}}" *) - DSP48E1 #( - .ACASCREG(0), - .ADREG(1), - .ALUMODEREG(0), - .AREG(0), - .AUTORESET_PATDET("NO_RESET"), - .A_INPUT("DIRECT"), - .BCASCREG(0), - .BREG(0), - .B_INPUT("DIRECT"), - .CARRYINREG(0), - .CARRYINSELREG(0), - .CREG(0), - .DREG(1), - .INMODEREG(0), - .MASK(48'h3FFFFFFFFFFF), - .MREG(0), - .OPMODEREG(0), - .PATTERN(48'h000000000000), - .PREG(0), - .SEL_MASK("MASK"), - .SEL_PATTERN("PATTERN"), - .USE_DPORT("FALSE"), - .USE_MULT("MULTIPLY"), - .USE_PATTERN_DETECT("NO_PATDET"), - .USE_SIMD("ONE48")) - SC_addResult - (.A({\SR_shiftRegister[0] [15],\SR_shiftRegister[0] [15],\SR_shiftRegister[0] [15],\SR_shiftRegister[0] [15],\SR_shiftRegister[0] [15],\SR_shiftRegister[0] [15],\SR_shiftRegister[0] [15],\SR_shiftRegister[0] [15],\SR_shiftRegister[0] [15],\SR_shiftRegister[0] [15],\SR_shiftRegister[0] [15],\SR_shiftRegister[0] [15],\SR_shiftRegister[0] [15],\SR_shiftRegister[0] [15],\SR_shiftRegister[0] }), - .ACIN({\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> }), - .ALUMODE({\<const0> ,\<const0> ,\<const0> ,\<const0> }), - .B({\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,SC_multOperand2}), - .BCIN({\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> }), - .C({SR_sum[35],SR_sum[35],SR_sum[35],SR_sum[35],SR_sum[35],SR_sum[35],SR_sum[35],SR_sum[35],SR_sum[35],SR_sum[35],SR_sum[35],SR_sum[35],SR_sum}), - .CARRYCASCIN(\<const0> ), - .CARRYIN(\<const0> ), - .CARRYINSEL({\<const0> ,\<const0> ,\<const0> }), - .CEA1(\<const0> ), - .CEA2(\<const0> ), - .CEAD(\<const0> ), - .CEALUMODE(\<const0> ), - .CEB1(\<const0> ), - .CEB2(\<const0> ), - .CEC(\<const0> ), - .CECARRYIN(\<const0> ), - .CECTRL(\<const0> ), - .CED(\<const0> ), - .CEINMODE(\<const0> ), - .CEM(\<const0> ), - .CEP(\<const0> ), - .CLK(\<const0> ), - .D({GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2,GND_2}), - .INMODE({\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> }), - .MULTSIGNIN(\<const0> ), - .OPMODE({\<const0> ,\<const1> ,\<const1> ,\<const0> ,\<const1> ,\<const0> ,\<const1> }), - .P({SC_addResult_n_70,SC_addResult_n_71,SC_addResult_n_72,SC_addResult_n_73,SC_addResult_n_74,L,SC_addResult_n_91,SC_addResult_n_92,SC_addResult_n_93,SC_addResult_n_94,SC_addResult_n_95,SC_addResult_n_96,SC_addResult_n_97,SC_addResult_n_98,SC_addResult_n_99,SC_addResult_n_100,SC_addResult_n_101,SC_addResult_n_102,SC_addResult_n_103,SC_addResult_n_104,SC_addResult_n_105}), - .PCIN({\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> ,\<const0> }), - .RSTA(\<const0> ), - .RSTALLCARRYIN(\<const0> ), - .RSTALUMODE(\<const0> ), - .RSTB(\<const0> ), - .RSTC(\<const0> ), - .RSTCTRL(\<const0> ), - .RSTD(\<const0> ), - .RSTINMODE(\<const0> ), - .RSTM(\<const0> ), - .RSTP(\<const0> )); - LUT2 #( - .INIT(4'h6)) - SC_addResult_i_1 - (.I0(SR_readAddress[2]), - .I1(SR_readAddress[3]), - .O(SC_multOperand2[11])); - LUT4 #( - .INIT(16'hBFFD)) - SC_addResult_i_10 - (.I0(SR_readAddress[2]), - .I1(SR_readAddress[3]), - .I2(SR_readAddress[1]), - .I3(SR_readAddress[0]), - .O(SC_multOperand2[2])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_100 - (.I0(\SR_shiftRegister_reg[15] [6]), - .I1(\SR_shiftRegister_reg[14] [6]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[13] [6]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[12] [6]), - .O(SC_addResult_i_100_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_101 - (.I0(\SR_shiftRegister_reg[3] [5]), - .I1(\SR_shiftRegister_reg[2] [5]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[1] [5]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[0] [5]), - .O(SC_addResult_i_101_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_102 - (.I0(\SR_shiftRegister_reg[7] [5]), - .I1(\SR_shiftRegister_reg[6] [5]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[5] [5]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[4] [5]), - .O(SC_addResult_i_102_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_103 - (.I0(\SR_shiftRegister_reg[11] [5]), - .I1(\SR_shiftRegister_reg[10] [5]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[9] [5]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[8] [5]), - .O(SC_addResult_i_103_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_104 - (.I0(\SR_shiftRegister_reg[15] [5]), - .I1(\SR_shiftRegister_reg[14] [5]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[13] [5]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[12] [5]), - .O(SC_addResult_i_104_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_105 - (.I0(\SR_shiftRegister_reg[3] [4]), - .I1(\SR_shiftRegister_reg[2] [4]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[1] [4]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[0] [4]), - .O(SC_addResult_i_105_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_106 - (.I0(\SR_shiftRegister_reg[7] [4]), - .I1(\SR_shiftRegister_reg[6] [4]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[5] [4]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[4] [4]), - .O(SC_addResult_i_106_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_107 - (.I0(\SR_shiftRegister_reg[11] [4]), - .I1(\SR_shiftRegister_reg[10] [4]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[9] [4]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[8] [4]), - .O(SC_addResult_i_107_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_108 - (.I0(\SR_shiftRegister_reg[15] [4]), - .I1(\SR_shiftRegister_reg[14] [4]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[13] [4]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[12] [4]), - .O(SC_addResult_i_108_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_109 - (.I0(\SR_shiftRegister_reg[3] [3]), - .I1(\SR_shiftRegister_reg[2] [3]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[1] [3]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[0] [3]), - .O(SC_addResult_i_109_n_0)); - LUT4 #( - .INIT(16'h581A)) - SC_addResult_i_11 - (.I0(SR_readAddress[3]), - .I1(SR_readAddress[1]), - .I2(SR_readAddress[2]), - .I3(SR_readAddress[0]), - .O(SC_multOperand2[1])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_110 - (.I0(\SR_shiftRegister_reg[7] [3]), - .I1(\SR_shiftRegister_reg[6] [3]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[5] [3]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[4] [3]), - .O(SC_addResult_i_110_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_111 - (.I0(\SR_shiftRegister_reg[11] [3]), - .I1(\SR_shiftRegister_reg[10] [3]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[9] [3]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[8] [3]), - .O(SC_addResult_i_111_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_112 - (.I0(\SR_shiftRegister_reg[15] [3]), - .I1(\SR_shiftRegister_reg[14] [3]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[13] [3]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[12] [3]), - .O(SC_addResult_i_112_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_113 - (.I0(\SR_shiftRegister_reg[3] [2]), - .I1(\SR_shiftRegister_reg[2] [2]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[1] [2]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[0] [2]), - .O(SC_addResult_i_113_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_114 - (.I0(\SR_shiftRegister_reg[7] [2]), - .I1(\SR_shiftRegister_reg[6] [2]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[5] [2]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[4] [2]), - .O(SC_addResult_i_114_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_115 - (.I0(\SR_shiftRegister_reg[11] [2]), - .I1(\SR_shiftRegister_reg[10] [2]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[9] [2]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[8] [2]), - .O(SC_addResult_i_115_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_116 - (.I0(\SR_shiftRegister_reg[15] [2]), - .I1(\SR_shiftRegister_reg[14] [2]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[13] [2]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[12] [2]), - .O(SC_addResult_i_116_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_117 - (.I0(\SR_shiftRegister_reg[3] [1]), - .I1(\SR_shiftRegister_reg[2] [1]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[1] [1]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[0] [1]), - .O(SC_addResult_i_117_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_118 - (.I0(\SR_shiftRegister_reg[7] [1]), - .I1(\SR_shiftRegister_reg[6] [1]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[5] [1]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[4] [1]), - .O(SC_addResult_i_118_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_119 - (.I0(\SR_shiftRegister_reg[11] [1]), - .I1(\SR_shiftRegister_reg[10] [1]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[9] [1]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[8] [1]), - .O(SC_addResult_i_119_n_0)); - LUT4 #( - .INIT(16'hF66F)) - SC_addResult_i_12 - (.I0(SR_readAddress[3]), - .I1(SR_readAddress[1]), - .I2(SR_readAddress[2]), - .I3(SR_readAddress[0]), - .O(SC_multOperand2[0])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_120 - (.I0(\SR_shiftRegister_reg[15] [1]), - .I1(\SR_shiftRegister_reg[14] [1]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[13] [1]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[12] [1]), - .O(SC_addResult_i_120_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_121 - (.I0(\SR_shiftRegister_reg[3] [0]), - .I1(\SR_shiftRegister_reg[2] [0]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[1] [0]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[0] [0]), - .O(SC_addResult_i_121_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_122 - (.I0(\SR_shiftRegister_reg[7] [0]), - .I1(\SR_shiftRegister_reg[6] [0]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[5] [0]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[4] [0]), - .O(SC_addResult_i_122_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_123 - (.I0(\SR_shiftRegister_reg[11] [0]), - .I1(\SR_shiftRegister_reg[10] [0]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[9] [0]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[8] [0]), - .O(SC_addResult_i_123_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_124 - (.I0(\SR_shiftRegister_reg[15] [0]), - .I1(\SR_shiftRegister_reg[14] [0]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[13] [0]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[12] [0]), - .O(SC_addResult_i_124_n_0)); - MUXF8 SC_addResult_i_13 - (.I0(SC_addResult_i_29_n_0), - .I1(SC_addResult_i_30_n_0), - .O(\SR_shiftRegister[0] [15]), - .S(SR_readAddress[3])); - MUXF8 SC_addResult_i_14 - (.I0(SC_addResult_i_31_n_0), - .I1(SC_addResult_i_32_n_0), - .O(\SR_shiftRegister[0] [14]), - .S(SR_readAddress[3])); - MUXF8 SC_addResult_i_15 - (.I0(SC_addResult_i_33_n_0), - .I1(SC_addResult_i_34_n_0), - .O(\SR_shiftRegister[0] [13]), - .S(SR_readAddress[3])); - MUXF8 SC_addResult_i_16 - (.I0(SC_addResult_i_35_n_0), - .I1(SC_addResult_i_36_n_0), - .O(\SR_shiftRegister[0] [12]), - .S(SR_readAddress[3])); - MUXF8 SC_addResult_i_17 - (.I0(SC_addResult_i_37_n_0), - .I1(SC_addResult_i_38_n_0), - .O(\SR_shiftRegister[0] [11]), - .S(SR_readAddress[3])); - MUXF8 SC_addResult_i_18 - (.I0(SC_addResult_i_39_n_0), - .I1(SC_addResult_i_40_n_0), - .O(\SR_shiftRegister[0] [10]), - .S(SR_readAddress[3])); - MUXF8 SC_addResult_i_19 - (.I0(SC_addResult_i_41_n_0), - .I1(SC_addResult_i_42_n_0), - .O(\SR_shiftRegister[0] [9]), - .S(SR_readAddress[3])); - LUT4 #( - .INIT(16'h1E78)) - SC_addResult_i_2 - (.I0(SR_readAddress[2]), - .I1(SR_readAddress[1]), - .I2(SR_readAddress[3]), - .I3(SR_readAddress[0]), - .O(SC_multOperand2[10])); - MUXF8 SC_addResult_i_20 - (.I0(SC_addResult_i_43_n_0), - .I1(SC_addResult_i_44_n_0), - .O(\SR_shiftRegister[0] [8]), - .S(SR_readAddress[3])); - MUXF8 SC_addResult_i_21 - (.I0(SC_addResult_i_45_n_0), - .I1(SC_addResult_i_46_n_0), - .O(\SR_shiftRegister[0] [7]), - .S(SR_readAddress[3])); - MUXF8 SC_addResult_i_22 - (.I0(SC_addResult_i_47_n_0), - .I1(SC_addResult_i_48_n_0), - .O(\SR_shiftRegister[0] [6]), - .S(SR_readAddress[3])); - MUXF8 SC_addResult_i_23 - (.I0(SC_addResult_i_49_n_0), - .I1(SC_addResult_i_50_n_0), - .O(\SR_shiftRegister[0] [5]), - .S(SR_readAddress[3])); - MUXF8 SC_addResult_i_24 - (.I0(SC_addResult_i_51_n_0), - .I1(SC_addResult_i_52_n_0), - .O(\SR_shiftRegister[0] [4]), - .S(SR_readAddress[3])); - MUXF8 SC_addResult_i_25 - (.I0(SC_addResult_i_53_n_0), - .I1(SC_addResult_i_54_n_0), - .O(\SR_shiftRegister[0] [3]), - .S(SR_readAddress[3])); - MUXF8 SC_addResult_i_26 - (.I0(SC_addResult_i_55_n_0), - .I1(SC_addResult_i_56_n_0), - .O(\SR_shiftRegister[0] [2]), - .S(SR_readAddress[3])); - MUXF8 SC_addResult_i_27 - (.I0(SC_addResult_i_57_n_0), - .I1(SC_addResult_i_58_n_0), - .O(\SR_shiftRegister[0] [1]), - .S(SR_readAddress[3])); - MUXF8 SC_addResult_i_28 - (.I0(SC_addResult_i_59_n_0), - .I1(SC_addResult_i_60_n_0), - .O(\SR_shiftRegister[0] [0]), - .S(SR_readAddress[3])); - MUXF7 SC_addResult_i_29 - (.I0(SC_addResult_i_61_n_0), - .I1(SC_addResult_i_62_n_0), - .O(SC_addResult_i_29_n_0), - .S(SR_readAddress[2])); - LUT2 #( - .INIT(4'h6)) - SC_addResult_i_3 - (.I0(SR_readAddress[1]), - .I1(SR_readAddress[3]), - .O(SC_multOperand2[9])); - MUXF7 SC_addResult_i_30 - (.I0(SC_addResult_i_63_n_0), - .I1(SC_addResult_i_64_n_0), - .O(SC_addResult_i_30_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_31 - (.I0(SC_addResult_i_65_n_0), - .I1(SC_addResult_i_66_n_0), - .O(SC_addResult_i_31_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_32 - (.I0(SC_addResult_i_67_n_0), - .I1(SC_addResult_i_68_n_0), - .O(SC_addResult_i_32_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_33 - (.I0(SC_addResult_i_69_n_0), - .I1(SC_addResult_i_70_n_0), - .O(SC_addResult_i_33_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_34 - (.I0(SC_addResult_i_71_n_0), - .I1(SC_addResult_i_72_n_0), - .O(SC_addResult_i_34_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_35 - (.I0(SC_addResult_i_73_n_0), - .I1(SC_addResult_i_74_n_0), - .O(SC_addResult_i_35_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_36 - (.I0(SC_addResult_i_75_n_0), - .I1(SC_addResult_i_76_n_0), - .O(SC_addResult_i_36_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_37 - (.I0(SC_addResult_i_77_n_0), - .I1(SC_addResult_i_78_n_0), - .O(SC_addResult_i_37_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_38 - (.I0(SC_addResult_i_79_n_0), - .I1(SC_addResult_i_80_n_0), - .O(SC_addResult_i_38_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_39 - (.I0(SC_addResult_i_81_n_0), - .I1(SC_addResult_i_82_n_0), - .O(SC_addResult_i_39_n_0), - .S(SR_readAddress[2])); - LUT4 #( - .INIT(16'hE997)) - SC_addResult_i_4 - (.I0(SR_readAddress[1]), - .I1(SR_readAddress[3]), - .I2(SR_readAddress[2]), - .I3(SR_readAddress[0]), - .O(SC_multOperand2[8])); - MUXF7 SC_addResult_i_40 - (.I0(SC_addResult_i_83_n_0), - .I1(SC_addResult_i_84_n_0), - .O(SC_addResult_i_40_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_41 - (.I0(SC_addResult_i_85_n_0), - .I1(SC_addResult_i_86_n_0), - .O(SC_addResult_i_41_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_42 - (.I0(SC_addResult_i_87_n_0), - .I1(SC_addResult_i_88_n_0), - .O(SC_addResult_i_42_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_43 - (.I0(SC_addResult_i_89_n_0), - .I1(SC_addResult_i_90_n_0), - .O(SC_addResult_i_43_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_44 - (.I0(SC_addResult_i_91_n_0), - .I1(SC_addResult_i_92_n_0), - .O(SC_addResult_i_44_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_45 - (.I0(SC_addResult_i_93_n_0), - .I1(SC_addResult_i_94_n_0), - .O(SC_addResult_i_45_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_46 - (.I0(SC_addResult_i_95_n_0), - .I1(SC_addResult_i_96_n_0), - .O(SC_addResult_i_46_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_47 - (.I0(SC_addResult_i_97_n_0), - .I1(SC_addResult_i_98_n_0), - .O(SC_addResult_i_47_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_48 - (.I0(SC_addResult_i_99_n_0), - .I1(SC_addResult_i_100_n_0), - .O(SC_addResult_i_48_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_49 - (.I0(SC_addResult_i_101_n_0), - .I1(SC_addResult_i_102_n_0), - .O(SC_addResult_i_49_n_0), - .S(SR_readAddress[2])); - LUT4 #( - .INIT(16'h6186)) - SC_addResult_i_5 - (.I0(SR_readAddress[1]), - .I1(SR_readAddress[3]), - .I2(SR_readAddress[2]), - .I3(SR_readAddress[0]), - .O(SC_multOperand2[7])); - MUXF7 SC_addResult_i_50 - (.I0(SC_addResult_i_103_n_0), - .I1(SC_addResult_i_104_n_0), - .O(SC_addResult_i_50_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_51 - (.I0(SC_addResult_i_105_n_0), - .I1(SC_addResult_i_106_n_0), - .O(SC_addResult_i_51_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_52 - (.I0(SC_addResult_i_107_n_0), - .I1(SC_addResult_i_108_n_0), - .O(SC_addResult_i_52_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_53 - (.I0(SC_addResult_i_109_n_0), - .I1(SC_addResult_i_110_n_0), - .O(SC_addResult_i_53_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_54 - (.I0(SC_addResult_i_111_n_0), - .I1(SC_addResult_i_112_n_0), - .O(SC_addResult_i_54_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_55 - (.I0(SC_addResult_i_113_n_0), - .I1(SC_addResult_i_114_n_0), - .O(SC_addResult_i_55_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_56 - (.I0(SC_addResult_i_115_n_0), - .I1(SC_addResult_i_116_n_0), - .O(SC_addResult_i_56_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_57 - (.I0(SC_addResult_i_117_n_0), - .I1(SC_addResult_i_118_n_0), - .O(SC_addResult_i_57_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_58 - (.I0(SC_addResult_i_119_n_0), - .I1(SC_addResult_i_120_n_0), - .O(SC_addResult_i_58_n_0), - .S(SR_readAddress[2])); - MUXF7 SC_addResult_i_59 - (.I0(SC_addResult_i_121_n_0), - .I1(SC_addResult_i_122_n_0), - .O(SC_addResult_i_59_n_0), - .S(SR_readAddress[2])); - LUT3 #( - .INIT(8'h42)) - SC_addResult_i_6 - (.I0(SR_readAddress[0]), - .I1(SR_readAddress[1]), - .I2(SR_readAddress[2]), - .O(SC_multOperand2[6])); - MUXF7 SC_addResult_i_60 - (.I0(SC_addResult_i_123_n_0), - .I1(SC_addResult_i_124_n_0), - .O(SC_addResult_i_60_n_0), - .S(SR_readAddress[2])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_61 - (.I0(\SR_shiftRegister_reg[3] [15]), - .I1(\SR_shiftRegister_reg[2] [15]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[1] [15]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[0] [15]), - .O(SC_addResult_i_61_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_62 - (.I0(\SR_shiftRegister_reg[7] [15]), - .I1(\SR_shiftRegister_reg[6] [15]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[5] [15]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[4] [15]), - .O(SC_addResult_i_62_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_63 - (.I0(\SR_shiftRegister_reg[11] [15]), - .I1(\SR_shiftRegister_reg[10] [15]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[9] [15]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[8] [15]), - .O(SC_addResult_i_63_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_64 - (.I0(\SR_shiftRegister_reg[15] [15]), - .I1(\SR_shiftRegister_reg[14] [15]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[13] [15]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[12] [15]), - .O(SC_addResult_i_64_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_65 - (.I0(\SR_shiftRegister_reg[3] [14]), - .I1(\SR_shiftRegister_reg[2] [14]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[1] [14]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[0] [14]), - .O(SC_addResult_i_65_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_66 - (.I0(\SR_shiftRegister_reg[7] [14]), - .I1(\SR_shiftRegister_reg[6] [14]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[5] [14]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[4] [14]), - .O(SC_addResult_i_66_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_67 - (.I0(\SR_shiftRegister_reg[11] [14]), - .I1(\SR_shiftRegister_reg[10] [14]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[9] [14]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[8] [14]), - .O(SC_addResult_i_67_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_68 - (.I0(\SR_shiftRegister_reg[15] [14]), - .I1(\SR_shiftRegister_reg[14] [14]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[13] [14]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[12] [14]), - .O(SC_addResult_i_68_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_69 - (.I0(\SR_shiftRegister_reg[3] [13]), - .I1(\SR_shiftRegister_reg[2] [13]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[1] [13]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[0] [13]), - .O(SC_addResult_i_69_n_0)); - LUT4 #( - .INIT(16'hA245)) - SC_addResult_i_7 - (.I0(SR_readAddress[0]), - .I1(SR_readAddress[2]), - .I2(SR_readAddress[3]), - .I3(SR_readAddress[1]), - .O(SC_multOperand2[5])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_70 - (.I0(\SR_shiftRegister_reg[7] [13]), - .I1(\SR_shiftRegister_reg[6] [13]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[5] [13]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[4] [13]), - .O(SC_addResult_i_70_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_71 - (.I0(\SR_shiftRegister_reg[11] [13]), - .I1(\SR_shiftRegister_reg[10] [13]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[9] [13]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[8] [13]), - .O(SC_addResult_i_71_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_72 - (.I0(\SR_shiftRegister_reg[15] [13]), - .I1(\SR_shiftRegister_reg[14] [13]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[13] [13]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[12] [13]), - .O(SC_addResult_i_72_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_73 - (.I0(\SR_shiftRegister_reg[3] [12]), - .I1(\SR_shiftRegister_reg[2] [12]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[1] [12]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[0] [12]), - .O(SC_addResult_i_73_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_74 - (.I0(\SR_shiftRegister_reg[7] [12]), - .I1(\SR_shiftRegister_reg[6] [12]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[5] [12]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[4] [12]), - .O(SC_addResult_i_74_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_75 - (.I0(\SR_shiftRegister_reg[11] [12]), - .I1(\SR_shiftRegister_reg[10] [12]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[9] [12]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[8] [12]), - .O(SC_addResult_i_75_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_76 - (.I0(\SR_shiftRegister_reg[15] [12]), - .I1(\SR_shiftRegister_reg[14] [12]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[13] [12]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[12] [12]), - .O(SC_addResult_i_76_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_77 - (.I0(\SR_shiftRegister_reg[3] [11]), - .I1(\SR_shiftRegister_reg[2] [11]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[1] [11]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[0] [11]), - .O(SC_addResult_i_77_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_78 - (.I0(\SR_shiftRegister_reg[7] [11]), - .I1(\SR_shiftRegister_reg[6] [11]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[5] [11]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[4] [11]), - .O(SC_addResult_i_78_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_79 - (.I0(\SR_shiftRegister_reg[11] [11]), - .I1(\SR_shiftRegister_reg[10] [11]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[9] [11]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[8] [11]), - .O(SC_addResult_i_79_n_0)); - LUT4 #( - .INIT(16'hF18F)) - SC_addResult_i_8 - (.I0(SR_readAddress[0]), - .I1(SR_readAddress[1]), - .I2(SR_readAddress[3]), - .I3(SR_readAddress[2]), - .O(SC_multOperand2[4])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_80 - (.I0(\SR_shiftRegister_reg[15] [11]), - .I1(\SR_shiftRegister_reg[14] [11]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[13] [11]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[12] [11]), - .O(SC_addResult_i_80_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_81 - (.I0(\SR_shiftRegister_reg[3] [10]), - .I1(\SR_shiftRegister_reg[2] [10]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[1] [10]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[0] [10]), - .O(SC_addResult_i_81_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_82 - (.I0(\SR_shiftRegister_reg[7] [10]), - .I1(\SR_shiftRegister_reg[6] [10]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[5] [10]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[4] [10]), - .O(SC_addResult_i_82_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_83 - (.I0(\SR_shiftRegister_reg[11] [10]), - .I1(\SR_shiftRegister_reg[10] [10]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[9] [10]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[8] [10]), - .O(SC_addResult_i_83_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_84 - (.I0(\SR_shiftRegister_reg[15] [10]), - .I1(\SR_shiftRegister_reg[14] [10]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[13] [10]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[12] [10]), - .O(SC_addResult_i_84_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_85 - (.I0(\SR_shiftRegister_reg[3] [9]), - .I1(\SR_shiftRegister_reg[2] [9]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[1] [9]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[0] [9]), - .O(SC_addResult_i_85_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_86 - (.I0(\SR_shiftRegister_reg[7] [9]), - .I1(\SR_shiftRegister_reg[6] [9]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[5] [9]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[4] [9]), - .O(SC_addResult_i_86_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_87 - (.I0(\SR_shiftRegister_reg[11] [9]), - .I1(\SR_shiftRegister_reg[10] [9]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[9] [9]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[8] [9]), - .O(SC_addResult_i_87_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_88 - (.I0(\SR_shiftRegister_reg[15] [9]), - .I1(\SR_shiftRegister_reg[14] [9]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[13] [9]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[12] [9]), - .O(SC_addResult_i_88_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_89 - (.I0(\SR_shiftRegister_reg[3] [8]), - .I1(\SR_shiftRegister_reg[2] [8]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[1] [8]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[0] [8]), - .O(SC_addResult_i_89_n_0)); - LUT4 #( - .INIT(16'hD5AB)) - SC_addResult_i_9 - (.I0(SR_readAddress[0]), - .I1(SR_readAddress[2]), - .I2(SR_readAddress[3]), - .I3(SR_readAddress[1]), - .O(SC_multOperand2[3])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_90 - (.I0(\SR_shiftRegister_reg[7] [8]), - .I1(\SR_shiftRegister_reg[6] [8]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[5] [8]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[4] [8]), - .O(SC_addResult_i_90_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_91 - (.I0(\SR_shiftRegister_reg[11] [8]), - .I1(\SR_shiftRegister_reg[10] [8]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[9] [8]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[8] [8]), - .O(SC_addResult_i_91_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_92 - (.I0(\SR_shiftRegister_reg[15] [8]), - .I1(\SR_shiftRegister_reg[14] [8]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[13] [8]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[12] [8]), - .O(SC_addResult_i_92_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_93 - (.I0(\SR_shiftRegister_reg[3] [7]), - .I1(\SR_shiftRegister_reg[2] [7]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[1] [7]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[0] [7]), - .O(SC_addResult_i_93_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_94 - (.I0(\SR_shiftRegister_reg[7] [7]), - .I1(\SR_shiftRegister_reg[6] [7]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[5] [7]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[4] [7]), - .O(SC_addResult_i_94_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_95 - (.I0(\SR_shiftRegister_reg[11] [7]), - .I1(\SR_shiftRegister_reg[10] [7]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[9] [7]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[8] [7]), - .O(SC_addResult_i_95_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_96 - (.I0(\SR_shiftRegister_reg[15] [7]), - .I1(\SR_shiftRegister_reg[14] [7]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[13] [7]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[12] [7]), - .O(SC_addResult_i_96_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_97 - (.I0(\SR_shiftRegister_reg[3] [6]), - .I1(\SR_shiftRegister_reg[2] [6]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[1] [6]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[0] [6]), - .O(SC_addResult_i_97_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_98 - (.I0(\SR_shiftRegister_reg[7] [6]), - .I1(\SR_shiftRegister_reg[6] [6]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[5] [6]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[4] [6]), - .O(SC_addResult_i_98_n_0)); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - SC_addResult_i_99 - (.I0(\SR_shiftRegister_reg[11] [6]), - .I1(\SR_shiftRegister_reg[10] [6]), - .I2(SR_readAddress[1]), - .I3(\SR_shiftRegister_reg[9] [6]), - .I4(SR_readAddress[0]), - .I5(\SR_shiftRegister_reg[8] [6]), - .O(SC_addResult_i_99_n_0)); - LUT2 #( - .INIT(4'h6)) - \SR_filteredSample[3]_i_2 - (.I0(SC_addResult_n_91), - .I1(L[15]), - .O(\SR_filteredSample[3]_i_2_n_0 )); - FDCE #( - .INIT(1'b0)) - \SR_filteredSample_reg[0] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadOutput_IBUF), - .CLR(I_reset_IBUF), - .D(p_0_in[0]), - .Q(O_filteredSample_OBUF[0])); - FDCE #( - .INIT(1'b0)) - \SR_filteredSample_reg[10] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadOutput_IBUF), - .CLR(I_reset_IBUF), - .D(p_0_in[10]), - .Q(O_filteredSample_OBUF[10])); - FDCE #( - .INIT(1'b0)) - \SR_filteredSample_reg[11] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadOutput_IBUF), - .CLR(I_reset_IBUF), - .D(p_0_in[11]), - .Q(O_filteredSample_OBUF[11])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \SR_filteredSample_reg[11]_i_1 - (.CI(\SR_filteredSample_reg[7]_i_1_n_0 ), - .CO({\SR_filteredSample_reg[11]_i_1_n_0 ,\SR_filteredSample_reg[11]_i_1_n_1 ,\SR_filteredSample_reg[11]_i_1_n_2 ,\SR_filteredSample_reg[11]_i_1_n_3 }), - .CYINIT(\<const0> ), - .DI({\<const0> ,\<const0> ,\<const0> ,\<const0> }), - .O(p_0_in[11:8]), - .S(L[26:23])); - FDCE #( - .INIT(1'b0)) - \SR_filteredSample_reg[12] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadOutput_IBUF), - .CLR(I_reset_IBUF), - .D(p_0_in[12]), - .Q(O_filteredSample_OBUF[12])); - FDCE #( - .INIT(1'b0)) - \SR_filteredSample_reg[13] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadOutput_IBUF), - .CLR(I_reset_IBUF), - .D(p_0_in[13]), - .Q(O_filteredSample_OBUF[13])); - FDCE #( - .INIT(1'b0)) - \SR_filteredSample_reg[14] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadOutput_IBUF), - .CLR(I_reset_IBUF), - .D(p_0_in[14]), - .Q(O_filteredSample_OBUF[14])); - FDCE #( - .INIT(1'b0)) - \SR_filteredSample_reg[15] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadOutput_IBUF), - .CLR(I_reset_IBUF), - .D(p_0_in[15]), - .Q(O_filteredSample_OBUF[15])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \SR_filteredSample_reg[15]_i_1 - (.CI(\SR_filteredSample_reg[11]_i_1_n_0 ), - .CO({\SR_filteredSample_reg[15]_i_1_n_1 ,\SR_filteredSample_reg[15]_i_1_n_2 ,\SR_filteredSample_reg[15]_i_1_n_3 }), - .CYINIT(\<const0> ), - .DI({\<const0> ,\<const0> ,\<const0> ,\<const0> }), - .O(p_0_in[15:12]), - .S(L[30:27])); - FDCE #( - .INIT(1'b0)) - \SR_filteredSample_reg[1] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadOutput_IBUF), - .CLR(I_reset_IBUF), - .D(p_0_in[1]), - .Q(O_filteredSample_OBUF[1])); - FDCE #( - .INIT(1'b0)) - \SR_filteredSample_reg[2] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadOutput_IBUF), - .CLR(I_reset_IBUF), - .D(p_0_in[2]), - .Q(O_filteredSample_OBUF[2])); - FDCE #( - .INIT(1'b0)) - \SR_filteredSample_reg[3] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadOutput_IBUF), - .CLR(I_reset_IBUF), - .D(p_0_in[3]), - .Q(O_filteredSample_OBUF[3])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \SR_filteredSample_reg[3]_i_1 - (.CI(\<const0> ), - .CO({\SR_filteredSample_reg[3]_i_1_n_0 ,\SR_filteredSample_reg[3]_i_1_n_1 ,\SR_filteredSample_reg[3]_i_1_n_2 ,\SR_filteredSample_reg[3]_i_1_n_3 }), - .CYINIT(\<const0> ), - .DI({\<const0> ,\<const0> ,\<const0> ,SC_addResult_n_91}), - .O(p_0_in[3:0]), - .S({L[18:16],\SR_filteredSample[3]_i_2_n_0 })); - FDCE #( - .INIT(1'b0)) - \SR_filteredSample_reg[4] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadOutput_IBUF), - .CLR(I_reset_IBUF), - .D(p_0_in[4]), - .Q(O_filteredSample_OBUF[4])); - FDCE #( - .INIT(1'b0)) - \SR_filteredSample_reg[5] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadOutput_IBUF), - .CLR(I_reset_IBUF), - .D(p_0_in[5]), - .Q(O_filteredSample_OBUF[5])); - FDCE #( - .INIT(1'b0)) - \SR_filteredSample_reg[6] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadOutput_IBUF), - .CLR(I_reset_IBUF), - .D(p_0_in[6]), - .Q(O_filteredSample_OBUF[6])); - FDCE #( - .INIT(1'b0)) - \SR_filteredSample_reg[7] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadOutput_IBUF), - .CLR(I_reset_IBUF), - .D(p_0_in[7]), - .Q(O_filteredSample_OBUF[7])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \SR_filteredSample_reg[7]_i_1 - (.CI(\SR_filteredSample_reg[3]_i_1_n_0 ), - .CO({\SR_filteredSample_reg[7]_i_1_n_0 ,\SR_filteredSample_reg[7]_i_1_n_1 ,\SR_filteredSample_reg[7]_i_1_n_2 ,\SR_filteredSample_reg[7]_i_1_n_3 }), - .CYINIT(\<const0> ), - .DI({\<const0> ,\<const0> ,\<const0> ,\<const0> }), - .O(p_0_in[7:4]), - .S(L[22:19])); - FDCE #( - .INIT(1'b0)) - \SR_filteredSample_reg[8] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadOutput_IBUF), - .CLR(I_reset_IBUF), - .D(p_0_in[8]), - .Q(O_filteredSample_OBUF[8])); - FDCE #( - .INIT(1'b0)) - \SR_filteredSample_reg[9] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadOutput_IBUF), - .CLR(I_reset_IBUF), - .D(p_0_in[9]), - .Q(O_filteredSample_OBUF[9])); - LUT2 #( - .INIT(4'h1)) - \SR_readAddress[0]_i_1 - (.I0(SR_readAddress[0]), - .I1(I_initAddress_IBUF), - .O(\SR_readAddress[0]_i_1_n_0 )); - LUT3 #( - .INIT(8'h06)) - \SR_readAddress[1]_i_1 - (.I0(SR_readAddress[1]), - .I1(SR_readAddress[0]), - .I2(I_initAddress_IBUF), - .O(\SR_readAddress[1]_i_1_n_0 )); - LUT4 #( - .INIT(16'h006A)) - \SR_readAddress[2]_i_1 - (.I0(SR_readAddress[2]), - .I1(SR_readAddress[1]), - .I2(SR_readAddress[0]), - .I3(I_initAddress_IBUF), - .O(\SR_readAddress[2]_i_1_n_0 )); - LUT2 #( - .INIT(4'hE)) - \SR_readAddress[3]_i_1 - (.I0(I_incrAddress_IBUF), - .I1(I_initAddress_IBUF), - .O(\SR_readAddress[3]_i_1_n_0 )); - LUT5 #( - .INIT(32'h00006AAA)) - \SR_readAddress[3]_i_2 - (.I0(SR_readAddress[3]), - .I1(SR_readAddress[2]), - .I2(SR_readAddress[0]), - .I3(SR_readAddress[1]), - .I4(I_initAddress_IBUF), - .O(\SR_readAddress[3]_i_2_n_0 )); - FDCE #( - .INIT(1'b0)) - \SR_readAddress_reg[0] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_readAddress[3]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(\SR_readAddress[0]_i_1_n_0 ), - .Q(SR_readAddress[0])); - FDCE #( - .INIT(1'b0)) - \SR_readAddress_reg[1] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_readAddress[3]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(\SR_readAddress[1]_i_1_n_0 ), - .Q(SR_readAddress[1])); - FDCE #( - .INIT(1'b0)) - \SR_readAddress_reg[2] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_readAddress[3]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(\SR_readAddress[2]_i_1_n_0 ), - .Q(SR_readAddress[2])); - FDCE #( - .INIT(1'b0)) - \SR_readAddress_reg[3] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_readAddress[3]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(\SR_readAddress[3]_i_2_n_0 ), - .Q(SR_readAddress[3])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[0][0] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(I_inputSample_IBUF[0]), - .Q(\SR_shiftRegister_reg[0] [0])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[0][10] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(I_inputSample_IBUF[10]), - .Q(\SR_shiftRegister_reg[0] [10])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[0][11] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(I_inputSample_IBUF[11]), - .Q(\SR_shiftRegister_reg[0] [11])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[0][12] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(I_inputSample_IBUF[12]), - .Q(\SR_shiftRegister_reg[0] [12])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[0][13] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(I_inputSample_IBUF[13]), - .Q(\SR_shiftRegister_reg[0] [13])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[0][14] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(I_inputSample_IBUF[14]), - .Q(\SR_shiftRegister_reg[0] [14])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[0][15] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(I_inputSample_IBUF[15]), - .Q(\SR_shiftRegister_reg[0] [15])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[0][1] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(I_inputSample_IBUF[1]), - .Q(\SR_shiftRegister_reg[0] [1])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[0][2] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(I_inputSample_IBUF[2]), - .Q(\SR_shiftRegister_reg[0] [2])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[0][3] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(I_inputSample_IBUF[3]), - .Q(\SR_shiftRegister_reg[0] [3])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[0][4] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(I_inputSample_IBUF[4]), - .Q(\SR_shiftRegister_reg[0] [4])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[0][5] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(I_inputSample_IBUF[5]), - .Q(\SR_shiftRegister_reg[0] [5])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[0][6] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(I_inputSample_IBUF[6]), - .Q(\SR_shiftRegister_reg[0] [6])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[0][7] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(I_inputSample_IBUF[7]), - .Q(\SR_shiftRegister_reg[0] [7])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[0][8] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(I_inputSample_IBUF[8]), - .Q(\SR_shiftRegister_reg[0] [8])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[0][9] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(I_inputSample_IBUF[9]), - .Q(\SR_shiftRegister_reg[0] [9])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[10][0] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[9] [0]), - .Q(\SR_shiftRegister_reg[10] [0])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[10][10] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[9] [10]), - .Q(\SR_shiftRegister_reg[10] [10])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[10][11] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[9] [11]), - .Q(\SR_shiftRegister_reg[10] [11])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[10][12] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[9] [12]), - .Q(\SR_shiftRegister_reg[10] [12])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[10][13] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[9] [13]), - .Q(\SR_shiftRegister_reg[10] [13])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[10][14] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[9] [14]), - .Q(\SR_shiftRegister_reg[10] [14])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[10][15] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[9] [15]), - .Q(\SR_shiftRegister_reg[10] [15])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[10][1] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[9] [1]), - .Q(\SR_shiftRegister_reg[10] [1])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[10][2] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[9] [2]), - .Q(\SR_shiftRegister_reg[10] [2])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[10][3] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[9] [3]), - .Q(\SR_shiftRegister_reg[10] [3])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[10][4] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[9] [4]), - .Q(\SR_shiftRegister_reg[10] [4])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[10][5] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[9] [5]), - .Q(\SR_shiftRegister_reg[10] [5])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[10][6] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[9] [6]), - .Q(\SR_shiftRegister_reg[10] [6])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[10][7] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[9] [7]), - .Q(\SR_shiftRegister_reg[10] [7])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[10][8] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[9] [8]), - .Q(\SR_shiftRegister_reg[10] [8])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[10][9] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[9] [9]), - .Q(\SR_shiftRegister_reg[10] [9])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[11][0] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[10] [0]), - .Q(\SR_shiftRegister_reg[11] [0])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[11][10] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[10] [10]), - .Q(\SR_shiftRegister_reg[11] [10])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[11][11] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[10] [11]), - .Q(\SR_shiftRegister_reg[11] [11])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[11][12] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[10] [12]), - .Q(\SR_shiftRegister_reg[11] [12])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[11][13] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[10] [13]), - .Q(\SR_shiftRegister_reg[11] [13])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[11][14] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[10] [14]), - .Q(\SR_shiftRegister_reg[11] [14])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[11][15] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[10] [15]), - .Q(\SR_shiftRegister_reg[11] [15])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[11][1] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[10] [1]), - .Q(\SR_shiftRegister_reg[11] [1])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[11][2] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[10] [2]), - .Q(\SR_shiftRegister_reg[11] [2])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[11][3] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[10] [3]), - .Q(\SR_shiftRegister_reg[11] [3])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[11][4] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[10] [4]), - .Q(\SR_shiftRegister_reg[11] [4])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[11][5] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[10] [5]), - .Q(\SR_shiftRegister_reg[11] [5])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[11][6] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[10] [6]), - .Q(\SR_shiftRegister_reg[11] [6])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[11][7] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[10] [7]), - .Q(\SR_shiftRegister_reg[11] [7])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[11][8] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[10] [8]), - .Q(\SR_shiftRegister_reg[11] [8])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[11][9] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[10] [9]), - .Q(\SR_shiftRegister_reg[11] [9])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[12][0] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[11] [0]), - .Q(\SR_shiftRegister_reg[12] [0])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[12][10] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[11] [10]), - .Q(\SR_shiftRegister_reg[12] [10])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[12][11] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[11] [11]), - .Q(\SR_shiftRegister_reg[12] [11])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[12][12] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[11] [12]), - .Q(\SR_shiftRegister_reg[12] [12])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[12][13] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[11] [13]), - .Q(\SR_shiftRegister_reg[12] [13])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[12][14] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[11] [14]), - .Q(\SR_shiftRegister_reg[12] [14])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[12][15] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[11] [15]), - .Q(\SR_shiftRegister_reg[12] [15])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[12][1] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[11] [1]), - .Q(\SR_shiftRegister_reg[12] [1])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[12][2] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[11] [2]), - .Q(\SR_shiftRegister_reg[12] [2])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[12][3] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[11] [3]), - .Q(\SR_shiftRegister_reg[12] [3])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[12][4] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[11] [4]), - .Q(\SR_shiftRegister_reg[12] [4])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[12][5] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[11] [5]), - .Q(\SR_shiftRegister_reg[12] [5])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[12][6] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[11] [6]), - .Q(\SR_shiftRegister_reg[12] [6])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[12][7] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[11] [7]), - .Q(\SR_shiftRegister_reg[12] [7])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[12][8] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[11] [8]), - .Q(\SR_shiftRegister_reg[12] [8])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[12][9] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[11] [9]), - .Q(\SR_shiftRegister_reg[12] [9])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[13][0] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[12] [0]), - .Q(\SR_shiftRegister_reg[13] [0])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[13][10] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[12] [10]), - .Q(\SR_shiftRegister_reg[13] [10])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[13][11] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[12] [11]), - .Q(\SR_shiftRegister_reg[13] [11])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[13][12] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[12] [12]), - .Q(\SR_shiftRegister_reg[13] [12])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[13][13] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[12] [13]), - .Q(\SR_shiftRegister_reg[13] [13])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[13][14] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[12] [14]), - .Q(\SR_shiftRegister_reg[13] [14])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[13][15] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[12] [15]), - .Q(\SR_shiftRegister_reg[13] [15])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[13][1] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[12] [1]), - .Q(\SR_shiftRegister_reg[13] [1])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[13][2] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[12] [2]), - .Q(\SR_shiftRegister_reg[13] [2])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[13][3] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[12] [3]), - .Q(\SR_shiftRegister_reg[13] [3])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[13][4] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[12] [4]), - .Q(\SR_shiftRegister_reg[13] [4])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[13][5] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[12] [5]), - .Q(\SR_shiftRegister_reg[13] [5])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[13][6] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[12] [6]), - .Q(\SR_shiftRegister_reg[13] [6])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[13][7] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[12] [7]), - .Q(\SR_shiftRegister_reg[13] [7])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[13][8] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[12] [8]), - .Q(\SR_shiftRegister_reg[13] [8])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[13][9] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[12] [9]), - .Q(\SR_shiftRegister_reg[13] [9])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[14][0] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[13] [0]), - .Q(\SR_shiftRegister_reg[14] [0])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[14][10] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[13] [10]), - .Q(\SR_shiftRegister_reg[14] [10])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[14][11] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[13] [11]), - .Q(\SR_shiftRegister_reg[14] [11])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[14][12] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[13] [12]), - .Q(\SR_shiftRegister_reg[14] [12])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[14][13] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[13] [13]), - .Q(\SR_shiftRegister_reg[14] [13])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[14][14] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[13] [14]), - .Q(\SR_shiftRegister_reg[14] [14])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[14][15] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[13] [15]), - .Q(\SR_shiftRegister_reg[14] [15])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[14][1] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[13] [1]), - .Q(\SR_shiftRegister_reg[14] [1])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[14][2] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[13] [2]), - .Q(\SR_shiftRegister_reg[14] [2])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[14][3] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[13] [3]), - .Q(\SR_shiftRegister_reg[14] [3])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[14][4] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[13] [4]), - .Q(\SR_shiftRegister_reg[14] [4])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[14][5] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[13] [5]), - .Q(\SR_shiftRegister_reg[14] [5])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[14][6] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[13] [6]), - .Q(\SR_shiftRegister_reg[14] [6])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[14][7] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[13] [7]), - .Q(\SR_shiftRegister_reg[14] [7])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[14][8] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[13] [8]), - .Q(\SR_shiftRegister_reg[14] [8])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[14][9] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[13] [9]), - .Q(\SR_shiftRegister_reg[14] [9])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[15][0] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[14] [0]), - .Q(\SR_shiftRegister_reg[15] [0])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[15][10] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[14] [10]), - .Q(\SR_shiftRegister_reg[15] [10])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[15][11] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[14] [11]), - .Q(\SR_shiftRegister_reg[15] [11])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[15][12] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[14] [12]), - .Q(\SR_shiftRegister_reg[15] [12])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[15][13] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[14] [13]), - .Q(\SR_shiftRegister_reg[15] [13])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[15][14] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[14] [14]), - .Q(\SR_shiftRegister_reg[15] [14])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[15][15] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[14] [15]), - .Q(\SR_shiftRegister_reg[15] [15])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[15][1] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[14] [1]), - .Q(\SR_shiftRegister_reg[15] [1])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[15][2] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[14] [2]), - .Q(\SR_shiftRegister_reg[15] [2])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[15][3] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[14] [3]), - .Q(\SR_shiftRegister_reg[15] [3])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[15][4] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[14] [4]), - .Q(\SR_shiftRegister_reg[15] [4])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[15][5] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[14] [5]), - .Q(\SR_shiftRegister_reg[15] [5])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[15][6] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[14] [6]), - .Q(\SR_shiftRegister_reg[15] [6])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[15][7] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[14] [7]), - .Q(\SR_shiftRegister_reg[15] [7])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[15][8] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[14] [8]), - .Q(\SR_shiftRegister_reg[15] [8])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[15][9] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[14] [9]), - .Q(\SR_shiftRegister_reg[15] [9])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[1][0] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[0] [0]), - .Q(\SR_shiftRegister_reg[1] [0])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[1][10] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[0] [10]), - .Q(\SR_shiftRegister_reg[1] [10])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[1][11] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[0] [11]), - .Q(\SR_shiftRegister_reg[1] [11])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[1][12] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[0] [12]), - .Q(\SR_shiftRegister_reg[1] [12])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[1][13] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[0] [13]), - .Q(\SR_shiftRegister_reg[1] [13])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[1][14] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[0] [14]), - .Q(\SR_shiftRegister_reg[1] [14])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[1][15] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[0] [15]), - .Q(\SR_shiftRegister_reg[1] [15])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[1][1] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[0] [1]), - .Q(\SR_shiftRegister_reg[1] [1])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[1][2] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[0] [2]), - .Q(\SR_shiftRegister_reg[1] [2])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[1][3] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[0] [3]), - .Q(\SR_shiftRegister_reg[1] [3])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[1][4] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[0] [4]), - .Q(\SR_shiftRegister_reg[1] [4])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[1][5] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[0] [5]), - .Q(\SR_shiftRegister_reg[1] [5])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[1][6] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[0] [6]), - .Q(\SR_shiftRegister_reg[1] [6])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[1][7] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[0] [7]), - .Q(\SR_shiftRegister_reg[1] [7])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[1][8] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[0] [8]), - .Q(\SR_shiftRegister_reg[1] [8])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[1][9] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[0] [9]), - .Q(\SR_shiftRegister_reg[1] [9])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[2][0] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[1] [0]), - .Q(\SR_shiftRegister_reg[2] [0])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[2][10] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[1] [10]), - .Q(\SR_shiftRegister_reg[2] [10])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[2][11] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[1] [11]), - .Q(\SR_shiftRegister_reg[2] [11])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[2][12] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[1] [12]), - .Q(\SR_shiftRegister_reg[2] [12])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[2][13] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[1] [13]), - .Q(\SR_shiftRegister_reg[2] [13])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[2][14] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[1] [14]), - .Q(\SR_shiftRegister_reg[2] [14])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[2][15] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[1] [15]), - .Q(\SR_shiftRegister_reg[2] [15])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[2][1] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[1] [1]), - .Q(\SR_shiftRegister_reg[2] [1])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[2][2] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[1] [2]), - .Q(\SR_shiftRegister_reg[2] [2])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[2][3] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[1] [3]), - .Q(\SR_shiftRegister_reg[2] [3])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[2][4] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[1] [4]), - .Q(\SR_shiftRegister_reg[2] [4])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[2][5] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[1] [5]), - .Q(\SR_shiftRegister_reg[2] [5])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[2][6] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[1] [6]), - .Q(\SR_shiftRegister_reg[2] [6])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[2][7] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[1] [7]), - .Q(\SR_shiftRegister_reg[2] [7])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[2][8] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[1] [8]), - .Q(\SR_shiftRegister_reg[2] [8])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[2][9] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[1] [9]), - .Q(\SR_shiftRegister_reg[2] [9])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[3][0] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[2] [0]), - .Q(\SR_shiftRegister_reg[3] [0])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[3][10] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[2] [10]), - .Q(\SR_shiftRegister_reg[3] [10])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[3][11] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[2] [11]), - .Q(\SR_shiftRegister_reg[3] [11])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[3][12] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[2] [12]), - .Q(\SR_shiftRegister_reg[3] [12])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[3][13] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[2] [13]), - .Q(\SR_shiftRegister_reg[3] [13])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[3][14] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[2] [14]), - .Q(\SR_shiftRegister_reg[3] [14])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[3][15] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[2] [15]), - .Q(\SR_shiftRegister_reg[3] [15])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[3][1] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[2] [1]), - .Q(\SR_shiftRegister_reg[3] [1])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[3][2] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[2] [2]), - .Q(\SR_shiftRegister_reg[3] [2])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[3][3] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[2] [3]), - .Q(\SR_shiftRegister_reg[3] [3])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[3][4] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[2] [4]), - .Q(\SR_shiftRegister_reg[3] [4])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[3][5] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[2] [5]), - .Q(\SR_shiftRegister_reg[3] [5])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[3][6] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[2] [6]), - .Q(\SR_shiftRegister_reg[3] [6])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[3][7] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[2] [7]), - .Q(\SR_shiftRegister_reg[3] [7])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[3][8] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[2] [8]), - .Q(\SR_shiftRegister_reg[3] [8])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[3][9] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[2] [9]), - .Q(\SR_shiftRegister_reg[3] [9])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[4][0] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[3] [0]), - .Q(\SR_shiftRegister_reg[4] [0])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[4][10] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[3] [10]), - .Q(\SR_shiftRegister_reg[4] [10])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[4][11] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[3] [11]), - .Q(\SR_shiftRegister_reg[4] [11])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[4][12] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[3] [12]), - .Q(\SR_shiftRegister_reg[4] [12])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[4][13] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[3] [13]), - .Q(\SR_shiftRegister_reg[4] [13])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[4][14] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[3] [14]), - .Q(\SR_shiftRegister_reg[4] [14])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[4][15] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[3] [15]), - .Q(\SR_shiftRegister_reg[4] [15])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[4][1] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[3] [1]), - .Q(\SR_shiftRegister_reg[4] [1])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[4][2] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[3] [2]), - .Q(\SR_shiftRegister_reg[4] [2])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[4][3] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[3] [3]), - .Q(\SR_shiftRegister_reg[4] [3])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[4][4] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[3] [4]), - .Q(\SR_shiftRegister_reg[4] [4])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[4][5] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[3] [5]), - .Q(\SR_shiftRegister_reg[4] [5])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[4][6] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[3] [6]), - .Q(\SR_shiftRegister_reg[4] [6])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[4][7] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[3] [7]), - .Q(\SR_shiftRegister_reg[4] [7])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[4][8] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[3] [8]), - .Q(\SR_shiftRegister_reg[4] [8])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[4][9] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[3] [9]), - .Q(\SR_shiftRegister_reg[4] [9])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[5][0] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[4] [0]), - .Q(\SR_shiftRegister_reg[5] [0])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[5][10] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[4] [10]), - .Q(\SR_shiftRegister_reg[5] [10])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[5][11] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[4] [11]), - .Q(\SR_shiftRegister_reg[5] [11])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[5][12] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[4] [12]), - .Q(\SR_shiftRegister_reg[5] [12])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[5][13] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[4] [13]), - .Q(\SR_shiftRegister_reg[5] [13])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[5][14] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[4] [14]), - .Q(\SR_shiftRegister_reg[5] [14])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[5][15] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[4] [15]), - .Q(\SR_shiftRegister_reg[5] [15])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[5][1] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[4] [1]), - .Q(\SR_shiftRegister_reg[5] [1])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[5][2] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[4] [2]), - .Q(\SR_shiftRegister_reg[5] [2])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[5][3] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[4] [3]), - .Q(\SR_shiftRegister_reg[5] [3])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[5][4] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[4] [4]), - .Q(\SR_shiftRegister_reg[5] [4])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[5][5] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[4] [5]), - .Q(\SR_shiftRegister_reg[5] [5])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[5][6] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[4] [6]), - .Q(\SR_shiftRegister_reg[5] [6])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[5][7] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[4] [7]), - .Q(\SR_shiftRegister_reg[5] [7])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[5][8] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[4] [8]), - .Q(\SR_shiftRegister_reg[5] [8])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[5][9] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[4] [9]), - .Q(\SR_shiftRegister_reg[5] [9])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[6][0] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[5] [0]), - .Q(\SR_shiftRegister_reg[6] [0])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[6][10] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[5] [10]), - .Q(\SR_shiftRegister_reg[6] [10])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[6][11] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[5] [11]), - .Q(\SR_shiftRegister_reg[6] [11])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[6][12] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[5] [12]), - .Q(\SR_shiftRegister_reg[6] [12])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[6][13] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[5] [13]), - .Q(\SR_shiftRegister_reg[6] [13])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[6][14] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[5] [14]), - .Q(\SR_shiftRegister_reg[6] [14])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[6][15] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[5] [15]), - .Q(\SR_shiftRegister_reg[6] [15])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[6][1] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[5] [1]), - .Q(\SR_shiftRegister_reg[6] [1])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[6][2] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[5] [2]), - .Q(\SR_shiftRegister_reg[6] [2])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[6][3] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[5] [3]), - .Q(\SR_shiftRegister_reg[6] [3])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[6][4] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[5] [4]), - .Q(\SR_shiftRegister_reg[6] [4])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[6][5] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[5] [5]), - .Q(\SR_shiftRegister_reg[6] [5])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[6][6] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[5] [6]), - .Q(\SR_shiftRegister_reg[6] [6])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[6][7] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[5] [7]), - .Q(\SR_shiftRegister_reg[6] [7])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[6][8] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[5] [8]), - .Q(\SR_shiftRegister_reg[6] [8])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[6][9] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[5] [9]), - .Q(\SR_shiftRegister_reg[6] [9])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[7][0] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[6] [0]), - .Q(\SR_shiftRegister_reg[7] [0])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[7][10] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[6] [10]), - .Q(\SR_shiftRegister_reg[7] [10])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[7][11] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[6] [11]), - .Q(\SR_shiftRegister_reg[7] [11])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[7][12] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[6] [12]), - .Q(\SR_shiftRegister_reg[7] [12])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[7][13] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[6] [13]), - .Q(\SR_shiftRegister_reg[7] [13])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[7][14] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[6] [14]), - .Q(\SR_shiftRegister_reg[7] [14])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[7][15] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[6] [15]), - .Q(\SR_shiftRegister_reg[7] [15])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[7][1] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[6] [1]), - .Q(\SR_shiftRegister_reg[7] [1])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[7][2] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[6] [2]), - .Q(\SR_shiftRegister_reg[7] [2])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[7][3] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[6] [3]), - .Q(\SR_shiftRegister_reg[7] [3])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[7][4] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[6] [4]), - .Q(\SR_shiftRegister_reg[7] [4])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[7][5] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[6] [5]), - .Q(\SR_shiftRegister_reg[7] [5])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[7][6] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[6] [6]), - .Q(\SR_shiftRegister_reg[7] [6])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[7][7] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[6] [7]), - .Q(\SR_shiftRegister_reg[7] [7])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[7][8] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[6] [8]), - .Q(\SR_shiftRegister_reg[7] [8])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[7][9] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[6] [9]), - .Q(\SR_shiftRegister_reg[7] [9])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[8][0] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[7] [0]), - .Q(\SR_shiftRegister_reg[8] [0])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[8][10] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[7] [10]), - .Q(\SR_shiftRegister_reg[8] [10])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[8][11] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[7] [11]), - .Q(\SR_shiftRegister_reg[8] [11])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[8][12] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[7] [12]), - .Q(\SR_shiftRegister_reg[8] [12])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[8][13] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[7] [13]), - .Q(\SR_shiftRegister_reg[8] [13])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[8][14] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[7] [14]), - .Q(\SR_shiftRegister_reg[8] [14])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[8][15] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[7] [15]), - .Q(\SR_shiftRegister_reg[8] [15])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[8][1] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[7] [1]), - .Q(\SR_shiftRegister_reg[8] [1])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[8][2] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[7] [2]), - .Q(\SR_shiftRegister_reg[8] [2])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[8][3] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[7] [3]), - .Q(\SR_shiftRegister_reg[8] [3])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[8][4] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[7] [4]), - .Q(\SR_shiftRegister_reg[8] [4])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[8][5] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[7] [5]), - .Q(\SR_shiftRegister_reg[8] [5])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[8][6] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[7] [6]), - .Q(\SR_shiftRegister_reg[8] [6])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[8][7] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[7] [7]), - .Q(\SR_shiftRegister_reg[8] [7])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[8][8] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[7] [8]), - .Q(\SR_shiftRegister_reg[8] [8])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[8][9] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[7] [9]), - .Q(\SR_shiftRegister_reg[8] [9])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[9][0] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[8] [0]), - .Q(\SR_shiftRegister_reg[9] [0])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[9][10] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[8] [10]), - .Q(\SR_shiftRegister_reg[9] [10])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[9][11] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[8] [11]), - .Q(\SR_shiftRegister_reg[9] [11])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[9][12] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[8] [12]), - .Q(\SR_shiftRegister_reg[9] [12])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[9][13] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[8] [13]), - .Q(\SR_shiftRegister_reg[9] [13])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[9][14] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[8] [14]), - .Q(\SR_shiftRegister_reg[9] [14])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[9][15] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[8] [15]), - .Q(\SR_shiftRegister_reg[9] [15])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[9][1] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[8] [1]), - .Q(\SR_shiftRegister_reg[9] [1])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[9][2] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[8] [2]), - .Q(\SR_shiftRegister_reg[9] [2])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[9][3] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[8] [3]), - .Q(\SR_shiftRegister_reg[9] [3])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[9][4] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[8] [4]), - .Q(\SR_shiftRegister_reg[9] [4])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[9][5] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[8] [5]), - .Q(\SR_shiftRegister_reg[9] [5])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[9][6] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[8] [6]), - .Q(\SR_shiftRegister_reg[9] [6])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[9][7] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[8] [7]), - .Q(\SR_shiftRegister_reg[9] [7])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[9][8] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[8] [8]), - .Q(\SR_shiftRegister_reg[9] [8])); - FDCE #( - .INIT(1'b0)) - \SR_shiftRegister_reg[9][9] - (.C(I_clock_IBUF_BUFG), - .CE(I_loadShift_IBUF), - .CLR(I_reset_IBUF), - .D(\SR_shiftRegister_reg[8] [9]), - .Q(\SR_shiftRegister_reg[9] [9])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[0]_i_1 - (.I0(SC_addResult_n_105), - .I1(I_initSum_IBUF), - .O(p_1_in[0])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[10]_i_1 - (.I0(SC_addResult_n_95), - .I1(I_initSum_IBUF), - .O(p_1_in[10])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[11]_i_1 - (.I0(SC_addResult_n_94), - .I1(I_initSum_IBUF), - .O(p_1_in[11])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[12]_i_1 - (.I0(SC_addResult_n_93), - .I1(I_initSum_IBUF), - .O(p_1_in[12])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[13]_i_1 - (.I0(SC_addResult_n_92), - .I1(I_initSum_IBUF), - .O(p_1_in[13])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[14]_i_1 - (.I0(SC_addResult_n_91), - .I1(I_initSum_IBUF), - .O(p_1_in[14])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[15]_i_1 - (.I0(L[15]), - .I1(I_initSum_IBUF), - .O(p_1_in[15])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[16]_i_1 - (.I0(L[16]), - .I1(I_initSum_IBUF), - .O(p_1_in[16])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[17]_i_1 - (.I0(L[17]), - .I1(I_initSum_IBUF), - .O(p_1_in[17])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[18]_i_1 - (.I0(L[18]), - .I1(I_initSum_IBUF), - .O(p_1_in[18])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[19]_i_1 - (.I0(L[19]), - .I1(I_initSum_IBUF), - .O(p_1_in[19])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[1]_i_1 - (.I0(SC_addResult_n_104), - .I1(I_initSum_IBUF), - .O(p_1_in[1])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[20]_i_1 - (.I0(L[20]), - .I1(I_initSum_IBUF), - .O(p_1_in[20])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[21]_i_1 - (.I0(L[21]), - .I1(I_initSum_IBUF), - .O(p_1_in[21])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[22]_i_1 - (.I0(L[22]), - .I1(I_initSum_IBUF), - .O(p_1_in[22])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[23]_i_1 - (.I0(L[23]), - .I1(I_initSum_IBUF), - .O(p_1_in[23])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[24]_i_1 - (.I0(L[24]), - .I1(I_initSum_IBUF), - .O(p_1_in[24])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[25]_i_1 - (.I0(L[25]), - .I1(I_initSum_IBUF), - .O(p_1_in[25])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[26]_i_1 - (.I0(L[26]), - .I1(I_initSum_IBUF), - .O(p_1_in[26])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[27]_i_1 - (.I0(L[27]), - .I1(I_initSum_IBUF), - .O(p_1_in[27])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[28]_i_1 - (.I0(L[28]), - .I1(I_initSum_IBUF), - .O(p_1_in[28])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[29]_i_1 - (.I0(L[29]), - .I1(I_initSum_IBUF), - .O(p_1_in[29])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[2]_i_1 - (.I0(SC_addResult_n_103), - .I1(I_initSum_IBUF), - .O(p_1_in[2])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[30]_i_1 - (.I0(L[30]), - .I1(I_initSum_IBUF), - .O(p_1_in[30])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[31]_i_1 - (.I0(SC_addResult_n_74), - .I1(I_initSum_IBUF), - .O(p_1_in[31])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[32]_i_1 - (.I0(SC_addResult_n_73), - .I1(I_initSum_IBUF), - .O(p_1_in[32])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[33]_i_1 - (.I0(SC_addResult_n_72), - .I1(I_initSum_IBUF), - .O(p_1_in[33])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[34]_i_1 - (.I0(SC_addResult_n_71), - .I1(I_initSum_IBUF), - .O(p_1_in[34])); - LUT2 #( - .INIT(4'hE)) - \SR_sum[35]_i_1 - (.I0(I_loadSum_IBUF), - .I1(I_initSum_IBUF), - .O(\SR_sum[35]_i_1_n_0 )); - LUT2 #( - .INIT(4'h2)) - \SR_sum[35]_i_2 - (.I0(SC_addResult_n_70), - .I1(I_initSum_IBUF), - .O(p_1_in[35])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[3]_i_1 - (.I0(SC_addResult_n_102), - .I1(I_initSum_IBUF), - .O(p_1_in[3])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[4]_i_1 - (.I0(SC_addResult_n_101), - .I1(I_initSum_IBUF), - .O(p_1_in[4])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[5]_i_1 - (.I0(SC_addResult_n_100), - .I1(I_initSum_IBUF), - .O(p_1_in[5])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[6]_i_1 - (.I0(SC_addResult_n_99), - .I1(I_initSum_IBUF), - .O(p_1_in[6])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[7]_i_1 - (.I0(SC_addResult_n_98), - .I1(I_initSum_IBUF), - .O(p_1_in[7])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[8]_i_1 - (.I0(SC_addResult_n_97), - .I1(I_initSum_IBUF), - .O(p_1_in[8])); - LUT2 #( - .INIT(4'h2)) - \SR_sum[9]_i_1 - (.I0(SC_addResult_n_96), - .I1(I_initSum_IBUF), - .O(p_1_in[9])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[0] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[0]), - .Q(SR_sum[0])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[10] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[10]), - .Q(SR_sum[10])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[11] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[11]), - .Q(SR_sum[11])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[12] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[12]), - .Q(SR_sum[12])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[13] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[13]), - .Q(SR_sum[13])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[14] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[14]), - .Q(SR_sum[14])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[15] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[15]), - .Q(SR_sum[15])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[16] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[16]), - .Q(SR_sum[16])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[17] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[17]), - .Q(SR_sum[17])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[18] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[18]), - .Q(SR_sum[18])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[19] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[19]), - .Q(SR_sum[19])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[1] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[1]), - .Q(SR_sum[1])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[20] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[20]), - .Q(SR_sum[20])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[21] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[21]), - .Q(SR_sum[21])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[22] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[22]), - .Q(SR_sum[22])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[23] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[23]), - .Q(SR_sum[23])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[24] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[24]), - .Q(SR_sum[24])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[25] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[25]), - .Q(SR_sum[25])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[26] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[26]), - .Q(SR_sum[26])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[27] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[27]), - .Q(SR_sum[27])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[28] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[28]), - .Q(SR_sum[28])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[29] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[29]), - .Q(SR_sum[29])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[2] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[2]), - .Q(SR_sum[2])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[30] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[30]), - .Q(SR_sum[30])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[31] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[31]), - .Q(SR_sum[31])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[32] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[32]), - .Q(SR_sum[32])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[33] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[33]), - .Q(SR_sum[33])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[34] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[34]), - .Q(SR_sum[34])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[35] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[35]), - .Q(SR_sum[35])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[3] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[3]), - .Q(SR_sum[3])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[4] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[4]), - .Q(SR_sum[4])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[5] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[5]), - .Q(SR_sum[5])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[6] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[6]), - .Q(SR_sum[6])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[7] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[7]), - .Q(SR_sum[7])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[8] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[8]), - .Q(SR_sum[8])); - FDCE #( - .INIT(1'b0)) - \SR_sum_reg[9] - (.C(I_clock_IBUF_BUFG), - .CE(\SR_sum[35]_i_1_n_0 ), - .CLR(I_reset_IBUF), - .D(p_1_in[9]), - .Q(SR_sum[9])); - VCC VCC - (.P(\<const1> )); -endmodule diff --git a/src/hdl/operativeUnit.vhd b/src/hdl/operativeUnit.vhd index fe12a2e..08e5892 100644 --- a/src/hdl/operativeUnit.vhd +++ b/src/hdl/operativeUnit.vhd @@ -45,7 +45,7 @@ entity operativeUnit is I_incrAddress : in std_logic; -- Control signal to increment register read address I_initSum : in std_logic; -- Control signal to initialize the MAC register I_loadSum : in std_logic; -- Control signal to load the MAC register; - I_loadY : in std_logic; -- Control signal to load Y register + I_loadOutput : in std_logic; -- Control signal to load Y register O_processingDone : out std_logic; -- Indicate that processing is done O_filteredSample : out std_logic_vector(15 downto 0) -- filtered sample ); @@ -114,36 +114,43 @@ begin ); -- Process to describe the shift register storing the input samples - shift : process (_BLANK_) is + shift : process (I_clock, I_reset) is begin -- process shift if I_reset = '1' then -- asynchronous reset (active high) SR_shiftRegister <= (others => (others => '0')); - elsif _BLANK_ - + elsif rising_edge(I_clock) then + if I_loadShift = '1' then + SR_shiftRegister(0) <= signed(I_inputSample); + SR_shiftRegister(1 to 15) <= SR_shiftRegister(0 to 14); + end if; end if; end process shift; -- Process to describe the counter providing the selection adresses -- of the multiplexers - incr_address : process (_BLANK_) is + incr_address : process (I_clock, I_reset) is begin if I_reset = '1' then -- asynchronous reset (active high) SR_readAddress <= 0; - elsif _BLANK_ - + elsif rising_edge(I_clock) then + if I_initAddress = '1' then + SR_readAddress <= 0; + elsif I_incrAddress = '1' then + SR_readAddress <= SR_readAddress + 1; + end if; end if; end process incr_address; -- Signal detecting that the next cycle will be the one -- providing the last product used to compute the convolution - O_processingDone <= '1' when _BLANK_; + O_processingDone <= '1' when SR_readAddress = 14 else '0'; -- Signals connected with multiplexers (SIMPLY inferred with table indices) - SC_multOperand1 <= _BLANK_; -- 16 bits - SC_multOperand2 <= _BLANK_; -- 16 bits + SC_multOperand1 <= SR_shiftRegister(SR_readAddress); -- 16 bits + SC_multOperand2 <= SR_coefRegister(SR_readAddress); -- 16 bits -- Multiplication of the operands - SC_MultResult <= _BLANK_; -- 32 bits + SC_MultResult <= SC_multOperand1 * SC_multOperand2; -- 32 bits -- Sum of the multiplication result and the accumulated value SC_addResult <= resize(SC_MultResult, SC_addResult'length) + SR_sum; @@ -151,19 +158,33 @@ begin -- Register to store the accumulated value if the loadSum is active -- It also reduces the width of the sum to fit to the input and output -- signal widths (be careful with truncating/rounding) - sum_acc : process (_BLANK_) is + sum_acc : process (I_clock, I_reset) is begin if I_reset = '1' then -- asynchronous reset (active high) SR_sum <= (others => '0'); - elsif _BLANK_ + elsif rising_edge(I_clock) then + if I_initSum = '1' then + SR_sum <= (others => '0'); + elsif I_loadSum = '1' then + SR_sum <= SC_addResult; + end if; end if; end process sum_acc; -- Register to store the final result if the loadOuput is active - store_result : process (_BLANK_) is + store_result : process (I_clock, I_reset) is begin - _BLANK_ - + if I_reset = '1' then + SR_filteredSample <= (others => '0'); + elsif rising_edge(I_clock) then + if I_loadOutput = '1' then + if SR_sum(15) = '1' then + SR_filteredSample <= SR_sum(31 downto 16) + 1; + else + SR_filteredSample <= SR_sum(31 downto 16); + end if; + end if; + end if; end process store_result; O_filteredSample <= std_logic_vector(SR_filteredSample); -- GitLab