From 70c7ddd95a11b74b5a7bcf70c456fdfd95332f3e Mon Sep 17 00:00:00 2001
From: Kani FOMBA <k24fomba@fl-tp-br-518.imta.fr>
Date: Mon, 5 May 2025 10:49:58 +0200
Subject: [PATCH] ajout de wave gen

---
 docs/module E.png                    |  Bin 0 -> 24044 bytes
 docs/moduleD.png                     |  Bin 0 -> 24089 bytes
 docs/wave_generator_completed.drawio |  100 ++
 proj/tb_module_C_behav.wcfg          |   61 ++
 proj/tb_module_D_behav.wcfg          |   61 ++
 proj/tb_module_E_behav.wcfg          |   61 ++
 proj/tb_module_G_behav.wcfg          |   32 +
 src/hdl/wave_generator.vhd           |   60 +-
 vivado.jou                           |  187 ++++
 vivado.log                           | 1265 ++++++++++++++++++++++++++
 10 files changed, 1797 insertions(+), 30 deletions(-)
 create mode 100644 docs/module E.png
 create mode 100644 docs/moduleD.png
 create mode 100644 docs/wave_generator_completed.drawio
 create mode 100644 proj/tb_module_C_behav.wcfg
 create mode 100644 proj/tb_module_D_behav.wcfg
 create mode 100644 proj/tb_module_E_behav.wcfg
 create mode 100644 proj/tb_module_G_behav.wcfg
 create mode 100644 vivado.jou
 create mode 100644 vivado.log

diff --git a/docs/module E.png b/docs/module E.png
new file mode 100644
index 0000000000000000000000000000000000000000..8e1659d20b3f21bcb66dd7f037f1fbae5d3c90f6
GIT binary patch
literal 24044
zcmeAS@N?(olHy`uVBq!ia0y~yV7|@3z_^Hmje&vTyY<yS3=9k`#ZI0f92^`RH5@4&
z3=9mCC9V-A!TD(=<%vb94C#6Kxv9Fv$wjHDdBqv|CGVN{+c7XOsDl(a7o{eaWaj57
zgk<KXGUSxz=_wePD&!_+Di|3UnJO4qS{Yed85q1w*igm5puphi;uumf=gr;n8j-8N
zj(>cwrr7Afu_S=GC2on9tNv4`35yh%x)wFDe(mb*W)c(8el&Fv$G0NUkRx2vx+M%W
zI4+&$XVLl6BH+odqM~BG-~LdNP?`Dcl*w~*?DFG2E8YBj#xng|PTKREmd4qJJck1q
z52wAc`2YF;ytir$AP`_=H}4&Y!N72@Ekzp4GXGp0R`KXaXV)usFh8!@_%@iaW9DV!
z+q}|dYgW`TFzoS|Se<_=X=B9-^?U1I6vtlu7{$yGvgF5)iq_WFo4Uoba+nz!PBpL?
z%gfs^Fq~=5d;&2;GJP8(!v`hT^uXI`GjGq1efx^1#JzOdQXU3|eD_Vf3=PvaMCkcs
zaNC8=O1VDGK-}k6Zt1jbJPZx18bC%ISlPr`bTMV;mejr*lS-nC^49Npz{JoHS(qlx
zz@Q)ap{MBJ@tjjuYj5A2`SQ{<QStXL(is@eyg8{pU*#qn!+~gv*=~97KTc9xe=f;8
zI_%PqMVBu#G8oKOpI;Ls1ajGmNpI$|np;<=zx3S2$dH!gy+w81O*RIG=N4Z3xb5Oh
zmTgH*b)Ce^U@*I>^V?;AdtZ=~w{MucR&R&MUg;fsH$8f#v!m|daj)pGsHHFM85l&L
zzFa<E?~DEA6CiK*30;_^a!obz`rPYTx1*Pvl$)?K90<<o+;+sI2pm3l`e3o346>Gi
z;lSA)Y0?Z0Uj5xO85s<uC*?9QXl?xyT^qjb{TVif1Gf!(WxyKE;p#oMF@i)2j6rG{
z7#QyKU>J#^<jncVL?0g?*RRYC)1?g(92VZcz9#bV!g(Nro}SO#r0Z#0{cTN09m9vD
z+2;A%ep~z&fBz(74<iG^s!2(ca}OJ6Es|$grSMIkfq~&e*ip6Hk0K--Dii-RF)%Rb
zhyIzF+t<c8MHnir=cBy7BadN4*Ny!Q3=9XN3(uM{^h4C<hyF3W?em!>AP*vEZP+W*
zaC}2OBLhRj_9Q7wxVod^^^6~6_}|2@S*iUs;k)#gvnQ6`GECo)6|EzBpOb-sp~80l
zBc`69f197ZyW;&<T0><^<3G#dy_a}j?>xT!h5cTs6<>w3OP}3I{_|M=|DNCTt-tGE
zyVD$HvTfz7(t0oZ^nZK#Evp~w-(|L`QLp-+c&gRS?SJMzto{}G@m@Ux1B1r#>}|ZO
z-!9L2Tm9U%)+ntrFaPoX?~mQ;?#fJK3=Plxrr+i-{5RQy|7wKv+WGah5&vJr#kNgc
zzQcF*R@LR5dH>VXL#H22yc>4A?)Zc?VJ9`dDZ4W;Ff4e|Wq-z`=aT-X(94eDE2dw2
zbv1n7^-i1Y#>KDyNGR(&SMQ&*vicZLNdGt8tECTot6qKHd+NmIQ?oV&@o&jnS$!{h
zWx2e}+Pc_VtMBvrzj_^eduz$B2;LXB!uGn^F7f|9chc3Ysfk-(UCEDs*=pUkqWbo=
zS9V|GXZ`-Gm>Rh@^){cF*tAW$d3W|lJXxK#xBZpSf90sH|ID98tes@)7@cIMp`J8j
zkyUv4u6WHaobwYk-aom%YkO+PEx-81I;%sE-E593i8VIe_r?C!tiyFj*FJvxAvHlH
z>dwZv%+srOJ(@TDQ$?Jl`*W>dKND@{B-@<n{P<zdqT44bo@j5+eA4!*KXa|@vDb~(
zw=@%fc30KE){H#wZ)78$&KWRaf2gT7@8!3_YOlK<ysb*U#Zz0R@jWJ`%dYm1;95SZ
z1=;Oef)34Uon`PuP512Xkn4Rf*1U<ocfBXPK44PfiCNz^Kf7xgnE&UdPqN&cRj-x5
zXCFNB`^&w3IsN{tpUD4T^~t{ceSD45?+lOd_`lnJiOZfaPVv3B$kcvb;9_mI6~(-V
zTmN>Qd%KXw^P1A$jba@M`-5iHt0q=Y-W;K`Y0mDtdsEHr(qB*A#?v}~`l3^hD^q3W
z8uL8<V|HuWr<ytm{^t_?r<y;0=vh=RZx&i<)8)U-ySm7E(v;<Ebn0V^PBZV?^=^ID
z@2C1D2FKm)_DwoBpW$P0ME}KIuO@M@vR?^vNfG{;{`TU+AA3I6a=a;<o@P_l|E~6p
zT`$MCV29bazuXA^p8aSsf0mDNe5$PFy2+J6*Vaw_^{G65>zks9Qdd^2t9omtWt88a
zWF~t#a&_RZ+{DC0&C8Fz{q6SW{wjAX@Yd$2*?rG6b6-oXJ-KH6!oRy$wLQF5=lXN^
zLZ`plx8j}Ogx|5eS8sp5wV7+5qP5qTH-+2cGEd8B{!q((@4M4z{#Fgo`n!MkOk3)g
zFMGV{t=RV19`~QgHeAzZXWDStrR7UY_J2D5+x=tA4(Uow_VZPFd-uew{3md?{JZ^^
zyPNf2f4!=|!n4%#`KGsb4$pt}FZ<uxryF;&hVf11^0DO45M0P6=KN{>$_1|`)qg&g
z^&s2BZ9&%cZ&Qob8m+0cnpzXIyvSJe`^C$*eokDw&Ve;0Wm=sz!<6|!|6-$_tPb0|
zK4kfxo4+zY&(GRq?Y8paVUep_+jJ%s@z(~usdEhz-O&(TpMKA6-lucV>=T}Bj9=-|
z$2a5Drnt=0UESqhibEfsd%kr|Y1q=jl9Gnw6(KU|@?v5=i8fko+JBR5wERN<{w`Sd
zCvQTUb(^Wm>OWV1?K-*ZXS4K|dw;fH(SCmC@im*dclHF?AGvnnK>Gem+1s~;Uo$U%
zJ^%Zf$qSi|AFR9jskm74KU=olzHKpk4-{_d&thrUx}fh-`+WW7=<6nv@+Yb{JWe_g
zbLx}%%gdYQuY2)fPh9N&5Z*FX?VOA$yI7)9wmy5=qWybnv3>MY<!+Viv}xxemNv}U
zFCsl#^`}?T{-A|k)ej!z?7cK?s>!|wTehq^F!y|R<m)wBk6*0G?{Pn>c|-iRq+WXZ
z**R<DZ<XB*4ZBpaxwn0Fy0~P2SKt=;(6{doPkc1_tx#P3_wQA%b=<prg#X#b%=iE0
zlwGv+!iqmLq_#GP-qm+MzP*0^`A^fb|Ek-+STnzV;<n!6{eS;fKU~fHxqj8f+!^b3
zzi{6B>rQQzO5O}Bwz+|K)^E%zJ-=D%@}?h?e=ul;Wq#M6l=5S1(0uJ%+25M_zr38e
zOX}~BqpMO4Q%(de`rEZMjM+3-WI@l2qg9W)H)qv7NUp8Z3GX`7pSZv2k%;XNy<ZYq
z?8g0zZ~h7vJYyqgCf0rQY0LUe#-A%hWSBS4`&epj+~4jS`s;VWvDV!arx$4kwY%=i
zDmhv^>xS}+nzsCj{Y}5`EM1=ecIlk0?_cbdKmY2L__M5#75#^+^j?G?G|P%zRlon^
zHo3R&7yG_He<@hMcFEav<tsb3`M=!W+}gVIO}BFDipz_#vMzt;+G;m@(PhPE(bB@)
zpC^>MbyRP!4|%<2_Pkn;@T>P%AJyNpCf|td^}_1i&*$F|`z^Y?Z#%Oq|CBjXckhbJ
zJbmg^4!iZcuxZby7V5}Ge|nH%wQTSFZtdbP5s|Ofa6JyZQ)Vb9diq;=;D`5z<AkEV
zJb!db#x`u4)#^hPBFk6xxCe?Y_TYxJ@~*51*_vM-xU-C*;rs3F|HFAD3>I8S&c3!L
z@&BWxZ+gowGAp>VySOI5J-Qo|-eopkf8KOGcj^|q@=d1kx6<b3de`lJR~aYieqF>|
zZc@xE<Hrx>RBy%`$~`@Qb7ppV@6^p@68(oN{-5}7YiAdin3!009aN4p1ju~TpU_o5
zxi};)@OsA0=;aUIPkp+kN!!a>EH!d^VM)(NgZZDT>LmI%6<_=RC@EGq-9~2hso&b^
zpz@*fHxt7;NMk_%>7lzPETz~2>>!PS=&85E>lqyip}d_R_L|D@GHd9+iDzJ7crbT{
z8{dKC8~Ya+6_<s_gxDNA93#PBoM>~V^`pV`Pcr<=CNh7J_@-ZQ?5erF|IwXYZ&%E)
z2;V3xD*Rk)+v220F-OZneCDja(bv^k9GdwmS;~@uKMCA^d4FVPE`!|Rf2<4)4EI*u
zNcnx>;C*f6=8oUww<}hx05zBO!@s0uyouivU1+|)`0KNZBRhrC3wNxu{N*lFctp@$
zrtqHl^HX1M>&0y5WoY=lCUSEdfApOb-}c4dntkBNks}6jYKJOja6eAe>uKL<Ab0fh
z#}Y^r{DYYav<WT=YDdm{{vv4QBICuKo8_NtTx<QcC?%b1!OiX0yB|yN7auj0d)Ad~
zb4K)dg-CyjG(!U4e32hN`91rEVU9R5)8-s!zshYv<!G&>kky~}zn`@)F0ycW$l^lX
z(wSF(HyvkW2tDHd{NH;|sI!j<hI>Ae`EbJYw)&#}jN?<b-ZHOVefN`d_qVWu{x=+R
z*Mz>jZL)gy{hjm8U(W3FVF5K88AQ%2pDfEuTenGg^OVW6a<~7<sq!;4ZOMMHcz)*X
zi7rdi=3HC3<nXO;=hkLvc{+x_yxVMf%5LhiEn7;Ks>)sb_S8SSqGk7XCWoI~LEm@V
zUzvBsrMA>P*1sIoPt%y_RcZd|K%;-g!7XV~#p&X$tgWl>UeK(neVV6wgQ+K_wmN=E
zQ**ZVqVFQPeRI}W_Va};P`BniP+F&J`t0fZuRoXk^77kKwac7=fx)43rryo6r)>F*
zzS5dH$@|Uz2`!IF)hatJUiJKQ?xym2HjlT9M(xvd{5s1tyrKD*(z=SE(`9nOL0P+k
zgtuis>`*w~`+Mu9AN=25-<Wm$>TOSn2BQ<c;l~<NXVrY=$@M8OvGxEpbocm7&S7W2
ze(^-3@)i4?%a8Hy36y;_`}F=<f4^8Hztzh9BEK@yJ1sM&|Ce7DZ@^*i{A;?*uQJZJ
z`JUP!eyL#3v%Qtmvuf)mTU}YX_SxUOi-+dFar0WW+R@nl!spn!D^ETeZ@m{N(O_h?
zaw_+;jGuze(}e1df*RlnY|C~$o?dTS-z!_a_uY=<rayoGs(QYZe0l1xadh|n%J)q_
z?l9+P9NN0Cs_q$c)Q)d?XCt<I7%%s|&c55|GqaAO)W1`SOLbQ)lQI?hdN}y8Y27!!
zr?)b#D=u7pHGR9+_dR>e>ZN|=@1CmUxlsJrHQArj?(O-vBu?UIey!l^Wxe1eTp=4g
z=jOXR+cvM;nYLxq=FMAkeuhWwG>S51&dy5M&zN=R#=p5|CtcgWs-8DvsadW1%jo~F
zzr1=hQz~J%#_h7RWj_RTZwRlLAhptK!}Lt=PwT}OE!e_xRIJ?JZ2q@hFaMnuoi=;X
zexbMbZ}2jJz)6*pWs}={Mdaj`B_B_kI%Ue58#^pi)z!5Z6}HSW%YIdIcXG7-g)i>Y
zUj&@adlmOZ>eZXlS^GbzyZX#n7p?Sm{ruT;R$V^$^~#O?GyJ4J{`%9GSoQEnfUSM`
zoH^e?BL@rtQ)epO^gHDf6jZb+YD>nt6KQ9!tjmpVZEe+jxSmD!|LY&DA0O^@&-A%(
z#`Sfwh``Fz?%%@}C;#4h`<&^Ojq+zNF1k@S%O<zu&E4}lsb9HrIV9Fpzk9hhz_ame
z^~!2*>&>rwL*`m+aV-9C>)*a8?d8$i(X*2IK*J0S2TFV<=A`qOZYleHe$tZD`u#!k
z?fwTuMeQm(`Ytri{XX}j>}~RE)$MPtNtRqbr7o#k?3?Y9o8|WFrp_$WI)87)^ylkC
z)^6;7anG;p(&NSNUmvWt54fj0|MmGRB}emXd>`MsUU1|3o4NW~pX$G@{BErOGCtRH
zJGe_J{wZTy#j_)sZ)a=@oAkx~>|3us@36ycys1wlt!my(n5GxM%J`*t+J*M}lI#24
zTdXj7EPeOhCdY;CLeuUnSmrJBRVloEn(Z3xX|^#>KK_&nsopnt{?fbpyn%<OcipvN
zNS(UZ^YSjs-^W0f9hhS?D@VGrZIke36;E~H&0kLanSOfx#$~~xdu!XOLbZQ9h|H~7
zwz}=i<7<yVG0yOPrPb#J{pYSe*sycnJ5X88uz<_ps41k}tPqBEhS$9EQD$eD;dG@z
z<K%|m5A93lxymW`Sv=|h6UNDNj-In}jo>+~5%P6|r1iP~dy<|VJ$jviK~H1T>WN1m
z9g^dkI&JgEzRu3TkdP49@{`#&=e@f0&(l!u*&(E&`{$#_k444A(zf@21}zvE7<NdW
zGy^*`&utSpi8john8OSbd60IeSB4>Aq0GJBJvuw?%P}y7Ht+6NTvBA+r*M;vA!Nl2
zQ^T%br+v%y|2kiK{B9)|14D?={2eRj71{ND@Yp14xM``9+g7Xpzt_EdJyo>a%T(%m
z(DcZ?`V}$^4_fVtoMm12{}*Cl2;rUjz~Yed^_=+~c8g?#uVvkTv$pIszwWK*gSMbT
zg5f}|&7_>?Y0tE*4ZST_-Yh$Pe%W)8VCNldcE4tBll2VAI+i)t%W6&T`#Y<aO%f@Y
zde3&o;y1yWUf$l}QI7<3<Ns}GbKkM}IpZt4#8r8(PQ0mJQ1f%;(;KW&)drp~Uut&U
z-RAQBJI9xj<seT_4?VSeB0FD=6ZbOH%d@O^Yv^diylwNl_*%PM`=D5Y%In*|l|*G?
zq6POZ&nSM9a<;N$!>O7Tau;IF@7O!mD*rhhCCre1X#Mr#VmHmVOHW@_Su$BIFJt-f
z=l-GF8T`w67#J7|dS6b;4bNi{zp!QEA){~EkM#>rg)U3lUbC>=?!H>}^OMGP(F>o>
z77AG(S$xyhkALo(7dzIQCVy(bB{zN164T39H$5yk8?!O|qvlI5fB!27zv^eXWSIN@
zP3nC2>WbU;8nN|TCTo9jIJ_xWo8foUFaE1bBelOi`)xgCn)K@Y=eifyzirP9kxrWm
z>eUw+_->MwiE&qE?+f_<WS*4y2e;CWe7*l!-~5wP*TgRE{ikf2eNw0Qb>Mx^wTxL6
zFVF5ub&!2~bAzWO-y)rZfwL?=waj~U*<m4b+RHaPG<U84_upihYi_H_>*c%SBbL3*
zv^M#^%>9e+pZQTH%N8~rUVp{=W9q#ehBB7zIm<2xvv0Zl&3*Ql*?g+zL9hEvZEt~w
zX%2)gv+#Wqe>Oh(c-(sHcQX{~|NqgvMPaRA^~P~hn0`%Ey!<J9^Lb@IRs<<8D*2ro
z{$l<1{g=PT@2;QCXz^&dRU_l3c_)LWy<NEH@~Kmt0TI5JYwS1}7#J*4yOgh=%e8(z
zE89)m+B*95wECq}wHJpPZ&=3mO?ttx-naK}>SX?1{p)%|Sk~q8N&CL;_gk+wZzbcl
z?oGMZbK3bYHrY0Et(>@u<@n5PDZW=eHb3WoJ@wm7mEKvOnzQcz*k<<b{+j+}U&43%
zHWP0M-syGz%(SIdyjM5aK8X%C{j+&$<*ipI`#{Byp0VquyGs&<Tie@T-q;wSs;a7O
zrssF^<jJlKnT5;F&a#UA{^T0J$={2rR#!I7-o-Aec_wc8Pwx7lBP}m?sa~p-?{V6{
zH1l{n|I1nLa<8PH;)^x;tLnSE$J&@tRQ2h0XRDhFmhQUsZ`tc@p`R}ZTkUwi#r-#^
z(AsfI<YZY;+N4R7CSP6TTI_0;y{s(vww8{L4`;pViy!gF^*i|A+j=Gc+7`HC{*nfd
zSi4=@rDSifzgwnvs_$<`pV-lFm!D)hhnR?$t8DqM5qn$Psqxg6jkWt<ua~iB4f#Cv
zoSOF6k{MCuT_(r>R3Gq8J)(2n>i)r9udn5Ced@mOVWs)1&j(GTHlDvJA_{7=*ifsk
zS-14WKi&10CZGQP>d8tI+1`ELb>8V&2itr!KfCMxde3G$Ynx-I`o8PVcO)e><TFoy
z`O)khS{t+_X>$G5-GT0=`KLZd<-gYkHztHBtcQ-MpS`}|)@N>8k^L1-R=V>N)GeZ=
z)B6K{-#nTl(O}g5_f;bAuUCow<)8vuL$qJ*w%fdgZ;t$to;=s*%q8v5@p}7@&GHNV
z@=fwp=-LO(>03X}Tqk9uzwOU0P!uwRScay{eYv<(O2+K^<-MTdjv<6`8E6<6#Ljnu
zRRE!LL6yVjAI?Gk=GW49Y+QG0)s*v&Qx;YH^J)2LAP(uke0)(c<Dtc&pc;;oW(*4+
zoi)geojUO?!@*Yb+UlgFBoR^3r`z5w$bolt#Mevq7uobBNLhj`W580~z`GLW9?T3C
zVU_nzUb*Rem}^_~%*R~EW^b$qcT|oDhF`NNFLvLpx5vCVO`73B<+P%RnB42qk7824
zy;Xozm^&5~&a<*BaJH4R+#I{R?5)%O`Ig0QmX?;2RO;*NL)XXc4XCWDs%rhp%Mj4m
zWi1^oCZ_&Xyk^g)<0p?=Y|dvdgjjX6yLdZS&UNLJ-+0aa19~^KJ+Jq-`Lx`w=6$Z`
zrBd^|i#DXKJvTj7_1NpP;m^V=<lknmlzd&D`Qg9SiuY?4t7<SaOqr4>GVgfm#)ud#
zW(J0PtBqvkcce;Hu8-SmQuQTc`s3BCR|9{qSba`^t-kDnZL)enxB0|ZeqGAUH8qrb
zRr2z-0^XW0#<|v$R$S@1Y&Eqc;`Y6rp}wk`FSPbn8@S(Gerd|IY3sHeQNFeBPbP1F
z!SQL+=Ecue|KeS?&2RbAS3frG7LmE&y}5ke#hE=OOP4Oy)YWxm7rVdU0r&Ng(;K<B
zd`p;g>2k2h?%d9I?*%n9gDx);o;s~A>gLSVCYD<sZRgam?~D8XoTco_j_Lca&wH%t
z<?ny>qyGP;yXL*t@j88S`SES$-rYxw{`Fq^He>T9?i+S{_148g8!XD__7rYYI&AU(
zUw$-~oV<nBZU@fWy1Ls{Zg1SU@zvGU(~tE^hp+l7z2)^HyTAJ3^BJeFcHFIZ^cZP%
zFVo!6S4!-MLvv=TNTy$VwNb3}O2(DhUZ3+9Y?xL5>a8HJsEo{-2M=~-RenD1rM)ZY
z$JeU7a~ezcmh7C>QgZ1C@7pgw{;Yf@RuECl)~>nbH}|cwZ9cPEX7nGvzE_1c`^KB4
zRr{{X&oW=t?38sqaPh@_&7+rV+ir{gG1>J({qng}3=9qBMc?M-AA8KWUFCLRVPVvU
zghs2<S0SrftZm;-kTP4bo{d*Z!}eXk#*bM0aHqBj&;EFuOZWbi1yyHfX&=bTJt+0-
z3)fqlISZ!r@TmS3Tst$oWxn?3;P&YISAHGV^zye{ct6}XDBFJVk4+a&cJ=*^GFGc!
z@wQOYE6O-_qU-FhtCwH6_0mdmda+~wA1j+Bp`7ktKJM7X^~yeEdfM76v){iDh{{{D
zZ|-)N{oCZ11?KLUXtQVf<?FSNXP2CR5nT2Eon}>j@SY;uyOC4;IPGl9eyl7pOnol;
z=gY0g-H=Qn=su_NagJhJU`FAT6!GewfJ0^8hjYu7^>Yu+-Bdq2>iWm4Ggi01+$9*U
zWpp9n()!tR*G=A7Hr4D`|CKeWn@!fa*Q!rMZAp|R`@MeAr~l>7*4V2H^3v~a-q`wX
zzEPauB$3ML&(6p#yu6-2bn`CVlCO^hVy|7buQyFkorKo*D7cum`g+jWS#wP*-*PTp
zqWbd1x2IKA6)yi=zeIL+S=YE64=xtHvuUQ2zsv={=;xE`E-!rImUVaIvdhmoR-Bo$
z_g(Y9!kKzrw#%Y|ufHrvS~aOZ_wsT6m}Lt6-z1){+*I4e%fN8T|6t~$Nq?8@dZ3y<
z@5#0G|GK)nFHeuJTRG3RdR6`Ze@Bm{FJHbQ@a?OvoGSs=<?k-_$y#5v+SK{#>Y8P5
zN{&x<D(2s_kn_6TD*5c#<*nJ@UvGc$Q|kWG11g2y9c}xIA~IiUT5ZbP^-4!-{m0Ga
zRu;_DR_{H1|7v>l<EeA2Jubffrlr25QHsr@l$|H!`<c+H&s%-H0+)TO{WUG@&&2rd
z&6{dGqxOEttgSt9?`N6k$MrA!?$wrh-Zr?JE4b9%%<SS4^JlMq6=;6HP+yw8zHZj1
z+-a{^*iKZbc}H&h`pHjQTiv12^yf9R3T^x4>#P5}eHFd;M`-Jf&mF%^G8WbO|5@fe
zZ^r7Au`}KVM!N8JXifL;pS!8HDmG?!sKL3VA6Ky4eyCg6)4uZ&Y^3M&i3*X}vwlXg
zi(Gb3dAsY>TFL0g$9hexzGNIddNlL$GGD&OMVBURdA$0l)tArZT{$7~$NP_Gzuxxt
z*0$PqAt9kFYXWO!f4r=}_3>)b(<Q1m*D7OeU)7*?!V65Uo4g3R`}<x0E$P`Su52-p
ztt;%v`W^8^`K11mzN}?)E(G58dH*KaYUU*SigTw_Jg>RP+RsouzNxh0I-ji9<SARc
zz30`0?`Mfyvrk-n&nBDjmosl}3R%BUYFm3v_Nun}ZASjlbFc7*q@9cZZntlr(Z85=
zmiosu%*>su?JAw`AKe=EU2e|pZ)U9aEA@V@+`8EaGy>RFeO|v}@|LcQ^%i;F+oa9&
z)_6`<)3mgV<QCHj2%GlU<@4I>Vy}8D|6lBSI$vA)@f0U#=aA)ovY=kOii*o}Bfpog
z^{0UqM6cH^x%KYkTKSA$i@i%$q?(uNSFM@5*YC6Vza<@<mrK2u?A`kJ%By+LO|ug=
z#mQfG{o34o_0dE5l3y{Id0w;puJZ*e-+uF<p@8+e*1j{#T$~?2)|OAzeq9o`usyiW
zddXzos8y?TZ@=0W`uXy2aW2?e0B}?AOKT}Z+@YJV|DCyLp?ZxgxcSw#7g?V^Tdsa%
zT*L6xm&=b=`xhs~%X@RmCAOEJEjze-l6Z{GN!^oWo<eV%{{8q{zBYEd-@bkOc72-_
zC{_72bkfm9U!~1noOpPc?>aXT4ahffmm?R4EvUKNm-YRh#mb)nzWbg{`ka2*=-Qf#
zeGeRWect9RvW8*nuBt_CTUUqW%+zM8idk;$RucM`!SmqUlFOTgOFZB3|0=8A_Gi}p
zb*{6ozdX9SX^P<HnAbJzuh&KI);yu_ba?r_73bKmXB@T5<7R#T^iApfjjxPdpYvRM
z($2neWBi6)cWbt{wY|CGZy#TvUq2({%q)rNcD*{kzRIqfb~AOhQW(BWapI$)!^PvV
zR&E;0?ry4^@qSv0wUW}JBc0n+BG-oP{d+AsYSWYC+#jin>;6^lomR8O<^7(&+t!2~
zPhXjZbM&NXv-zv1Kea=%-ze|e9(*y|s(9=B=I}3x&oXqaCoS7y+OPekJ%8)}7`fTi
zFHC)_Eus=HhrNzC6<BNjaZ1=azX@As7={11_HM<-&-<?Dt6!d4m$G$U&URm8wKEs^
zXHLp$S&=wdEi2%*zgP6K<MJ`9UQJ#kI{VM+d%aexDud@;uVSCx#2k0<=4-pR(zaHG
z`}|^l?)#>4T<h7xUtM(>tM1vndFcmgu(C?H#{B&8?Q{31;y+xGHMO<8@$vV$ayKi9
z?>F<8kiHZqIoVm;eXowryST1TCG`<D=JyN@4S(88i(Z+(=&eQ8_DRQXrk$F)ZC}#y
z!zs64zeOo-R)6?d@cq%Uvd51f_ij!<e=F9f*!%UKJvL9DJ{6UZi1J;oWo77_naMic
zrPf|`(Vts7CuS|*yvygjjL+4NspTv4W@`6b+490gHFxH!bCs4g*9}0e)EOREf3BOe
zxY*PpXWj8x=l_1T{A>Kf()?NlsJ)QcC!NjGz!iEfe!HUOiu-Z9r&{{y9h+He4H>af
zJ{LG=cWA)wWtN+HL1r~<2aTFk^t}y!^69~w%P*VWhJCZxDUl9uv|3abz6)!78wP27
zYppjADc_p?%_1CO;yRPUch9_b>-m_g-(&-|kmDvl?JGMg_dILQ&F#(5p&~Eg=XrVH
zM(efarxn)=Ln7}+|ESu<t<k)~{96Txp3y05dl%Fp2!43RjN$SO+Z}tC?`{aH(5RZf
zd^ZCFLxR;E1+bGD5NkJPxbcBJ@j=JEoZ<VG-b;5HAZs@Q{>`afI&JTgTfy;vpQ=Gy
z@ZUF0*9d>K`N~p9NRL9pRw#QnbZN&r%jpsBny=og%sz5U?;XU{9TO7MH$Krf%uYJ@
zKPFFS)s^eHpr$<o1H&q-Wlg(fAtO{Fsw&q+n;_Qi5$)W1d;)l!s=-`gqnRjV6m3P0
z#~NSI+yVmw!<n8VyMmM;YgINYZ2Tq#aoht=m1}Xm5K~S`cb2}4nDYNl=%MFbP@z+C
zMzH~^K4O8;nT-cr>71q~O}apl$H36AXvVi*BZ#R1TPH>=mVr28g_XyeKu3rdE7XOu
zD+Iu9ZaCF{L`uyW9107H6gRr5I)K>+_MB6_7TER6`lQ-MH`ND^UxEX-VacDAT`!VO
z#wT4nGUvZ!Xpif;{g3Y~u>hx^1&<6xW#S-<a2$RrZFCcLff!Zmv4+tT;y17EBT@w&
zV2?O_^jOm<qzLwYz@NMGJmI`m5Dz^F1M6upPr7CPAP~%UNOe!*%})>f_j-@e-gTa!
z)jAEQT8>Dq5P^7Xv(iR3EnRR(AK>v=!?@lF?1B&zq3i>%CxRp6Y3C8C6_X&!%M~}W
z74*4JdK>)mWNRJ&3b_?o|E{WfrWc>n`0bOn@Ke-QXRS5)3=9kn-X3chEgnuxslIys
ze`vwt6QB1>&s=U&@MG<yC0j3gr*f_--KX>RM`Ev(`PQcDh@TSa*I!;;*j;k*d49G-
z?6*%J@+#HquPjnu{_6GLu+mvymd@L?Yi5n^o_CB4PdkoCIdJ~mv3OG4-m1(mFD~jG
zXK%X_Trk`3x%m7ERaQj_{e3bwl;<m@HY$GAi@0x4RPyL~WmQ^DdGE{nG2#5utJ0^v
zyCQkmB7EN37T^3=OLtdi`-EuozP=tREMMcg+xX2}Rqbhs5mPrkcmF#vZri>ctLJUn
zmTmiW?!U{6=e6;^T5&u6{+gJ>6B3_jr5@LwWw&DYy}PyF-j}ZBjIv!ivF*$I1=;MS
z7q&KAWqx{Od&;y&DOcjupTEjycYjUlmAm0uZnwq3dh(|fiRD{U{mNslH|%8lHgDbA
zbX)s*8uEQ{%s*3iT77xD`PQAMy0w1}r`Z;|@~)kEYsZc2q6`dr#-FCIU<`a-Kkeu_
zrUglnDgT@GCl{Tb`S!+*h+a9{sK&;|Ump(hd+(`xtERi9{&&?$rPk8du{nE8{{`>;
zbLV|mRrOrC{5R|8Jh3k|Fb(;4%AalWb-P6?xj&UW-h8?w|98R9wEs&F{}vJr+AJdd
zJkPCZn@&}1`oFv3YqNh>?UOU#lD)FHeO~OxIeP2TCMM3g^l-iW#XIk_SG#Uj`fGG)
z>a=_Dlc&`!NaUUK<>wnY-Rf7iSLX?>_19mwQaJwZ;^X2j+5aXxUroLhR$KMGe3o%m
ztYw~x&AF4=3O9<vP9E=HQF-5NRpQ6V51&7J&Bee_J!j5F=-_v28GFO`g3~kK?$}|W
z8?nJ*u2t!!9fgm*_S99~QGA-ROv~EX_hn&wSFd?cpSIna?kMv$dh*@tSG8{oZJ(C-
zX=B8a&AY#xZHmA0d}E~4CA*k^V%;^XuZN$`6JNQm|8KuS?;GY{FPFY9y?x=El;wuq
z@9IAZ%bq=J?=1cOaPX|c&r78)@9)jq^V#rkiH>`lX`ms0`K3Sce$Us>-x{{w<?7|f
z|E(2QC!RR**S@ykuZOPvtmqxG*^9RAE$SAl`@T0$_PXcwz6!6~=bpSg^DzI*xBb5}
z-z@OkRrqKBmygRHTV+ca@f^;J^n2I(HRt@f|2Iq%|N7XL9X={0do`GU@17veo!{(#
z6^49|Jbc1F`fh%gS^DiXt|uA4xpTkXdDVPt*N10LZ{MBJi`u{ck97Rv_O$=eu})n!
z_uXa%O?C=heyvsCI=iYTPSQ5Q``g^9UtdK14f@O$d(kwcZkkk<%@48C+kdwH(_egJ
zI@_L>#n%t4+oJNero2h4t~Tak|JN%sE^gjpZGH6NYp+S?WTF>-my=l`&A#>ejEmbd
zk3NjqUC7X&bzsBhf@4w+mWAG%bf?-q^t-v=ckSyRSzkUV?n=9n;L0ZD^{aIA<<gp2
z|AT9d#j_4($G_}f^2RCk+T-Xgy2%r&O4rPsU$a}G`nPnI>c6P7|H{_y`%|}7ZtvRl
zuYP(>TA#IjhnMiP|112jy}7Wtwk-SMr1%oI(ktI4O}n-Ex&KqCwsZgX`Bf|Fg*|%q
z)=Kr{tPR1t*Y3T#FYw{!9I^QZu|?;*rEXU)c=xwpyWRg^nz1=I*PfS~yLyhH_g0Hv
z|G(US&i?vDz1qv&$(2Fp^meCe_g~++VID(w$usG{GUgHdwHtir*Z-Ynm0$02`k(&o
zdurBee(z4M?3-Wi-Jz#<DQ|njq4OJ$K3ae5F8hki1$uv$Sm*~Y7Y@&OsB3GIcH!u(
z9~VAHm8*D%9iH&#)e6Vm-6CQc7jL#Ocjbz$G`PLmctPhZlYg<t{Vd)|@9AEA-Qi7q
z>6NeF{=d5Su(#ysnzg^)oPPQ0N%gUZuTP!0xAeSxrQ>pUy=%WOR)77tNc`)0j&pU)
z4c9kK_n92Q{6S#5>g}MqLw}!6k6)F3e%`D5`|CG;y!lcyD`fXBC27+u=jQI-l6>56
zYhTWyD$~`P*0x6<R@pV~E%_|{KXiZ3|Eymh=FPJDp8R)S)w`;5mo>e(d8al{jw$=u
zY99XAe&6+5x$RR^Il~s8a9fqP@kG)_jTJvtv*dze?WWDjy0&(Cmdf0aX*HqyljSpy
z>&-PODouH9Wx6|Wk=5JS)%Q2^r2gCHx$$oGsuwz0)t~s*g!TN7;N87u;U8TwRuj2}
zg3W!}X@3Qu{@HmdF>>DC*MX~JSDjwJ!{uO|SY%e*k~~|cl1DC8bw<%mWuMnPEm3T#
zm%sb-tJ>f1lXZWU9L@55S?aLf=2IKroT)WT`q#E@j=QvA*}hYjlQs7@FwCw0rStC-
zzr|8x%c(Dzc&E%tlu)hawWy4JD%)|5zb@HyPr35XXq`{ot5(mdlZj+>SQ>UIW!4d?
zhV~t%H}mf7*yz?Tw|2)4i`-jVPA0~<Ijs>Y&kH!UK3e4FihX_Z{nxMM-rkz~n@?3m
zWkuxTUtah2Ms`}8YbYyT%agr&NdNMQm+`L`|JS|t;Enm03zz3>AIv%-B(dg}=Si#F
z(!KZh>Hc3jbMv}@W1Bd4{XNzhwM#S1vQ1H0-|_$Vk0G`-hgGGFS2Q2H_r1$+&g^M#
zZ|`ZhlE1lzIkWvs{r;9!+289|MKABY_4Bx<?63X0uL=s?f9}4p&Ghk-Nrw-G{{AD~
zu)gW=D@{w)(8`y6r{64_yYFj=^^5mB_aD91KD^<-i$(VJxV!H>igm^0udWbY=3w8g
zbJgj}t5wz}3Z-km{Y}*sNS;{#)!}Jc`s+WHIm+&qHyu|!Jij|7G~m+phRxTfn*LoP
z#J%?%Bg0fLPvI;E1HtX8w|k|{uPyPMJY~+DHLToX8rs^Y6W?sz?t6X0!|g98dAGm#
z^3tcZt!;(hTq`ba?qCm(4kP2uB_~szO;dj4?)tXvrQhwZ{4W=s{$IM@D)08H#XBeY
zFJAZR&Hv_Y@9ZBJ-PaYfznWR!n^#%4^<Le!>ECQW@2InyxqOXp@z*n_3hr)rkX|eF
zceUL-`@n_UWGlaRU)g!O?Csqhan^qpU)dSA;nvrTrE{bAUB7pC;;TFR`n)gSsLi?_
zeB<Q)FW-HSex6cg6t{3;=@aQUJI(~2UAOPNMfUaM$KA!Ao~*KC_Y03I>gzV0?lO;u
zRcnC<Q|Q{nY*Xu^h|X=_iu+#PxG0tt(*JUQsO!x*=KB5XYO-2a<s~ih`QXaJ(D2CM
zt#rfmLhntnlU#N$sOpV=_26Q~&tJb@-O6^$d~!A1Q>?r1h}w!e7w`7hPv`uJZ5O)q
zIbSKwcJ1TY^_M30iLabEU*-QhsWSH^`>Ov*uC<&{^ltTvqA7JoAOD0mi<W+S^4@CB
z4As>&A`$DCz6!Npy}2?dFRg8p^Q={gcV7iv6U^=C3p@2w>(#2WM|;22&#zq<JpEf3
zbGPfk*k76%Z+HJP{A{!}|G|TmUso<&@IcwBM8`So@Pz!+3#C#bSFNwJTPJfkpzKXi
z?cds#U-7qm{;geC5b^A_bjXT%lZvjb%m2!jC9u!c`k%gQrQ1BC`u|Sa^)}|qrbR8y
zyFP1+e>K0l=JBi(Cwwo?KYjmN+qT13RnI78KQpT}tKGDS|M{uqFCD&aty}cIOilCr
ziJ+J-O1ZDBTx6TQ_sm?e#Osq(!M9zXaxDXxH`!g=KHKlbnnVqKV@J)IDfbv26slZf
zSjDit=4-f%i%X|)uIHzZA48X)cFTDEO!U)t<CKWCr%rBNkv;$7r;mj-Y})USXzl&`
z=Jl=8H$6;EPFHq3xA3ic<YxM9qsOP<#jE2lb6*w9_}KGrR{ftI9lNbpDjo<|J-R5k
zDlh$b?wmh?`R6M<t^K1!B(8<k=2iRsmHx4+>cZV!pO>%PmH%P$s%t3|@^(Bni~Y9$
zPpOVr{MFqrjONyT^LF*k){O6Vb^GgGx>4=*<9Ehat^|J9^}SmC$K&sx`F6YR<xi1|
z`qJZO|9!@^JtltU=WR6SfABVabCGq|rj_e;rgh6q^K5-R^V>Ov>GR7yH~p|)y?b(-
zzx2Y&&3c!=+MT_!>$z{2)OFw8DkqnFJ}ishd*-QG?;GZ*zjxkNeR7!esphrTr}^bC
z9;zQ((b(^L?M}(xuea--&NEE;n7#O+vD&M-&(~e~%I>!^?D)r7CbcbxWbXLN-8+6W
zd%=rzn{#pDZeP7i{?`9nd-U_Mj60J(PjUZhe^>F+mpAv>v{-qD1EPNWqPQ9M%sgtq
zCBKVr_QuD5&TFT|#pw0s*;YJ^UAp$#pW|~>ZyLzIUis(!9=Vct)!S@urhOONQ+8&}
ziFIz%l%_|z?A_?TnSJ~E6M6@I<+|=IpV2AHZTl=|&(C{N-#6J_)vIGX&MLuQyz<NA
zhpC;VOXd0X4_D0SK7Q=5neZ_c*qVnkCd<pUlunv$s=Jb%{O;l`r~7T9D^|>WUE;r9
zYU(!K{%?mLt<L)8az5tgRwwuW;rV`V6!%|}`zU(Yz%Tpto%&a;FL+Xa-(9ir=_M`(
zhJw!Ns@E8_IJ<qO7g#6FO4+Rxk@e;J-uv&a?v1-PP5t-7;NlH(F{KXt(}Mr9M(UV?
zTe5a*nWUH*80wWbvNZ^;4gz-)yBw2v8S)%A@iH(3giefLcG#&1>b9-m@>s*TzzN*1
zs*o1SX82$M>UuSt>Nz6C;L{1}<t^Bxu#v67s~N;T&;wE)1m0}%VM}pm>6AtP{5E;6
zVLV{h{ptHuwfp|RDt0k5FfhcO*GPkPws{y<+yQqlAMkx!R(pGb4YR{kaBnprD8`?c
zL4ytCzy@QLYYYuR;12Kx#f@wXJ2*kYD`t7D0d=rImRHydWivRif-S$-dPIsLfeF;3
zT<}S0BU{6&lZ*a*XApk>8Pub6c<PYE%do-))J1IgIU$0XLCX^4tp|}R*BBV|!F^gS
zzD`qy1&|*1)8->m3<0g6P-xhsypfGzfdEK(fKBzu5=W305pXB;lco0x&t;4Z8iF9%
zRg2*MU%|*wAT94-&cMJh#rW_!c;}j-!RzRvNBYH|6wc)^Gd%F!5h%&Pung2YcSudW
z@pI-8DF%jMke3%26_gyCr6SG15De<}AIO=(#mC^V6ucuyePRSN!-J_HzpT&zIVMCB
z<n1Z!LfH%qt4u&nIn@O+Ndy$T4xS+ICdh5ee`fHo+7J|meIStr@F<0Y(ndB0hCJ6z
zybLSmyiq-#3Q83WcR;=QfQ5_LTz0Als#&rzFqpmjCvZ<vyx+0r)OK+O1`)B%YHI&|
zSFPb@U}$(U?{Qa=1six&2R@p^u%p#|(%W~sHMSRZ7=*iL-ne)<7BrM|rwug8i+u%9
zVY3NCL;BR`;iVS4lQRyMX&1*FtT6u84;^AUCG|Y-UB>m+?`fdGvpF9efBK<r;X0$=
zWde|;oG}+I{AJ~LER^e?bF)w4W{}|GsJZ`ZuYdV^s>^z*RB!gm(`)XD+wd`b2s%2q
zSC_kc@-J??xSNlkB+WsLW4$@LGn#eV>xss<u`KP3xf*1AZT_WQFMYiu(ux*N-l|nQ
zJFWEbDkg?!DH3iASs55YY+d`=yX1s-e6BbsTUx1d^W51pp=C+aih?%3oi^Y1yyh|z
zCs)6RO$oRVTJ`-w<kI|_u<5LS{%(k$t-eL^WBsn(d4-}ZD}J}E+_h4f({NSCfj+74
zpT6HJwsC#=a;4S3r~5<R%;(e+7q_?Fw)bG9j##A5G^c*i)8Af}7QPjNgqTd>vtI2T
zr*=MWY&y;w#Mv+2mAT#LxEyQ9sqAgc>~;%TFVD(6J2zHDOk`b|^YRy8r|zDbz-=(;
z>)YCiTzu=+cH9fzVp+85nT=IMV_wGXo53+}F6XCctbe<A*5?9#RuS>&5Qp-+1^-#T
z_I}WRIm<y+WKH7c>92mq)o0vW!N;{S*1gwl|56eEa&Ecc4`;VLIL`n|X*O{M?y<|f
zx5eJ<X;{`PGHqkLbbV*=IwR5DPr3Jg{%Lm8+J7Em_R`v;pxN}xOVL}E7LJ;dl3u@*
zqm0*c*oQw`&bNKv%CGIgQ|1*p?O#7@$+bGSkEshMi^_iAn&$H_;P&I7DO=KZMR^6?
zGpzmkPHpQf6Zc@fjn}2wYdK#mTN$r&F?Q~)7t_u^np#%WD)-`BOP%G{$#Qu)(dHri
zYy7S+TW)QUasOr+liKAUYIlu)O}V$fy6fI;k@YeD-`U@VpUPh3W!k&?!Sd_pZmB|6
z2Ut8hBc0A&m{_&l`#87Ww&-auUo7+7*4+F$<H-TRSM%=#KW4kPg74$mr}1a&s^*~0
zU2bJt@o=g6>uHRoThb4!rQYbZT)WtM--Y7qWueWx=9Zp6Cbp~oRE4WY(399%#?>u;
z`|U!`zS?%-YPWMq<n`k8X>+G~ZenrId~`y%YL!28E8mQz%#)U6S?ztrxp&X*$#NBm
zlXk@zDBp36-&CIQ&bR-?>>u`9Gkly`+v8WZE}v^ZUwqs96l=!GoWG8z?$Y==Bg;2-
z`Qgr$UUu7j<?Rb*Hrd=YtdL3H%E+){*Bf8O?bCU7f38@lQ#w=W=C}3F)^AMCztH^M
ze!<4T>#x2^=U>gO&AW7UdjAIL`PC12eRq5d{2TGwN;hVA{C?N-*MI1-s&z&?nf#f0
z*vs4h;>6O+q3IVZdgt%GeDLPRn76V2*4f>bTL0#bb64E<a7#trD+Ug$tP>XON?&(r
z_WInQE~BmQFK^%SsQ*vYoT*Q}FTHB@fBo8-_1yMooljf;tP)?oe_gZfvRAFYtxjA&
zx^GI%#cy{b%>(xRk-i#U@!9)I(s|`qmlt&Yvi&$)uQF+!TKo@AQLTk57z3ADt&UaO
z=D)r>dpp;$ohEWq6Rh{B_I)%6mwBAH&f?hNm_j+p{za>&ny%DK-M!Apb<^FQx#_XR
zXERdM)3a}WTEC?zbxRi8wJQhen6K>E__uhy=j-^Z``NYx&E7Zp%i8~?Riz(&csD$~
zy)Ap&;r5J!^Bh{Qc!V}Bu3X_b{nfql`YZQtxGY_^^yP<c=aAdSmE=9^6iY*lpSJYf
zdUt(Vt&e)}Cwt4nFg4FjYOj7A)Y<Ku_Wx6pT)n<yt=zn|)~_T_6))6Zr|~69`&OOg
zYc{P({p;8F*iX+s*KOE!_Uwgu{`W4F7p}d!m;L#+)vKE3M-`hFF)$?bTlb6K37oE3
zv{sFGwuX(3&+)#aTAG@X8w(4){QSaP9&?!HKKrwJi(lSfhs*M@i=1_LudKfK_yfw)
z;k9p<hkdtMAIUuV>#rGltK?<Yi1GhdxXttS&J>fm>tvU?ZM*r9@gdi`(3+XOj}=c|
zSe2gs;&D%7)tim;R^@rLU9F7oI(61K<%IjbZNZQ0d`07yf2jPl|F(YQ-G=3JEv1$p
ziAu~0xckk+^ykkxq2DA|tM6vz*R=3m^Wd%{BZI@$r1z`$=NxBwXA@Tt9=ptA+hn83
zQ>M&WBc?k`dsC$G*6iD1iHV6`KeQc>|F^1htf_b(D0O*zuhU-@R+m?wF7Cbh;zr%u
zZN-6QZ`_NwXl$FW<}aP}z3RNwR;H)3%R+X4Kkj+9J?oR{^cBZ;Zt5}3-lAMF;oY9M
zLaf>jYuC+Nk@$9%X!NsNZuLu-&x=|%r!jn0^wvke7i~W2Wh=Yv+FYfl>YgXvOa6pp
zf8D*Un@MzqS+ZJY3j6WkoVnL{XZRdjbzWqyal~YKxh2Qy4!$b;d{;_pQ?UKtInQ3M
zJII@K?TE#1lS_K;@t+?W-4@yS=+@e%LcLyh)f;^IosjJgGqgeJbB_A4#V<ZOTug2f
zlGnG$+<xY`oRR0IxrSFR+<I&8JxOoBjaTijKhBw%FK>zN4zHB0)$dq;vu?}0KPj90
zQs)Hy>eP+8@qI$t{l7cH(r&G^sa_m6Z-3C%V|I(Gtwnv^u+RA2nezFL^ZLwNhc9x?
z-WGK{sPEP8&gEN*N}JXkow8Hw-DSzDf4ldqbf<hQyeFReZ-wjsy9?Ydn`wMJ@_m-r
zubt1%-d)h)eofOZasITsGnc*(KmOlVbuY_p&KZ8U%&STs)y&=TsrIdBU+9^`Uq%03
z2-#bC<FYKMjTw_{*yZCOZ<c)g=lt+9dv?@Ff8Tmyf8IZvi?>X(U;heBzPiEDS+cT?
zPu_aT($mvl`BW_{GCs-Gcxv5?t$IGp-}25KvsP1c3k(c=TID?H&|J++eT&PqGv~Zr
zY4=}b`Uad6fac~~rRC+<Z`fe4J5_G)*7Xz9HLMN)tHog~(-xievNlY5{>xR0pOa3n
z2e*eDrUsYpbASFKhL>;l>z8p13^_U1J*GZie*N34?bq{C`zCi6rrqa*u5Kz8d7dYF
zWt}E?3pNA8f!UyGAe-agG>a+=zP&6tSf*V)C#J_3-XNN@*P?v&fwI--Oo|v9DyLqT
zUbXv{Y4x1dXZFcLSJi~ivM4WJ8Y{kR_T(I92AkD3>rWQB?@sG4Hof=ewj*@A%hJwb
zbMtMdO`uz{dq8b(1_q>QI#5%Tfq|jGIBx<Q!v`JzyO~#RPx(~Y6C{$HpakB#16mF4
zJyo6`H1)PZ#HRFkV19!2g@=|b3<pANCgr&I<gDxnTzl^SzQ2w0r%bMK`=@xd^rQEG
z`A5F;3=FcypmPECJSb#nm~P}UiGzV*&m{MCH|+##U96X_xw`&*-lph(zYon7y*~58
z$*8IOd^hj<Irn$v<asY64$gPHvOltWd;RLnxn8&Oyw66|d%a#}t~))Ck%3`HccR4e
z>5oozADiMX$uPydU*-1BnLAJUoeA33y!l%C<xR0GmhNM-`7y8VPQ8=n%jG4%LN@ii
z{S#2WeSM4ZV_~t^&$`>Q&%KNB|IT7CQK5AIZ|Aq3zE{G_ujqc*F+Zv=cEMKm$y4Ud
zTXZAWyQIGVK#`8~&WCHv0+vRA+G5}SzsT(@I4E&D`Dq{<gXqFZ_j+v{rl0%aGvDrt
z+wQd3rDcj+Mee=x@~!<GoogAfRVeJ9(SxafqO#7MzW@5O=hvxoGIV3a>h{i?ZS0k)
zAG=OXajr?Bv$d^V{i<E8Q-UQK7C4Fc9O+hj`ZVyFM`8M5%V@p>p;L`-^6d}2{4R6#
zS>ya`Q;tSYnV_9|Ebof?di|?m>gq?8F}Ly@tXpgHulcXlwr7Q3w~32cMPB4w{pHhV
z?Je&sHeCu%-dcI_$Y=KFyzMp%*MB>rZK~^fyu5zp%fN^A>%~zUT4Hlf>Dim^eHB@|
z`T34X!NF51Qo5)4yj*?ZkKf9}YxA@IJ``EHe9ndUtJPL+mA9^}Sa_!-RVHHD$?)?R
zj(s__{^Npw&ZU{xy!QV5$G7z9u~)Y%y}xAtPL#TOY<<iruEKBS_X~EUt-G=M$CQ$#
z%hg{!_$6Li8hcRiTo!Y|fk>ukxzukr&b3ABOmliI)fTwo%vBaShpQ>8Qg%D;b<3*Q
zG4Yn^TaEiR@$H3<)4zspe`US((`M$PpEhNOKhFC8EOyn`pT4gGmT#N&eYY!j{iy<h
znDFMbx&_a7|5<VJaeCI?W&`g4d*1#(PRY00Ruuk!URrZN=j`k|aa{Z3ito)>vA};_
z`0ER1yFSil`}O_Lagzsk)`WN$Oi}BzS-AX{Nx+MSNUMMq^UbsFWpCGhu=w?rZGJm;
z-P`JRR=&#nJTLb&rT3TS+*B_4^>txZ*!I?SaWace@A|d-7Z1bK6P{~h4BSumOK4Te
z2;bQRS!j0qe(I%st0{Lp)>>cFnZ9X}%-_4_vgWSeul}EZY|DzZ6Vm>ceCIyB<?Ka0
zHiiciHnVKAIpx)5e2XC_bymu6`M+%c>#NJ<WkV}pRR1wgIiY>>$y61SCJE^WB2ze~
zOwUqS$SD?bB<t;_z1wW7)+cE<Ilc{iZ0jy4{`BnjQ2w`HPrba_bwMmc^HUOgW3X$0
zP*`Q)1qM%rPEOA>Cm|uVo8S3)c@xznC(S+M_oMDw)itkgo4;@V{^q#s^W&SpZ#I4P
z%erLMgRItLKH**mw|13P$ct?{?y9|Lo!8XqhJibktG5}=+Wz{w{@b9POJ1G-w{WrE
z``5?r{F7e0Yqyj4zvIfkl0Dgb&E{YEb~XQX!rGAN4{ddSuX8Q+pS^C1<o)n#4f|hi
z6)#_TD0t!b`n_@O>-TwDDo44WJojR6_&?`*ySkSKdz62h-F<O$-SxP?`JpEkPtx`N
zQg7E2`b}2S>&%>WAHUw-`s%v;%BZhao4%Rfs(Ev2SFT*R)$T=yov#$FbL3syJ?r(A
z3nGg)d|+I5z16+qr|`?iyYejqm&}@?bNBn^_b;W+C+7bBoHw<gvTEwonMYTcHQ25E
z+hM*?+QD5%aGS93BvXydo^w)#RX@}%PtCK6Yjyo7HGkgA(pvwm(O(4(ySTsB%O7k`
z7JaD|?isAE%_p%`-u6%I(dt!SQ&ZK2w@PkZwKC`5ujy){^^uDk0}Z=8d&1vLc>nsV
z(DWF+=`rn}Ob&{zar_wH@3cMSRY_v=b+u;UTk`46e)TUeE!c68tFt%ErF3Rj)N85k
z(7?0ul`)<+Mc2(wiAgQnaLv_Gkc&Gn#JRTnW$D+_xAVlO*Tj8izJB)doICq9U(LIp
zb$)sE*RXdcs$Wi6GBo^K`S<ND+t}-|cbxbZ7*FH4eK_dylW#6s$xgHS=4ymTF7?)J
z6A^he!S>Ikn@6+1zrFhK*~U}*bdSETtyq;6@?2s~eo5K&t%0lT@7Q~L``uq7KJ9OW
z?7ooGVrzdqxyII9>RYtt-0oE&rOUjo9{)eTZo-=hhaXNjEV$HhV)B;{-+tA<JLW#w
zH-6qkYb(#gdNS!PK|+h4uG0ul-s)W%_)+Rol=vit;|u)k_%ECfsV|EW^;{`++iJSg
z84lil?cj$Kcgd~X-O~U1p6>Kd_iJMA%g<jXUHtj$TJ`W(8&96uz{arlRv*iDdC@%U
z=!)BsN2*tyyPup}>vsG0r*EYU7v`xR+NNwAcJP~+?7u%-mifyq?cvOvv}D3T=BZPR
z-(2GL+q*RU{<;fW(!;Vp&Rs03?D>tQcix<JBJ%nFR@LQiw&OT?(V4&2y>QZ@cK<6s
zLiDwzO|`85HBPhL6mxvDSoYD{m7jhZZCtSM)W7TUFMoNQH2mlIw<c`PH|zVCSFU~i
zweEwD?JkAj`y0GBscYM2Z)*GfG0f=tHv6phkFmBU_RgJ~w4YY{zxbyaT9kKZrLlFH
z#{G$F|Nq=vSft@{{ajtZW;eb!djc28uPn{G@NR*O?T5=HuD60qP9Lf+iG9eIYxn5o
z#9iJ$cD(yK*K${JQrDr-^^cU@zot%(Rb;L`Z(FEZ{I1}W7V879D|JbR`Nr+v)8_6!
zp5<1}ur9#O+IarG`h_o6uXnZ$%VExO^Qf6&sG)gj#^1xgdpWoNZ!dg&S6||BntgW4
zy{}6?zRe8gt=@6>_qT6>$M~oJJF20v&g|T^UA)_OU$Xf4@3ULjj`e?U_C740Ch=ZQ
z&vaGxown6~gdZP`R^mN+)_mJ;dqc71xA*`1sr>ln#WLqj(#c{ure6MkZ&qZqOi%8Z
zou5-KE|LAec=yN5%&ia0rb<+Y+b8t!{#<2e`CBvjuDYvRYH4p^aBP}Pt@-&Knla+<
z-!IzsZ>Nss^UTNntg3FIzP*LZeh4R@O_p@KbA5i|ncDTz)m^K3%S(3_p7}Xx;~#z3
zW9QV{zg5HsYTVU*`*UmT376!|x}6(8{+rP~ZTXqFq#XOO#2t6^7XNv`_W1s?qhB)a
zwq80|I=|-qf75?~ugqSZ+tu^x)8e+`r+3{}*?xF_x9jiQ5-VQGM*Cy`f}+@OGS$k6
zc-3px{kQLOS{R_Rc*~Y67WM!3Tu(B-WqZI#TmS!|^Rvb8F1RNo`t-t-9?4DZmRH_n
z21R}hd|Lk}asAu>n~t8n{_U~-wS7IFRht?jCp4VfQXjU?zmKEf?(emW|CL$IJ$35T
zuUGYfwIA%6S7+K@t^GKOiDAKdZC%~anwmY=t?cu1-pS@|_l`DO`?k*6Sv>3ZKO5gY
zFW$ZSeCzX@h_ey*E?#*w{rbK3?&+SJKDr9-cvNtgnSo(J@iflc%QT~w&H0gPdq3_@
zO>X_EJ$D%nh#psS+s??~u>b1+LuL7vpBHUf9LMt|kl}@I%y-Z+I+In?l-U_#7Dl;)
zc3=LIblb+r(CGf)o!vP_28O-I`;R}6xXH%AGOhR0+Dm6Yzqs>|=TG{A3-V&RU)r~F
z{Y_k3bo6_>JO^a|<BXmS;H72_Cou$O^vW;@M4VZa+ow10xK8Rtb}^^=2=2d$AY)=a
z{(X2{C9@@$!QsJA%hl?8GX5?)3~Iv$F$rx8&hrmFH78sD&%XMDex{*wg9~z2<V9G1
zo%EEUq3)D`q96mq7jMSOwB0$kJ)b^*cWL2syH8Q|U#2$2*(otFFnlp>ON`O@x90N2
zDu#q@4K2C;d)<4d@@9Q8%&NV2^@Y23=c$@6ynFv=&RlgjJ+yqA*HPB|talpn&0i;O
zfA#gy=c4zOev_U5?YuGXTaVp}KdYY|i~0AQ;lgAk)4F%Fts;U~_I}^K+u^YL>*M;l
zR}WskTC_X6<d^?{@0dfi0*h}k>^hmY`DEJXzwJj1dbnSgY|~?yv9|x5o7^JL%GX=I
zeKe7cs9EiHj4$ZWiJ4J5vL=5Mt*XgSyRxeC$oZ{bIyt#M?iFir*u4DJy6M|q@qZQy
z4ZV43-KB+*`=b_%tI1vdWch#5jTITZJ0F{`;ycmE#IR<SX$G^yf-h$`%q{4btIlZo
zrnf76=l<Kvi~2+2H@Uyxv&~Ju+(hGE%$nZy^-Hp!>xRCwcx09kDYrdqf5iHqn?G+k
ze)rw9{rP`9`0o~mt$zOORd2u7)r0oy51x{^%67qfN2`p(f(We~bzZqePlTOKie6-}
znzH|l(J%Vs>&35oVOnW?%ro(~jjL||>xuhyTtYX($p5;rxOGCH=&etmf^sb~SNvVz
z@?^@%Utzu**>vx$6`pFkO6#9XzW$6gCl1JHFdR5^>%N=c1GWpZb%eJqPkEAY<!kwi
zkb_sbdS|Yi(v&Uw_QOAsWwv@zf~BFW_T1?_m21X&lP~k=<n=2H4ms)mJi7lx`4aX7
z*MrVNQw?h7th4;#eRoF5RHJ!6eICz?T=w(N>&W>NA<cuhgSk%_m`(~MS{o`xHcvYC
zVvXSP_WDVS->iHb8X>a&<I9<G*T0AC4wV;NyyL>Ux9dM1R;~KgTXgrwk@Y426P(Z9
zwJ2lQo9z%Vq367L#!If{UN&2;ew?d+bvO9_l^>ivxy%d<2ds1ix3w=_cJgg!$gvg^
zS?j=co4lq+@n~vXQLO&4baCj`-``#pe2z%HKkd>{+iO|Biz};km+hKY_3Ad)(>|pI
z=PklZyrwoknp*Nu{x;hwy9axu&TpOX!OL*r{?=Nt-G7U3uHD|dUAL!%`GxN^j@x}_
zB3N%nm;CsAd6r$}6eG1Io|Bx|_(OuuZSXd?_&P1FcDK{zd68?6miPU8HPs$kH`c#b
zvE3Z_|KI!9S50b{U1Fd0)sx}NmRoOMZ&|D9{(1h1YvynGmfp7xH$N_x@w|RFQ*FkF
z$;IuTS94xsSTOt6{%fmiXFS^)&%mU)An|vN@8VCz<(bbv`F2SbL@htP`pcCr`^=a5
z+cmU)%<1CdTak0yQ$Kpwmv>F_FB(mGXYDN7-j#i~C|vg9GVymIQ5*jMw%=;zufONF
z>Uln<+RoSdzqYf-hul1K)hZ%X>A7&{t#3b^)>;X^U<M6J{5zSpW%jhfu(*G%^Ud{l
zExgXBqIGJarlfHSfANZ&mzQjMwD{EjABW>^+c(U+TE`aQCh==~->=&*OSH7LyRX;J
zT=F%f*prdr!f!8cZ&7*q_gl{yUH-o7u3qlVE!%$c^2V;n{r%bG<D%%p=d;gmPBu-h
z^!$FC9W>LjKp0WZHrjD9IHcz!l}Ix%e2Jbm1G3Pz;a|%JUIvai*DmHVFf`OH`+wJs
zkKsi%$DO;!Em;^AeE;!LLPq&EL&JehfxAL?Rm#n^p40fBN95PxMXmofge|mR6T0rl
zzYolbkaO}T3xbwzGB6lOVhC)W$jrdh9l7P~#iO5REY<mS!082l_CotLt^YPm)81$Q
zXNF2I$RRfN>0evK*T$Dvv@<Y7csU#@JN0&@*UaL#t@pp{-&nb9RWVl|?^Ryy<#C&2
z7%uorDyc9rG}LJ-oX?q_YrXQ%omi_cciiJ%_wNh3p!}P6{+0mgzK~OI?G`tM=Y>`D
zzX7d1wmoXl!@bn{c3EmQ!wwln&fD{<`lYm`ue?aU@@voPi~V6jQ-8jYuKIu3D0KJv
zRqt}9c5&akawl*f+iTfbe@`e&mA@#A6|df!SM|wO_5X*|w^}yJ+jmE)&i@m~@2^nD
zd`)oW$Kv}}4$kt*-D7|3xK-KGBH8b;Jz2~zm}Z|<oBj6F|B5YbueaS6-of}~iJg^n
zWry0+wU=)lzg&{=ajlf3wFjqide*~LzPG|(eB5|efmc>&@grvI(nG<kZ(Z2^_0J{C
zqP2h4t?QCq%x*8Sa(R5riZ2u5{wgr+*@#^7KC0f!vM!mS;s33+FY8#!7<S0i8t16r
zS$tehYT@4QccJgze_MG+`RepH7p>Q?y?Fc{+fnCr*Y}if)B1ipQR@5YzpFIwub7{H
ze~l+^^p>pBeKGTda-;rL%SxGg7hjrT%*SETAH6kx&GkPwD{npiX8TIOviJ3l^GPAn
z4h)8ico&%CFLqB{xA^8N#sA{z?)+<~YI$${{v+Y4e(u__g@;ALzqN8@?T>qYCfzE|
z)aAa6Y~nF@#tXXe5_xeWySvtPLxu;R3|E2dN&AuR<<_S(dHv;;O&+bsc&<)p%91U9
z^7{0uOWxPELOYGI^H$th_u_-V);ZY$&aW3evobYl)v!Ah^#32j0rho{_i1SxcL(M&
zFkE;a`A9l5$!QatYVXBJWk3G^D(*LfkFVaK5%>7A@!oasSC*}|Q*tX@7<+fI`@3Im
zH=PcPT-&9g;goo=ch&mW4}JzPh#e~BVfb+FdhVm#>BZWX4fE1EH`wZ!`sVpBF;ZLd
z@<wOT)X-g5k~|z2^4&bFFI%(z?)LEZ*~hhhZ=0g`e)F%*k5_qkUA=K)z4(!y3m<kE
ziOQ{fT{N4aO8mMZLrmqZecN6a#XY=Q#`bBO@nmKP|BWs;%2JML-MF{t@cjALzMNb<
z#Zb|Al88~of=NYfvAYhg-2Ua&ZP8iZ&SdHCE8dc%a&q2+i<2);ee}Hd<JNP$w`8X*
zX0MyI&ZD|3FS4S4o%WrDXWjo)amhF|+-SeQ{Qs@p(b{>vPpsZ8o?|^H-gg2UL+piL
zuhwlldfVz>4mX3X=7RG%^VesF)V<T=-E!Ns_SRjiSh*$3!~S^kK0l`oD@NZViqYcz
zm-NHmUE5>cbS24TW!8VKzpu~KO#SiM_V(5|e|sy>e4X3NCOvO1U6;{5?f)azzjx<{
z*D!O;*$|-`Upke|?dDF<HuQu@v&DD!ns5H}N&nBD%8R^v%M=0w`Fu~#P^_Nee0HAN
zR8jfRf5+v&-TKG)WzDzkKMfaM*k5|(zTfiT$jHb&|4yr{T|Ud3i=p9OcvRG?A3rMA
zmrk46d*^Lg=ILcy@8)N`aLT<se|abKZ9SXyw&(k<_B>U+n=cP4OB?(m)N*Do%a~d8
zXgcdJ4F-m}8#_UT=7s#oq$U%P3Wi_8hs+oh3ZSjing7bAEg2TPS1c%(e=fk#aPRT)
z<bs|YW(KCyZZE@LKC3KwSHSkqIN$|;x7e@4QK0g4=cC_;`CD?qhWWVNU;{A@q+ke~
zapPm)&`G;!<}Q9ddD^BI%-oQI6l4sjI8L5q0&>WQKXa}M$8D**_)vz4AwiRo^S0*p
z<yxudwu;x?-=DC2)~a)w7G@#lYvxp)EMjo5Pwkk%!NBlqX@kw?*lll@d@3$~d7)Uo
za;^O@FUS5j<~5g`axH>1xBu?pirX3a&~}qJ0|P@zd!mH6SNhkt*I%wUcfU67Tp7ay
zZ-+zMmfu@^>=c{nFNv*o@3X!vp6Zfn_p9yR|1CaO-)&xH9li8Xleua6l=TOz+SBYm
zbsUqein)F?ZtY6{{mXyp)iW{J>IXf3zHeo->C{^l^**zomM#5<R?u>MU+h=6tugNK
z3Nr@z9JARuX7B42qM8eCmqtx54Y@vXT^>7w?90WQC$?t<-LqO-Yvao<c7N5xjm=XG
zik6A3yS1dYRZjjk&!r2BhFW$Tg4J!d7VWHA%dYU?&B|S`m#+PFc#lhH=(d=c=>^;8
z#ap?rn|l9h=*HwP57?Eze(C0(DtF0q?*3c`2A%b}0{QZ%tXI9xn$2)Ql=MQDJvjg3
zqO<LxQE#W+j$L_Z|N0AWB=m1Hy{JWM=GJ~Z;q+w7Y}1vA-C=9bO+OvH$oF*pdgsMy
znSQhO9=58#?Vz6+BHh4gB~|<G)d>^jTMTECR&JPUQqeKVpXcI<g^NY6$UG_Dd*Y<I
zrtKDo=xeo#`;RS`#wdTESr>inKC=JSy6i<F);i9`)7M;A-@2?;gyC+`LS23Rt8J6p
zZt?3`1hs3fd&4cdC2oDX-Q|y~6n{N?(ZTonPcAdVh4K;;rn^@!X1Z-<oN?4BvD(Y6
z&n>pT-A^=T?S&aHy)<`(UF3W3eD>Rp4A(26D>q3CPu=Y&vQkae`}^NF)w_-rM@0YM
z6RmnDk}qOT?8S$QS(euqv|V#OTbh-@6&Ue@VSedDLFPYMj~{)#5WLA~v&2<428O@$
zRQE-ysi|ZKT#}e;`sm9C|Dq|jrF*!#ADP<OUVi;+Ue@+k%1I*Px4zcyx`sJSRkGKT
zVecX3^4i5Y3lq7rV?{(3Zg}8kdS&~MHLKTWe_Y+$eVv&hBJ_6j-o0nt-mN}&-Kc&W
zbHnLWe5HDA+orPnak6=GtKZxX+O;tp+wf5zOGNC~^y8rur>xpIF<!qza^b_mR4tpJ
z>xtlG#kBtI>+QGpcddS#^DsAE@co)~%CUF(7_5>yH@rR36S`rqYrDPu+Lx2vHItoY
zsc5Era8P~9v%91@IRE9<?b;@9XI_n}d7g39W0I}oV)f<PN6-K4eYDTmz1+_yaL23A
znkOsv<!sVUS@8b(5ylATwZ+Y4>`z}b&R?c|Y2Kn}v3rYObCqX&7W8i~{r2$t%l+Tp
zUF(WgwvOSqJ-_qt&#Nq%3=f=NYwx%<t?$*}wb^UGr_X1&5X;DW`+2t1)cL!;t*7S)
zooTpi6&tq{R2O*H?{*D5_&g+}z#;XvU)9Y^OUuRoIPkB@yl`gf?$DUm({{h=Fxy+O
z{8H23+vNqX*8aLL&A0l|8gJLzauaILD((Hln17E==RBx}*yXnH^R|^sUQLKQuXX9u
z9q}*UkNLeilr**GYN%J&rt&><pdDmPvoF3|I3=?3r+D4HJulkgtS79{XkV6cW`gaR
zg=zkiPj&Tc{eR3~o%^4mD(v_3`x2%v?pwXeUw(Pznl(Cc|5GPj3qGsL%HZ%GKEiQ&
vPsp{QRXt$T7o!58iWE<UIvfM&+keLI6Fef;EdLMc^e}k3`njxgN@xNAM*^QG

literal 0
HcmV?d00001

diff --git a/docs/moduleD.png b/docs/moduleD.png
new file mode 100644
index 0000000000000000000000000000000000000000..818fe451bf66a674b4db0fe9468b16f40805f197
GIT binary patch
literal 24089
zcmeAS@N?(olHy`uVBq!ia0y~yV7|@3z_^Hmje&vTyY<yS3=9k`#ZI0f92^`RH5@4&
z3=9mCC9V-A!TD(=<%vb94C#6Kxv9Fv$wjHDdBqv|CGVN{+c7XOsDl(a7o{eaWaj57
zgk<KXGUSxz=_wePD&!_+Di|3UnJO4qS{a#H8JgZGN`1t@puphi;uumf=gr;9n2@Xg
zkAM8m(6p#ylZJ*?SM{u@Y11;BmTg;|x%=vMv(2BfSL!aCn)*#Q+UNACSJ6>7=iRz~
z=E?<=?|15^c`x13;IZlK0tJpU@A;1w%#dW8k=Qo#`sCxa9L4Yc-YI@=QT{Id+|G2K
zw9Ply1g)F5=k1&K_wDcdGJwE>q(66J89^+DJ-jE)zzjdJg!La@TwHuLlpoCB!)ALM
z%&?ewci!6<FJ8P_#m;b`JL1e<&E|s-md#>d2(Z<;|2tu}d93)7Wy`dbln!Ohyqh8o
z((^#$y{A&_@p8K<2@;kd0R}&}^I&T%W<K?Jd1>((?dWHozny=+xCx2?26ZC|KlQas
zr%zh4ZI98*`NzHO!|wgd$qm106e0_8o<(42Rl9e7^hAqq+g9hy)LlBcH2Y-b$;aDH
z)v_Kw0rJX$RxkBAORG0|+o$EuKBe*&q%N}Z_3dAGr`vu$W2^%9%mb~Z?OD_R<j(Ag
zs$R2+bM@Z0TG3$_zv}kiyl(UPjPWF>d!~Oly5#b$C)xW-{-}0tI|2!a2PI3@omRgn
zyH>aTdqm~!&~0m0)o7lacFuRpa-W$_n|ML~JeOkG81b_6kk`ALdV8m+EM3|@D||`#
zjeBKNbyw>|AAiQZ%x7lNx&1uK>>$<ZTKWgqoZ5SN+o{#B<8rfahm^6E=7&tm@P^7C
zc<HeX<TZu@qe;0S4GeR7s=*=$G;98}rOs)F1ox^F58k{#>(qPPpa`U@fGK`{r}T3r
zNZ1}YiD?K#8-uzsP9-`A;u4dRl1i^KH%#yD@3(*ceyVo(u9a#G3=9pgZ~AUiefg+c
ze_dY{!-u5VX1SYwSv(hipR#%{BLhQ?=gyPcZsvgH9OuL{Ffcq=d&+m)(X9py;Sc{X
zF)%Rbht{1p3qQ;d)AE^{fq`MqROZ7cRxg%fs1bZF&cMJ>q5bYA+XFM0*8S<T6X!9+
zv_aGgA3njjgBPl<Z6b4l^f`S728It|HDARUz84<;xzwV1rQF-P?tkV#igRb%Ieg`9
zd$pbgWX-(zO6?iiKZ9d-#IFC|8x&D7MQ{GDFty^3Gmo3Uwzpk$<=M-tR+Y~T>wY{u
zzPo--Wu2zpo%K7-&BGVJJO66>o%(Z%%fI~%Kb*9<<Yf2jsoBr(eDZI5|1SM#bv?+B
zhpM-oJ*v9@Y4-Qu>tA+1{9Iao`#`;%`TV}Ksge(NYZccS{x-e5lvzJ$?$y&@PQUMe
zed*oSR5NkS)W=-8dKt@h>)Xd~P2C{5d&iSK$<w;JRf~7ZTY>^hH2h!cvYRnKUH@g(
z9i94W;nk|wXXQ(`tk`ko>erX0XIw3BeSI6!D|gRdytE_udfoPyH`kYIE?*IGG}8O4
z$83w<e+4V;DoR%TDtWoUZoAFm_hmU(p9|-Q)m$%oW%$IfcIWRMUESTOdV1GB?(P0^
z$(H%*-dO2U`I7l@KMg%Aj~)%R<`WZ}wo2E}X1~Of^<sP3ULHEo9JQ}(Jy%!v(nlt_
zelg3NW~`oCP?x*=`P5>&hsLu*OWs)9N{BBj6Asr}za!#V@Nd)a$HcO3eYXF#wc&GD
z@NH?mM6=oF^`1_RUYET7%*EO;m9*6F;gfGz+ns*A+;)|FslEM{_S$WIldrc|fB03P
zJDpeA{Ph>p+`{96RZr%9^NRj4%kJ0L9bM=7jBGZ~Q}j@5Ji6-k&#b$)d2813++9_!
z=5sCFvo-zNnM>0r&%4kyp@LWXMpUMDdghY@x4%>d`t$C7SMzlJe~-!ce|bu#ZSLyb
z|Ke)3`^&leqcVOheq0*-M((W4*9mra=Dz=yIQ7qm$EWSj+3o*vG;UdA?BA{BN2}gy
z8?Ck|-W;~hYNcBEvDbgB(%8>wTNSq%d24N3;aj-U)M9<eGxn>e6AVryB)@B%#69WK
z>nS#A>(9(Pb!^R>e_2x1Zv%JTDYCtF*z`<V@yzFUrk>}2n>c^(w+R)sA?9)N>%!|c
z#oj!}>lrlh(<i3VhjaU1{A%0(dawDui~pGHfBy8gy3HuGprgC()h+I15vh=P!;NP}
zW_+JLDX9D0HN_pb|IYezCByc|73W=gCJv6d|CcVGR~xZ-UQNj1=pWke9|+27Px@4*
zb9H<D5AAiQE4x+&NB7<;56Zci?{%jlVtLousrF)GV$-yA{p|Pu*c!Cnaqg1)VHuAX
zDoNJIuAI8F*K|dG_*Fyevbc*cFJG|yx_Qm|$T{J2Zr&@H*A<g8WnaQpAEviccPgc&
zehdGVboy3dwNrlk=5OBB7VW8@()ic&UtjG}eZ#rhf_;5|@#)yRa(rjp;!etn&%e2D
zML?EK0KaX)%DRewynFXPQZ-$z`_gAyY{dg_+nU4sw;Jr+aOK#;pp}Zx9oHs_q#AfP
zmWl>NbLHF&3H+CKQfAGsuYZ>wN}Ac-U1IZjMxXdIt*4hYZ~e>kT$8~1^hpsbN5vwq
zeR{l~!e7UQuPnZJ`d8)U_^fTlfj1|HYcI@}l~fT`s||ct>3USFlzIEVlY7p(YFU;3
zVk{PXE?Ut)Nv@}B+D@f3*YDv~wbA>pi%b(Qx%SGxdPm<{?yNZZcZs<x3NlSUepOuQ
zw$(j!ezAW(fBAm97<0#{lcsATwZazMx?>SmUQ^R;H^<Q3mg}5NT+EW>+0$Mve`59O
z`yZvV`&Ku9`uDv-Pkygk+SFZqd@D+=%%}VLZ+P}Re$wjcyZpWd&%IE<%HFc#dyna?
zVrSu>(Kd}S;>`1wYOVL&zr$|VrpMh?*>9hB-I}<v!;$lqP)<N$;lw_xqBzUX)f@k$
zObD4Xd->#}K>-Kvd-<K4{71Dgu2Fnrd0^m8?mkeeY+Y@-v|YT)>a^9gFUxMcKDg4W
zCVfh1Nv(e#e|72OuYrGcUO&@a&tGk`(bntwQls-bmv3+19WVb>{r1#7|Ig+83_qbN
z_49r9m#;JOw`>aF^0(OQH}}`VRk8sKl%wmfgu8NY{nkCdL`&g9(*0+~^?yHq-&xK+
z@84R#?W;>4SI&R2R$T6qux9w`;1ixp|IAx+ZSnl;A9j4Q{>T`ze$}1(*?bvmPp^Bk
zV0wDpDt&*mD;GS)SIj%8vzbTKYQ4lO*Oir%6`rb`yKH@bPSEMv`7d7|ZVh_#veaTd
z!@Sd<lrDZU^iMaCIQ2#AYRbGja`VFGzY4f~yZO5N*U#IY-<kI+i=RDBdHs_l!NUsm
zraM0=_U_VnlGBzyVZM|8?)cz$uNF34egFD!=<<@k-s>|wcFaF(k@_mMO8#rrtNZWw
zELZ>iEAz4Y<u7ku&(FHNQo?lY`|zKS6A}|w{z~!|O_B=n&aIALX|{Y*iBe93klqS?
zd&!gu3zMEtje7oi+jIHLTYJkh?|J9HsJCSPa-;dKe|b(fxP;6L{B`K$y$Qb2-#1$t
zr&a!5&E>y4V=d3E!=_$UuX1?%w|=XxOx-58+RNwG;y-WK{LOp6@zb`?U%zR*-%(L(
zp}6hlqHW>+_sYI~|Hygs)rEgH)8EQ8<lor)y!`0#<MTh?I5kz<a({(p_S?6b;$rs>
zecEU2ea&v;`iz-R>vZJHkN#V;aZU5y<nrtPjooiA*2+!YDS!I7w(#Zf+s>Qrs%>fi
zY<WxW-lty^c(fv~UcSBf$@@zc|5xm|awWvo#U(<w_@+S-g9iU|@dd9=?RHpkYH$9w
zQ>#DUbFco+SM{jfVqL|))7r~za~^+v7+Lf1YoJy8=P%zT#C-o#;Z=R(*X~`n4maLB
zv3j8xLya(`me*uYQ+}Q$(U4tG$H>6Yu)XMJcs-*-rNw>*28ILCmLK+-#_%#1h(ekH
zemu(T@TP-=8{dIki~T?JqT@N8Y`%Z^^7!kQigV9i9)JDv<D9dX`>$VqY$<*Gb)?N+
z+dH!TiBA~rbU+&!wznDXKpPyPJ5PSw_qRU8-In2p0>qNAvdM2-T3TMMVrS4#x0IB6
zF8)3(|I_YCM(O?&+-)8S_E#KHp7;B)q|KhXBgJ7h?(goFJ(!ovz%b|dWc8|*nnJ?D
zKM$O0z92WR_1g!Xeb?mDHmC1D|1+w3$L#sLwLuLyhKB8mu$CE5TDRjHNMx!8>#z96
z#rVN*+V|5Hp9Hi+=HC6Lr&;u5zk_SuW+l0g9U#lkpZ$1dlFY6nA16Iloi}CHtW_Ny
z9IICsoi}4xP$e0%(R{1^Db4@EmsB9m1Z(2&Qa4w<ZP@%+#CXZqoBPXsVpi{&@_5zd
zE%SEyybgU<$k5RL`-<Pqqeqm%%0W8kiJ#Z~o+BSy7I6F6+H3Eo*KduQXHp(+di_M#
zhmBErw-#mIWjgm~U*P%DBn7aK7S!Z<ZsRWIo;rWt@}@U6tDi3SVSVb-5wc%>|D|co
zFHfDbT=-a$eaq9oyRXDda$0wl{WtfN`a2qnFRCQ}a?FjjaJ_!S;<pCF4!?#+dGl6Y
z{cW6Ll&V>Iy2iF9aKmnGRt5$Ji#2ZN+~n@O&pbQlf|K_9w~IO^cx;t7@ypl0yK>7U
z(K9on{sgRXa(=D7?7Bs6m+b17{(J({Upep!R!)waVdrw}`tQ||5$U~A*W)Iq^@ML%
zC=cghU|=ZdIj(xU&qqxB%AQW8qHh_8e~E}{g`b?g|KjiX{E1uZKF!(dy`>;|meke^
z&FJed4a4mgv)<;{R=&OD&6c&ZN@F|T&Sl?nt)u_@iw~zfU!|s-GE5b}R6e2VneNry
z^0|{Y8bo%-&s~4tI%ch6?#`*q3=9mW&Bs-4FI>oLvg3Z~tJ#$s^WK-Qwpz9=BtiG}
z%ffT7p579$h`9XB|MFXL(`~}09v5xjUzq!m_si{Fy{9&A^ZLTE_t(3>tG<8DeRX5O
zzNl|IgE!Z0+qG;-+peTn$E!A;*6Nit49(76%eKJF>2Z|CpBHwy`jx$@Z$g98gtxv7
zxxV%*sL?6&RPe4#<5s59&u7hF&Z~CQGB603lyb^&<%jh(XRH4oHhL}ouG(F>{pahW
zEBiC9y<#`1JR9RX*C0^-{R!K>O^QC(_f$F@o4GY)x!<n3s<IZhJ$qtX!@kK@9sT+$
zcuUOU`w_KO62I=vJvC{`hfS}|WNh5u-z)La=l!>RpJ&;ngUlNQ7O)+3)Uunoe9xrz
zE<cU+3Lb)`w$DC0g7Umx|BfQt{da%vdf2w`)cNz*n_9cKl)N;$%DZlLUG1Z*TULIZ
zdDiIKzNNn(MX%0%Zx|(0vH0FB^U#l~=Bd-~Y?ieQFs|ngi<q0UH1hNoRaP^u;0{CI
zlTXi{e0JS&yX~EL!PdxM)^~p$$zzzaFkso88p*8dfpaId|9QR2FJWJizsT;*NuW;9
zVH>5Jd17~cCQcNzs<DWal$`11=NXumwk&DZu@#lw&&syjetUg<){iGOsz*zM%THIY
z_<TL%*qZsG#zl+X^=#c&o0zuEuf;6r@_{0)OuwtwzsIbd-p6yPd;J}~-&>giL?f#w
zm*{Bu#d^nG=Doc#^+({_`?ZJQv2(J_Ew9bU$oT52Q17GLG8TS&drMPE$tkmb`O6dc
z-A}ENznR_9_C0U)2L?~ajj!ytOrIrlZ}Hr)cMJXgmF#+^_Wj}wPUh5HyUlAvy|?IP
zG`RJ@TUy|GP`7$T^_-~}zdp;6^^IB{{_pRqNkYqBZr%OZCYi_XL2HqacvkO!hP(CQ
z=B+G8Ud;Nq{nekp+FMh$_bO_Ef~5UpM~Zd&gviy~|0#LCx^M3|J+^FRkK5!jmG?n`
z>i>;iUw>;5a=vDxXM1tq>5`JoX<z*=o#ywsbSXyr;Ais}y^`Lc@1LoENl4dz`Eg<Y
zmlw_Qt6!X3|22G@=Su0c*WdU`Ppu0V%KM!y^?Ux^S6}bE-nCx#rP%|aW302jym-FK
zHf`o4<NE9Dob6v;?GWEO&Dw&2fg!+ohiSA;<(HOiz0ta!zc#-MOI?<tWu+DMOldQp
zjNhf_-1gT!gKzTuI`CWh%F9}5$Krp>cRyZqu2LiSpKg}j{V-jwsaqZt#_oJj*nK?x
zMb|ImHGlNp*3>Ro6tDmN14D@8r$xt0k1CzMt_rfuc!%jtGx3>I=hs#3dzk#Pva0x)
z^t#)TpFc)zE8SFeMeFYa&D@H0tJ(IQy7CBIDn9=>x9~!H+{K2D@H?@fzA!@r|A!7p
z7oXvSnhJQ#g27_ud(ePDh1lli*MBu%CV>iIXj#nPm99}A+I%y|F8w>ak|@}a#*i@o
z=t?)XX6AH_D-m0^XRE5IX(=imynFS4<d*jIg|;@`>$kSw)T#UwJ#YP1_fijE-%uBq
zma9hFzvTSiwf}_d?zLfeqo@9@D`42By65xk-K!h4JY=q(yc-JYYiociS;IKF3kUCp
zI;h%g%h|qrHK+?*I70zE2!b@OAmPRb^60roFWy|wo1mcPzS<AssRugoJ9aaf{n5;v
zv|IaO^qomL`j$UdPLuid<;{Kn*dKk1nrCa9exDF`!)Vo+#~>pDl53*=X<a=x@%@Ch
z;GIwBz5TK5diE(-`Bx9mU0yTo{*_+`v(^cM6f&H9JS}CnpM!1d^}IE|8WY1#J7<}0
z*uD2=%!0-H_pEyER&xErKHbv4SDU}wd49X}QqHuU8T0q;)piR1@^VFDc*%tg*=#Rv
z-t3-Qv+0$&|BSOoPyNcB`p=~DL$5@`bc5O4Q@6hL_1&{*x804(Q+Ka|hG(>vxZT?v
zJLlKZdle7)RrhRnn>>qcmBMHJx99o76Hapdds}k*_Ue-Bm0LrmY<9nIZyaL0|NRp2
z_H7gP9}M^@JNxpM%6GS|e!jn#6@34`WINLVuFXGp@YQ^8iTk;6U)0tITiF;G8fG1T
zyzkfPi6J-Ul)9`GjJ=|^Yug{5f2(Bg`N=+3dXla>@!RU_ZsKv5!cJ<|N6(eGP?Obr
zZ&&83Yq?dy=kHx{-R>8?PFVf_rSt3WFRAB!wcz02U9TUe@4ImDPf2d&XTP(*9=h)>
ztxo6N{Vp>1?DBT$f?fw!v%QY}d;jE@a;`qao&w6>vnzbgm-QJsS6|Z&zTeb6_3LZD
zQj@J$R{p8c-0@~tovwcP`#ZZ$ipzv;3tmsn5|&FbU3N2OP4LV8Sy#J4O848Id#29)
z><a(aho8hve;&@wl6$j!@@w@MXRjpA+WVup_4JCxCwFpj^MrrCxc=oc-t!typ6Zvz
z^6brRSS`WRn03oG?%5-gzbDh97#J8<G}<WL)YCa}y>F|%=EF<xxHw*DdcK-jyzkoj
z_@!y#+ol~{bo`e7j`nvI&-efOw^!Ws<E->6kJ{8r?%SHL%5660EVvj~`^!OGcU`HI
z<jdUB%d_J%zN99GRqylfzT7aoeO2X$mnAj|o0dxb|G79U|K&QzyUABozJ0NM67v~U
zNi)bi6g+$)`2UTidUJ1S=<EA)i|JjuwDfd{`Hpo_ZsylEUSST~zEZTZlg<B+-~2jz
zf96m3FD3`?3yb<Vv*gD9xp~#q?fatk#R;>gXld_1XaBu8n)h1F!^HnzLVh2=yL{#4
zbs^`PChq!uE$~-O@+Ph`OKyRa_VEgrO>^_lgf+8$E&Wlj$;ilP>YPc7&YbaSHRYJ9
z`Fh3OT;{{OR{XE!Uv+s}eCdi0cZ{0jg}?6mtoC;P=f<+<H`hDAw99W+bl|nRv%oq&
zX5sgF?-$-Z?|bQ031ob+A=yUh=C{@H(ULP~W?fyiG%0#Z!0)oRB7%Yozx422<;;yp
z``eUUuc3K%NpRZcGe^}+QZApjy7AJ+bfb}m-HOL^cWwQeKI{8oM^Vcg*0ry0$XiXA
zw>;^m=8nKQQdjMk2D_%6TtCmriC^nwL0{L)m*BDA8xI6eeiN^h&;EKXUd8k8Z}k_O
zPU}s*m~rV^^Z(_tuF<E~#Fv!pyjT4rh<D$X-aHAB_0z9yzdU1kerWLiP2W?b|46OA
zKDYezkNexdtUkH%*NpzPm#V+VFL<qI?{D5eXW^mU^DaH@{j1_!{8h>@Li7JlA9LR7
zsM-CK3&8Pss^~RKX74MLi&MJorl^R{u~_JP`?^=_&rjc1tFp4a{KWWOV~Jn0(e0}*
z|14Nm`*Xq78EpS8c>gB6wd`lzCcV0R-}X3m=e75$UM#=&eBJ$zc6&dZt-W?F?8nl{
zUp_7Jd@3)~pk@5SH0=LN={vEY!g0Z&JF{|rOEZbY?f&@EKFj;!->98A@z3^z&a$o9
z683C*ok;{|@b!$6ek;A(pn*+>1K%r-gx80%JI~!$Rq#<S@;yIz==eaT%_C5s0yMD6
z2^k`1@Ox$q8VZ+d(l1)EiAD8;O_ji{!<#S2%{!`o`?0^L`0m|8Wj~^-cX-{@Kcv;1
zBHeJjBIjmac{t<MgN^3uH>S^+v7)7gr97ND9ojdsyDpdJIr(lZ=WU_tpApqNW=2nX
z`)=)@yBnh!<a7!{)XT#`#b1HcJ6LB0bEKK`5(C4!bj!~xpQ>a|ohf<so=Y~g>UtC!
zZWDf_KrLK;{rV)cB8G<J8t;8qDs7%(T~z1*>qV`89NGQe^Zu@fuO7F?=6P;=eSLlX
zx%=w=^FkaQ8&xz73<9=hU$<L+C&ef<`Yr>5Uc!X8+!Id4%n$(e!Q?)6Jm>sqGk3l0
z`u0NaO|_?Gl|$8)e!aQ>lKuZBb@_ejp1VG7vlhL$=<Cf*d%lG1{r#*bYyINV!dZLD
z+SzLE2ku=iyUIZll=0$|&Hi8Bnqx5KY>xNz+fm1F->l}#`t|wo6wXUkUtTO+=-h6#
zr*7>8wpSAh-rij5d%j}p>xy}|N^SgetB%bN4%1%JIF)1KtM5<3ZxtED-n3LNv3cCE
zZBPC5S>8b>w*E?}Z~W9RooX^G#m9cdTJGg9W=W*WWIkoxQXBR9^XJk-GuA3|zY9Mc
zl<H_Z|LU$clcUUcZk_6H&m>a**=Oy&`HGV@y-!9zd;PGxN%d&fp-m-{zUouv?~X}6
zy!vfGLzd8@cl<Sr?r*xh^~JnP>t`gC%G-uZ^QWCk@d>}Q>w8K5^@QzLg+v2By{yU%
z{TVX10vbL39qIYuY|Up`F6Nlc*VT{Ine6>M#OZ3;9?{UEJxk{=pLG1q)T<(Xp4%o*
zo_uw&JOACf-*2bhvRhRv9(!&3e!cGZ>sNe>Jr!%e<NoDYmJ3_M?%j43RGgS)pE2iN
z+^*nERqYwZTYoH!Xl=U|?z6t|mWXxO<@quS=`nq`v+sSHb+4vq>%6S14!&)BR<D`F
z-mG`&vHtyK8Oig$zE#e@#C^H_#E+_aQ|{Mm0$<<CzSQ&al~{?xWp)>hJ-@wg6|E9t
zXR6rLV8L7e*uB<v*+!$w-RCvAUj5sVW_Q`wT5i8fV6WcQ$-AqvUcEV95;$S@D=r3x
z1~2Wui7ijrg}<H3Vp^_pTT@eWmSyoWtMYd-D_d?)nY84^iep_}U6V9bSKj&BJj*=L
z<(yn@#Qa50PqivPn^*mRt$S+wro40aRHJqn`u0X`nesLJV*u~0O)j_jmuPCMyGz#V
zUB05Ne0~b+ipR0<v)(r9%-q!Xs{d*76}1B~b&)?JbiIH2O+H??Xsu@CsR+x!jo12J
z?yB!uWX=8T<>R|Y)9qupUi_FbZMp1~^zG&$9`_wz&D<XHzHW=}u|p}kb*202-WP0b
z+mf1RU9r(=a?v;43pZY?XE(pR)4y=h@8hd4$H%`~dj7kX`G5XeyPvs#Z~cnmwV$7}
z+fC!|%U#BHq3Y0%{dwU>mAj-0_I#^an;q3(#<{%qd|9gSyEV4aSC%#IZ;P+rnz!ej
z)={?EQ$PMz+kN}Vnz(hmjm@UH|4i=I1twc(vuW;nF1yQkUt?YL_CU+9>y`_hZ|}Rv
zeR!AFs)s+N_AZ<%Cui<tI7y^>|FZoxbN%+%dgw}RyY%(*p%TyQ2QJUPsXaM`!}r39
zgYIA7qy}>J&tJxTEvx*Yh422?Q=awAU!2?)<U4Wtx+%=;r7F7v78dG?)RoNNHa)~H
zdHp1#*(-dP?<$+Gydceb*;3=>*$*8zpZhCd?9kZA81!&uy<N;{-|(<F<)d3x#hURj
zFf_DlNt(q~eN6pUwJtkp{kA7ZQlhQq7S#WKyM5P>N8MMq=ie{7e%?HFrRU#UUAaPQ
z-`+0IxV`Odmhf$#-dERx_GzAeR@VCa-o+D%{#l`WrA@QH%Ds&>b5`CpMM@=)<FaYK
z+=Po$mNsXrtvYx|Q0n8SGSR61WwG4$7sB^1)7o#~dHUL$AjgO)i<+0Tef)dHwtVNk
zxqAa6PhEYd|2*U5{N;;pXj|(#Yp3~MEcd+j>$WOu>E*?j-v>RM^KsV3zjtPds{IWw
z|N3HaVfW`N3Kkwm)jv-O4~i&ps$_NKTKD?OGUlufC)NBr>!$dbzqpv4Br0;r@vxfx
z5^X6@*P}<D=JTCT$WIG-WGSMrXm@b&>*v`YGx*PUxmQ<&+04)CKNWKD(Y)O2@6Mcj
z+gN02nw))W%gQ!B*;P}fh~(bcaq(Fd>oK0(XcK?W{eKqRQ0pyy;<2jk?xxV_ZF#{_
zQL~~<GS}4F*RRj*ezx+!j6_qnW4E@K#MfkReQWF1&76NF`tvfaeJc0$cBWewElB$(
zwq}FXO|Q-KGQAaTzdm4Jy+zwH_NLonzCC6EU;pxank*u4&7pL2->ZOwGfO}7zmB-}
z(tpmIsh*R%E|;d%&s)PCbbaIHuj?0mH+|UqHmrUB{4kB+ynQ@dU$5{x>HM!WRNWwc
zr@DvEgV{y(HF1yc|B7aQmUH>yezBJacUf=MHI8-X7oW1<FiRx8{*XVc(oxr*X>j)X
zlsx^@+ct-X30vsi%)7N^<*^>g#b?g=oSkDCTv@qI$T#!co5-r?SN~_6JhXo5<l>lz
z4->O4ENE0xQW6mpTlU!U_}9DU^Iy*m|G(IBbNbD@?>ENVUpu1yTw8P9>|N~<4>xk2
zhphz=dA9yyPo%we+7p+%lG(Yh8Mey(U9{}$=_|Jn?_N=uu_DU$-(<fzn!0C<Ub+0`
zFx8Zll@nQcq3Y@MsT+RYS6r|-Z_mc<Q8B-!)cw1yvAA&mzsuYA?XutK%D!*k^Eoq5
zZT_bAG9qNou4yvShs&}g>q35)&)*;>`GIleuYWJIudMWRHqE&cc3t;Nc=zQ0%S-p)
z{&$0mf#HCx7eD`Y8Ld3amOJ9YZ!c}`nLe*}&z?=Q4r!h&a}|1f>EEBn{A=SjyZ!t3
zPpa&&0PntkQ702F+$w!t^5FpE?Axy2q!vBgdt1xKAt+$YFaEbn)!M&jET1}i(`5U(
z>vo>3H7Psf5c%xUq>$xt8~*gRZz=Zgx>7XZ*u8>>H{+hnnX0{2_Y2qE-|M+WS1D}W
zS2tx{Ui|7in~Fr=U6M90y?%81x4`*!wO5v(XZV}`xA5%@TbFRZhy`<|m1n<OW?J?3
zmcUaLy(?1x8F&3(m&e7~anbZ~{?(tq!?RB2MaO<^*v=i`(4fMqx$M02tiPwWp8EA9
zS9hbGCbLc8$q9Rl>=u;$;JwQJSaqGxmlwZ&M%mmty!p{Zxp|`JrEe|P(rNFEKDRD5
z*ZG8M`|ZWMZdS{!`fFKav|Q!3p`oFu-xk%CJ9b38KRa{r&(HRkcYT%qa#}yz^#A3b
zRsZ*X56OA{@ANL&wpTOt&0ZFqE1cPvcWuo*&#Iq|o>8;1Q=k6ftx7%?banSnPTLzd
zi`d>fz5VyYw|0_s2sfY9)J;W`4%J7ku}%MU<Is|uvp)PVa`lN=csR2C%i$G|qjo9z
z9(_7xs(yTC{o5;jvexHx>NTzRM=bYg-(s!lyh?c4i?p*Mq4o|&dKZ?s+lRk3JUwO3
z&)s$&7E8j9?_IIA<k7)!*3#=&wniGw?8`iU{D07iMeL=wKTE&4(+6te?P$DtD0K3+
zd9fwGiu<!7|NQ-X_TRsMS9hI%6~lDPE;lYcCQnW}&iK)$4HnfKpS=6EVaLk7XT@Ge
z{foVL)cvulnp#!K-x^)Hw+|!11<iBgw}okj)|!2n#nZR5pT4PTYI?Qi)fLUv-N$`0
zV?#ogELx;={q2IE6}sN$r!sW*E_r>t&~V-D^vt8KR!hCV-1(Oy8(Hw*@_TBy-x@U?
z@9<;KK3eTxap0KGYfxj2p?rf(_}jgFdlxYZuHTXSy|sStg453N1xZJkXW1z@U7jl;
z$PjaC&8B$spsDL)6_Khwt9a`;{zrz8<sJ+b+>>%aiyeM{*<)mUP^cf$GJ>}#Kh8~j
z!ocud>u+1$+ur*(^M61aPLFmf$N73EWJlH7wlX_^@Z848urB<b^rX%2C1K;|=Vd=;
z-KxD{x^kVV0I0<d8fQkV@W5D{0UcJ+i9C1dY)aA!1xR0Q-^qK|<EHwp`&p$E!UX97
zyie1PT((_G&jq{~<$(1Lt(9ggp4GOS-RT1x>Tot$ccYqQ8#`on;lWLhHG#|%b3h%T
z4>Bs(J_td^X6`IjQ@wU)doLGc1<8XdQ_YQRa~i-#G!)PETq8W?ga0JoBwqQ)&)<QT
zR57eLcqUBj@JrRg)JHe(GsZhZ*7_Vb5Roa606VQ=lgFCVNs~-M7{J^IuRYc<E{Ci>
zsp0H24bX-39P(3iS5EU-)0hQWPIDz>n^0Eg5~%`Xu$K>9zUckda)t^oAH-octe&P1
zOd&eU6BZdASPk*ZI=3X=A2JXvGd<SqmH(c5m=WwXht!D?%o`fPJ}F@7G;QEg0C!z<
zCPpx?Py*}tVJ4K#pyvP%!TXL$yy=OPOr}8A+1%?qBGn)bwqwCF>&X%78V~2I%m#`5
z+_@VxZ0^uHW35ixW$#C)j%?n~++cliGpNgVJ|$OThl>*L0ynT_4Zm-Atx%nmRbi**
z*(?ioR)KBRO2!`&VEZljJxv)rz#eo+{dhHsAwd`%WT)Jdcq5M2-iEsVxza|q1}$(1
zen?fh#_#|Vcl(--NHMGeFX?J9SK7$Nu)WB8Q|$h_zs3LTDnBjZ;^I>AY;0^?6}$VJ
z<x{oED{sAGV_*n)JRySlU8s?#R6tksq_@%UBvx7pUQGY*Xa8fm-OmrUo|k&J-(A@u
zw)XtAr%RW=x@(>uQZ0Krqo)1Y--5+u_bekWmy221*Zqjl^_N<BcKY`VM{@N#_$wwp
znD^N9|6%nh7p^S$TbzIS>HM-wG1m3*zdi&#-L?A?!vh_aYYYL(KP;6!`sHj*N?ruC
zUtceJ$w5|Vo$c-|FD2KP$(|41-S>Q@@A)6ar?Og2HV7#O{hjy6E1GYaw)OLtzI2%j
z)4snC`n>sRujv05?NM8wuh`^sy!27UGQRx(F8n1MzTR}2u&ZBY{cWq8Ki??k&U?4x
zfz)-CTY1Ir3fWORSI(Y69lPv5bk?2ryS}JeYTB(W?Q!?&g4Bz9jHG&vq&9EKzo*Nk
zySbm?=v|xO)!)-kq?`)+H0@{Ak3|Wd8)t3MiFLco`SiKo^&1~vS;Sr8aeQT4yV<Bm
z_5b!BBdPw^PgeTq?%KX^NkHuX_w}z2-Iu@oGjZc9+rqu;Hhup7baL2)4CWO(&xDCJ
zPi19zP&j8&j=H+~YWIG*U4Osb*4|nh@>_4$n*91$vHS6XZ+B1GU01MwxoNQz_u4(%
zyOo@juGU?!*t@r6`6U%k$5)m=wQGMyM8BO;w)R&0)!>xht4;phJ$h@;eYZt2S7Nu@
z*aYoOX3`G*FLnA<;cWkP*MiqdtvETMe5$Cx@`w3<R;)j65|&o=ap!F|H_b)w;;Y{8
z%4ltC(=yqz@X@;;K5nOLUG6OrU&cT2<JW&#V%H)UU)y?piR9y}x-S}*@plANe4oDM
z>6F#{BBtK^uKDcnc(`z3`oH|vRTsAwZ!s^PEF@g4ay9s~8gFUE@$*&zGZxR0`CTvF
zd+!UQB*S{QBwmKNqnannyu7;7&dphQZmxB>)<d(UDw<ZSHZEGUD8<WDtNibRXTBm6
zH@iz;TfQP-r`Nqdz4!iCb$?RT{1oOZao2D5vU>{)KbvW<4LId{Z-M^3e=+-?MRQ;C
zeY}6>`rp>uug;BMda5F)^VqT8tDC00H>rH1erwjGr9D%HMaAvHPWwiitc`A1f~D8y
zf1F!>b?VB~CXZW>{?fIKRSVwrt;Rl*lUG&K;cedhwOiRYrJVA*Ixltoi>0mXUp^ms
zmbyyR_Cu!bFWu0a$8=<G{#>i3=5njjjp@ptThG7lzu%`}Z0-G$-8}o>j(c3!XWo1D
z*#7m$WvZ{+UQZNN%bj7g;!L4^%yp%lg`Sqb#WLP}oxJs6ieZ}Wf{BM-&o0qfx*#Xl
z%5K@yO!lug-|~v$nogWKo4=3i`h(x?s}B0hXU2W1l=^(MU#h%NHulGnuvFRDRp0k-
z`&(XiOUd@Y3QmT39Y>@X_RI^Ol)Lg1XZf{xx>=VG#2;S2Kgv9=d}>nj*;7AiC*RF2
z3e&&dcHrPFv-(+i`&WFlOjVrzC2aex+grb^t}R+&uU$VoZs%LhzxrSG&&tZJy>Mc+
ziE^}2i(1B&2aBt|ZPI8x?tgh-v6&UtZeh9?@4{Ns9e;&-E%cox-aVe}e%#&U;kFFT
zXYo~;zY?DAv2@Ut+IIDVztrnrje)7sil5Yc>(i$=?c3p*d+7G7YyVwe-Z!2XRI{Ns
zxnp1G`g8lD9p~R$QO2uu_3OW>SwC;c>@6t0>3CO_$13F6j`X=3VqVXfc5cqPR`#<-
z5&IrqU$=Z$>G|t7uDVZ6J#%5<T5i*)vu0eL%<yEX_R|B7vM2RIGGe$}<?k+kYni_7
z^o`X^&ZuQwK54X>eGPYq^umdM`(N+=zCSqZAK%$MnG@pf&spxPUlMkBh2yUD8E^MX
zo9v6rPv7yIgW-oswx?;Q>4UiyvWJ^4Ps)8+a_H~d?e||j>edgtzpwUmto{8dOVz$+
zYj5-1vMvAq!ra^2R{Q1{o34uX{<%zN_H9w{ysPcX(64z{)?BULQht-C_eGJFFfT{`
zf?euHQ|mUZa-Y0Vbn~(oyH&F9K5V_U^#gP5*X&iFxF>JPIjbky;;L!&!l&?}qVDha
z?zhsWeEJ`;MD&07ieqP!uNWjQsG1YAuKfPpH9Ai-u8Zft+P&8`bx}Lt9!JhP`<HJe
zSC_8&FeB`2+=AGRdrcS2{d(`g1?_d0Q(I4e{Wg35iy2%~_k2;^yWh?yoagOgtI%4h
z9M8FHtE;|VYkjM9WkYDwyc-vMlJ8Zzn!9?ndj7u}Yx^tX&LbOB4!)p|CvBci%?XG1
zU;kHqh;n>Y_x|m!59jqw(oM_bm_PV%e&iJOUbRz!oniiiD?Q6IFE5j_Dp?T}6tt!A
zvD@s|q7ueiaxdDb{{7UPG~49bMCFygN>7L7-rVJznVFe&ammJSfB)>=raNmn)8emr
zD|@!)zufoZTGs9A9x?WNE4DxL4XxP_G9xu8?`|8{`rK0E<D$!7pZI6<Iu%>D^uNu_
zw8ch!e~kC++Hph2em?`NTZ^WOweLw)*PrcE@^rTKtUGW_kSn{W+0Mhx*z(Hz$)yt&
z1HLM)xgRViA-PN-dF75%mnZJ;T_t|+#H*6esZ}erRpZ&qa)KuX1|8!&TmOuCE&o1;
zz4{t=|HeW4vwtRk`F%Zf?tz-8^E9gOI7lvRF8Ti<E$aWt_D`#Jaxz}=F%x=qWNN3%
zRfYzmIc1M?E-&*nO*<oznwFOJ?99wlr#DYr;Wf*+dYa10*x%n?-Txir>e8~>v6+pF
zgCig!V#cJ&!C&s0&)3fU|F7tK^_%PcrXNr0z1siBsg!?WSl+!Qv9A8FyIv=Kc~*Tg
z)JiS;{+dKH@3rpxS7iP*GR>?IKN~rJQ5C=5#rfZ-?axgN+veBew#swCk{VCOUJ<F7
zZ*zAjC<jM+%D;|}eSbZO-Rd*fzjG5-I!=jV@tXZvb>+GwDf0<0-<v!YH=P(+_vyR+
z<|2n;SF;b>h1X8{^XKXEnx}IuwlBBK&Y#rR+Y@|UK-1Da2-25*T|QlMGvAbpf38)R
zPJPJU`)f_s`c#G$0@3%UW@}gam4v!7FqqB^uG*$ODP#J#=koO#UuT(q`u_d;2I;np
z4_~i)u{B>?7rnfho$tmSy-n8zc;DX*d&oGcDd}o`hQ6ug9(U_vm8CVS%<Zk0?eni+
zl6lx_?!RBF8azsOEt#E^Q@f==EBL0m(v@Y!Yn<oXU0ErvQ~y};?VZU=TV<APG>>_4
zp&<9MzxI}$??iXKpZAU{bVC$>ZNT;U;br~D<i#^WAB0wYnOI@UJ3&NXZ64?J6-#?h
zNlvd_JoVW>Dcf!RQrZrN;dbk)_0EPoS~P#^?8*T5zU_hY6JtfzBy5$nb8EI{3wgD}
zn|s#_x4Xr$;ii0(L~ccHx3v!vSNp%@X!K{%3!n1N-reH1K300soFlDKkL?}4-@ods
z$Y{7L?ax}P`u|?KH+{`jPYGV}Ex&)!d*%H->s3>i?PO<APkdp{kiPkAqijuI@T9jh
zX3XGHzcnc&CT7j|XJ;<{`8hxH?l0b7Pp5C4_5Wqf-v9Tiwe+^xp4pMtzOd?9x3ATl
z;+SQNXPd4rpA=V@aA{^-!s5D$CvJEDSI%2kRolz=d`8NtJ8O^X^94so-+wc8w^#B1
z-G07mYl44Wls8p8cC5<EmZkdp@%&el?<|ZexUgc%)X5_ME+@S$R4KPHaqqX?=#tp4
zbN%5O72Vnm5!UtFHzmGWUiIZ(d5VlxxOHEu_U{{JwT27#=hPWT-g+)o|34@7u0j0n
z&6l?(`|X(&x6fZ#{JBSD{OhaX*EFAXntJ)(Ud#IZ*~{aiE44Ni-RU<gdLVJ`!}|N4
z=KFsw{w8O9vZSDOUgmz+$?ksF{C7@z)uOp8(%AJX|AZcHlb09IhgP34QceH2j$erH
z<<&y{thHOCA2tR#J#v5ftnBvJoAu@$|MeK=G<BY0V7PiR=iw^P=<|A;Z11*T7O$_b
z-@Jc+{nmGv-+DWwfBr1(`%JXoW!HYaNtO%VUVc`3?CG<O5AW^u7yGU=?M|$`*sa}a
z`kkGfdFz{x>tCzO;GZ9n!GAugX07cRJ-hoC<mPQ(vTWHb|G8GFr5|T#F6{2=3JD1*
zOUqlHWfyn)(s>`NvNF%>{g2<2q*@0%So2EQdCXN}R$*QJ_Jh00mb>w{b{%|j<J-D*
z^@S7tUJBW6w~uxgyHj7qvG4Q|(2PQAj@<gs-CQ!iXPGfDtl3!h)4AW2;lSBBW{y$4
z1usN-J1UOW{{Ei7g8TiPg_Xs#G;Sn>J^c9BtLA0k-YxeII&RWiSN||x|HY>Z;xS_X
zW7th<9a@%!U%tn{5O*l&si9CNLj(J#wJEpnelmY?H2c<T*YfMk3=Ojyjz~H9rd+UB
z&UtVd)XQu5Ju!lrVGj?eKeQrF<r)KnJh-10(|$yX;lX0ClHv&w%nSu$U?mnp*$f+c
zJuiVf%nAKlmqa~c5@Kj5nvlcH@Zfch>J=eRQwD~qpx$!B`UTUa7#gyiH}QfN->qb0
z`2Kib?tJ%6ybKP$$6Qhw7%Egi-LtGN<Id71p?{v6Jl8NXeDJ7yw~m2<VZ))ERKq!O
zLg8xj{>m~iRH#*LV`L~WZ);*^cu)#*)(SsR7tMNx%Gu?h?&AY3kmG;ofJ~gzctnbU
zVG5|j>);CVAH;nL{GFx@3@cbbO1waRn$zofsRrb)1Lh!A4C0_JVug9O=T?^_UIvDk
zt`up8fX_F<T}uXr2VyF>85(9a$7L}%_#UafZP%G1&G2C6j^$De3|A6BozC+KGh-%4
zFf%kr^?;=0RIW2H9OwqQZdJJFB;O)l28KN|!0Qn|7_v>wU}mWCKdF-WK@y~wfq}t7
z5){M?3=Dp5;I1-5!(@<N&@zw|h>`$Vkat00jU<Sv?`rGsZMAGL!^9py1{5037dpQ$
zYZ7`9TF%y-yc*JxX1IFt!93N%)J3<yy$$Vd1_dkw0|V0D7;wLy0crUOT*<y)+zbz@
zro|g4Sx!z*-Pd;HUd{s8cn#7#WKlv`(WG1khp(WiNT&Fu4=)^Lge<G<uUOZYu3Hzn
zt=ueJUjI#z_a<3m3qM2WsQG`Zk0053%G+|CmGA47r?<qV%CR$CDPX&~k74DRR!*4i
zc>?Dz|Gf3FN@Dw+&9x;r9do6(N9~UPcQvc>(w*3=G0N^oGe6yW9A&(*lJ&Gr%#R3N
z^W2MD@_0%Z9z>o=+kEEPs@_8F&cLd|w7aXME=T>HQ+p=#Y1eaphMLy3#Vc0do1bNr
zUu}11QjYjDF)e#rf7Y8n!%q9p<T}+Uu(Hm-ZmBN&<*9RvosJvxWt{$9zH+BZYs_l?
zZ{iyNcLcgE_B{5b`PQz2u63P--vUDdKr@p6HD{XHtoLsES81`}?d7QH|MtpdM8q{H
zl*(JiZ7)?)Y~%0fyRIz1?$W)*kw#BbUZ423{%gd1WBo}B<f<xvd(J&$cq+r>RK}T0
z`zp6<T-j^drtD=GtG`xj{;F_=c?T0L(pP5LY`z&&=fnOuvO9Qc#kE&yUz?8lew1+o
z55k+O&XavQ*IBlze$}chn|rG?D&xHD#Z7G=uA8`dBK!C1C}Z_47fx00)=*LjdduE>
z`L=ht*4h--;$>p?BC9W4_|^5&)Ngju@vL8ynMK~T)ZWg1SM*<dMsdiMcaFaGf0S6K
zZeO=_!Mj~gA5MHFD;#lAw^2%6VNvs~pX;wJX^FVnzayeQ&)#1+UTXC^#z{<zMFPL;
z?=6qr;^gcsDs?NMu)IcX@osJ2<=Q(PKAOyn(r0LR{_yXjBrp5;dmrvp#%y|fB3O#>
za?woXgS=N4XnJq?>o99mQPGr-&y9Td8h!GKY_Pibb=@_&6O%MwulN^X=a$QK=IQj-
ze)KM@x~FUV))&paS+;4rj>TrIH8Xo9bOpVuEBEr-mTU6=Bm2#DZ<@vQuQAAcJf+X<
zTmE|s#`8ftinqDl{kUb{t<))>{$Jqt-gZhtcJ&UcNla3jd-i!A4>~2y$lM?D>vE~}
z{8iR-E`%w}b3S}YrYBwUvgAjvq+7Om?2o2?nKdO&=GED9H+^<+QvaD{!ydUWJ>>R=
zOmo@%)T>|Q-U`W0&S`HmyYQgy`pW!gM|bVLzxLIPTS1Lm*DOD7xq5oG^MeH6+4jEM
z<FDNCyQ1LweUEebG4l)gug$cMzp_#<$+&G^l^7B3YHAa|*nG>S!;jrG+$JTxzy4+V
z`pcc$*;l$A4%<~1H$(4b)w1-PaWhq%Gn(9Z?N+7hcL!DcF?l;#<?5x*r~a>d=g$3l
z!aMoO(lZ?e(xoTwFALABcKYxA*SomgUEAc7)>*5>|37th*IOUwtk|Nkb^n6BujFp5
zom)96>w56rUC*=Myjpz9DDB0CYpt`2e0k#6K5(7$mn}QDW1jK5PQCJot+Op`-rRP)
zHmRJ!;pkL3wb)PVH{YMXr`7yRmbcub9QJEmSI(N>U*s-d=VGqTbNTM}drRwM%Vw{8
zUqAQiSMKk77f(Dq@5-tw^Q>#HY;Wz(X>%*lH1)V6uq^wYjlyD0Z}#gxA<a9LO&&dc
ztF=YWcehyGg7tO2p>>IqrmelXv}uEeE4wG}<KHW*-xck(u3o%p%IdrE=PpjN_jlhI
zUKZ6Bc4pS1_mjN7ZkOiyWd3K}b+vtK|BCls_vSC1vcI`>rN!yL*2ZQ5wN84KKKgeB
z*W{<yEU@QNU3%`C?cS=_hsp%AZfH6B=VUB1e7|SWY>5?t#W!Y4Zk=+ebFTB=<9z${
zr~hVq=dgz>mL=pth3wn2{vG}WaqC-}kH7w4+bWvFpMK^1-H)qw+jgYegQoy$R@uJU
z;In0I*zv2J%QoL;e)_2+<@cYm=datO+qCTM<B`@<{rcJ&etFro-*Lv*uq^2PS$5L)
z_C#jW&)XAPubvE>_0=bu>*Y#z`-r8nCtsd2lPZ0%wQ<$^^a=BqG;Cm7HM=yV-OFwD
zGx=*9*Id>YsZO1=<WY(2&H3r2(|^8>zqEw^a>>od`mg7?rHNVwh`Z1E{A<gddeLO&
zcLEna#_hHLZYOzfr@ye6ob~67eSB|jEK^y$q(^qv|1<1S@->ZB@eg_;B_D9g%roH0
zd$^|h+T6R<&fv0aT~~PMQg@kycBgON%C_o1v2~WKd2mWf3!l7Sn48<ONx?x=X3ktW
zW0_&ry+i-PGi85&P4=xR+BfOZu9ejtu8IGo&d&I{ImylM%G{0Dw($kLzNnuSwcls2
z?VfI__O?}5AKR}?X(>yb7O4KpeTC=A7ataQv|iKRzozBwe>uyje}7VHr(O2<w=Lb6
zHCwfK<KJBoS~^Cjb?kpmFX?4B2~TM*{(bWQ&HAHB%<~i$Jk_mwetNm+@4L*qe(p5g
zrta|h^Yr<jrj{={aZTz&;kA8HOSQypM0ct0Vk#}U<~n2Z>*sHhSsCJks#>N!eQR;9
zu71@^FaD+FDJl-W4+P0vqMUaMd5QAOZttMERR=$r%UwEWs~L3KQL6fI>#E{qI_Xcn
za=G_9egF5`sa4Bk?Rxp8t8ZV|+J0tZbKH{j`LPR{>cUq>ul@9TQSi)Dc7hAd<|g~!
zpFIn+3w3K>_SL+c1D9RmSHFzkw<J&dc*c((N4<1IMM^IA?s~p!U6zcB=9bNQ)pbks
zeExZMZ;$$~<yf&`l43PqT|(?T>0HZ?I@PCEOP!DMNk39NBho8oW<>7S)gP{3vbeF2
zPrjJ9BRsA;#AM!b`Sr_>FSfPOo@c(rJv5@4e^crCZKs93*>4}7yXx!D6>M@7bDr}U
zVJw2{dlIYl>PdXsU!3!Xsulm(_8KOye0BFvi`<)>nLT1zSb9&E#!vPbWNuTl+2<oD
z9QLB%n&MxBS39Py^9U}qOzrdfS+ptc&%^wa3X*@`TzB2M+T{EG*H=;wSuK_S8z_8e
z|FzZY*94yt&Ym)BTQv8(vzPm|gHreAub*?H!JRYUdi%U<FSw;v-<6fmT>kOQ_ssOa
zEBo`frq=)U+x7FR{MPR?JeM_VfARUPJIkhMQ{AR-zd$S4?i@+jCc#tx^+|4eeZ0|E
zrt9zS++T2@ZL6y1*Voss`#R@^m4s|L<C-RGF=xqn@w#=Bm&J%an<UC)I`?U<`MnRB
zsyUl)-kT<TF{Cs}O1>AmcCCNy{Pjmcp$sW!mf3n8nxXqF@RP{7JpMUTp_^e+^Zss=
zDV`Yl^W}2&k5<#>EjLf!^KjQ(Q~C9&(dw&@TPpAM&pcclYx?18%JsLP4ap1-iff*)
z&g6$oDDD<xc(8j-ZC4!D$wR^K;OR7m2fm=T=eqRwmp`96!{QAt363bwQ$O;#WMA1H
zxnt#R6PX$Ato|asYpePF!XM8V7-SPb=K|EF?|&!^n`-Q<Fx72boB8#t2p`Wu^_y%A
zJK`$yJ<jb*?}5xky4#c=S)KXa@%oZo*Bn4g#6jC5@ND-so^keB@w556t3GYpykCE2
zmE+cMj)wA07FSOsB)IYAr)}Rm^Q#EMgQZ!w{$#9ZGUlBN4YAb*(v}PhstQG!7(Rrh
z+}1d%d$R1z1B;_PnYG{EukHV{rs>RNE}qqEx#RZlfvnbMxT2D`GyV6AP0hE=^0wC1
z*Db#K$v!Q2{k~Hz{a1@Fi}}75D|q(3w`yImX+7J;AADzT?}`w%3NP7TIBU<h9oKwv
z-c8D4WMHrWt!&>tB|L9upY|z+1y(y$Z|a@V4XLY|_bK;F)O@37)&fg6K9c_%u=<-!
z=(LwR{AA8wdH8nzi$*@vf|QzDHg^jTn$Fs{`NCaOMjH>537#q&heGr7S6=;<G@7|-
z%AVAHa{DxHw5BpNtTK||F?;?>!?VSEeekClAZvGBxp4DD^OfRH;lDm@+;+?GZPZr&
z)yq$O3(x$syL)Tp{(RLndvXtGvmVL(A#MNawPEknnQ=9FkxzW95`PIu#=l>mmN?fm
zE4JEqmSNo8rkD^mhJu}!I(MW7z3VcHKJ}V+`F0rx*DGgItZ~j_+<Ga}zw?u%?v1(#
z$(w4a%X6=;dF_<5UgkoSvfaJKhqcvLPTzX<(DAIuTSa?6J`#FsH%T+NY?Ikp+0t*1
zY^okyj`|Y4YEy5d)uzwUzCIm)(s!fIeuP(P?8Z7ZVIQkmy61K5TH6V#!Pf%+Zmj9K
z#Zs`SU0lmVGyOhO@7}siW;4$HwU@&+1;HE>RK{1m{`ZS)lhTuVOVehi7%k2If9rZl
zturHo)`2*t*Ih>0UR8&A*$!LYo^|$F@u?qSybMo2u1K+76)5Z~pRwrMS?iPgPuzc2
zg*?%)L*BZoC9d{+-PPZ}%t~_t;&p%DSs7{n{6@sih3jVg+8Fm{lUK64&(&N1^WWcF
zym$>?UH|_!o}H6?)~OuiNHRGP9dKxA%qqquEL>Vip}R8rbK`B<H;Nuq_!j#3<!X-Z
zqTrIu%zpQKa%(wq8>cCWb2H_OI(kGrU8KM`$w5eX(wP<&6`xOY?7cEP(k3ZZ8X0@;
zo4@s2)XB}?i#e-pPyV^_`)2>_v}qqq?pB|c|N5aJ<E}|vr=Cy58qv>eo`uF+-(2_C
z_;YyXE?YI%&HpAXSRP;PD7@~R*VY^FE(-IDyqaFFs*!dmY3{yvR_U2Xqx&^q{B<``
zF!FOwe;@Y0b^pcHmvb)ZZr=JP{QK%pZ#7?um#$v+UoR@TjjigJK0}Gp(OcW9W}3}4
zzVc;rkM7R-NnHNgyC3e`^JD6{Dc>%>Z9Z(ZWSwUD_uBjNsV|;?tiO7AyPL+Y_*u_%
z?yhitS9g?wAtp=nK$*+k-Xs_41HB(+Z?HAfjctBc6YzX<eqg~B7uD4J%RFYkPE^eH
zS#n6rJG6+Id+Kd9-|(oretW<EiWBwJ`u$4YDzp5q*{jC;8E4NV>Hd}Xf8GC6S7yt*
zIc4kqI=E{u>3UQC^;&%QRI9p0lho?tzkm5L`(9n{`mVW0e?3{-YgKppu9y4;1Fy0`
z`TZZ~|N1=h+2Ie{Jiouzd+xXQ@3Z>V5q?keqgVa^9Jxi!cE++FoWiDyvX)=l^L6K`
zkfp+}>ld$GzU%sy%98Rh*EY75|BAM*k~X^<x@wo1hvGt8pY`0l#UHgVovn?pHw%C2
z`TfPl`E~x=t@^Wn&;PDD|F$s0uAXJe_geB8)_&~XAS*w8MI5Jd$;pn3!S;UFmlP^b
znJm3paHVj}w(@C~A);bSXC$0IFL=W`>ftw~r`NY#+HhRq?}wG|wXQ`j%lC?kTyb_q
zK&9v1tNB?|zx(d2a&yttvJ1OdyKjB@r?;DKzuhE%`ih75f~uo&vaRd%FSgFfn%>US
zlU+6U>aGvHv3rxMv^A`P5>s1G<*rzLGPqE3|BH)rEuOAeHBr^VMUi(l(;*R|h{dl~
zRb76)N^7=_zTVEg@2m4>td^9l4?eQye%Al#>|g4RRU9onv7eEl#MXCtc6mu`wPCAF
zgZdw(obTR#6Aw$S+cHtps&t!2t7_R*=^%#<zSk~1TiqQlW3%9Ksk7<Je=7awAL{6a
zFI~GmFDv)j*1!e!Hg>+=`aTyYJ+%+&*1x*RMayjC!-mFPriM}BZ<cE9jaaqG_`iR@
z%B_^mH&Zsdt_c4jV7qnmtN7)G#V2m%>zxvuxuEX)I%6Ntj}wbT#8)mmv+h(!#MjIf
z++CB`hHKmNUpP9Yw$$w?=Sits8>Ts3Vffmw8FH@k6yM71V`ppMPl%0tuY2XY`;r&y
zdUR@E{|v1v<I!Hj*iiEK%j;!}5A}v~=kMvNaKBnvwU+mM#_n79w(v2;eC*zkJ6m9_
zL-yXj`dUZf<2*sPPKbC01-zf<<?;S{P5bBfug~&#nf_b4HR{iW1Xs^Vrhd)GmuQ_l
zJA3~ryL+qrn=gHRGWmXB&yoxO7Mm#DbWL6y)0%E~_0#h37iVm{w|?4~va@z(ghRaV
zukZ4&&J~<Ad&K!SC#r|<{jZ4U+w-reHwJ$_^M`ZSNv)H!R$Qq*wqiefzUt=pKNgos
z-@iKf^Cc1e)syyppCcz9y()J0_ZN@e-95F*_tO7AFAHvpEV;eReqkna^|rcz1>7rd
zZ1w!jbjkF$`bw_tYA^4xZZ2^@mzQh%@Xdr>X?N~?|8<VX)<ny5;i{l}os)kpyS8e<
zym!~<F*Lke^72s9x-h=)X>*rf&pP&zp(NQ&I5^&>X6=jl%o}9GbZm9p0&+SoYAfEE
z@vr%K??nDT%L}icQ9btIrM|SVT;07N-+mOfnO=ESRsUMyG5hI%Nh!~#N>103X*(R4
zH0SS=(8u!v%AaqR`kSs}YG)OfFlDMWPxVE`$6Kop^Bz58TxP{5*&Vz#eE+{Y6_p#V
zPFQnn!q3~iO`q${=E*#GB$f0`(pvWBv0w4;P4?+%7|VRCd3@m3&0`l9)L&Egt2%h2
zK;ycGrndR1(_Foi<x{1~+h6_q99zDoKG)l){^F11vVDP3ad|OktW(xho1N|0`OBE6
z@{MeAeca548rnvFZ>#P`e4HMWeExH-^`7+_Q@%}GW^+qFW6DOI)hBNJskl;ld-5`U
z)3SM5W^ed*2bPpf{wV)=vDKD$QJD|-`PY2;FZExeKw|3jH*S#^J(I7mn(4Ob>i7Te
z=C0bWDZ+L1c<cS}Dc{5nfB0(}uqT>}i>pUFeBBNmvBf8ybuJriURS%f^rAtfw@Zs}
z@@2KtQc1ycH;1)Ws!#oTG(FDxKX3QU<#W^PmNaKB{#s+UT7hwG=C3_5%Vk6#?E4-u
z{Y5?lL*9hM6*p&xT-YiqBBEhtb}e--yYTG2haYapzCG>hTl;|fthWj+f)}rw9b4=A
zJ6>+pN$seps6WU0zTbTGbdrvcx$uRv1^1a57#5V)32*bAygTOQ#?#jSDhxkw`ONy+
z547xy;f2+oG%3r5b-kHK?{fd;Wq8py!|mSREhfEp^ur*B6fMwxS#|jYBg4P58GAVy
z80L!AKi|d9pJrgNiI?Gn9{19{sn_4d+U;lK`ulKw>%R}{FTMTcTvx#RrTzP_U7{_J
zV~c#;Zh-gt97w?s*pn{Z5dPub(|1dc{!5!0#^4~HdgAfc%@=OQzI`$6-sZEb_cJiu
zJGxwe!6DrBmYcF2=WS1Z746Jpx!3pE+tl}GdFyK%SVbHT>&@OK3tIixaPElu`5f_g
z7R%T4?6vxK>bc%)|9unRKGkMoU~ouJk~p^Yk>~7bw-_DVKX~3K3$qLj4q7W^zW7@B
z8&f$M)i~jKmErSiB3Bq4R}GER3XS_#J<IsS*@W63wN>iRyJUXHU!1X^K6l%)Jxlz(
z|E;nNH2+u3@WSJvl;Lw*SzY~$&yLT&vtVKK*TvVjzkbnbWGVA>*N5f*Rr3-VUc~8$
zd3V3AmuM?o>zkd+xInq&($y2qCRWAG*YtfZPCWWOGoeKPDDRwAtl8UMB=lYWo9EX0
zitUfj>3PyC6~3=!_%X{gl7Iic*!mgL>n~0Er8d>f_xDvRUCB}}?X*9$7bz=UeSYx%
ziZklsTnr!Fc^#!0YE~Avz1<O6_3b31)rJ>=sej%r=$aE0`me6`$2Y6mi<cHu#LhF@
z|9NNL?A<<+hdXaK+%K{UDXd!j%=i42I`_$$_2z#A{Ou|{v!AEDxO<-a%Axx8j10Rh
zmrY>)pt_&yHs{{o8Xt4ydEZz^FqSgr-EQsQ8NKr0&0E_Ze&MaV@NVU)x%w}^*7IEa
zv$VKWJ$oZ_-KvP&VfvSs6}Qde^J(dqU7SDl`O51}wN}wV>n`na{*~}gqV@MPCq{<4
zRWD77n9LdSKjUhAEH_wdIFW0$PUy*Ay^y?(8V8DewCC4HTPllZygdKk_nopWL%qli
z^|->n?XRyb-hUyM(_H)Q()A{PmOh<z{Qo>g2B}0TONRK*L{;z)w#{AtZ1d8pk9U>s
ze!a8)OGUnO>DwJ;4EL@oD>xjxK6^{fQ@y9w+9h8O$G=|ud3*K|k7J-Cx*pi$tz#F5
zin8;+sJI*8yUTuMZ~B@G=faJ?Iv#b|`{B@b(KBn57F^yjr#en`Z_?C)xAwQIPThLk
zm8EAM>%q%#VXA4J*zT9bH+e4~lNNW|#aJ;rz9si|O9pGy>(W23xo26VO}ON?%wtkh
z)%Osms{PNb=hVmN*9ENksK55=qjt9cpQqYiv*4ARyh_DCeNx<=HSzz77H6sZg=YD0
zt`lOAU7Pfr?d=NR>wBK7y;NK6yR!e>#VIdx-u}$ry<VS};eo4f{OhYGKA&&tGwgeI
zc|+~eJ#LYb)mzh#in)H>v4GokX;tFW`CHy>zbztI5xMiGd&rK*bGmKc{>u2LJZtZ|
zsNnFo!Evq5eV2r9ZF-`(l%=Zf-{;rHTc0e>SE>8YG`Ib){;z)ZxZsCZwpvBaS|5A<
zX!h|#tWm|+u8V^TkU!l=Gd7t#-g0J5c6@%U$(d|%A;HAUH@2MI)OI7}`I^wgd!DJa
zA7@@a$G;%iG?sN*VB5Ohe{2jT?rjUx@`D_&hXn*IxN#$5dTHI}n)QbhuW!?ReY<|a
zKJG1#3zoUXnw$RV`nKODWODeE?f-v(3KNDchw=|?%Pg9%ZCkc;{d&+EPlgM<KaVnH
zf@MooVa3_CugfMfGyIu-u}YYM!Akevxl(>PotEP#%oq;r)|v8cTI}xfpMRt@zO?Tb
z`*nD~*Y?-^KM$H-kiYl(b(jDswJ<OkNG5`h&SRJ?h%OMxb9hJI-aVN!Bd_nfw<}}D
zb$t$p>sOwH_v(o8hfi}@ekG}XvzIo*f^MTHA8$pzc(bmo#L=-aDLFaT?BUgT28Q>K
zf;ku#tX9fhEI7aA?GpA$Q%xRk%f2r^Z@>H2rPD<vWY;9FK4y9wyi?mD{n6y~ZQSK^
zg2Rr*$yPrtp8i$+{)u-JKDYJ7E$~(MdQuP*@=ZEe<d$MOBLjnjy8+MRsLb>Ga&O8r
z<W2EEv~BaLm9r*gUELwMbzjo@j}J6H&iZg>_pc|Xou*pqt^5?U^3$gKm*+UXV|$%D
z>))5lQgt~C-*xPBEw}%_QK)`HRCTf5sfQZD{}$eVy>jA_`~&qZ*+=GlFWIukWwv~O
z(dA>SQr;yqyfD)d^PYX}PX@>J-tX!K%r7$LmY9B0=skC5!B_6!l9Z3NQWAH)3z?T^
z9h{|WHREx~W`F&!Z-tlcQLZkz7P+wN!OW`Cn>;teYs1am`7a93=eyFqUN<0XLU_FZ
z!@7vcUq8IQ{k47PM=jlz<@fdaeoHVsn0ZWbuB#Peh4XWs+l8CIyqU~gl5lbHsULS8
zMJ<=E`t$eKhqtA_4qaVPabfPP-w(@DYph)k*B3@Sb)EfnvuCi$uBzGB)|{PmcjkIs
z?Yi~J&C4>~xU!Ei+C48>@#u@&+1c|}{^k3w%>VH5&VEJ)^ESa;hI@~~6Rj7P%d5_N
zySTBIU3-Dvf!y6649m4mEuwFm&6&2l@XhNhG8bRX4Bql8VJqJrE0>8MFK^wMZ+}!|
zURc@Dt7hW+FU`DUx2v{bhNL}LLz(8aZC6ikc{yj{G&cF1lXs3YG)Ny_VaAaEarVRc
z8#7;W`R{RlzWK1I%+>JPO{YsvO<S7p#XW25)?+SOYc_?b=EkkKv+BeJg{$%F!b%S>
z+vOE{olVp1%=&--85^SS9A{u)_z++BvD3s(FL19=+lxtpi<j5US?BrKO+(#0OgTt>
zN6vel;uTstUWNyAZ5Idkt&)mtau&I?K~up;vC(wZs+@;E0~qRBeoHW1n6o?cQSWx+
zsWJ`bKU{CTn?6UW-8d#wIk@Ci&O|QnH>=E-G$hPkv+ykYeQiFu3m<naoK;dEH2Zwy
zx2uw(>MGe8Kd$lyGGDP@7GSBab-Tug;oi|&9)`Q#kFS^AJ)ylvYQ4j~iqs;87anzj
z+j4~$iDs<5{&Bs2#-kaIUeYs_Jh@)o4d#6Lch>uYf3<smI&M6+e@j@mywn;E&rQcN
zKg<YGt+X)Qmvmk2Ew{(@H}jWh-I=wdTKvo<S<Bs9zqYvhH!^<^%>IA;OFa9x%%`;v
z?;K~iaMoncuGNKwSC37uWn8fM=a-ppwV#@vI(1|9ys*`3ee+jxyE1~x%aGciT}n*)
z+UCDIxcKK3Z(JTLf41Ibv;Kdt{SjOJi|_LW><wIf>2UU!_raT|yg&Io=eOJ5A7?W5
z?yhP76wiC*>7Bb#A3v-9+O59+2ipT{#fx5hex`lx*-#|U%)oFUKe9*dbN;f_wAkNO
zuNNi8?VixVG5gpu-)W{tmRY5*<@)TW^}74~p0YXy+0`#Y?A=6nnf;PxxF9Rp7F9Eu
zYuCJ{CZ?muj_q3WdG^&4vV6O@<woBB$NV*Rfo!|6>8kX{z2RH_KV3L$kJA3X$3X+T
z3=2dNt<Bn6QBX<QkatF3%mduuT%fH886i#Bw9dR&hT*||n`&J>28Ox9;C7|hp=8i<
zgjg}J>eK7)?yjlh75Q~Ip8M~^_@%eMw*Pq`^@9KX*HUc`$jKNpdNzPo*fTVo#1PPF
zON`kbcMo1wycTC!ke&5uwVPOXySDd&;H-`NBbSOYTyT~ARPk1)q%7Qe*MbEO8#iv$
zoBiRHK0`zKM@<%n3!xLYUF`5bRCbB^q}Qy%+gsoBpTEEO)}_;2J$%<Tgg%~?3-Ph>
z$CJjlTdmJ&YA3Jn+xuzf>0gucQ_4?NI=ky%SSGAmWTB_^yH}Iz?S#z?3=9j_@*Lg~
z7PUJ6*0QBm{^u)|e=|CG*9dK!8|rs;O33PCXIFd`t?p%a@4ViUe($P!yx6KUg`QKV
zdrh7GciuCeBJ+s+%U_nyzxE-SyVU)QuGIHO$5&;2_Geh|xD~0e%-V8y)f4$O*Y3^f
zF0wc^rT)eDs;rb1?4_SRNH8$OZ;H^#yZ$wU<52Hylbr08>r`{^@-swT_6%3%zx3h^
zZ`6JRS9h`d%NsB56)`VZCAQ|~qGn4!^WR^B?%M^PvfkvLK38<p?Ymo<9~56pvHkO_
z>~CV;9xv}#>(_VBUKu|9?1b8@<)y`61Z+RPblCgj7SmF;twGOU8Zt1{tt}MDmpT=_
zD*Lq|<ASwT={NdjFHN5>vw*o<F7()YzEvkQL!Z9C-k!hyviLf-qs{NG+~MD@{rI+`
z)W7b-TKc!vs84!-b<x`6p#je(91dJGXaD*uUo^yjGkvgI?ku|8JnP;UpSR^P_PYe^
z>bl&*^DocZ8o9~(^VZa(?@Mzon4I<4xGe(gj=9d>i_hoE&P;QWZkYK;D@XmyyTwN~
z*P3j2pTK&Bbw{qa-Lt%<Z0Xsz+b(~~sM+<bBkcT~MWO7gOCMZS?CP1nZY_Tr#^9Og
zvcDP(x0WIrxdt~T{nlLeVaq1zch|e)U;1Rq-?g(?bJWVepON8(Oq5h(-s=}8i*GTU
zd19VeofEZ-?e5P7=hE*lda$C?>(oBqiDuWG&t9A1(Hc5!)e>3mskhHRTV?Z7&i32o
z_b<bq7v}!|Z1>c7v&@I$Ff7AdcdoUzef{u4;`(+_rn_}c-!Aglan)7fhXi7clD=H&
zzigU$hvVs!V_7b*Sf;<7o5~m3>Z+-><L&b;`IzHJTmKd?>^Y?A9@?vOP?0P1hKRtj
z2?wM?!>{gMyL#1{?+{ylEIt;zH+HStyLIR8n)!cYZrJvrd&6CQOIN=19hcmey|{8y
zNp#wc(0mWZgJzM=v+bQD3qLgUUFW^J<oD!Tg<-ol-HTrFzTe|wtYqY`YoAwtc_R_#
z&h#htW_WtYoC#|dJ`6W^;SKmd!|Caq6*(KvT~20Ts9F8-_4Zl&x>kS8de|E-n7<a9
zj30|9-ZoQ=YP?n@`~O#yTA$>SEfX~-Em?5ScIt$C>woe+zkhwUyh!#R@9eEV79Q-H
zq>?>{t=}_rQu}M^qc!Pma#B;51cYk7KjK*%oE;kA|NVR?^9O#>-Da8b4!hF+tAswh
zrgC?8!nAiMZmwOcK1cUj<Zt_B|E)IoYrp5t-zdv)V7pY@!K*vAguKs;b>6G~oQ1*o
zhe=Mn=K8>}V{vaIH@XJe>b-CZTp1VfQ(gD>TQgUdxUjr$f}-y%o+tV5wwv|8BW?Za
zYga;lPYHiGVeyxiZ90`6nvL`3S{wYd`}^MacxcVZb$4Vpr^asgSZ)9G?Cpm8oAa-9
zpI;OF;g0MnecNT_?@nIr>QVhw?W<i1sR>kFBBJv^1=WlLNhXyBsZnXywyxi<C-rPA
zcW1|j%$!>(k<K}*im$CQc)w)Rz8`0@pR-@sILnUt_zB1BZuQIzyOa+HY&KuHAX{5Q
zBOoVd&1tLsl`GevH=n=e?D;q-o6i{S=}`etos6f$F&qQv=709Zr%!zC`?2Q>$oHPE
KelF{r5}E*XX=FM8

literal 0
HcmV?d00001

diff --git a/docs/wave_generator_completed.drawio b/docs/wave_generator_completed.drawio
new file mode 100644
index 0000000..9def0ba
--- /dev/null
+++ b/docs/wave_generator_completed.drawio
@@ -0,0 +1,100 @@
+<mxfile host="app.diagrams.net" agent="Mozilla/5.0 (X11; Linux x86_64; rv:137.0) Gecko/20100101 Firefox/137.0" version="26.2.14">
+  <diagram name="Page-1" id="ZRCvXX_TBlFcA-i0oMmK">
+    <mxGraphModel dx="2529" dy="747" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="1169" pageHeight="827" math="0" shadow="0">
+      <root>
+        <mxCell id="0" />
+        <mxCell id="1" parent="0" />
+        <mxCell id="liv1zIGuVtiVySRaDl_v-15" value="" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#f5f5f5;fontColor=#333333;strokeColor=#666666;arcSize=3;" parent="1" vertex="1">
+          <mxGeometry y="40" width="1320" height="720" as="geometry" />
+        </mxCell>
+        <mxCell id="liv1zIGuVtiVySRaDl_v-1" value="A" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1">
+          <mxGeometry x="160" y="80" width="280" height="160" as="geometry" />
+        </mxCell>
+        <mxCell id="liv1zIGuVtiVySRaDl_v-2" value="" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1">
+          <mxGeometry x="160" y="320" width="280" height="160" as="geometry" />
+        </mxCell>
+        <mxCell id="liv1zIGuVtiVySRaDl_v-3" value="" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1">
+          <mxGeometry x="520" y="80" width="280" height="160" as="geometry" />
+        </mxCell>
+        <mxCell id="liv1zIGuVtiVySRaDl_v-4" value="" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1">
+          <mxGeometry x="880" y="560" width="280" height="160" as="geometry" />
+        </mxCell>
+        <mxCell id="liv1zIGuVtiVySRaDl_v-5" value="" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1">
+          <mxGeometry x="880" y="320" width="280" height="160" as="geometry" />
+        </mxCell>
+        <mxCell id="liv1zIGuVtiVySRaDl_v-6" value="" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1">
+          <mxGeometry x="880" y="80" width="280" height="160" as="geometry" />
+        </mxCell>
+        <mxCell id="liv1zIGuVtiVySRaDl_v-7" value="" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1">
+          <mxGeometry x="160" y="560" width="280" height="160" as="geometry" />
+        </mxCell>
+        <mxCell id="liv1zIGuVtiVySRaDl_v-8" value="&lt;div&gt;&lt;br&gt;&lt;/div&gt;&lt;div&gt;&lt;br&gt;&lt;/div&gt;" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;fontStyle=1" parent="1" vertex="1">
+          <mxGeometry x="520" y="560" width="280" height="160" as="geometry" />
+        </mxCell>
+        <mxCell id="liv1zIGuVtiVySRaDl_v-9" value="" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1">
+          <mxGeometry x="520" y="320" width="280" height="160" as="geometry" />
+        </mxCell>
+        <mxCell id="liv1zIGuVtiVySRaDl_v-10" value="I_clk" style="shape=step;perimeter=stepPerimeter;whiteSpace=wrap;html=1;fixedSize=1;fillColor=#f0a30a;strokeColor=#BD7000;fontColor=#000000;fontFamily=Ubuntu Mono;fontSize=18;fontStyle=1" parent="1" vertex="1">
+          <mxGeometry x="-40" y="120" width="160" height="40" as="geometry" />
+        </mxCell>
+        <mxCell id="liv1zIGuVtiVySRaDl_v-11" value="I_rst" style="shape=step;perimeter=stepPerimeter;whiteSpace=wrap;html=1;fixedSize=1;fillColor=#f0a30a;strokeColor=#BD7000;fontColor=#000000;fontFamily=Ubuntu Mono;fontSize=18;fontStyle=1" parent="1" vertex="1">
+          <mxGeometry x="-40" y="200" width="160" height="40" as="geometry" />
+        </mxCell>
+        <mxCell id="liv1zIGuVtiVySRaDl_v-12" value="I_wave_sel" style="shape=step;perimeter=stepPerimeter;whiteSpace=wrap;html=1;fixedSize=1;fillColor=#f0a30a;strokeColor=#BD7000;fontColor=#000000;fontFamily=Ubuntu Mono;fontSize=18;fontStyle=1" parent="1" vertex="1">
+          <mxGeometry x="-40" y="280" width="160" height="40" as="geometry" />
+        </mxCell>
+        <mxCell id="liv1zIGuVtiVySRaDl_v-14" value="O_wav" style="shape=step;perimeter=stepPerimeter;whiteSpace=wrap;html=1;fixedSize=1;fillColor=#f0a30a;strokeColor=#BD7000;fontColor=#000000;fontFamily=Ubuntu Mono;fontSize=18;fontStyle=1" parent="1" vertex="1">
+          <mxGeometry x="1200" y="120" width="160" height="40" as="geometry" />
+        </mxCell>
+        <mxCell id="qXNk3cKXuXGASL7YUoNw-1" value="&lt;div&gt;&lt;/div&gt;&lt;div&gt;&lt;font size=&quot;1&quot;&gt;- compte de 0 à 25 puis décompte de 25 à 0&lt;/font&gt;&lt;/div&gt;&lt;div&gt;&lt;font size=&quot;1&quot;&gt;- la sortie du milieu indique la 11e valeeur à partir du départ du compteur (dans le sens croissant et décroissant)&lt;/font&gt;&lt;/div&gt;&lt;div&gt;&lt;font size=&quot;1&quot;&gt;- la dernière sortie indique la 24e valeur à partir du départ du compteur (dans les sens croissant et décroissant)&lt;/font&gt;&lt;/div&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
+          <mxGeometry x="520" y="140" width="290" height="80" as="geometry" />
+        </mxCell>
+        <mxCell id="qXNk3cKXuXGASL7YUoNw-2" value="&lt;font style=&quot;font-size: 35px;&quot;&gt;&lt;b&gt;&lt;font&gt;B&lt;/font&gt;&lt;/b&gt;&lt;/font&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
+          <mxGeometry x="630" y="100" width="60" height="30" as="geometry" />
+        </mxCell>
+        <mxCell id="qXNk3cKXuXGASL7YUoNw-3" value="&lt;font style=&quot;font-size: 35px;&quot;&gt;&lt;b&gt;&lt;font&gt;C&lt;/font&gt;&lt;/b&gt;&lt;/font&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
+          <mxGeometry x="990" y="100" width="60" height="30" as="geometry" />
+        </mxCell>
+        <mxCell id="qXNk3cKXuXGASL7YUoNw-4" value="&lt;div&gt;-&amp;nbsp; Une des SORTIES génère un compteur&lt;/div&gt;&lt;div&gt;- L&#39;entrée sine out renvoie la première moitié d&#39;une sinusoîde croissante de 1 à 25 puis 0 au-delà&lt;/div&gt;&lt;div&gt;- pour avoir la sinusoîde compllète il faut accoler la même fonction en décomptant de 25 à 0&lt;/div&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
+          <mxGeometry x="879" y="140" width="290" height="80" as="geometry" />
+        </mxCell>
+        <mxCell id="qXNk3cKXuXGASL7YUoNw-5" value="&lt;div&gt;-&amp;nbsp; Une des sorties génère un compteur&lt;/div&gt;&lt;div&gt;- La sortie renvoie la moitié d&#39;un signal triangulaire de 1 à 25 puis 0 au-delà&lt;/div&gt;&lt;div&gt;- pour former le signal complet il faut le renvoyer dans l&#39;autre sens et l&#39;accoler à la première partie&lt;/div&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
+          <mxGeometry x="155" y="390" width="290" height="80" as="geometry" />
+        </mxCell>
+        <mxCell id="qXNk3cKXuXGASL7YUoNw-6" value="&lt;font style=&quot;font-size: 35px;&quot;&gt;&lt;b&gt;&lt;font&gt;D&lt;/font&gt;&lt;/b&gt;&lt;/font&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
+          <mxGeometry x="275" y="340" width="60" height="30" as="geometry" />
+        </mxCell>
+        <mxCell id="qXNk3cKXuXGASL7YUoNw-7" value="&lt;font style=&quot;font-size: 35px;&quot;&gt;&lt;b&gt;&lt;font&gt;E&lt;/font&gt;&lt;/b&gt;&lt;/font&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
+          <mxGeometry x="630" y="340" width="60" height="30" as="geometry" />
+        </mxCell>
+        <mxCell id="qXNk3cKXuXGASL7YUoNw-8" value="&lt;div&gt;-&amp;nbsp; Une des sorties génère un compteur&lt;/div&gt;&lt;div&gt;- La sortie renvoie un signal en dent de scie entre 0 et 50&lt;/div&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
+          <mxGeometry x="515" y="390" width="290" height="80" as="geometry" />
+        </mxCell>
+        <mxCell id="qXNk3cKXuXGASL7YUoNw-9" value="&lt;font style=&quot;font-size: 35px;&quot;&gt;&lt;b&gt;&lt;font&gt;F&lt;/font&gt;&lt;/b&gt;&lt;/font&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
+          <mxGeometry x="994" y="340" width="60" height="30" as="geometry" />
+        </mxCell>
+        <mxCell id="qXNk3cKXuXGASL7YUoNw-10" value="&lt;div&gt;-&amp;nbsp; C&#39;est un multiplexeur à 4 entrées&lt;/div&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
+          <mxGeometry x="870" y="390" width="290" height="80" as="geometry" />
+        </mxCell>
+        <mxCell id="qXNk3cKXuXGASL7YUoNw-11" value="&lt;font style=&quot;font-size: 35px;&quot;&gt;&lt;b&gt;&lt;font&gt;H&lt;/font&gt;&lt;/b&gt;&lt;/font&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
+          <mxGeometry x="630" y="580" width="60" height="30" as="geometry" />
+        </mxCell>
+        <mxCell id="qXNk3cKXuXGASL7YUoNw-12" value="&lt;div&gt;-&amp;nbsp; C&#39;est un multiplexeur à 2 entrées&lt;/div&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
+          <mxGeometry x="520" y="620" width="290" height="80" as="geometry" />
+        </mxCell>
+        <mxCell id="qXNk3cKXuXGASL7YUoNw-13" value="&lt;font style=&quot;font-size: 35px;&quot;&gt;&lt;b&gt;&lt;font&gt;G&lt;/font&gt;&lt;/b&gt;&lt;/font&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
+          <mxGeometry x="270" y="580" width="60" height="30" as="geometry" />
+        </mxCell>
+        <mxCell id="qXNk3cKXuXGASL7YUoNw-14" value="&lt;div&gt;- Renvoie l&#39;opposé du nombre codé sur 8 bits signé en complément à 2&lt;/div&gt;&lt;div&gt;- Problème de débordement (le nombre 0 occupe un espace, donc 128 ne peut pas être renvoyé comme l&#39;opposé de -128)&lt;/div&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
+          <mxGeometry x="155" y="620" width="290" height="80" as="geometry" />
+        </mxCell>
+        <mxCell id="qXNk3cKXuXGASL7YUoNw-15" value="&lt;div&gt;- Renvoie l&#39;opposé du nombre codé sur 8 bits signé en complément à 2&lt;/div&gt;&lt;div&gt;- Problème de débordement (le nombre 0 occupe un espace, donc 128 ne peut pas être renvoyé comme l&#39;opposé de -128)&lt;/div&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
+          <mxGeometry x="890" y="630" width="290" height="80" as="geometry" />
+        </mxCell>
+        <mxCell id="qXNk3cKXuXGASL7YUoNw-16" value="&lt;font style=&quot;font-size: 35px;&quot;&gt;&lt;b&gt;&lt;font&gt;I&lt;/font&gt;&lt;/b&gt;&lt;/font&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
+          <mxGeometry x="994" y="580" width="60" height="30" as="geometry" />
+        </mxCell>
+      </root>
+    </mxGraphModel>
+  </diagram>
+</mxfile>
diff --git a/proj/tb_module_C_behav.wcfg b/proj/tb_module_C_behav.wcfg
new file mode 100644
index 0000000..a2e22fd
--- /dev/null
+++ b/proj/tb_module_C_behav.wcfg
@@ -0,0 +1,61 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<wave_config>
+   <wave_state>
+   </wave_state>
+   <db_ref_list>
+      <db_ref path="tb_module_C_behav.wdb" id="1">
+         <top_modules>
+            <top_module name="tb_module_C" />
+            <top_module name="wave_package" />
+         </top_modules>
+      </db_ref>
+   </db_ref_list>
+   <zoom_setting>
+      <ZoomStartTime time="225.170 ns"></ZoomStartTime>
+      <ZoomEndTime time="337.771 ns"></ZoomEndTime>
+      <Cursor1Time time="235.000 ns"></Cursor1Time>
+   </zoom_setting>
+   <column_width_setting>
+      <NameColumnWidth column_width="276"></NameColumnWidth>
+      <ValueColumnWidth column_width="120"></ValueColumnWidth>
+   </column_width_setting>
+   <WVObjectSize size="9" />
+   <wvobject type="logic" fp_name="/tb_module_C/SR_clk">
+      <obj_property name="ElementShortName">SR_clk</obj_property>
+      <obj_property name="ObjectShortName">SR_clk</obj_property>
+   </wvobject>
+   <wvobject type="logic" fp_name="/tb_module_C/SR_rst">
+      <obj_property name="ElementShortName">SR_rst</obj_property>
+      <obj_property name="ObjectShortName">SR_rst</obj_property>
+   </wvobject>
+   <wvobject type="array" fp_name="/tb_module_C/SR_addr">
+      <obj_property name="ElementShortName">SR_addr[4:0]</obj_property>
+      <obj_property name="ObjectShortName">SR_addr[4:0]</obj_property>
+   </wvobject>
+   <wvobject type="array" fp_name="/tb_module_C/SC_sine_out">
+      <obj_property name="ElementShortName">SC_sine_out[15:0]</obj_property>
+      <obj_property name="ObjectShortName">SC_sine_out[15:0]</obj_property>
+      <obj_property name="WaveformStyle">STYLE_ANALOG</obj_property>
+      <obj_property name="CellHeight">100</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/tb_module_C/SR_addrNatural">
+      <obj_property name="ElementShortName">SR_addrNatural</obj_property>
+      <obj_property name="ObjectShortName">SR_addrNatural</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/tb_module_C/C_N">
+      <obj_property name="ElementShortName">C_N</obj_property>
+      <obj_property name="ObjectShortName">C_N</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/tb_module_C/C_f0">
+      <obj_property name="ElementShortName">C_f0</obj_property>
+      <obj_property name="ObjectShortName">C_f0</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/tb_module_C/C_fs">
+      <obj_property name="ElementShortName">C_fs</obj_property>
+      <obj_property name="ObjectShortName">C_fs</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/tb_module_C/C_clk_period">
+      <obj_property name="ElementShortName">C_clk_period</obj_property>
+      <obj_property name="ObjectShortName">C_clk_period</obj_property>
+   </wvobject>
+</wave_config>
diff --git a/proj/tb_module_D_behav.wcfg b/proj/tb_module_D_behav.wcfg
new file mode 100644
index 0000000..88d33b1
--- /dev/null
+++ b/proj/tb_module_D_behav.wcfg
@@ -0,0 +1,61 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<wave_config>
+   <wave_state>
+   </wave_state>
+   <db_ref_list>
+      <db_ref path="tb_module_D_behav.wdb" id="1">
+         <top_modules>
+            <top_module name="tb_module_D" />
+            <top_module name="wave_package" />
+         </top_modules>
+      </db_ref>
+   </db_ref_list>
+   <zoom_setting>
+      <ZoomStartTime time="59.330 ns"></ZoomStartTime>
+      <ZoomEndTime time="340.831 ns"></ZoomEndTime>
+      <Cursor1Time time="280.000 ns"></Cursor1Time>
+   </zoom_setting>
+   <column_width_setting>
+      <NameColumnWidth column_width="276"></NameColumnWidth>
+      <ValueColumnWidth column_width="120"></ValueColumnWidth>
+   </column_width_setting>
+   <WVObjectSize size="9" />
+   <wvobject type="logic" fp_name="/tb_module_D/SR_clk">
+      <obj_property name="ElementShortName">SR_clk</obj_property>
+      <obj_property name="ObjectShortName">SR_clk</obj_property>
+   </wvobject>
+   <wvobject type="logic" fp_name="/tb_module_D/SR_rst">
+      <obj_property name="ElementShortName">SR_rst</obj_property>
+      <obj_property name="ObjectShortName">SR_rst</obj_property>
+   </wvobject>
+   <wvobject type="array" fp_name="/tb_module_D/SR_addr">
+      <obj_property name="ElementShortName">SR_addr[4:0]</obj_property>
+      <obj_property name="ObjectShortName">SR_addr[4:0]</obj_property>
+   </wvobject>
+   <wvobject type="array" fp_name="/tb_module_D/SC_triangle_out">
+      <obj_property name="ElementShortName">SC_triangle_out[15:0]</obj_property>
+      <obj_property name="ObjectShortName">SC_triangle_out[15:0]</obj_property>
+      <obj_property name="WaveformStyle">STYLE_ANALOG</obj_property>
+      <obj_property name="CellHeight">100</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/tb_module_D/SR_addrNatural">
+      <obj_property name="ElementShortName">SR_addrNatural</obj_property>
+      <obj_property name="ObjectShortName">SR_addrNatural</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/tb_module_D/C_clk_period">
+      <obj_property name="ElementShortName">C_clk_period</obj_property>
+      <obj_property name="ObjectShortName">C_clk_period</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/tb_module_D/C_N">
+      <obj_property name="ElementShortName">C_N</obj_property>
+      <obj_property name="ObjectShortName">C_N</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/tb_module_D/C_f0">
+      <obj_property name="ElementShortName">C_f0</obj_property>
+      <obj_property name="ObjectShortName">C_f0</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/tb_module_D/C_fs">
+      <obj_property name="ElementShortName">C_fs</obj_property>
+      <obj_property name="ObjectShortName">C_fs</obj_property>
+   </wvobject>
+</wave_config>
diff --git a/proj/tb_module_E_behav.wcfg b/proj/tb_module_E_behav.wcfg
new file mode 100644
index 0000000..9d79bb6
--- /dev/null
+++ b/proj/tb_module_E_behav.wcfg
@@ -0,0 +1,61 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<wave_config>
+   <wave_state>
+   </wave_state>
+   <db_ref_list>
+      <db_ref path="tb_module_E_behav.wdb" id="1">
+         <top_modules>
+            <top_module name="tb_module_E" />
+            <top_module name="wave_package" />
+         </top_modules>
+      </db_ref>
+   </db_ref_list>
+   <zoom_setting>
+      <ZoomStartTime time="0.000 ns"></ZoomStartTime>
+      <ZoomEndTime time="563.001 ns"></ZoomEndTime>
+      <Cursor1Time time="550.000 ns"></Cursor1Time>
+   </zoom_setting>
+   <column_width_setting>
+      <NameColumnWidth column_width="276"></NameColumnWidth>
+      <ValueColumnWidth column_width="120"></ValueColumnWidth>
+   </column_width_setting>
+   <WVObjectSize size="9" />
+   <wvobject type="logic" fp_name="/tb_module_E/SR_clk">
+      <obj_property name="ElementShortName">SR_clk</obj_property>
+      <obj_property name="ObjectShortName">SR_clk</obj_property>
+   </wvobject>
+   <wvobject type="logic" fp_name="/tb_module_E/SR_rst">
+      <obj_property name="ElementShortName">SR_rst</obj_property>
+      <obj_property name="ObjectShortName">SR_rst</obj_property>
+   </wvobject>
+   <wvobject type="array" fp_name="/tb_module_E/SR_addr">
+      <obj_property name="ElementShortName">SR_addr[5:0]</obj_property>
+      <obj_property name="ObjectShortName">SR_addr[5:0]</obj_property>
+   </wvobject>
+   <wvobject type="array" fp_name="/tb_module_E/SC_saw_tooth_out">
+      <obj_property name="ElementShortName">SC_saw_tooth_out[15:0]</obj_property>
+      <obj_property name="ObjectShortName">SC_saw_tooth_out[15:0]</obj_property>
+      <obj_property name="WaveformStyle">STYLE_ANALOG</obj_property>
+      <obj_property name="CellHeight">100</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/tb_module_E/SR_addrNatural">
+      <obj_property name="ElementShortName">SR_addrNatural</obj_property>
+      <obj_property name="ObjectShortName">SR_addrNatural</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/tb_module_E/C_clk_period">
+      <obj_property name="ElementShortName">C_clk_period</obj_property>
+      <obj_property name="ObjectShortName">C_clk_period</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/tb_module_E/C_N">
+      <obj_property name="ElementShortName">C_N</obj_property>
+      <obj_property name="ObjectShortName">C_N</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/tb_module_E/C_f0">
+      <obj_property name="ElementShortName">C_f0</obj_property>
+      <obj_property name="ObjectShortName">C_f0</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/tb_module_E/C_fs">
+      <obj_property name="ElementShortName">C_fs</obj_property>
+      <obj_property name="ObjectShortName">C_fs</obj_property>
+   </wvobject>
+</wave_config>
diff --git a/proj/tb_module_G_behav.wcfg b/proj/tb_module_G_behav.wcfg
new file mode 100644
index 0000000..dd477ff
--- /dev/null
+++ b/proj/tb_module_G_behav.wcfg
@@ -0,0 +1,32 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<wave_config>
+   <wave_state>
+   </wave_state>
+   <db_ref_list>
+      <db_ref path="tb_module_G_behav.wdb" id="1">
+         <top_modules>
+            <top_module name="tb_module_G" />
+         </top_modules>
+      </db_ref>
+   </db_ref_list>
+   <zoom_setting>
+      <ZoomStartTime time="0.000 ns"></ZoomStartTime>
+      <ZoomEndTime time="112.601 ns"></ZoomEndTime>
+      <Cursor1Time time="72.200 ns"></Cursor1Time>
+   </zoom_setting>
+   <column_width_setting>
+      <NameColumnWidth column_width="276"></NameColumnWidth>
+      <ValueColumnWidth column_width="120"></ValueColumnWidth>
+   </column_width_setting>
+   <WVObjectSize size="2" />
+   <wvobject type="array" fp_name="/tb_module_G/SR_din">
+      <obj_property name="ElementShortName">SR_din[7:0]</obj_property>
+      <obj_property name="ObjectShortName">SR_din[7:0]</obj_property>
+      <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
+   </wvobject>
+   <wvobject type="array" fp_name="/tb_module_G/SC_dout">
+      <obj_property name="ElementShortName">SC_dout[7:0]</obj_property>
+      <obj_property name="ObjectShortName">SC_dout[7:0]</obj_property>
+      <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
+   </wvobject>
+</wave_config>
diff --git a/src/hdl/wave_generator.vhd b/src/hdl/wave_generator.vhd
index 310c78f..16250f9 100644
--- a/src/hdl/wave_generator.vhd
+++ b/src/hdl/wave_generator.vhd
@@ -64,12 +64,12 @@ begin
             G_MAX_VAL => natural(floor(G_fs/(2.0*G_f0)))
             )
         port map (
-            I_clk    => ,
-            I_rst    => ,
-            I_u_d    => ,
-            O_val    => ,
-            O_last   => ,
-            O_middle =>
+            I_clk    => I_clk,
+            I_rst    => I_rst,
+            I_u_d    => S_u_d,
+            O_val    => S_addr,
+            O_last   => S_last,
+            O_middle => S_middle
             );
 
     -- Module C
@@ -94,10 +94,10 @@ begin
             G_fs => G_fs
             )
         port map (
-            I_clk      => ,
-            I_rst      => ,
-            I_addr     => ,
-            O_triangle =>
+            I_clk      => I_clk,
+            I_rst      => I_rst,
+            I_addr     => S_addr(C_addr_half_w-1 downto 0),
+            O_triangle => S_triangle_out_lut
             );
 
     -- Module E
@@ -108,10 +108,10 @@ begin
             G_fs => G_fs
             )
         port map (
-            I_clk       => ,
-            I_rst       => ,
-            I_addr      => ,
-            O_saw_tooth =>
+            I_clk       => I_clk,
+            I_rst       => I_rst,
+            I_addr      => S_addr,
+            O_saw_tooth => S_saw_tooth_out_lut
             );
 
     S_square <= ((G_N-1) => '0', others => '1');
@@ -119,12 +119,12 @@ begin
     -- Module F
     F_inst : entity work.module_F
         port map (
-            I_sel  => ,
-            I_din0 => ,
-            I_din1 => ,
-            I_din2 => ,
-            I_din3 => ,
-            O_dout =>
+            I_sel  => I_wave_sel,
+            I_din0 => S_sine_out_lut,
+            I_din1 => S_square,
+            I_din2 => S_saw_tooth_out_lut,
+            I_din3 => S_triangle_out_lut,
+            O_dout => S_wave_sample
             );
 
     -- Module G
@@ -133,17 +133,17 @@ begin
             G_N => G_N
             )
         port map (
-            I_din  => ,
-            O_dout =>
+            I_din  => S_wave_sample,
+            O_dout => S_opposite_wave_sample
             );
 
     -- Module H
     H_inst : entity work.module_H
         port map (
-            I_sel  => ,
-            I_din0 => ,
-            I_din1 => ,
-            O_dout =>
+            I_sel  => S_sign_sel,
+            I_din0 => S_wave_sample,
+            I_din1 => S_opposite_wave_sample,
+            O_dout =>S_wave_value
             );
 
     -- Module I
@@ -152,10 +152,10 @@ begin
             G_N => G_N
             )
         port map (
-            I_clk  => ,
-            I_rst  => ,
-            I_din  => ,
-            O_dout =>
+            I_clk  => I_clk,
+            I_rst  => I_rst,
+            I_din  => S_wave_value,
+            O_dout =>O_wav
             );
 
 end arch;
diff --git a/vivado.jou b/vivado.jou
new file mode 100644
index 0000000..20d0fe9
--- /dev/null
+++ b/vivado.jou
@@ -0,0 +1,187 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Mon May  5 08:23:34 2025
+# Process ID: 5153
+# Current directory: /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba
+# Command line: vivado
+# Log file: /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/vivado.log
+# Journal file: /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/vivado.jou
+# Running On        :fl-tp-br-518
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz
+# CPU Frequency     :4194.132 MHz
+# CPU Physical cores:6
+# CPU Logical cores :12
+# Host memory       :16533 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20828 MB
+# Available Virtual :18954 MB
+#-----------------------------------------------------------
+start_gui
+cd tp-synthe-etudiant-k24fomba/proj
+cd
+dir
+cd ~/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj
+source ./create_project.tcl
+update_compile_order -fileset sources_1
+launch_simulation
+source tb_module_B.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_C [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_C.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_D [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_D.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_C [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+current_sim simulation_2
+close_sim
+launch_simulation
+source tb_module_C.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_E [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_B [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+current_sim simulation_1
+close_sim
+launch_simulation
+source tb_module_B.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_E [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_E.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_D [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+current_sim simulation_3
+close_sim
+launch_simulation
+source tb_module_D.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_E [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+current_sim simulation_6
+close_sim
+launch_simulation
+source tb_module_E.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_F [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_F.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_H [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_H.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_G [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_G.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_module_I [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_module_I.tcl
+launch_runs synth_1 -jobs 6
+wait_on_run synth_1
+reset_run synth_1
+launch_runs synth_1 -jobs 6
+wait_on_run synth_1
+launch_runs impl_1 -jobs 6
+wait_on_run impl_1
+open_run synth_1 -name synth_1
+open_run synth_1 -name synth_1
+launch_runs impl_1 -to_step write_bitstream -jobs 12
+wait_on_run impl_1
+open_hw_manager
+connect_hw_server -allow_non_jtag
+open_hw_target
+set_property PROGRAM.FILE {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+current_hw_device [get_hw_devices xc7a200t_0]
+refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a200t_0] 0]
+set_property PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property PROGRAM.FILE {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+program_hw_devices [get_hw_devices xc7a200t_0]
+refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0]
+set_property PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property PROGRAM.FILE {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+program_hw_devices [get_hw_devices xc7a200t_0]
+refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0]
+close_sim
+save_wave_config {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_G_behav.wcfg}
+add_files -fileset sim_1 -norecurse /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_G_behav.wcfg
+set_property xsim.view /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_G_behav.wcfg [get_filesets sim_1]
+current_sim simulation_11
+close_sim
+current_sim simulation_10
+close_sim
+current_sim simulation_9
+close_sim
+save_wave_config {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_E_behav.wcfg}
+add_files -fileset sim_1 -norecurse /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_E_behav.wcfg
+set_property xsim.view {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_G_behav.wcfg /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_E_behav.wcfg} [get_filesets sim_1]
+current_sim simulation_8
+close_sim
+save_wave_config {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_D_behav.wcfg}
+add_files -fileset sim_1 -norecurse /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_D_behav.wcfg
+set_property xsim.view {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_G_behav.wcfg /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_E_behav.wcfg /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_D_behav.wcfg} [get_filesets sim_1]
+current_sim simulation_7
+close_sim
+current_sim simulation_5
+close_sim
+save_wave_config {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_C_behav.wcfg}
+add_files -fileset sim_1 -norecurse /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_C_behav.wcfg
+set_property xsim.view {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_G_behav.wcfg /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_E_behav.wcfg /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_D_behav.wcfg /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_C_behav.wcfg} [get_filesets sim_1]
+close_sim
diff --git a/vivado.log b/vivado.log
new file mode 100644
index 0000000..47bfff1
--- /dev/null
+++ b/vivado.log
@@ -0,0 +1,1265 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Mon May  5 08:23:34 2025
+# Process ID: 5153
+# Current directory: /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba
+# Command line: vivado
+# Log file: /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/vivado.log
+# Journal file: /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/vivado.jou
+# Running On        :fl-tp-br-518
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz
+# CPU Frequency     :4194.132 MHz
+# CPU Physical cores:6
+# CPU Logical cores :12
+# Host memory       :16533 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20828 MB
+# Available Virtual :18954 MB
+#-----------------------------------------------------------
+start_gui
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available
+cd tp-synthe-etudiant-k24fomba/proj
+couldn't change working directory to "tp-synthe-etudiant-k24fomba/proj": no such file or directory
+cd
+dir
+WARNING: [Common 17-259] Unknown Tcl command 'dir' sending command to the OS shell for execution. It is recommended to use 'exec' to send the command to the OS shell.
+Bureau	   Images   Musique  Public	      Vidéos
+Documents  Modèles  path     Téléchargements
+cd ~/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj
+source ./create_project.tcl
+# if {[info exists ::create_path]} {
+# 	set dest_dir $::create_path
+# } else {
+# 	set dest_dir [pwd]
+# }
+# puts "INFO: Creating new project in $dest_dir"
+INFO: Creating new project in /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj
+# set proj_name "Synthe"
+# set origin_dir ".."
+# set orig_proj_dir "[file normalize "$origin_dir/proj"]"
+# set src_dir $origin_dir/src
+# set repo_dir $origin_dir/repo
+# set part_num "xc7a200tsbg484-1"
+# create_project $proj_name $dest_dir
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+INFO: [IP_Flow 19-1704] No user IP repositories specified
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
+create_project: Time (s): cpu = 00:00:09 ; elapsed = 00:00:14 . Memory (MB): peak = 8169.461 ; gain = 227.711 ; free physical = 9463 ; free virtual = 16887
+# set proj_dir [get_property directory [current_project]]
+# set obj [get_projects $proj_name]
+# set_property "default_lib" "xil_defaultlib" $obj
+# set_property "part" "$part_num" $obj
+# set_property "simulator_language" "Mixed" $obj
+# set_property "target_language" "VHDL" $obj
+# if {[string equal [get_filesets -quiet sources_1] ""]} {
+#   create_fileset -srcset sources_1
+# }
+# if {[string equal [get_filesets -quiet constrs_1] ""]} {
+#   create_fileset -constrset constrs_1
+# }
+# set obj [get_filesets sources_1]
+# set_property "ip_repo_paths" "[file normalize $repo_dir]" $obj
+# add_files -quiet [glob -nocomplain ../src/ip/*/*.xci]
+# add_files -fileset constrs_1 -quiet $src_dir/constraints
+# add_files -quiet $src_dir/hdl
+# set_property file_type {VHDL 2008} [get_files -filter {FILE_TYPE == VHDL}]
+CRITICAL WARNING: [filemgmt 20-1702] Unable to set property on the file: /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
+File is managed as part of sub-design (IP, Block Design, DSP Design, etc.) file: /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xci
+CRITICAL WARNING: [filemgmt 20-1702] Unable to set property on the file: /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl
+File is managed as part of sub-design (IP, Block Design, DSP Design, etc.) file: /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xci
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_wave_generator.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_ADSR_module.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_B.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_C.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_D.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_E.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_F.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_G.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_H.vhd]
+# set_property used_in_synthesis false [get_files  $src_dir/hdl/tb_module_I.vhd]
+# set_property used_in_simulation false [get_files  $src_dir/hdl/audioProc.v]
+# if {[string equal [get_runs -quiet synth_1] ""]} {
+#   create_run -name synth_1 -part $part_num -flow {Vivado Synthesis 2014} -strategy "Flow_PerfOptimized_High" -constrset constrs_1
+# } else {
+#   set_property strategy "Flow_PerfOptimized_High" [get_runs synth_1]
+#   set_property flow "Vivado Synthesis 2014" [get_runs synth_1]
+# }
+# set obj [get_runs synth_1]
+# set_property "part" "$part_num" $obj
+# set_property "steps.synth_design.args.fanout_limit" "400" $obj
+# set_property "steps.synth_design.args.fsm_extraction" "one_hot" $obj
+# set_property "steps.synth_design.args.keep_equivalent_registers" "1" $obj
+# set_property "steps.synth_design.args.resource_sharing" "off" $obj
+# set_property "steps.synth_design.args.no_lc" "1" $obj
+# set_property "steps.synth_design.args.shreg_min_size" "5" $obj
+# current_run -synthesis [get_runs synth_1]
+# if {[string equal [get_runs -quiet impl_1] ""]} {
+#   create_run -name impl_1 -part $part_num -flow {Vivado Implementation 2014} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1
+# } else {
+#   set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
+#   set_property flow "Vivado Implementation 2014" [get_runs impl_1]
+# }
+# set obj [get_runs impl_1]
+# set_property "part" "$part_num" $obj
+# set_property "steps.write_bitstream.args.bin_file" "1" $obj
+# current_run -implementation [get_runs impl_1]
+# set_property top tb_module_B [get_filesets sim_1]
+# set_property top_lib xil_defaultlib [get_filesets sim_1]
+# update_compile_order -fileset sources_1
+update_compile_order -fileset sources_1
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_B'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_B' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_B_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_B'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_B.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_B'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+execute_script: Time (s): cpu = 00:00:01 ; elapsed = 00:00:06 . Memory (MB): peak = 8332.176 ; gain = 0.000 ; free physical = 9250 ; free virtual = 16694
+INFO: [USF-XSim-69] 'compile' step finished in '6' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_B_behav xil_defaultlib.tb_module_B -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_B_behav xil_defaultlib.tb_module_B -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling package ieee.math_real
+Compiling architecture behavioral of entity xil_defaultlib.module_B [module_b_default]
+Compiling architecture behavior of entity xil_defaultlib.tb_module_b
+Built simulation snapshot tb_module_B_behav
+execute_script: Time (s): cpu = 00:00:02 ; elapsed = 00:00:07 . Memory (MB): peak = 8332.176 ; gain = 0.000 ; free physical = 9235 ; free virtual = 16745
+INFO: [USF-XSim-69] 'elaborate' step finished in '7' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_B_behav -key {Behavioral:sim_1:Functional:tb_module_B} -tclbatch {tb_module_B.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_B.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_B_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:13 ; elapsed = 00:00:25 . Memory (MB): peak = 8346.480 ; gain = 87.203 ; free physical = 9162 ; free virtual = 16718
+set_property top tb_module_C [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_C'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_C' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_C_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_package.vhd" into library xil_defaultlib
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_C'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_C.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_C'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_C_behav xil_defaultlib.tb_module_C -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_C_behav xil_defaultlib.tb_module_C -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling package ieee.math_real
+Compiling package xil_defaultlib.wave_package
+Compiling architecture behavioral of entity xil_defaultlib.module_C [\module_C(g_n=16)\]
+Compiling architecture behavior of entity xil_defaultlib.tb_module_c
+Built simulation snapshot tb_module_C_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_C_behav -key {Behavioral:sim_1:Functional:tb_module_C} -tclbatch {tb_module_C.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_C.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_C_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8465.004 ; gain = 50.816 ; free physical = 9057 ; free virtual = 16625
+set_property top tb_module_D [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_D'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_D' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_D_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_D.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_D'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_D'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_D_behav xil_defaultlib.tb_module_D -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_D_behav xil_defaultlib.tb_module_D -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling package ieee.math_real
+Compiling package xil_defaultlib.wave_package
+Compiling architecture behavioral of entity xil_defaultlib.module_D [\module_D(g_n=16)\]
+Compiling architecture behavior of entity xil_defaultlib.tb_module_d
+Built simulation snapshot tb_module_D_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_D_behav -key {Behavioral:sim_1:Functional:tb_module_D} -tclbatch {tb_module_D.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_D.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_D_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:06 . Memory (MB): peak = 8568.832 ; gain = 47.816 ; free physical = 9024 ; free virtual = 16594
+set_property top tb_module_C [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+current_sim simulation_2
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_C'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_C' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_C_vhdl.prj
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '1' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_C_behav xil_defaultlib.tb_module_C -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_C_behav xil_defaultlib.tb_module_C -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_C_behav -key {Behavioral:sim_1:Functional:tb_module_C} -tclbatch {tb_module_C.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_C.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_C_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:06 . Memory (MB): peak = 8625.840 ; gain = 0.918 ; free physical = 8938 ; free virtual = 16619
+set_property top tb_module_E [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+set_property top tb_module_B [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+current_sim simulation_1
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_B'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_B' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_B_vhdl.prj
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '1' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_B_behav xil_defaultlib.tb_module_B -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_B_behav xil_defaultlib.tb_module_B -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_B_behav -key {Behavioral:sim_1:Functional:tb_module_B} -tclbatch {tb_module_B.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_B.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_B_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 8641.852 ; gain = 0.000 ; free physical = 8663 ; free virtual = 16339
+set_property top tb_module_E [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_E'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_E' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_E_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_E.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_E'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_E.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_E'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '1' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_E_behav xil_defaultlib.tb_module_E -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_E_behav xil_defaultlib.tb_module_E -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling package ieee.math_real
+Compiling package xil_defaultlib.wave_package
+Compiling architecture behavioral of entity xil_defaultlib.module_E [\module_E(g_n=16)\]
+Compiling architecture behavior of entity xil_defaultlib.tb_module_e
+Built simulation snapshot tb_module_E_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_E_behav -key {Behavioral:sim_1:Functional:tb_module_E} -tclbatch {tb_module_E.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_E.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_E_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8708.676 ; gain = 60.820 ; free physical = 8574 ; free virtual = 16285
+set_property top tb_module_D [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+current_sim simulation_3
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_D'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_D' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_D_vhdl.prj
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_D_behav xil_defaultlib.tb_module_D -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_D_behav xil_defaultlib.tb_module_D -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_D_behav -key {Behavioral:sim_1:Functional:tb_module_D} -tclbatch {tb_module_D.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_D.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_D_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 8746.422 ; gain = 0.000 ; free physical = 8613 ; free virtual = 16303
+set_property top tb_module_E [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+current_sim simulation_6
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_E'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_E' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_E_vhdl.prj
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '1' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_E_behav xil_defaultlib.tb_module_E -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_E_behav xil_defaultlib.tb_module_E -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_E_behav -key {Behavioral:sim_1:Functional:tb_module_E} -tclbatch {tb_module_E.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_E.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_E_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 8746.422 ; gain = 0.000 ; free physical = 8467 ; free virtual = 16188
+set_property top tb_module_F [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_F'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_F' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_F_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_F.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_F'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_F.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_F'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '1' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_F_behav xil_defaultlib.tb_module_F -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_F_behav xil_defaultlib.tb_module_F -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture behavioral of entity xil_defaultlib.module_F [\module_F(15,0)(15,0)(15,0)(15,0...]
+Compiling architecture behavioral of entity xil_defaultlib.tb_module_f
+Built simulation snapshot tb_module_F_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_F_behav -key {Behavioral:sim_1:Functional:tb_module_F} -tclbatch {tb_module_F.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_F.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_F_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 8799.242 ; gain = 37.812 ; free physical = 8396 ; free virtual = 16143
+set_property top tb_module_H [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_H'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_H' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_H_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_H.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_H'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_H.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_H'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_H_behav xil_defaultlib.tb_module_H -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_H_behav xil_defaultlib.tb_module_H -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture behavioral of entity xil_defaultlib.module_H [\module_H(15,0)(15,0)(15,0)\]
+Compiling architecture behavioral of entity xil_defaultlib.tb_module_h
+Built simulation snapshot tb_module_H_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_H_behav -key {Behavioral:sim_1:Functional:tb_module_H} -tclbatch {tb_module_H.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_H.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_H_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 8872.070 ; gain = 47.812 ; free physical = 8342 ; free virtual = 16114
+set_property top tb_module_G [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_G'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_G' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_G_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_G.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_G'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_G.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_G'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '1' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_G_behav xil_defaultlib.tb_module_G -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_G_behav xil_defaultlib.tb_module_G -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture behavioral of entity xil_defaultlib.module_G [\module_G(g_n=8)\]
+Compiling architecture testbench of entity xil_defaultlib.tb_module_g
+Built simulation snapshot tb_module_G_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_G_behav -key {Behavioral:sim_1:Functional:tb_module_G} -tclbatch {tb_module_G.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_G.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_G_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 8945.895 ; gain = 39.812 ; free physical = 8307 ; free virtual = 16092
+set_property top tb_module_I [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/wave_generator.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_C.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_B.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_D.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_module_I'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_I' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_module_I_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/module_I.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'module_I'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/hdl/tb_module_I.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_module_I'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_I_behav xil_defaultlib.tb_module_I -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_I_behav xil_defaultlib.tb_module_I -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture behavioral of entity xil_defaultlib.module_I [\module_I(g_n=16)\]
+Compiling architecture behavioral of entity xil_defaultlib.tb_module_i
+Built simulation snapshot tb_module_I_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_module_I_behav -key {Behavioral:sim_1:Functional:tb_module_I} -tclbatch {tb_module_I.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_module_I.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_I_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 9012.719 ; gain = 50.812 ; free physical = 8238 ; free virtual = 16030
+launch_runs synth_1 -jobs 6
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+[Mon May  5 10:23:46 2025] Launched synth_1...
+Run output will be captured here: /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.runs/synth_1/runme.log
+reset_run synth_1
+launch_runs synth_1 -jobs 6
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+[Mon May  5 10:30:30 2025] Launched synth_1...
+Run output will be captured here: /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.runs/synth_1/runme.log
+launch_runs impl_1 -jobs 6
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+[Mon May  5 10:33:37 2025] Launched impl_1...
+Run output will be captured here: /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.runs/impl_1/runme.log
+open_run synth_1 -name synth_1
+Design is defaulting to impl run constrset: constrs_1
+Design is defaulting to synth run part: xc7a200tsbg484-1
+INFO: [Device 21-403] Loading part xc7a200tsbg484-1
+INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library.
+INFO: [Project 1-454] Reading design checkpoint '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.dcp' for cell 'clk_1'
+Netlist sorting complete. Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.08 . Memory (MB): peak = 9350.176 ; gain = 0.000 ; free physical = 7512 ; free virtual = 15332
+INFO: [Netlist 29-17] Analyzing 668 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-479] Netlist was created with Vivado 2015.3
+INFO: [Project 1-570] Preparing netlist for logic optimization
+Parsing XDC File [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst'
+Finished Parsing XDC File [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst'
+Parsing XDC File [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst'
+INFO: [Common 17-41] Interrupt caught. Command should exit soon. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xdc:56]
+INFO: [Timing 38-2] Deriving generated clocks [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xdc:56]
+INFO: [Common 17-344] 'get_clocks' was cancelled [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xdc:56]
+Finished Parsing XDC File [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst'
+Parsing XDC File [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/constraints/NexysVideo_Master.xdc]
+Finished Parsing XDC File [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/constraints/NexysVideo_Master.xdc]
+INFO: [Project 1-538] Using original IP XDC constraints instead of the XDC constraints in dcp '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.dcp'
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+open_run: Time (s): cpu = 00:00:14 ; elapsed = 00:00:25 . Memory (MB): peak = 10135.625 ; gain = 1098.855 ; free physical = 6754 ; free virtual = 14602
+INFO: [Common 17-344] 'open_run' was cancelled
+open_run synth_1 -name synth_1
+Design is defaulting to impl run constrset: constrs_1
+Design is defaulting to synth run part: xc7a200tsbg484-1
+INFO: [Project 1-454] Reading design checkpoint '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.dcp' for cell 'clk_1'
+Netlist sorting complete. Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.09 . Memory (MB): peak = 10135.625 ; gain = 0.000 ; free physical = 6650 ; free virtual = 14496
+INFO: [Netlist 29-17] Analyzing 668 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-479] Netlist was created with Vivado 2015.3
+INFO: [Project 1-570] Preparing netlist for logic optimization
+Parsing XDC File [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst'
+Finished Parsing XDC File [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst'
+Parsing XDC File [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst'
+INFO: [Timing 38-35] Done setting XDC timing constraints. [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xdc:56]
+INFO: [Timing 38-2] Deriving generated clocks [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xdc:56]
+Finished Parsing XDC File [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst'
+Parsing XDC File [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/constraints/NexysVideo_Master.xdc]
+Finished Parsing XDC File [/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/constraints/NexysVideo_Master.xdc]
+INFO: [Project 1-538] Using original IP XDC constraints instead of the XDC constraints in dcp '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.dcp'
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 10135.625 ; gain = 0.000 ; free physical = 6328 ; free virtual = 14170
+INFO: [Project 1-111] Unisim Transformation Summary:
+  A total of 2 instances were transformed.
+  IOBUF => IOBUF (IBUF, OBUFT): 2 instances
+
+open_run: Time (s): cpu = 00:00:18 ; elapsed = 00:00:14 . Memory (MB): peak = 10249.512 ; gain = 113.887 ; free physical = 6221 ; free virtual = 14078
+launch_runs impl_1 -to_step write_bitstream -jobs 12
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+[Mon May  5 10:36:20 2025] Launched impl_1...
+Run output will be captured here: /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.runs/impl_1/runme.log
+open_hw_manager
+connect_hw_server -allow_non_jtag
+INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
+INFO: [Labtools 27-2222] Launching hw_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+****** Xilinx hw_server v2024.1
+  **** Build date : May 22 2024 at 19:19:01
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+
+INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0
+INFO: [Labtools 27-3417] Launching cs_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+
+******** Xilinx cs_server v2024.1.0
+  ****** Build date   : Apr 27 2024-03:40:49
+    **** Build number : 2024.1.1714182049
+      ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved.
+      ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved.
+
+
+
+connect_hw_server: Time (s): cpu = 00:00:01 ; elapsed = 00:00:08 . Memory (MB): peak = 10249.512 ; gain = 0.000 ; free physical = 6913 ; free virtual = 14899
+open_hw_target
+INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210276A79435B
+set_property PROGRAM.FILE {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+current_hw_device [get_hw_devices xc7a200t_0]
+refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a200t_0] 0]
+INFO: [Labtools 27-1435] Device xc7a200t (JTAG device index = 0) is not programmed (DONE status = 0).
+set_property PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property PROGRAM.FILE {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+program_hw_devices [get_hw_devices xc7a200t_0]
+INFO: [Labtools 27-3164] End of startup status: HIGH
+program_hw_devices: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 10249.512 ; gain = 0.000 ; free physical = 6824 ; free virtual = 14811
+refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0]
+INFO: [Labtools 27-1434] Device xc7a200t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
+set_property PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property PROGRAM.FILE {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/Synthe.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+program_hw_devices [get_hw_devices xc7a200t_0]
+INFO: [Labtools 27-3164] End of startup status: HIGH
+program_hw_devices: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 10249.512 ; gain = 0.000 ; free physical = 6959 ; free virtual = 14946
+refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0]
+INFO: [Labtools 27-1434] Device xc7a200t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+save_wave_config {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_G_behav.wcfg}
+add_files -fileset sim_1 -norecurse /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_G_behav.wcfg
+set_property xsim.view /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_G_behav.wcfg [get_filesets sim_1]
+current_sim simulation_11
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+current_sim simulation_10
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+current_sim simulation_9
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+save_wave_config {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_E_behav.wcfg}
+add_files -fileset sim_1 -norecurse /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_E_behav.wcfg
+set_property xsim.view {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_G_behav.wcfg /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_E_behav.wcfg} [get_filesets sim_1]
+current_sim simulation_8
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+save_wave_config {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_D_behav.wcfg}
+add_files -fileset sim_1 -norecurse /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_D_behav.wcfg
+set_property xsim.view {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_G_behav.wcfg /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_E_behav.wcfg /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_D_behav.wcfg} [get_filesets sim_1]
+current_sim simulation_7
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+current_sim simulation_5
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+save_wave_config {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_C_behav.wcfg}
+add_files -fileset sim_1 -norecurse /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_C_behav.wcfg
+set_property xsim.view {/homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_G_behav.wcfg /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_E_behav.wcfg /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_D_behav.wcfg /homes/k24fomba/path/wish/tp-vhdl-mee/UE-name/tp-synthe-etudiant-k24fomba/proj/tb_module_C_behav.wcfg} [get_filesets sim_1]
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+exit
+INFO: [Common 17-206] Exiting Vivado at Mon May  5 10:45:05 2025...
-- 
GitLab