From f21852cc75ccab66596fc4b4a63e32cd7515fd6d Mon Sep 17 00:00:00 2001 From: leo beaumont <leo.beaumont@imt-atlantique.net> Date: Mon, 5 May 2025 10:39:58 +0200 Subject: [PATCH] =?UTF-8?q?Premi=C3=A8re=20sauvegarde,=20ajout=20du=20diag?= =?UTF-8?q?ramme=20descriptif=20de=20l'ensemble=20des=20modules=20et=20con?= =?UTF-8?q?nexion=20des=20cables=20dans=20le=20fichier=20wave=5Fgenrator.v?= =?UTF-8?q?hd?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- docs/diagramme_complete.drawio | 52 + src/hdl/wave_generator.vhd | 60 +- vivado.jou | 81 + vivado.log | 623 ++++ vivado_pid6316.str | 5711 ++++++++++++++++++++++++++++++++ 5 files changed, 6497 insertions(+), 30 deletions(-) create mode 100644 docs/diagramme_complete.drawio create mode 100644 vivado.jou create mode 100644 vivado.log create mode 100644 vivado_pid6316.str diff --git a/docs/diagramme_complete.drawio b/docs/diagramme_complete.drawio new file mode 100644 index 0000000..8b5341d --- /dev/null +++ b/docs/diagramme_complete.drawio @@ -0,0 +1,52 @@ +<mxfile host="app.diagrams.net" agent="Mozilla/5.0 (X11; Linux x86_64; rv:138.0) Gecko/20100101 Firefox/138.0" version="26.2.14"> + <diagram name="Page-1" id="ZRCvXX_TBlFcA-i0oMmK"> + <mxGraphModel dx="2462" dy="765" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="1169" pageHeight="827" math="0" shadow="0"> + <root> + <mxCell id="0" /> + <mxCell id="1" parent="0" /> + <mxCell id="liv1zIGuVtiVySRaDl_v-15" value="" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#f5f5f5;fontColor=#333333;strokeColor=#666666;arcSize=3;" parent="1" vertex="1"> + <mxGeometry y="40" width="1320" height="720" as="geometry" /> + </mxCell> + <mxCell id="liv1zIGuVtiVySRaDl_v-1" value="<div style="line-height: 50%;"><div>A</div><div><font style="font-size: 14px; line-height: 50%;">Circuit séquentiel</font></div><div><font style="font-size: 14px; line-height: 50%;">Générateur de signal qui oscile cicliquement entre 4 valeur sur state_reg.</font></div></div>" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1"> + <mxGeometry x="160" y="80" width="280" height="160" as="geometry" /> + </mxCell> + <mxCell id="liv1zIGuVtiVySRaDl_v-2" value="<div style="line-height: 50%;"><div>D</div><div><font style="font-size: 14px; line-height: 50%;">Circuit séquentiel</font></div><div><font style="font-size: 14px; line-height: 50%;">&nbsp;</font><font style="font-size: 14px; line-height: 50%;">Tableau de valeurs (linéairement croissante) qui renvoi la valeur à l'adresse demandée.</font></div></div>" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1"> + <mxGeometry x="160" y="320" width="280" height="160" as="geometry" /> + </mxCell> + <mxCell id="liv1zIGuVtiVySRaDl_v-3" value="<div style="line-height: 50%;"><div><font style="line-height: 50%;">B</font></div><div><font style="font-size: 14px; line-height: 50%;">Circuit séquentiel</font></div><div><font style="font-size: 14px; line-height: 50%;">Compteur/décompteur controlé par I_u_d avec des triggers sur des valeurs spécifiques de (SR_val_reg, I_u_d).</font></div></div>" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1"> + <mxGeometry x="520" y="80" width="280" height="160" as="geometry" /> + </mxCell> + <mxCell id="liv1zIGuVtiVySRaDl_v-4" value="<div style="line-height: 50%;"><div><font>I</font></div><div><font style="font-size: 13px;">Circuit séquentiel</font></div><div><font style="font-size: 13px;">Registre synchrone qui renvoi I_din sur O_dout au front montant de I_clk jusqu'au prochain front montant.</font></div></div>" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1"> + <mxGeometry x="880" y="560" width="280" height="160" as="geometry" /> + </mxCell> + <mxCell id="liv1zIGuVtiVySRaDl_v-5" value="<div style="line-height: 50%;"><div><font style="line-height: 50%;">F</font></div><div><font style="font-size: 14px; line-height: 50%;">Circuit combinatoire</font></div><div><font style="font-size: 14px; line-height: 50%;">C'est un multiplexer 4 entrées + entrée de sélection.</font></div></div>" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1"> + <mxGeometry x="880" y="320" width="280" height="160" as="geometry" /> + </mxCell> + <mxCell id="liv1zIGuVtiVySRaDl_v-6" value="<div style="line-height: 50%;"><div>C</div><div><font style="font-size: 14px; line-height: 50%;">Circuit séquentiel</font></div><div><font style="font-size: 14px; line-height: 50%;">Tableau de valeurs (sinusoïdales) qui renvoi la valeur à l'adresse demandée.</font></div></div>" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1"> + <mxGeometry x="880" y="80" width="280" height="160" as="geometry" /> + </mxCell> + <mxCell id="liv1zIGuVtiVySRaDl_v-7" value="<div style="line-height: 50%;"><div><font style="line-height: 50%;">G</font></div><div><font style="font-size: 14px; line-height: 50%;">Circuit combinatoire</font></div><div><font style="font-size: 14px; line-height: 50%;">Inverseur de signe de I_din sur O_dout.</font></div></div>" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1"> + <mxGeometry x="160" y="560" width="280" height="160" as="geometry" /> + </mxCell> + <mxCell id="liv1zIGuVtiVySRaDl_v-8" value="<div style="line-height: 50%;"><div>H</div><div><font style="font-size: 14px; line-height: 50%;">Circuit combinatoire</font></div><div><font style="font-size: 14px; line-height: 50%;">C'est un multiplexer 2 entrées + entrée de sélection.</font></div></div>" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1"> + <mxGeometry x="520" y="560" width="280" height="160" as="geometry" /> + </mxCell> + <mxCell id="liv1zIGuVtiVySRaDl_v-9" value="<div style="line-height: 50%;"><div>E</div><div><font style="font-size: 14px; line-height: 50%;">Circuit séquentiel</font></div><div><font style="font-size: 14px; line-height: 50%;">&nbsp;</font><font style="font-size: 14px; line-height: 50%;">Tableau de valeurs (dent de scie) qui renvoi la valeur à l'adresse demandée.</font></div></div>" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1"> + <mxGeometry x="520" y="320" width="280" height="160" as="geometry" /> + </mxCell> + <mxCell id="liv1zIGuVtiVySRaDl_v-10" value="I_clk" style="shape=step;perimeter=stepPerimeter;whiteSpace=wrap;html=1;fixedSize=1;fillColor=#f0a30a;strokeColor=#BD7000;fontColor=#000000;fontFamily=Ubuntu Mono;fontSize=18;fontStyle=1" parent="1" vertex="1"> + <mxGeometry x="-40" y="120" width="160" height="40" as="geometry" /> + </mxCell> + <mxCell id="liv1zIGuVtiVySRaDl_v-11" value="I_rst" style="shape=step;perimeter=stepPerimeter;whiteSpace=wrap;html=1;fixedSize=1;fillColor=#f0a30a;strokeColor=#BD7000;fontColor=#000000;fontFamily=Ubuntu Mono;fontSize=18;fontStyle=1" parent="1" vertex="1"> + <mxGeometry x="-40" y="200" width="160" height="40" as="geometry" /> + </mxCell> + <mxCell id="liv1zIGuVtiVySRaDl_v-12" value="I_wave_sel" style="shape=step;perimeter=stepPerimeter;whiteSpace=wrap;html=1;fixedSize=1;fillColor=#f0a30a;strokeColor=#BD7000;fontColor=#000000;fontFamily=Ubuntu Mono;fontSize=18;fontStyle=1" parent="1" vertex="1"> + <mxGeometry x="-40" y="280" width="160" height="40" as="geometry" /> + </mxCell> + <mxCell id="liv1zIGuVtiVySRaDl_v-14" value="O_wav" style="shape=step;perimeter=stepPerimeter;whiteSpace=wrap;html=1;fixedSize=1;fillColor=#f0a30a;strokeColor=#BD7000;fontColor=#000000;fontFamily=Ubuntu Mono;fontSize=18;fontStyle=1" parent="1" vertex="1"> + <mxGeometry x="1200" y="120" width="160" height="40" as="geometry" /> + </mxCell> + </root> + </mxGraphModel> + </diagram> +</mxfile> diff --git a/src/hdl/wave_generator.vhd b/src/hdl/wave_generator.vhd index 310c78f..a69670e 100644 --- a/src/hdl/wave_generator.vhd +++ b/src/hdl/wave_generator.vhd @@ -64,12 +64,12 @@ begin G_MAX_VAL => natural(floor(G_fs/(2.0*G_f0))) ) port map ( - I_clk => , - I_rst => , - I_u_d => , - O_val => , - O_last => , - O_middle => + I_clk => I_clk, + I_rst => I_rst, + I_u_d => S_u_d, + O_val => S_addr, + O_last => S_last, + O_middle => S_middle ); -- Module C @@ -94,10 +94,10 @@ begin G_fs => G_fs ) port map ( - I_clk => , - I_rst => , - I_addr => , - O_triangle => + I_clk => I_clk, + I_rst => I_rst, + I_addr => S_addr, + O_triangle => S_triangle_out_lut ); -- Module E @@ -108,10 +108,10 @@ begin G_fs => G_fs ) port map ( - I_clk => , - I_rst => , - I_addr => , - O_saw_tooth => + I_clk => I_clk, + I_rst => I_rst, + I_addr => S_addr, + O_saw_tooth => S_saw_tooth_out_lut ); S_square <= ((G_N-1) => '0', others => '1'); @@ -119,12 +119,12 @@ begin -- Module F F_inst : entity work.module_F port map ( - I_sel => , - I_din0 => , - I_din1 => , - I_din2 => , - I_din3 => , - O_dout => + I_sel => I_wave_sel, + I_din0 => S_sine_out_lut, + I_din1 => S_square, + I_din2 => S_saw_tooth_out_lut, + I_din3 => S_triangle_out_lut, + O_dout => S_wave_value ); -- Module G @@ -133,17 +133,17 @@ begin G_N => G_N ) port map ( - I_din => , - O_dout => + I_din => S_wave_value, + O_dout => S_opposite_wave_sample ); -- Module H H_inst : entity work.module_H port map ( - I_sel => , - I_din0 => , - I_din1 => , - O_dout => + I_sel => S_sign_sel, + I_din0 => S_wave_value, + I_din1 => S_opposite_wave_sample, + O_dout => S_wave_sample ); -- Module I @@ -152,10 +152,10 @@ begin G_N => G_N ) port map ( - I_clk => , - I_rst => , - I_din => , - O_dout => + I_clk => I_clk, + I_rst => I_rst, + I_din => S_wave_sample, + O_dout => O_wav ); end arch; diff --git a/vivado.jou b/vivado.jou new file mode 100644 index 0000000..df737d4 --- /dev/null +++ b/vivado.jou @@ -0,0 +1,81 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Mon May 5 08:28:17 2025 +# Process ID: 6316 +# Current directory: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum +# Command line: vivado +# Log file: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/vivado.log +# Journal file: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/vivado.jou +# Running On :fl-tp-br-520 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz +# CPU Frequency :4392.091 MHz +# CPU Physical cores:6 +# CPU Logical cores :12 +# Host memory :16533 MB +# Swap memory :4294 MB +# Total Virtual :20828 MB +# Available Virtual :18868 MB +#----------------------------------------------------------- +start_gui +cd tp-synthe-etudiant-l24beaum/proj +ls +pwd +cd proj +ls +source ./create_project.tcl +update_compile_order -fileset sources_1 +launch_simulation +source tb_module_B.tcl +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top tb_module_C [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +launch_simulation +source tb_module_C.tcl +current_sim simulation_1 +close_sim +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top tb_module_D [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +launch_simulation +source tb_module_D.tcl +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top tb_module_E [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +launch_simulation +source tb_module_E.tcl +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top tb_module_F [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +launch_simulation +source tb_module_F.tcl +current_sim simulation_2 +close_sim +close_sim +close_sim +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top tb_wave_generator [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +launch_simulation +launch_simulation +launch_runs synth_1 -jobs 6 +wait_on_run synth_1 diff --git a/vivado.log b/vivado.log new file mode 100644 index 0000000..5da8c8a --- /dev/null +++ b/vivado.log @@ -0,0 +1,623 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Mon May 5 08:28:17 2025 +# Process ID: 6316 +# Current directory: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum +# Command line: vivado +# Log file: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/vivado.log +# Journal file: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/vivado.jou +# Running On :fl-tp-br-520 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz +# CPU Frequency :4392.091 MHz +# CPU Physical cores:6 +# CPU Logical cores :12 +# Host memory :16533 MB +# Swap memory :4294 MB +# Total Virtual :20828 MB +# Available Virtual :18868 MB +#----------------------------------------------------------- +start_gui +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available +cd tp-synthe-etudiant-l24beaum/proj +couldn't change working directory to "tp-synthe-etudiant-l24beaum/proj": no such file or directory +ls +WARNING: [Common 17-259] Unknown Tcl command 'ls' sending command to the OS shell for execution. It is recommended to use 'exec' to send the command to the OS shell. +docs +proj +README.md +src +vivado.jou +vivado.log +vivado_pid6316.str +pwd +/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum +cd proj +ls +WARNING: [Common 17-259] Unknown Tcl command 'ls' sending command to the OS shell for execution. It is recommended to use 'exec' to send the command to the OS shell. +cleanup.cmd +cleanup.sh +create_project.tcl +tb_wave_generator_behav.wcfg +source ./create_project.tcl +# if {[info exists ::create_path]} { +# set dest_dir $::create_path +# } else { +# set dest_dir [pwd] +# } +# puts "INFO: Creating new project in $dest_dir" +INFO: Creating new project in /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj +# set proj_name "Synthe" +# set origin_dir ".." +# set orig_proj_dir "[file normalize "$origin_dir/proj"]" +# set src_dir $origin_dir/src +# set repo_dir $origin_dir/repo +# set part_num "xc7a200tsbg484-1" +# create_project $proj_name $dest_dir +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +create_project: Time (s): cpu = 00:00:07 ; elapsed = 00:00:13 . Memory (MB): peak = 8176.906 ; gain = 239.906 ; free physical = 9450 ; free virtual = 16790 +# set proj_dir [get_property directory [current_project]] +# set obj [get_projects $proj_name] +# set_property "default_lib" "xil_defaultlib" $obj +# set_property "part" "$part_num" $obj +# set_property "simulator_language" "Mixed" $obj +# set_property "target_language" "VHDL" $obj +# if {[string equal [get_filesets -quiet sources_1] ""]} { +# create_fileset -srcset sources_1 +# } +# if {[string equal [get_filesets -quiet constrs_1] ""]} { +# create_fileset -constrset constrs_1 +# } +# set obj [get_filesets sources_1] +# set_property "ip_repo_paths" "[file normalize $repo_dir]" $obj +# add_files -quiet [glob -nocomplain ../src/ip/*/*.xci] +# add_files -fileset constrs_1 -quiet $src_dir/constraints +# add_files -quiet $src_dir/hdl +# set_property file_type {VHDL 2008} [get_files -filter {FILE_TYPE == VHDL}] +CRITICAL WARNING: [filemgmt 20-1702] Unable to set property on the file: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl +File is managed as part of sub-design (IP, Block Design, DSP Design, etc.) file: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/ip/clk_wiz_0/clk_wiz_0.xci +CRITICAL WARNING: [filemgmt 20-1702] Unable to set property on the file: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl +File is managed as part of sub-design (IP, Block Design, DSP Design, etc.) file: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/ip/clk_wiz_0/clk_wiz_0.xci +# set_property used_in_synthesis false [get_files $src_dir/hdl/tb_wave_generator.vhd] +# set_property used_in_synthesis false [get_files $src_dir/hdl/tb_ADSR_module.vhd] +# set_property used_in_synthesis false [get_files $src_dir/hdl/tb_module_B.vhd] +# set_property used_in_synthesis false [get_files $src_dir/hdl/tb_module_C.vhd] +# set_property used_in_synthesis false [get_files $src_dir/hdl/tb_module_D.vhd] +# set_property used_in_synthesis false [get_files $src_dir/hdl/tb_module_E.vhd] +# set_property used_in_synthesis false [get_files $src_dir/hdl/tb_module_F.vhd] +# set_property used_in_synthesis false [get_files $src_dir/hdl/tb_module_G.vhd] +# set_property used_in_synthesis false [get_files $src_dir/hdl/tb_module_H.vhd] +# set_property used_in_synthesis false [get_files $src_dir/hdl/tb_module_I.vhd] +# set_property used_in_simulation false [get_files $src_dir/hdl/audioProc.v] +# if {[string equal [get_runs -quiet synth_1] ""]} { +# create_run -name synth_1 -part $part_num -flow {Vivado Synthesis 2014} -strategy "Flow_PerfOptimized_High" -constrset constrs_1 +# } else { +# set_property strategy "Flow_PerfOptimized_High" [get_runs synth_1] +# set_property flow "Vivado Synthesis 2014" [get_runs synth_1] +# } +# set obj [get_runs synth_1] +# set_property "part" "$part_num" $obj +# set_property "steps.synth_design.args.fanout_limit" "400" $obj +# set_property "steps.synth_design.args.fsm_extraction" "one_hot" $obj +# set_property "steps.synth_design.args.keep_equivalent_registers" "1" $obj +# set_property "steps.synth_design.args.resource_sharing" "off" $obj +# set_property "steps.synth_design.args.no_lc" "1" $obj +# set_property "steps.synth_design.args.shreg_min_size" "5" $obj +# current_run -synthesis [get_runs synth_1] +# if {[string equal [get_runs -quiet impl_1] ""]} { +# create_run -name impl_1 -part $part_num -flow {Vivado Implementation 2014} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1 +# } else { +# set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] +# set_property flow "Vivado Implementation 2014" [get_runs impl_1] +# } +# set obj [get_runs impl_1] +# set_property "part" "$part_num" $obj +# set_property "steps.write_bitstream.args.bin_file" "1" $obj +# current_run -implementation [get_runs impl_1] +# set_property top tb_module_B [get_filesets sim_1] +# set_property top_lib xil_defaultlib [get_filesets sim_1] +# update_compile_order -fileset sources_1 +update_compile_order: Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 8240.938 ; gain = 64.031 ; free physical = 9395 ; free virtual = 16751 +update_compile_order -fileset sources_1 +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_module_B' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_B' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_module_B_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_B.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'module_B' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/tb_module_B.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_module_B' +Waiting for jobs to finish... +No pending jobs, compilation finished. +execute_script: Time (s): cpu = 00:00:01 ; elapsed = 00:00:06 . Memory (MB): peak = 8295.117 ; gain = 0.000 ; free physical = 8976 ; free virtual = 16465 +INFO: [USF-XSim-69] 'compile' step finished in '6' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_B_behav xil_defaultlib.tb_module_B -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_B_behav xil_defaultlib.tb_module_B -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling package ieee.math_real +Compiling architecture behavioral of entity xil_defaultlib.module_B [module_b_default] +Compiling architecture behavior of entity xil_defaultlib.tb_module_b +Built simulation snapshot tb_module_B_behav +execute_script: Time (s): cpu = 00:00:01 ; elapsed = 00:00:07 . Memory (MB): peak = 8295.117 ; gain = 0.000 ; free physical = 8932 ; free virtual = 16486 +INFO: [USF-XSim-69] 'elaborate' step finished in '7' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_module_B_behav -key {Behavioral:sim_1:Functional:tb_module_B} -tclbatch {tb_module_B.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_module_B.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_B_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:21 . Memory (MB): peak = 8380.371 ; gain = 85.254 ; free physical = 8891 ; free virtual = 16481 +set_property top tb_module_C [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/tb_module_C.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/tb_module_C.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/tb_module_C.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_module_C' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_C' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_module_C_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_package.vhd" into library xil_defaultlib +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_C.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'module_C' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/tb_module_C.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_module_C' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '1' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_C_behav xil_defaultlib.tb_module_C -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_C_behav xil_defaultlib.tb_module_C -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling package ieee.math_real +Compiling package xil_defaultlib.wave_package +Compiling architecture behavioral of entity xil_defaultlib.module_C [\module_C(g_n=16)\] +Compiling architecture behavior of entity xil_defaultlib.tb_module_c +Built simulation snapshot tb_module_C_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_module_C_behav -key {Behavioral:sim_1:Functional:tb_module_C} -tclbatch {tb_module_C.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_module_C.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_C_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8488.684 ; gain = 47.738 ; free physical = 8505 ; free virtual = 16244 +current_sim simulation_1 +close_sim +INFO: [Simtcl 6-16] Simulation closed +set_property top tb_module_D [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_module_D' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_D' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_module_D_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_D.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'module_D' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/tb_module_D.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_module_D' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_D_behav xil_defaultlib.tb_module_D -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_D_behav xil_defaultlib.tb_module_D -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling package ieee.math_real +Compiling package xil_defaultlib.wave_package +Compiling architecture behavioral of entity xil_defaultlib.module_D [\module_D(g_n=16)\] +Compiling architecture behavior of entity xil_defaultlib.tb_module_d +Built simulation snapshot tb_module_D_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_module_D_behav -key {Behavioral:sim_1:Functional:tb_module_D} -tclbatch {tb_module_D.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_module_D.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_D_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 8488.684 ; gain = 0.000 ; free physical = 8252 ; free virtual = 16075 +set_property top tb_module_E [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_module_E' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_E' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_module_E_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_E.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'module_E' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/tb_module_E.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_module_E' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '1' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_E_behav xil_defaultlib.tb_module_E -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_E_behav xil_defaultlib.tb_module_E -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling package ieee.math_real +Compiling package xil_defaultlib.wave_package +Compiling architecture behavioral of entity xil_defaultlib.module_E [\module_E(g_n=16)\] +Compiling architecture behavior of entity xil_defaultlib.tb_module_e +Built simulation snapshot tb_module_E_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_module_E_behav -key {Behavioral:sim_1:Functional:tb_module_E} -tclbatch {tb_module_E.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_module_E.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_E_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8558.051 ; gain = 49.742 ; free physical = 8196 ; free virtual = 16021 +set_property top tb_module_F [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_module_F' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_module_F' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_module_F_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_F.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'module_F' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/tb_module_F.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_module_F' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '1' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_F_behav xil_defaultlib.tb_module_F -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_F_behav xil_defaultlib.tb_module_F -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture behavioral of entity xil_defaultlib.module_F [\module_F(15,0)(15,0)(15,0)(15,0...] +Compiling architecture behavioral of entity xil_defaultlib.tb_module_f +Built simulation snapshot tb_module_F_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_module_F_behav -key {Behavioral:sim_1:Functional:tb_module_F} -tclbatch {tb_module_F.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_module_F.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_F_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 8647.125 ; gain = 70.816 ; free physical = 8184 ; free virtual = 16003 +current_sim simulation_2 +close_sim +INFO: [Simtcl 6-16] Simulation closed +close_sim +INFO: [Simtcl 6-16] Simulation closed +close_sim +INFO: [Simtcl 6-16] Simulation closed +set_property top tb_wave_generator [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_G.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_A.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_G.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_G.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_G.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_A.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_A.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_A.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_wave_generator' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_wave_generator' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_wave_generator_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_A.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'module_A' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_G.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'module_G' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_H.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'module_H' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_I.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'module_I' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'wave_generator' +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:67] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:68] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:69] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:70] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:71] +ERROR: [VRFC 10-4982] syntax error near ')' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:73] +ERROR: [VRFC 10-3353] formal port 'i_clk' has no actual or default value [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:62] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:97] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:98] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:99] +ERROR: [VRFC 10-4982] syntax error near ')' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:101] +ERROR: [VRFC 10-3353] formal port 'i_clk' has no actual or default value [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:90] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:111] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:112] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:113] +ERROR: [VRFC 10-4982] syntax error near ')' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:115] +ERROR: [VRFC 10-3353] formal port 'i_clk' has no actual or default value [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:104] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:122] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:123] +INFO: [#UNDEF] Sorry, too many errors.. +INFO: [USF-XSim-69] 'compile' step finished in '1' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim/xvhdl.log' +ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim/xvhdl.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_wave_generator' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_wave_generator' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_wave_generator_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_A.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'module_A' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_G.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'module_G' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_H.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'module_H' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_I.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'module_I' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'wave_generator' +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:67] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:68] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:69] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:70] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:71] +ERROR: [VRFC 10-4982] syntax error near ')' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:73] +ERROR: [VRFC 10-3353] formal port 'i_clk' has no actual or default value [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:62] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:97] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:98] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:99] +ERROR: [VRFC 10-4982] syntax error near ')' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:101] +ERROR: [VRFC 10-3353] formal port 'i_clk' has no actual or default value [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:90] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:111] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:112] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:113] +ERROR: [VRFC 10-4982] syntax error near ')' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:115] +ERROR: [VRFC 10-3353] formal port 'i_clk' has no actual or default value [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:104] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:122] +ERROR: [VRFC 10-4982] syntax error near ',' [/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_generator.vhd:123] +INFO: [#UNDEF] Sorry, too many errors.. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim/xvhdl.log' +ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim/xvhdl.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +launch_runs synth_1 -jobs 6 +INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 +WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior. +Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information. +WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead. +WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. +Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information. +/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/ip/clk_wiz_0/clk_wiz_0.xci + +WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. +Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information. +/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/ip/clk_wiz_0/clk_wiz_0.xci + +[Mon May 5 10:36:04 2025] Launched synth_1... +Run output will be captured here: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.runs/synth_1/runme.log diff --git a/vivado_pid6316.str b/vivado_pid6316.str new file mode 100644 index 0000000..734886a --- /dev/null +++ b/vivado_pid6316.str @@ -0,0 +1,5711 @@ +/* + +AMD Vivado v2024.1 (64-bit) [Major: 2024, Minor: 1] +SW Build: 5076996 on Wed May 22 18:36:09 MDT 2024 +IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024 +IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024 + +Process ID (PID): 6316 +License: Customer +Mode: GUI Mode + +Current time: Mon May 05 08:30:22 CEST 2025 +Time zone: Central European Standard Time (Europe/Paris) + +OS: Ubuntu +OS Version: 6.8.0-58-generic +OS Architecture: amd64 +Available processors (cores): 12 +LSB Release Description: DISTRIB_ID=Ubuntu + +Display: 0 +Screen size: 1680x1050 +Local screen bounds: x = 66, y = 32, width = 1614, height = 1018 +Screen resolution (DPI): 100 +Available screens: 1 +Default font: family=Dialog,name=Dialog,style=plain,size=12 +Scale size: 12 +OS font scaling: 100% +Anti-Alias Enabled: false + +Java version: 21.0.1 64-bit +JavaFX version: 21.0.1 +Java home: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12 +Java executable: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12/bin/java +Java arguments: [-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Dsun.java2d.uiScale.enabled=false, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, -XX:+UseStringDeduplication, -XX:MaxGCPauseMillis=200, -XX:+ParallelRefProcEnabled, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/java.awt.event=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.base/java.nio=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.table=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.desktop/sun.awt.shell=ALL-UNNAMED, --add-exports=java.base/sun.security.action=ALL-UNNAMED, --add-exports=java.desktop/sun.font=ALL-UNNAMED, --add-opens=java.desktop/sun.awt.X11=ALL-UNNAMED, -XX:NewSize=80m, -XX:MaxNewSize=80m, -Xms512m, -Xmx4072m, -Xss10m, -Xrs] +Java initial memory (-Xms): 512 MB +Java maximum memory (-Xmx): 3 GB + +User name: l24beaum +User home directory: /homes/l24beaum +User working directory: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum +User country: US +User language: en +User locale: en_US + +RDI_BASEROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado +HDI_APPROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1 +RDI_DATADIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data +RDI_BINDIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin + +Vivado preferences file: /homes/l24beaum/.Xilinx/Vivado/2024.1/vivado.xml +Vivado preferences directory: /homes/l24beaum/.Xilinx/Vivado/2024.1/ +Vivado layouts directory: /homes/l24beaum/.Xilinx/Vivado/2024.1/data/layouts +PlanAhead jar file: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/classes/planAhead.jar +Vivado log file: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/vivado.log +Vivado journal file: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/vivado.jou +Engine tmp dir: ./.Xil/Vivado-6316-fl-tp-br-520 +Non-Default Parameters: [] + +Xilinx & AMD Environment Variables +-------------------------------------------------------------------------------------------- +GNOME_SHELL_SESSION_MODE: ubuntu +RDI_APPROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1 +RDI_BASEROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado +RDI_BINROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin +RDI_BUILD: yes +RDI_DATADIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data +RDI_INSTALLROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1 +RDI_INSTALLVER: 2024.1 +RDI_JAVA_PLATFORM: +RDI_JAVA_VERSION: 21.0.1_12 +RDI_LIBDIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/Ubuntu:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o +RDI_OPT_EXT: .o +RDI_PATCHROOT: +RDI_PLATFORM: lnx64 +RDI_PREPEND_PATH: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64 +RDI_PROG: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/vivado +RDI_SESSION_INFO: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum:fl-tp-br-520_1746426487_6248 +RDI_SHARED_DATA: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data +RDI_TPS_ROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64 +RDI_USE_JDK21: True +SHELL: /bin/bash +XILINX: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE +XILINXD_LICENSE_FILE: 27007@licence-01.imta.fr +XILINX_DSP: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE +XILINX_HLS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis_HLS/2024.1 +XILINX_PLANAHEAD: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1 +XILINX_SDK: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1 +XILINX_VITIS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1 +XILINX_VIVADO: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1 +XILINX_VIVADO_HLS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1 + + +GUI allocated memory: 512 MB +GUI max memory: 4,072 MB +Engine allocated memory: 1,495 MB + +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + +*/ + +// TclEventType: START_GUI +// Tcl Message: start_gui +// HMemoryUtils.trashcanNow. Engine heap size: 1,517 MB. GUI used memory: 72 MB. Current time: 5/5/25, 8:30:23 AM CEST +// HMemoryUtils.trashcanNow. Engine heap size: 1,646 MB. GUI used memory: 69 MB. Current time: 5/5/25, 8:30:38 AM CEST +unMinimizeFrame(PAResourceOtoP.PAViews_TCL_CONSOLE, "Tcl Console"); // R (PAResourceOtoP.PAViews_TCL_CONSOLE, Tcl Console) +// Tcl (Dont Echo) Command: 'rdi::info_commands {cd*}' +// HMemoryUtils.trashcanNow. Engine heap size: 1,832 MB. GUI used memory: 70 MB. Current time: 5/5/25, 8:30:58 AM CEST +// Tcl (Dont Echo) Command: 'rdi::info_commands bd::match_path' +// Elapsed time: 34 seconds +setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "cd tp-synthe-etudiant-l24beaum/proj", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) +// Tcl Command: 'cd tp-synthe-etudiant-l24beaum/proj' +// Tcl Message: cd tp-synthe-etudiant-l24beaum/proj +// Tcl Message: couldn't change working directory to "tp-synthe-etudiant-l24beaum/proj": no such file or directory +// Tcl (Dont Echo) Command: 'rdi::info_commands {l*}' +// Tcl (Dont Echo) Command: 'rdi::info_commands {ls*}' +setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "ls", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) +// Tcl Command: 'ls' +// Tcl Message: ls +// Tcl Message: docs proj README.md src vivado.jou vivado.log vivado_pid6316.str +// Tcl (Dont Echo) Command: 'rdi::info_commands {p*}' +// Tcl (Dont Echo) Command: 'rdi::info_commands {pw*}' +// Tcl (Dont Echo) Command: 'rdi::info_commands {pwd*}' +// Elapsed time: 140 seconds +setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "pwd", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) +// Tcl Command: 'pwd' +// Tcl Message: pwd +// Tcl Message: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum +// Tcl (Dont Echo) Command: 'rdi::info_commands {c*}' +// Elapsed time: 29 seconds +setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "cd proj", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) +// Tcl Command: 'cd proj' +// Tcl Message: cd proj +// [GUI Memory]: 106 MB (+108605kb) [00:04:24] +// [Engine Memory]: 1,843 MB (+1780709kb) [00:04:24] +setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "ls", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) +// Tcl Command: 'ls' +// Tcl Message: ls +// Tcl Message: cleanup.cmd cleanup.sh create_project.tcl tb_wave_generator_behav.wcfg +// Tcl (Dont Echo) Command: 'rdi::info_commands bd::match_path' +// Elapsed time: 12 seconds +setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./create_project.tcl", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) +// Tcl Command: 'source ./create_project.tcl' +// TclEventType: DEBUG_PROBE_SET_CHANGE +// TclEventType: FLOW_ADDED +// Tcl Message: source ./create_project.tcl +// Tcl Message: # if {[info exists ::create_path]} { # set dest_dir $::create_path # } else { # set dest_dir [pwd] # } # puts "INFO: Creating new project in $dest_dir" +// Tcl Message: INFO: Creating new project in /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj +// Tcl Message: # set proj_name "Synthe" # set origin_dir ".." # set orig_proj_dir "[file normalize "$origin_dir/proj"]" # set src_dir $origin_dir/src # set repo_dir $origin_dir/repo # set part_num "xc7a200tsbg484-1" # create_project $proj_name $dest_dir +// TclEventType: FILE_SET_NEW +// TclEventType: RUN_ADD +// TclEventType: RUN_CURRENT +// TclEventType: PROJECT_DASHBOARD_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_NEW +// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified +// TclEventType: PROJECT_NEW +// [Engine Memory]: 1,961 MB (+27674kb) [00:04:43] +// WARNING: HEventQueue.dispatchEvent() is taking 3338 ms. +// Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +// HMemoryUtils.trashcanNow. Engine heap size: 2,041 MB. GUI used memory: 78 MB. Current time: 5/5/25, 8:34:29 AM CEST +// TclEventType: FILE_SET_OPTIONS_CHANGE +// [GUI Memory]: 112 MB (+363kb) [00:04:51] +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: RUN_MODIFY +// [GUI Memory]: 118 MB (+413kb) [00:04:51] +// TclEventType: RUN_MODIFY +// TclEventType: CREATE_IP_CATALOG +// TclEventType: PART_MODIFIED +// TclEventType: PROJECT_CHANGE +// [GUI Memory]: 136 MB (+12682kb) [00:04:52] +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: IP_LOCK_CHANGE +// TclEventType: COMPOSITE_FILE_CHANGE +// TclEventType: FILE_SET_CHANGE +// Tcl Message: create_project: Time (s): cpu = 00:00:07 ; elapsed = 00:00:13 . Memory (MB): peak = 8176.906 ; gain = 239.906 ; free physical = 9450 ; free virtual = 16790 +// Tcl Message: # set proj_dir [get_property directory [current_project]] # set obj [get_projects $proj_name] # set_property "default_lib" "xil_defaultlib" $obj # set_property "part" "$part_num" $obj # set_property "simulator_language" "Mixed" $obj # set_property "target_language" "VHDL" $obj # if {[string equal [get_filesets -quiet sources_1] ""]} { # create_fileset -srcset sources_1 # } # if {[string equal [get_filesets -quiet constrs_1] ""]} { # create_fileset -constrset constrs_1 # } # set obj [get_filesets sources_1] # set_property "ip_repo_paths" "[file normalize $repo_dir]" $obj # add_files -quiet [glob -nocomplain ../src/ip/*/*.xci] +// TclEventType: FILE_SET_CHANGE +// TclEventType: RUN_OPTIONS_MODIFIED +// TclEventType: RUN_MODIFY +// TclEventType: RUN_OPTIONS_MODIFIED +// TclEventType: RUN_MODIFY +// TclEventType: RUN_OPTIONS_MODIFIED +// TclEventType: RUN_MODIFY +// TclEventType: RUN_OPTIONS_MODIFIED +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: # add_files -fileset constrs_1 -quiet $src_dir/constraints # add_files -quiet $src_dir/hdl # set_property file_type {VHDL 2008} [get_files -filter {FILE_TYPE == VHDL}] +// TclEventType: FILE_SET_CHANGE +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Tcl Message: update_compile_order: Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 8240.938 ; gain = 64.031 ; free physical = 9395 ; free virtual = 16751 +// Elapsed time: 19 seconds +dismissDialog("Tcl Command"); // bj (Tcl Command Progress) +// [GUI Memory]: 144 MB (+1549kb) [00:04:59] +// TclEventType: FILE_SET_CHANGE +// HMemoryUtils.trashcanNow. Engine heap size: 2,074 MB. GUI used memory: 77 MB. Current time: 5/5/25, 8:34:48 AM CEST +// [Engine Memory]: 2,067 MB (+8093kb) [00:05:04] +// Elapsed Time for: 'L.f': 20s +// Tcl Message: update_compile_order -fileset sources_1 +// [GUI Memory]: 157 MB (+6360kb) [00:05:24] +// Elapsed time: 70 seconds +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, wave_generator.vhd]", 2, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, wave_generator.vhd]", 2, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +// HMemoryUtils.trashcanNow. Engine heap size: 2,123 MB. GUI used memory: 80 MB. Current time: 5/5/25, 8:36:03 AM CEST +// Elapsed time: 119 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wave_generator.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 30 seconds +selectButton(PAResourceCommand.PACommandNames_TOGGLE_VIEW_NAV, (String) null); // B (PAResourceCommand.PACommandNames_TOGGLE_VIEW_NAV) +// Run Command: PAResourceCommand.PACommandNames_TOGGLE_VIEW_NAV +// Elapsed time: 12 seconds +selectCodeEditor("wave_generator.vhd", 148, 338); // ac (wave_generator.vhd) +// Elapsed time: 11 seconds +selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // ah (PAResourceItoN.MainMenuMgr_TOOLS, Tools) +selectMenu(RDIResourceCommand.RDICommands_CUSTOM_COMMANDS, "Custom Commands"); // am (RDIResourceCommand.RDICommands_CUSTOM_COMMANDS, Custom Commands) +dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // ah (PAResourceItoN.MainMenuMgr_TOOLS, Tools) +selectCodeEditor("wave_generator.vhd", 225, 216); // ac (wave_generator.vhd) +// Elapsed time: 42 seconds +unMinimizeFrame(PAResourceOtoP.PAViews_SOURCES, "Sources"); // R (PAResourceOtoP.PAViews_SOURCES, Sources) +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v)]", 3); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd)]", 9); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +// Elapsed time: 11 seconds +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd)]", 10); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +// Elapsed time: 11 seconds +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd)]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), A_inst : module_A(behavioral) (module_A.vhd)]", 13, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), A_inst : module_A(behavioral) (module_A.vhd)]", 13, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +// HMemoryUtils.trashcanNow. Engine heap size: 2,178 MB. GUI used memory: 81 MB. Current time: 5/5/25, 8:40:33 AM CEST +// [Engine Memory]: 2,178 MB (+8300kb) [00:10:55] +// Elapsed time: 211 seconds +selectCodeEditor("module_A.vhd", 169, 53); // ac (module_A.vhd) +selectCodeEditor("module_A.vhd", 643, 56); // ac (module_A.vhd) +// Elapsed time: 93 seconds +selectButton(PAResourceOtoP.PlanAheadTab_SHOW_FLOW_NAVIGATOR, "Flow Navigator"); // A (PAResourceOtoP.PlanAheadTab_SHOW_FLOW_NAVIGATOR) +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 37); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 38); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_B(behavior) (tb_module_B.vhd)]", 40, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +// Elapsed time: 10 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_B(behavior) (tb_module_B.vhd)]", 40, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_B(behavior) (tb_module_B.vhd)]", 40, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, audio_init (audio_init.v)]", 43, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_C(behavior) (tb_module_C.vhd)]", 45, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_C(behavior) (tb_module_C.vhd)]", 45, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_B(behavior) (tb_module_B.vhd)]", 40, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// TclEventType: LAUNCH_SIM +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_module_B' INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: LOAD_FEATURE +// TclEventType: PACKAGER_MESSAGE_RESET +// TclEventType: PACKAGER_UNLOAD_CORE +// Tcl Message: INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +// Tcl Message: xvhdl --incr --relax -prj tb_module_B_vhdl.prj +// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_B.vhd" into library xil_defaultlib +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [VRFC 10-3107] analyzing entity 'module_B' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/tb_module_B.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_module_B' Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: execute_script: Time (s): cpu = 00:00:01 ; elapsed = 00:00:06 . Memory (MB): peak = 8295.117 ; gain = 0.000 ; free physical = 8976 ; free virtual = 16465 +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '6' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_B_behav xil_defaultlib.tb_module_B -log elaborate.log +// TclEventType: LAUNCH_SIM +// Tcl Message: Built simulation snapshot tb_module_B_behav +// Tcl Message: execute_script: Time (s): cpu = 00:00:01 ; elapsed = 00:00:07 . Memory (MB): peak = 8295.117 ; gain = 0.000 ; free physical = 8932 ; free virtual = 16486 +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '7' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "tb_module_B_behav -key {Behavioral:sim_1:Functional:tb_module_B} -tclbatch {tb_module_B.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// TclEventType: LOAD_FEATURE +// HMemoryUtils.trashcanNow. Engine heap size: 2,208 MB. GUI used memory: 82 MB. Current time: 5/5/25, 8:46:38 AM CEST +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Tcl Message: Time resolution is 1 ps +// Elapsed time: 19 seconds +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary) +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// Tcl Message: source tb_module_B.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// HMemoryUtils.trashcanNow. Engine heap size: 2,257 MB. GUI used memory: 88 MB. Current time: 5/5/25, 8:46:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// Tcl Message: # run 1000ns +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_B_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:21 . Memory (MB): peak = 8380.371 ; gain = 85.254 ; free physical = 8891 ; free virtual = 16481 +// Elapsed Time for: 'h': 21s +// 'd' command handler elapsed time: 21 seconds +dismissDialog("Run Simulation"); // e (Run Simulation Progress) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "uut ; module_B(behavioral) ; VHDL Entity", 1, "module_B(behavioral)", 1, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "uut ; module_B(behavioral) ; VHDL Entity", 1, "module_B(behavioral)", 1, false, false, false, false, false, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Double Click +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_OPEN_SOURCE +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 1", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +maximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // L (PAResourceOtoP.PAViews_BASE_WORKSPACE, PlanAheadTabBaseWorkspace) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:47:00 AM CEST +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 35, 284); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (790, 546, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:47:07 AM CEST +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 33, 133); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (786, 406, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:47:11 AM CEST +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_val[4:0]]", 3, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node +selectMenu("Name"); // am (Name) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenu("Radix"); // am (Radix) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Unsigned Decimal"); // ad (Unsigned Decimal) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,323 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:47:48 AM CEST +// [GUI Memory]: 168 MB (+3610kb) [00:20:55] +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 204 seconds +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:50:47 AM CEST +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:50:48 AM CEST +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:50:49 AM CEST +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:50:50 AM CEST +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:50:51 AM CEST +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:50:51 AM CEST +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:50:52 AM CEST +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:50:53 AM CEST +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:50:54 AM CEST +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:50:55 AM CEST +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:50:56 AM CEST +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,323 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:50:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:50:57 AM CEST +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:50:58 AM CEST +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:50:58 AM CEST +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:50:59 AM CEST +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:51:00 AM CEST +selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // B (RDIResource.WaveformView_PREVIOUS_TRANSITION, Waveform Viewer_waveformPreviousTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // B (RDIResource.WaveformView_PREVIOUS_TRANSITION, Waveform Viewer_waveformPreviousTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:51:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // B (RDIResource.WaveformView_PREVIOUS_TRANSITION, Waveform Viewer_waveformPreviousTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,323 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:51:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:51:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:51:04 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:51:04 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // B (RDIResource.WaveformView_PREVIOUS_TRANSITION, Waveform Viewer_waveformPreviousTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,323 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:51:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:51:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:51:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:51:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,323 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:51:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// [Engine Memory]: 2,410 MB (+128250kb) [00:21:24] +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // B (RDIResource.WaveformView_PREVIOUS_TRANSITION, Waveform Viewer_waveformPreviousTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // B (RDIResource.WaveformView_PREVIOUS_TRANSITION, Waveform Viewer_waveformPreviousTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:51:08 AM CEST +selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // B (RDIResource.WaveformView_PREVIOUS_TRANSITION, Waveform Viewer_waveformPreviousTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // B (RDIResource.WaveformView_PREVIOUS_TRANSITION, Waveform Viewer_waveformPreviousTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:51:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // B (RDIResource.WaveformView_PREVIOUS_TRANSITION, Waveform Viewer_waveformPreviousTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:51:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,323 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:51:10 AM CEST +selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // B (RDIResource.WaveformView_PREVIOUS_TRANSITION, Waveform Viewer_waveformPreviousTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:51:11 AM CEST +selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // B (RDIResource.WaveformView_PREVIOUS_TRANSITION, Waveform Viewer_waveformPreviousTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:51:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // B (RDIResource.WaveformView_PREVIOUS_TRANSITION, Waveform Viewer_waveformPreviousTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // B (RDIResource.WaveformView_PREVIOUS_TRANSITION, Waveform Viewer_waveformPreviousTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 32, 98); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (787, 360, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:51:16 AM CEST +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // B (RDIResource.WaveformView_PREVIOUS_TRANSITION, Waveform Viewer_waveformPreviousTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,339 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:51:30 AM CEST +selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // B (RDIResource.WaveformView_NEXT_TRANSITION, Waveform Viewer_waveformNextTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // B (RDIResource.WaveformView_PREVIOUS_TRANSITION, Waveform Viewer_waveformPreviousTransition) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:51:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:51:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// [Engine Memory]: 3,340 MB (+848744kb) [00:22:22] +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,081 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,209 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,690 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,212 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 64 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 425, 97); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1159, 371, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,820 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,559 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,298 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,209 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,820 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,298 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,212 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,820 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,559 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,298 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,212 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:52:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:59 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:59 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:52:59 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,951 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,212 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,951 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,212 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,298 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,820 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,429 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:04 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,212 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,951 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,212 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,948 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,559 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,298 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,212 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,951 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,559 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,951 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,212 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,820 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,429 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,340 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,081 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,210 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,820 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,559 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,296 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// Elapsed time: 37 seconds +expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_val[4:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 2,304 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:18 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_val[4:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,304 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:30 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,304 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:30 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,304 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:30 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,348 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:30 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,348 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:30 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,089 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:30 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,698 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:30 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,437 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:30 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,348 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:30 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,348 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:30 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,220 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:31 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,828 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:31 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,348 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:31 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,348 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:31 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,220 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:31 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,304 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:31 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,304 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:31 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,304 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:31 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,304 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:31 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,304 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:31 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,348 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,348 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,089 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,304 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,304 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,304 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,348 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,348 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,304 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,304 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,304 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:53:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,304 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,304 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:53:33 AM CEST +// Elapsed time: 21 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 375, 125, false, false, false, true, false); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) - Popup Trigger +/********** leftMouseClick (1114, 397, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Default"); // ad (Default) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 389, 124, false, false, false, true, false); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) - Popup Trigger +/********** leftMouseClick (1127, 396, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Binary"); // ad (Binary) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 382, 129, false, false, false, true, false); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) - Popup Trigger +/********** leftMouseClick (1121, 401, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Hexadecimal"); // ad (Hexadecimal) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 379, 133, false, false, false, true, false); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) - Popup Trigger +/********** leftMouseClick (1118, 405, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Unsigned Decimal"); // ad (Unsigned Decimal) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:54:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:54:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,228 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:54:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:54:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:54:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 21 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 37, 91); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (792, 353, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:54:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 89, 109); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (839, 386, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:51 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:54:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:54:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 415, 171); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1152, 441, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:59 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:59 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:59 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:59 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:59 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:54:59 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:54:59 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,228 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:04 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:04 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,228 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,575 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,228 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,577 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,575 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,577 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:14 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:14 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:19 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:19 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:19 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:19 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:19 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:19 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:19 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:26 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:26 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:26 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:26 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:26 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:26 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:26 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:27 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:27 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,575 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:27 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:27 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:27 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:27 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:27 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:27 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:27 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:27 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:27 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,099 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,575 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,577 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,099 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,228 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:42 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:42 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:42 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:42 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:42 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:42 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:42 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:42 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:42 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:42 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:42 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:43 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:43 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:43 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:43 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:43 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:43 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:43 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:43 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:45 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:45 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:45 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:46 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:47 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:47 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:47 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,228 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,577 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,228 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:51 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:51 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:51 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:51 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,577 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:51 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:51 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:51 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:51 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:51 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,577 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,228 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,097 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,099 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,097 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,577 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,099 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,577 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,836 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,966 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:55:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:55:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 65 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 35, 102); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (788, 379, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:00 AM CEST +// Elapsed time: 10 seconds +selectButton(RDIResource.WaveformView_GOTO_LAST_TIME, "Waveform Viewer_RunAll"); // B (RDIResource.WaveformView_GOTO_LAST_TIME, Waveform Viewer_RunAll) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:23 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:24 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:31 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,099 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,836 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,575 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,099 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,836 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,577 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,577 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,444 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:38 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:38 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:38 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:38 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:38 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:38 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:38 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:38 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:38 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:38 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:38 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:38 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:38 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:38 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:38 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:39 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:39 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:39 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:39 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:39 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:39 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 92 MB. Current time: 5/5/25, 8:56:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 91 MB. Current time: 5/5/25, 8:56:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 54 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "module_B.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 815 seconds +closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode) +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_CLOSE_WCFG +selectButton("OptionPane.button", "Discard", "Save Waveform Configuration"); // JButton (OptionPane.button) +closeView(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 1*"); // t (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer) +closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode) +unMinimizeFrame(PAResourceOtoP.PAViews_SOURCES, "Sources"); // R (PAResourceOtoP.PAViews_SOURCES, Sources) +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL 2008, tb_module_C.vhd]", 38, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL 2008, tb_module_C.vhd]", 38, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +// Elapsed time: 12 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL 2008, tb_module_C.vhd]", 38, false, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger +selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) +selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) +selectCodeEditor("tb_module_C.vhd", 502, 227); // ac (tb_module_C.vhd) +// Elapsed time: 53 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_C(behavior) (tb_module_C.vhd)]", 55, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node +selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu) +// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: set_property top tb_module_C [get_filesets sim_1] +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: DG_GRAPH_STALE +// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] +// TclEventType: DG_GRAPH_STALE +// Elapsed Time for: 'L.f': 37m:58s +// Elapsed Time for: 'L.f': 38m:00s +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_C(behavior) (tb_module_C.vhd)]", 50, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_C(behavior) (tb_module_C.vhd)]", 50, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: PACKAGER_MESSAGE_RESET +// TclEventType: PACKAGER_UNLOAD_CORE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: xvhdl --incr --relax -prj tb_module_C_vhdl.prj +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/wave_package.vhd" into library xil_defaultlib INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_C.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'module_C' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/tb_module_C.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_module_C' Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '1' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_C_behav xil_defaultlib.tb_module_C -log elaborate.log +// TclEventType: LAUNCH_SIM +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "tb_module_C_behav -key {Behavioral:sim_1:Functional:tb_module_C} -tclbatch {tb_module_C.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// HMemoryUtils.trashcanNow. Engine heap size: 3,407 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:12:42 AM CEST +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// Tcl Message: Time resolution is 1 ps +// Tcl Message: source tb_module_C.tcl +// TclEventType: WAVEFORM_UPDATE_TITLE +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_C_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8488.684 ; gain = 47.738 ; free physical = 8505 ; free virtual = 16244 +// 'd' command handler elapsed time: 5 seconds +dismissDialog("Run Simulation"); // e (Run Simulation Progress) +// HMemoryUtils.trashcanNow. Engine heap size: 2,363 MB. GUI used memory: 93 MB. Current time: 5/5/25, 9:12:43 AM CEST +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 95 MB. Current time: 5/5/25, 9:12:47 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:12:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:12:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 95 MB. Current time: 5/5/25, 9:12:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:12:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:12:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 95 MB. Current time: 5/5/25, 9:12:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 95 MB. Current time: 5/5/25, 9:12:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:12:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,398 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:12:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,398 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:12:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,398 MB. GUI used memory: 95 MB. Current time: 5/5/25, 9:12:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,139 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:12:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,270 MB. GUI used memory: 95 MB. Current time: 5/5/25, 9:12:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,009 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:12:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,748 MB. GUI used memory: 95 MB. Current time: 5/5/25, 9:12:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,487 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:12:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:12:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:13:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:13:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:13:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:13:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 95 MB. Current time: 5/5/25, 9:13:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 95 MB. Current time: 5/5/25, 9:13:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 95 MB. Current time: 5/5/25, 9:13:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:13:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:13:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:13:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:13:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,398 MB. GUI used memory: 95 MB. Current time: 5/5/25, 9:13:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 95 MB. Current time: 5/5/25, 9:13:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:13:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 95 MB. Current time: 5/5/25, 9:13:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 94 MB. Current time: 5/5/25, 9:13:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 24 seconds +maximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // L (PAResourceOtoP.PAViews_BASE_WORKSPACE, PlanAheadTabBaseWorkspace) +// HMemoryUtils.trashcanNow. Engine heap size: 3,398 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:06 AM CEST +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +unmaximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // L (PAResourceOtoP.PAViews_BASE_WORKSPACE, PlanAheadTabBaseWorkspace) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,354 MB. GUI used memory: 95 MB. Current time: 5/5/25, 9:13:08 AM CEST +maximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // L (PAResourceOtoP.PAViews_BASE_WORKSPACE, PlanAheadTabBaseWorkspace) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 454, 116, false, false, false, true, false); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) - Popup Trigger +/********** leftMouseClick (1206, 379, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,356 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:14 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Unsigned Decimal"); // ad (Unsigned Decimal) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 467, 144, false, false, false, true, false); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) - Popup Trigger +/********** leftMouseClick (1219, 407, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Unsigned Decimal"); // ad (Unsigned Decimal) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// HMemoryUtils.trashcanNow. Engine heap size: 2,356 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:23 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 467, 164, false, false, false, true, false); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) - Popup Trigger +/********** leftMouseClick (1219, 427, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenu("Cursors"); // am (Cursors) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Unsigned Decimal"); // ad (Unsigned Decimal) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 453, 184, false, false, false, true, false); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) - Popup Trigger +/********** leftMouseClick (1205, 447, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Unsigned Decimal"); // ad (Unsigned Decimal) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,356 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,356 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,400 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,750 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,356 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,356 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,356 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,400 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,886 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:42 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:43 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:45 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:45 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:46 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:47 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,755 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:47 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:47 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,364 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:50 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:50 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:51 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:51 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:13:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:13:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 2442 ms. Increasing delay to 7326 ms. +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,277 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,755 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,364 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:04 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:04 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:04 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:04 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,277 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:04 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,886 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:04 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,277 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:04 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:04 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:04 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:04 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,401 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,881 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,620 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,364 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:07 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 40 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 445, 78); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1198, 342, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:14 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:25 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 13 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 322, 68); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1075, 332, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:31 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,277 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:31 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,494 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:31 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:32 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:34 AM CEST +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 409, 80); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1162, 344, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,016 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,275 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,364 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:42 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:42 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:42 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:42 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:43 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:43 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:43 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:43 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:43 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:43 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,494 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:14:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:50 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:50 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:14:51 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 17 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 447, 80); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1200, 344, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 450, 78); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1203, 342, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,277 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,277 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,886 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,625 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:15:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:15:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,277 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:15:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,016 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:15:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,405 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 13 seconds +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_CLOSE +// Elapsed time: 10 seconds +selectButton(RDIResource.BaseDialog_OK, "OK", "Confirm Close"); // a (RDIResource.BaseDialog_OK) +dismissDialog("Confirm Close"); // t (dialog0) +// TclEventType: SIMULATION_CURRENT_SIMULATION +// Tcl Message: current_sim simulation_1 +// TclEventType: SIMULATION_CLOSE_SIMULATION +// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:15:17 AM CEST +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // bj (Close Progress) +// HMemoryUtils.trashcanNow. Engine heap size: 2,313 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:19 AM CEST +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_module_C.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("tb_module_C.vhd", 111, 282); // ac (tb_module_C.vhd) +selectCodeEditor("tb_module_C.vhd", 111, 282, false, false, false, false, true); // ac (tb_module_C.vhd) - Double Click +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 2*", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// [GUI Memory]: 180 MB (+2931kb) [00:45:55] +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SR_rst]", 1, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,313 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:39 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SR_addr[4:0]]", 2, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 30, 123); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (785, 385, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,357 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:50 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,313 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:50 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,313 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:51 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,313 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:51 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,357 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,313 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:15:52 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,313 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,313 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:53 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,313 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:15:54 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,357 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:55 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,313 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:15:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,313 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:15:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,313 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:15:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,357 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,357 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:15:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,577 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:15:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,313 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:56 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,357 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:15:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,313 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:15:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,313 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:15:58 AM CEST +// Elapsed time: 11 seconds +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_sine_out[15:0]]", 3, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_sine_out[15:0]]", 3, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node +selectMenu("Name"); // am (Name) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenuItem((HResource) null, "Analog"); // ad (Analog) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_sine_out[15:0]]", 3, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node +selectMenu("Name"); // am (Name) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenu("Radix"); // am (Radix) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenuItem((HResource) null, "Analog Settings..."); // ap (Analog Settings...) +selectRadioButton(RDIResource.WaveformAnalogSettingsDialog_HOLD, "Hold"); // a (RDIResource.WaveformAnalogSettingsDialog_HOLD) +selectButton(RDIResource.BaseDialog_OK, "OK", "Analog Settings"); // a (RDIResource.BaseDialog_OK) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:16:16 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +dismissDialog("Analog Settings"); // c (dialog1) +// Elapsed time: 11 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 25, 113); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (780, 375, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:16:35 AM CEST +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 175, 116); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (930, 378, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 233, 114); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (988, 376, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:16:41 AM CEST +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 269, 115); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1024, 377, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 317, 117); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1072, 379, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 380, 120); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1135, 382, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:16:44 AM CEST +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 394, 193); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1149, 455, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:16:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:16:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:16:57 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,099 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:16:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:16:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:16:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:16:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:16:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:16:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:16:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,227 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:16:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:16:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:16:58 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 553, 137); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1309, 399, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:00 AM CEST +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 629, 141); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1385, 403, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,099 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,227 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,577 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,705 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,227 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,836 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,577 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,577 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,836 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,445 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:14 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:14 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:14 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:14 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:14 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,577 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:14 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:14 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:14 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// Elapsed time: 19 seconds +expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_sine_out[15:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_sine_out[15:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:27 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,969 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,577 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:45 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:45 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:45 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:45 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:46 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:46 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:46 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:46 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:46 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,577 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:46 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:47 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:47 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:47 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:47 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:47 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:47 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:47 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,099 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:47 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:47 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,577 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:50 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:50 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:50 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:50 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:50 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:50 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:50 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:17:50 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:50 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:50 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:50 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:17:50 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 59 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 705, 132); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1460, 394, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_sine_out[15:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:18:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 717, 462); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1472, 724, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_module_C.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 148 seconds +selectCodeEditor("tb_module_C.vhd", 355, 143, false, false, false, true, false); // ac (tb_module_C.vhd) - Popup Trigger +selectCodeEditor("tb_module_C.vhd", 317, 197); // ac (tb_module_C.vhd) +// Elapsed time: 57 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 2*", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_sine_out[15:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_sine_out[15:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:20 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:22:25 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:25 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:25 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:22:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:22:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:22:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:34 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,447 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:22:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,706 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:22:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:22:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:22:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,099 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:35 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:22:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:22:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:36 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:22:37 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:38 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:22:39 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:39 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:22:39 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:39 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:22:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:22:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:22:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,838 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,230 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,708 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:40 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:41 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:44 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 26 seconds +collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_sine_out[15:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:22:46 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_sine_out[15:0]]", 3, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectMenu("Name"); // am (Name) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Signed Magnitude"); // ad (Signed Magnitude) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_sine_out[15:0]]", 3, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectMenu("Name"); // am (Name) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Signed Decimal"); // ad (Signed Decimal) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 313, 200); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1068, 462, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 321, 165); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1076, 427, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 39, 183); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (794, 445, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:23:09 AM CEST +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 98, 183); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (853, 445, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Default"); // ad (Default) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Signed Decimal"); // ad (Signed Decimal) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:23:29 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:23:29 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:23:29 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:23:29 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 227, 207); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (982, 469, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:23:30 AM CEST +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 263, 207); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1018, 469, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 314, 205); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1072, 467, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 355, 203); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1110, 465, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:23:32 AM CEST +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 367, 203); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1124, 465, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 427, 200); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1182, 462, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 452, 203); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** startDrag (1212, 464); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:23:33 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** endDrag (1214, 464); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 146, 203); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (901, 465, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:23:35 AM CEST +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 154, 203); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +/********** leftMouseClick (909, 465, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 216, 203); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (971, 465, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:23:36 AM CEST +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 267, 200); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1022, 462, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 348, 189); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1103, 451, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 404, 187); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1159, 449, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:23:38 AM CEST +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 444, 186); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1199, 448, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 494, 187); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1250, 449, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Default"); // ad (Default) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 148, 203); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (903, 465, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,358 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:23:50 AM CEST +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 241, 193); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (996, 455, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 304, 188); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1059, 450, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Signed Magnitude"); // ad (Signed Magnitude) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 141, 199); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (896, 461, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:24:00 AM CEST +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 191, 189); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (946, 451, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 276, 181); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1031, 443, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 409, 183); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1164, 445, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:24:01 AM CEST +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 468, 184); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1224, 446, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 527, 179); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1283, 441, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Binary"); // ad (Binary) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 100, 207); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (855, 469, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:24:23 AM CEST +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 140, 206); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (895, 468, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 174, 200); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (929, 462, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 214, 197); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (970, 459, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 97 MB. Current time: 5/5/25, 9:24:24 AM CEST +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 244, 195); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (999, 457, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 279, 191); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1034, 453, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 317, 187); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1072, 449, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 96 MB. Current time: 5/5/25, 9:24:25 AM CEST +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 338, 186); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1093, 448, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 374, 185); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1129, 447, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// Elapsed time: 103 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_module_C.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 67 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 2*", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_module_C.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 20 seconds +selectCodeEditor("tb_module_C.vhd", 129, 192); // ac (tb_module_C.vhd) +selectCodeEditor("tb_module_C.vhd", 129, 192, false, false, false, false, true); // ac (tb_module_C.vhd) - Double Click +// Elapsed time: 16 seconds +selectCodeEditor("tb_module_C.vhd", 102, 144); // ac (tb_module_C.vhd) +selectCodeEditor("tb_module_C.vhd", 102, 144, false, false, false, false, true); // ac (tb_module_C.vhd) - Double Click +selectCodeEditor("tb_module_C.vhd", 102, 157); // ac (tb_module_C.vhd) +selectCodeEditor("tb_module_C.vhd", 102, 157, false, false, false, false, true); // ac (tb_module_C.vhd) - Double Click +selectCodeEditor("tb_module_C.vhd", 101, 171); // ac (tb_module_C.vhd) +selectCodeEditor("tb_module_C.vhd", 177, 145); // ac (tb_module_C.vhd) +selectCodeEditor("tb_module_C.vhd", 177, 145, false, false, false, false, true); // ac (tb_module_C.vhd) - Double Click +selectCodeEditor("tb_module_C.vhd", 185, 161); // ac (tb_module_C.vhd) +selectCodeEditor("tb_module_C.vhd", 185, 161, false, false, false, false, true); // ac (tb_module_C.vhd) - Double Click +selectCodeEditor("tb_module_C.vhd", 182, 18); // ac (tb_module_C.vhd) +selectCodeEditor("tb_module_C.vhd", 182, 18, false, false, false, false, true); // ac (tb_module_C.vhd) - Double Click +selectCodeEditor("tb_module_C.vhd", 338, 251); // ac (tb_module_C.vhd) +selectCodeEditor("tb_module_C.vhd", 338, 251, false, false, false, false, true); // ac (tb_module_C.vhd) - Double Click +// Elapsed time: 13 seconds +unMinimizeFrame(PAResourceOtoP.PAViews_SOURCES, "Sources"); // R (PAResourceOtoP.PAViews_SOURCES, Sources) +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_C(behavior) (tb_module_C.vhd), uut : module_C(behavioral) (module_C.vhd)]", 51, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_C(behavior) (tb_module_C.vhd), uut : module_C(behavioral) (module_C.vhd)]", 51, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +selectCodeEditor("module_C.vhd", 73, 431); // ac (module_C.vhd) +selectCodeEditor("module_C.vhd", 73, 431, false, false, false, false, true); // ac (module_C.vhd) - Double Click +selectCodeEditor("module_C.vhd", 137, 520); // ac (module_C.vhd) +selectCodeEditor("module_C.vhd", 137, 520, false, false, false, false, true); // ac (module_C.vhd) - Double Click +selectCodeEditor("module_C.vhd", 103, 341); // ac (module_C.vhd) +selectCodeEditor("module_C.vhd", 103, 341, false, false, false, false, true); // ac (module_C.vhd) - Double Click +selectCodeEditor("module_C.vhd", 103, 341); // ac (module_C.vhd) +selectCodeEditor("module_C.vhd", 103, 341, false, false, false, false, true); // ac (module_C.vhd) - Double Click +selectCodeEditor("module_C.vhd", 519, 334); // ac (module_C.vhd) +selectCodeEditor("module_C.vhd", 519, 334, false, false, false, false, true); // ac (module_C.vhd) - Double Click +selectCodeEditor("module_C.vhd", 105, 341); // ac (module_C.vhd) +selectCodeEditor("module_C.vhd", 105, 341, false, false, false, false, true); // ac (module_C.vhd) - Double Click +selectCodeEditor("module_C.vhd", 105, 341); // ac (module_C.vhd) +selectCodeEditor("module_C.vhd", 237, 547); // ac (module_C.vhd) +selectCodeEditor("module_C.vhd", 237, 547, false, false, false, false, true); // ac (module_C.vhd) - Double Click +selectCodeEditor("module_C.vhd", 295, 549); // ac (module_C.vhd) +selectCodeEditor("module_C.vhd", 295, 549, false, false, false, false, true); // ac (module_C.vhd) - Double Click +selectCodeEditor("module_C.vhd", 256, 456); // ac (module_C.vhd) +closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode) +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,314 MB. GUI used memory: 77 MB. Current time: 5/5/25, 9:50:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_CLOSE_WCFG +// Elapsed time: 1256 seconds +selectButton("OptionPane.button", "Discard", "Save Waveform Configuration"); // JButton (OptionPane.button) +closeView(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 2*"); // t (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer) +collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_C(behavior) (tb_module_C.vhd)]", 50); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_D(behavior) (tb_module_D.vhd)]", 56, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu) +// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: set_property top tb_module_D [get_filesets sim_1] +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: DG_GRAPH_STALE +// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] +// TclEventType: DG_GRAPH_STALE +// Elapsed Time for: 'L.f': 01h:15m:52s +// Elapsed Time for: 'L.f': 01h:15m:54s +// Elapsed time: 12 seconds +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: PACKAGER_MESSAGE_RESET +// TclEventType: PACKAGER_UNLOAD_CORE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +// Tcl Message: xvhdl --incr --relax -prj tb_module_D_vhdl.prj +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_D.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'module_D' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/tb_module_D.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_module_D' Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_D_behav xil_defaultlib.tb_module_D -log elaborate.log +// TclEventType: LAUNCH_SIM +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "tb_module_D_behav -key {Behavioral:sim_1:Functional:tb_module_D} -tclbatch {tb_module_D.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// HMemoryUtils.trashcanNow. Engine heap size: 2,360 MB. GUI used memory: 81 MB. Current time: 5/5/25, 9:50:38 AM CEST +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Time resolution is 1 ps +// Tcl Message: source tb_module_D.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_D_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 8488.684 ; gain = 0.000 ; free physical = 8252 ; free virtual = 16075 +// 'd' command handler elapsed time: 6 seconds +dismissDialog("Run Simulation"); // e (Run Simulation Progress) +// HMemoryUtils.trashcanNow. Engine heap size: 2,360 MB. GUI used memory: 80 MB. Current time: 5/5/25, 9:50:40 AM CEST +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,360 MB. GUI used memory: 83 MB. Current time: 5/5/25, 9:50:42 AM CEST +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +unMinimizeFrame(PAResourceOtoP.PAViews_SOURCES, "Sources"); // R (PAResourceOtoP.PAViews_SOURCES, Sources) +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,350 MB. GUI used memory: 80 MB. Current time: 5/5/25, 9:50:48 AM CEST +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), C_inst : module_C(behavioral) (module_C.vhd)]", 15, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), C_inst : module_C(behavioral) (module_C.vhd)]", 15, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 164, 603); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1454, 800, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// HMemoryUtils.trashcanNow. Engine heap size: 2,380 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,380 MB. GUI used memory: 84 MB. Current time: 5/5/25, 9:51:18 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,380 MB. GUI used memory: 83 MB. Current time: 5/5/25, 9:51:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,380 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,380 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,380 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,424 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,424 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,296 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,035 MB. GUI used memory: 83 MB. Current time: 5/5/25, 9:51:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,294 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,035 MB. GUI used memory: 83 MB. Current time: 5/5/25, 9:51:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,774 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,511 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,380 MB. GUI used memory: 83 MB. Current time: 5/5/25, 9:51:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,380 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,380 MB. GUI used memory: 84 MB. Current time: 5/5/25, 9:51:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,380 MB. GUI used memory: 83 MB. Current time: 5/5/25, 9:51:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,380 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,424 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:21 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,424 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,424 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,296 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,035 MB. GUI used memory: 83 MB. Current time: 5/5/25, 9:51:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,774 MB. GUI used memory: 83 MB. Current time: 5/5/25, 9:51:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,296 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,035 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,382 MB. GUI used memory: 83 MB. Current time: 5/5/25, 9:51:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,380 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,380 MB. GUI used memory: 83 MB. Current time: 5/5/25, 9:51:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,380 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 24 seconds +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_triangle_out[15:0]]", 3, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,380 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:25 AM CEST +expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SR_addr[4:0]]", 2); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SR_addr[4:0]]", 2); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_triangle_out[15:0]]", 3, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,380 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:35 AM CEST +expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_triangle_out[15:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_triangle_out[15:0]]", 3); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_triangle_out[15:0]]", 3, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,382 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:51:41 AM CEST +selectMenu("Name"); // am (Name) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenuItem((HResource) null, "Analog"); // ad (Analog) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_triangle_out[15:0]]", 3, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node +selectMenu("Name"); // am (Name) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenu("Radix"); // am (Radix) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Name"); // am (Name) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenuItem((HResource) null, "Analog Settings..."); // ap (Analog Settings...) +selectRadioButton(RDIResource.WaveformAnalogSettingsDialog_HOLD, "Hold"); // a (RDIResource.WaveformAnalogSettingsDialog_HOLD) +selectButton(RDIResource.BaseDialog_APPLY, "Apply", "Analog Settings"); // a (RDIResource.BaseDialog_APPLY) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +selectButton(RDIResource.BaseDialog_OK, "OK", "Analog Settings"); // a (RDIResource.BaseDialog_OK) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +dismissDialog("Analog Settings"); // c (dialog2) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,382 MB. GUI used memory: 82 MB. Current time: 5/5/25, 9:52:04 AM CEST +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,426 MB. GUI used memory: 81 MB. Current time: 5/5/25, 9:53:33 AM CEST +// Elapsed time: 175 seconds +closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode) +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_CLOSE_WCFG +selectButton("OptionPane.button", "Discard", "Save Waveform Configuration"); // JButton (OptionPane.button) +closeView(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 3*"); // t (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer) +unMinimizeFrame(PAResourceOtoP.PAViews_SOURCES, "Sources"); // R (PAResourceOtoP.PAViews_SOURCES, Sources) +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// Elapsed time: 10 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_E(behavior) (tb_module_E.vhd)]", 57, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu) +// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: set_property top tb_module_E [get_filesets sim_1] +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: DG_GRAPH_STALE +// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] +// TclEventType: DG_GRAPH_STALE +// Elapsed Time for: 'L.f': 01h:20m:52s +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +// Elapsed Time for: 'L.f': 01h:20m:54s +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: PACKAGER_MESSAGE_RESET +// TclEventType: PACKAGER_UNLOAD_CORE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +// Tcl Message: xvhdl --incr --relax -prj tb_module_E_vhdl.prj +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_E.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'module_E' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/tb_module_E.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_module_E' Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '1' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_E_behav xil_defaultlib.tb_module_E -log elaborate.log +// TclEventType: LAUNCH_SIM +// Tcl Message: Built simulation snapshot tb_module_E_behav +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "tb_module_E_behav -key {Behavioral:sim_1:Functional:tb_module_E} -tclbatch {tb_module_E.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Tcl Message: Time resolution is 1 ps +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// HMemoryUtils.trashcanNow. Engine heap size: 2,428 MB. GUI used memory: 85 MB. Current time: 5/5/25, 9:55:32 AM CEST +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: source tb_module_E.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_E_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8558.051 ; gain = 49.742 ; free physical = 8196 ; free virtual = 16021 +// 'd' command handler elapsed time: 5 seconds +dismissDialog("Run Simulation"); // e (Run Simulation Progress) +// HMemoryUtils.trashcanNow. Engine heap size: 2,428 MB. GUI used memory: 84 MB. Current time: 5/5/25, 9:55:33 AM CEST +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,428 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:55:34 AM CEST +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,418 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:55:39 AM CEST +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,418 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:55:42 AM CEST +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,418 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:55:44 AM CEST +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,418 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:55:45 AM CEST +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,418 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:55:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,418 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:55:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,418 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:55:48 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,418 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,418 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,462 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,462 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,334 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,073 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,334 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,073 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,812 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,551 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,418 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,418 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,462 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:55:49 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,462 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:55:49 AM CEST +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_saw_tooth_out[15:0]]", 3, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectMenu("Name"); // am (Name) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Name"); // am (Name) +selectMenu("Name"); // am (Name) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenu("Radix"); // am (Radix) +selectMenu("Radix"); // am (Radix) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Name"); // am (Name) +selectMenu("Name"); // am (Name) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Name"); // am (Name) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenuItem((HResource) null, "Analog"); // ad (Analog) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,418 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,418 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,418 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,462 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,075 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,814 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:00 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,206 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,684 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,075 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,814 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,423 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:01 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,945 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,684 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,812 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:02 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:03 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:04 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:04 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,814 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,553 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,075 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,814 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,075 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:05 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,814 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,553 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,075 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:06 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:08 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:09 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,075 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,814 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,075 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,814 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,423 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,075 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,945 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,684 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,423 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:11 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,075 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,684 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,814 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,551 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:12 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:13 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:14 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:14 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:14 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:14 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,075 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:14 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:14 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:15 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:15 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:15 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:15 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:15 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:15 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,075 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:15 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,551 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:15 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:15 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:15 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:15 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,942 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:15 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,334 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:15 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,075 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:15 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:16 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:16 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:16 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:16 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:16 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:16 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:17 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:17 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,075 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:17 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:17 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:17 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:17 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:18 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,075 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:18 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:18 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,075 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:18 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,814 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:18 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,553 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:18 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:18 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:18 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:18 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:18 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:18 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:18 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:19 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:19 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:19 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,075 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:19 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,073 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,814 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,334 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,945 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:22 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:23 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,420 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:23 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:26 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,464 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:26 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:26 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,075 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:26 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,814 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:27 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,336 MB. GUI used memory: 87 MB. Current time: 5/5/25, 9:56:27 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,075 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:27 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,814 MB. GUI used memory: 86 MB. Current time: 5/5/25, 9:56:27 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_CLOSE_WCFG +// Elapsed time: 64 seconds +selectButton("OptionPane.button", "Discard", "Save Waveform Configuration"); // JButton (OptionPane.button) +closeView(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 4*"); // t (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer) +unMinimizeFrame(PAResourceOtoP.PAViews_SOURCES, "Sources"); // R (PAResourceOtoP.PAViews_SOURCES, Sources) +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_module_F(behavioral) (tb_module_F.vhd)]", 58, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu) +// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: set_property top tb_module_F [get_filesets sim_1] +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: DG_GRAPH_STALE +// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] +// TclEventType: DG_GRAPH_STALE +// Elapsed Time for: 'L.f': 01h:22m:53s +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: PACKAGER_MESSAGE_RESET +// TclEventType: PACKAGER_UNLOAD_CORE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +// Tcl Message: xvhdl --incr --relax -prj tb_module_F_vhdl.prj +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/module_F.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'module_F' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/src/hdl/tb_module_F.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_module_F' Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '1' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_module_F_behav xil_defaultlib.tb_module_F -log elaborate.log +// TclEventType: LAUNCH_SIM +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "tb_module_F_behav -key {Behavioral:sim_1:Functional:tb_module_F} -tclbatch {tb_module_F.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// HMemoryUtils.trashcanNow. Engine heap size: 2,509 MB. GUI used memory: 89 MB. Current time: 5/5/25, 9:57:27 AM CEST +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Time resolution is 1 ps +// Tcl Message: source tb_module_F.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_module_F_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 8647.125 ; gain = 70.816 ; free physical = 8184 ; free virtual = 16003 +// 'd' command handler elapsed time: 5 seconds +dismissDialog("Run Simulation"); // e (Run Simulation Progress) +selectTab((HResource) null, (HResource) null, "Sources", 1); // aa +// Elapsed Time for: 'L.f': 01h:23m:00s +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// HMemoryUtils.trashcanNow. Engine heap size: 2,509 MB. GUI used memory: 89 MB. Current time: 5/5/25, 9:57:29 AM CEST +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), F_inst : module_F(behavioral) (module_F.vhd)]", 18, false, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger +selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) +selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), F_inst : module_F(behavioral) (module_F.vhd)]", 18, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), F_inst : module_F(behavioral) (module_F.vhd)]", 18, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), F_inst : module_F(behavioral) (module_F.vhd)]", 18, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), F_inst : module_F(behavioral) (module_F.vhd)]", 18, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 5", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// [Engine Memory]: 3,563 MB (+59715kb) [01:28:03] +// Elapsed time: 11 seconds +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,564 MB. GUI used memory: 90 MB. Current time: 5/5/25, 9:58:10 AM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 16 seconds +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,520 MB. GUI used memory: 90 MB. Current time: 5/5/25, 9:58:17 AM CEST +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 363, 71, false, false, false, true, false); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) - Popup Trigger +/********** leftMouseClick (1357, 357, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 134, 337); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1156, 591, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,520 MB. GUI used memory: 90 MB. Current time: 5/5/25, 9:58:29 AM CEST +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "module_F.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 113 seconds +closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode) +// TclEventType: WAVEFORM_CLOSE_WCFG +closeView(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 5"); // t (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_CLOSE +selectCheckBox(RDIResource.MessageWithOptionDialog_DONT_SHOW_THIS_DIALOG_AGAIN, "Don't show this dialog again", true); // f (RDIResource.MessageWithOptionDialog_DONT_SHOW_THIS_DIALOG_AGAIN): TRUE +selectButton(RDIResource.BaseDialog_OK, "OK", "Confirm Close"); // a (RDIResource.BaseDialog_OK) +dismissDialog("Confirm Close"); // t (dialog3) +// TclEventType: SIMULATION_CURRENT_SIMULATION +// Tcl Message: current_sim simulation_2 +// TclEventType: SIMULATION_CLOSE_SIMULATION +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // bj (Close Progress) +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_CLOSE +// TclEventType: SIMULATION_CLOSE_SIMULATION +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // bj (Close Progress) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_CLOSE +// TclEventType: SIMULATION_CLOSE_SIMULATION +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // bj (Close Progress) +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +unMinimizeFrame(PAResourceOtoP.PAViews_SOURCES, "Sources"); // R (PAResourceOtoP.PAViews_SOURCES, Sources) +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), H_inst : module_H(behavioral) (module_H.vhd)]", 20, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), H_inst : module_H(behavioral) (module_H.vhd)]", 20, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +// Elapsed time: 34 seconds +closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), I_inst : module_I(behavioral) (module_I.vhd)]", 21, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), I_inst : module_I(behavioral) (module_I.vhd)]", 21, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +// Elapsed time: 41 seconds +selectCodeEditor("module_I.vhd", 29, 445); // ac (module_I.vhd) +selectCodeEditor("module_I.vhd", 133, 447); // ac (module_I.vhd) +// Elapsed time: 181 seconds +closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), G_inst : module_G(behavioral) (module_G.vhd)]", 19, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), G_inst : module_G(behavioral) (module_G.vhd)]", 19, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +// Elapsed time: 139 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), A_inst : module_A(behavioral) (module_A.vhd)]", 13, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v), synthe : synthesizer(Behavioral) (synthesizer.vhd), tone_gen[0].tone_inst : tone_generator(arch) (tone_generator.vhd), gen_wave[0].wave_generator_inst : wave_generator(arch) (wave_generator.vhd), A_inst : module_A(behavioral) (module_A.vhd)]", 13, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +// Elapsed time: 145 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL 2008, tb_wave_generator.vhd]", 45, false, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger +selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) +selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 48, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_wave_generator(behavior) (tb_wave_generator.vhd)]", 62, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) +selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu) +// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: set_property top tb_wave_generator [get_filesets sim_1] +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: DG_GRAPH_STALE +// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] +// TclEventType: DG_GRAPH_STALE +// Elapsed Time for: 'L.f': 01h:35m:35s +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +// Elapsed Time for: 'L.f': 01h:35m:37s +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// Tcl Message: launch_simulation +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: PACKAGER_MESSAGE_RESET +// TclEventType: PACKAGER_UNLOAD_CORE +// Tcl Message: Command: launch_simulation +// Tcl Message: xvhdl --incr --relax -prj tb_wave_generator_vhdl.prj +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '1' seconds INFO: [USF-XSim-99] Step results log file:'/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim/xvhdl.log' +// Tcl Message: ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim/xvhdl.log' file for more information. ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +// Tcl Message: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +// CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +// HOptionPane Error: 'ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. (Run Simulation)' +// 'd' command handler elapsed time: 5 seconds +selectButton("OptionPane.button", "OK", "Run Simulation"); // JButton (OptionPane.button) +dismissDialog("Critical Messages"); // a (dialog4) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: PACKAGER_MESSAGE_RESET +// TclEventType: PACKAGER_UNLOAD_CORE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: xvhdl --incr --relax -prj tb_wave_generator_vhdl.prj +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-99] Step results log file:'/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim/xvhdl.log' +// Tcl Message: ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.sim/sim_1/behav/xsim/xvhdl.log' file for more information. ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +// Tcl Message: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +// CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +// HOptionPane Error: 'ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. (Run Simulation)' +// 'd' command handler elapsed time: 3 seconds +selectButton("OptionPane.button", "OK", "Run Simulation"); // JButton (OptionPane.button) +dismissDialog("Critical Messages"); // a (dialog5) +// Elapsed time: 468 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, wave_generator.vhd]", 2, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, wave_generator.vhd]", 2, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode) +// Elapsed time: 34 seconds +selectCodeEditor("wave_generator.vhd", 185, 223); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 239, 223); // ac (wave_generator.vhd) +// WARNING: HEventQueue.dispatchEvent() is taking 1254 ms. +// Elapsed time: 28 seconds +selectCodeEditor("wave_generator.vhd", 168, 206); // ac (wave_generator.vhd) +// Elapsed time: 28 seconds +selectCodeEditor("wave_generator.vhd", 168, 187); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 168, 203); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 169, 223); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 169, 236); // ac (wave_generator.vhd) +// Elapsed time: 79 seconds +selectCodeEditor("wave_generator.vhd", 173, 365); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 216, 368); // ac (wave_generator.vhd) +// Elapsed time: 18 seconds +selectCodeEditor("wave_generator.vhd", 176, 372); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 219, 360); // ac (wave_generator.vhd) +// Elapsed time: 22 seconds +selectCodeEditor("wave_generator.vhd", 183, 170); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 228, 339); // ac (wave_generator.vhd) +// Elapsed time: 95 seconds +selectCodeEditor("wave_generator.vhd", 168, 389); // ac (wave_generator.vhd) +// Elapsed time: 16 seconds +selectCodeEditor("wave_generator.vhd", 171, 396); // ac (wave_generator.vhd) +// Elapsed time: 37 seconds +selectCodeEditor("wave_generator.vhd", 183, 372); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 183, 386); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 183, 398); // ac (wave_generator.vhd) +// Elapsed time: 18 seconds +selectCodeEditor("wave_generator.vhd", 195, 417); // ac (wave_generator.vhd) +// Elapsed time: 10 seconds +selectCodeEditor("wave_generator.vhd", 195, 417); // ac (wave_generator.vhd) +// Elapsed time: 30 seconds +selectCodeEditor("wave_generator.vhd", 245, 366); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 291, 328); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 226, 386); // ac (wave_generator.vhd) +// Elapsed time: 35 seconds +selectCodeEditor("wave_generator.vhd", 189, 445); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 189, 460); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 189, 480); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 191, 475); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 195, 493); // ac (wave_generator.vhd) +// Elapsed time: 30 seconds +selectCodeEditor("wave_generator.vhd", 343, 483); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 195, 471); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 186, 368); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 156, 339); // ac (wave_generator.vhd) +// Elapsed time: 19 seconds +selectCodeEditor("wave_generator.vhd", 155, 336); // ac (wave_generator.vhd) +// Elapsed time: 19 seconds +selectCodeEditor("wave_generator.vhd", 155, 358); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 152, 362); // ac (wave_generator.vhd) +// HMemoryUtils.trashcanNow. Engine heap size: 2,424 MB. GUI used memory: 89 MB. Current time: 5/5/25, 10:28:33 AM CEST +// Elapsed time: 39 seconds +selectCodeEditor("wave_generator.vhd", 169, 191); // ac (wave_generator.vhd) +// Elapsed time: 10 seconds +selectCodeEditor("wave_generator.vhd", 153, 203); // ac (wave_generator.vhd) +// Elapsed time: 24 seconds +selectCodeEditor("wave_generator.vhd", 191, 175); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 156, 220); // ac (wave_generator.vhd) +// Elapsed time: 46 seconds +selectCodeEditor("wave_generator.vhd", 166, 233); // ac (wave_generator.vhd) +// Elapsed time: 48 seconds +selectCodeEditor("wave_generator.vhd", 159, 167); // ac (wave_generator.vhd) +// Elapsed time: 19 seconds +selectCodeEditor("wave_generator.vhd", 154, 234); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 153, 247); // ac (wave_generator.vhd) +// Elapsed time: 49 seconds +selectCodeEditor("wave_generator.vhd", 154, 248); // ac (wave_generator.vhd) +// Elapsed time: 19 seconds +selectCodeEditor("wave_generator.vhd", 156, 263); // ac (wave_generator.vhd) +// Elapsed time: 10 seconds +selectCodeEditor("wave_generator.vhd", 156, 281); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 157, 296); // ac (wave_generator.vhd) +// Elapsed time: 38 seconds +selectCodeEditor("wave_generator.vhd", 155, 409); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 155, 389); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 155, 389); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 153, 396); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 156, 416); // ac (wave_generator.vhd) +selectCodeEditor("wave_generator.vhd", 157, 426); // ac (wave_generator.vhd) +// Elapsed time: 13 seconds +selectCodeEditor("wave_generator.vhd", 166, 434); // ac (wave_generator.vhd) +// Elapsed time: 16 seconds +selectButton(RDIResourceCommand.RDICommands_SAVE_FILE, (String) null); // B (RDIResourceCommand.RDICommands_SAVE_FILE) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 02h:00m:21s +// Elapsed Time for: 'L.f': 02h:00m:25s +// Elapsed time: 71 seconds +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS +selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK) +dismissDialog("Launch Runs"); // f (dialog6) +// Tcl Message: launch_runs synth_1 -jobs 6 +// Tcl Message: INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 +// TclEventType: RUN_LAUNCH +// TclEventType: RUN_MODIFY +// TclEventType: RUN_STATUS_CHANGE +// Tcl Message: [Mon May 5 10:36:04 2025] Launched synth_1... Run output will be captured here: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/proj/Synthe.runs/synth_1/runme.log +// 'i' command handler elapsed time: 4 seconds +dismissDialog("Starting Design Runs"); // bj (Starting Design Runs Progress) +// TclEventType: RUN_STATUS_CHANGE +// TclEventType: RUN_FAILED +// TclEventType: RUN_STATUS_CHANGE +// TclEventType: RUN_STEP_COMPLETED +// Elapsed time: 71 seconds +selectButton(RDIResource.BaseDialog_CANCEL, "Cancel", "Synthesis Failed"); // a (RDIResource.BaseDialog_CANCEL) +dismissDialog("Synthesis Failed"); // Q.a (dialog7) -- GitLab