diff --git a/docs/.~lock.Sans nom 1.odt# b/docs/.~lock.Sans nom 1.odt#
index 2badea42ea5bf05e355c414ce1cede72f17f41e1..e7e0dd4d0ffb553a259f67af8de5744a243e64db 100644
--- a/docs/.~lock.Sans nom 1.odt#	
+++ b/docs/.~lock.Sans nom 1.odt#	
@@ -1 +1 @@
-,l24nicot,fl-tp-br-515,09.05.2025 16:08,file:///homes/l24nicot/.config/libreoffice/4;
\ No newline at end of file
+,l24nicot,fl-tp-br-515,12.05.2025 15:18,file:///homes/l24nicot/.config/libreoffice/4;
\ No newline at end of file
diff --git a/proj/AudioProc.cache/wt/project.wpc b/proj/AudioProc.cache/wt/project.wpc
index 9b342093142bd1b298b4af63bdebdead3a3ef56e..6888edec1ac73923cacb7d6dea38f6663dae769b 100644
--- a/proj/AudioProc.cache/wt/project.wpc
+++ b/proj/AudioProc.cache/wt/project.wpc
@@ -1,3 +1,3 @@
 version:1
-6d6f64655f636f756e7465727c4755494d6f6465:1
+6d6f64655f636f756e7465727c4755494d6f6465:2
 eof:
diff --git a/proj/AudioProc.cache/wt/synthesis.wdf b/proj/AudioProc.cache/wt/synthesis.wdf
index b23c12ca6497fa38b2173491004497783ed80665..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 100644
--- a/proj/AudioProc.cache/wt/synthesis.wdf
+++ b/proj/AudioProc.cache/wt/synthesis.wdf
@@ -1,52 +0,0 @@
-version:1
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761323030747362673438342d31:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:74625f666972556e6974:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7668646c5f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e6372656d656e74616c5f6d6f6465:64656661756c743a3a64656661756c74:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c696e74:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66696c65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f77:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f775f73657474696e6773:64656661756c743a3a6e6f6e65:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:5b7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c75745f63617363616465:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6f73:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:343030:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:35:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:6f6e655f686f74:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:5b7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:6f6666:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d676c6f62616c5f726574696d696e67:64656661756c743a3a6175746f:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d657374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a323273:00:00
-73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:323637362e3737374d42:00:00
-73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3938352e3138384d42:00:00
-eof:782676231
diff --git a/proj/AudioProc.cache/wt/webtalk_pa.xml b/proj/AudioProc.cache/wt/webtalk_pa.xml
index 1b0b52df3b7bc2bc4e6ee3f949a7a2c2ec17a440..c7fb61500f16f332a68f6f59927df1245f2c933c 100644
--- a/proj/AudioProc.cache/wt/webtalk_pa.xml
+++ b/proj/AudioProc.cache/wt/webtalk_pa.xml
@@ -3,10 +3,10 @@
 <!--The data in this file is primarily intended for consumption by Xilinx tools.
 The structure and the elements are likely to change over the next few releases.
 This means code written to parse this file will need to be revisited each subsequent release.-->
-<application name="pa" timeStamp="Fri May  9 16:03:06 2025">
+<application name="pa" timeStamp="Mon May 12 16:07:25 2025">
 <section name="Project Information" visible="false">
 <property name="ProjectID" value="a2a460662c534046acb4b1eb8742bffd" type="ProjectID"/>
-<property name="ProjectIteration" value="1" type="ProjectIteration"/>
+<property name="ProjectIteration" value="2" type="ProjectIteration"/>
 </section>
 <section name="PlanAhead Usage" visible="true">
 <item name="Project Data">
diff --git a/proj/AudioProc.runs/.jobs/vrs_config_2.xml b/proj/AudioProc.runs/.jobs/vrs_config_2.xml
new file mode 100644
index 0000000000000000000000000000000000000000..0f282cfca228696809b58cca857391edc9fa4ce3
--- /dev/null
+++ b/proj/AudioProc.runs/.jobs/vrs_config_2.xml
@@ -0,0 +1,15 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/proj/AudioProc.runs/impl_1/.init_design.begin.rst b/proj/AudioProc.runs/impl_1/.init_design.begin.rst
deleted file mode 100644
index 2858507ac777eb902d56bdbd761a321a404e03d9..0000000000000000000000000000000000000000
--- a/proj/AudioProc.runs/impl_1/.init_design.begin.rst
+++ /dev/null
@@ -1,5 +0,0 @@
-<?xml version="1.0"?>
-<ProcessHandle Version="1" Minor="0">
-    <Process Command=".planAhead." Owner="l24nicot" Host="" Pid="112122">
-    </Process>
-</ProcessHandle>
diff --git a/proj/AudioProc.runs/impl_1/.opt_design.begin.rst b/proj/AudioProc.runs/impl_1/.opt_design.begin.rst
deleted file mode 100644
index 2858507ac777eb902d56bdbd761a321a404e03d9..0000000000000000000000000000000000000000
--- a/proj/AudioProc.runs/impl_1/.opt_design.begin.rst
+++ /dev/null
@@ -1,5 +0,0 @@
-<?xml version="1.0"?>
-<ProcessHandle Version="1" Minor="0">
-    <Process Command=".planAhead." Owner="l24nicot" Host="" Pid="112122">
-    </Process>
-</ProcessHandle>
diff --git a/proj/AudioProc.runs/impl_1/.opt_design.end.rst b/proj/AudioProc.runs/impl_1/.opt_design.end.rst
deleted file mode 100644
index e69de29bb2d1d6434b8b29ae775ad8c2e48c5391..0000000000000000000000000000000000000000
diff --git a/proj/AudioProc.runs/impl_1/.place_design.begin.rst b/proj/AudioProc.runs/impl_1/.place_design.begin.rst
deleted file mode 100644
index 2858507ac777eb902d56bdbd761a321a404e03d9..0000000000000000000000000000000000000000
--- a/proj/AudioProc.runs/impl_1/.place_design.begin.rst
+++ /dev/null
@@ -1,5 +0,0 @@
-<?xml version="1.0"?>
-<ProcessHandle Version="1" Minor="0">
-    <Process Command=".planAhead." Owner="l24nicot" Host="" Pid="112122">
-    </Process>
-</ProcessHandle>
diff --git a/proj/AudioProc.runs/impl_1/.place_design.error.rst b/proj/AudioProc.runs/impl_1/.place_design.error.rst
deleted file mode 100644
index e69de29bb2d1d6434b8b29ae775ad8c2e48c5391..0000000000000000000000000000000000000000
diff --git a/proj/AudioProc.runs/impl_1/.vivado.begin.rst b/proj/AudioProc.runs/impl_1/.vivado.begin.rst
deleted file mode 100644
index 553e3af917585a1de4496b4c0074928e4d29247d..0000000000000000000000000000000000000000
--- a/proj/AudioProc.runs/impl_1/.vivado.begin.rst
+++ /dev/null
@@ -1,5 +0,0 @@
-<?xml version="1.0"?>
-<ProcessHandle Version="1" Minor="0">
-    <Process Command="vivado" Owner="l24nicot" Host="fl-tp-br-515" Pid="112051" HostCore="12" HostMemory="16146436">
-    </Process>
-</ProcessHandle>
diff --git a/proj/AudioProc.runs/impl_1/.vivado.error.rst b/proj/AudioProc.runs/impl_1/.vivado.error.rst
deleted file mode 100644
index e69de29bb2d1d6434b8b29ae775ad8c2e48c5391..0000000000000000000000000000000000000000
diff --git a/proj/AudioProc.runs/impl_1/gen_run.xml b/proj/AudioProc.runs/impl_1/gen_run.xml
index fd1fe219e54ab56ad16c9d3d9065f8c5bcc87c5e..373879b2cdf3e982002841421ed2d667d4647b60 100644
--- a/proj/AudioProc.runs/impl_1/gen_run.xml
+++ b/proj/AudioProc.runs/impl_1/gen_run.xml
@@ -1,5 +1,5 @@
 <?xml version="1.0" encoding="UTF-8"?>
-<GenRun Id="impl_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1746799386">
+<GenRun Id="impl_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1747058845">
   <File Type="ROUTE-RQS-RPT" Name="route_report_qor_suggestions_0.rpt"/>
   <File Type="POSTROUTE-PHYSOPT-RQS" Name="tb_firUnit_postroute_physopted.rqs"/>
   <File Type="ROUTE-RQS" Name="tb_firUnit_routed.rqs"/>
@@ -38,62 +38,61 @@
   <File Type="ROUTE-BUS-SKEW-RPX" Name="tb_firUnit_bus_skew_routed.rpx"/>
   <File Type="ROUTE-BUS-SKEW-PB" Name="tb_firUnit_bus_skew_routed.pb"/>
   <File Type="ROUTE-BUS-SKEW" Name="tb_firUnit_bus_skew_routed.rpt"/>
+  <File Type="ROUTE-CLK" Name="tb_firUnit_clock_utilization_routed.rpt"/>
+  <File Type="ROUTE-SIMILARITY" Name="tb_firUnit_incremental_reuse_routed.rpt"/>
+  <File Type="ROUTE-TIMING-RPX" Name="tb_firUnit_timing_summary_routed.rpx"/>
+  <File Type="ROUTE-TIMING-PB" Name="tb_firUnit_timing_summary_routed.pb"/>
+  <File Type="ROUTE-TIMINGSUMMARY" Name="tb_firUnit_timing_summary_routed.rpt"/>
+  <File Type="ROUTE-STATUS-PB" Name="tb_firUnit_route_status.pb"/>
+  <File Type="ROUTE-STATUS" Name="tb_firUnit_route_status.rpt"/>
+  <File Type="ROUTE-PWR-RPX" Name="tb_firUnit_power_routed.rpx"/>
+  <File Type="ROUTE-PWR-SUM" Name="tb_firUnit_power_summary_routed.pb"/>
+  <File Type="ROUTE-PWR" Name="tb_firUnit_power_routed.rpt"/>
+  <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="tb_firUnit_methodology_drc_routed.pb"/>
+  <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="tb_firUnit_methodology_drc_routed.rpx"/>
+  <File Type="ROUTE-METHODOLOGY-DRC" Name="tb_firUnit_methodology_drc_routed.rpt"/>
+  <File Type="ROUTE-DRC-RPX" Name="tb_firUnit_drc_routed.rpx"/>
+  <File Type="ROUTE-DRC-PB" Name="tb_firUnit_drc_routed.pb"/>
+  <File Type="ROUTE-DRC" Name="tb_firUnit_drc_routed.rpt"/>
+  <File Type="ROUTE-BLACKBOX-DCP" Name="tb_firUnit_routed_bb.dcp"/>
+  <File Type="ROUTE-DCP" Name="tb_firUnit_routed.dcp"/>
+  <File Type="ROUTE-ERROR-DCP" Name="tb_firUnit_routed_error.dcp"/>
+  <File Type="PHYSOPT-TIMING" Name="tb_firUnit_timing_summary_physopted.rpt"/>
+  <File Type="PHYSOPT-DRC" Name="tb_firUnit_drc_physopted.rpt"/>
+  <File Type="PHYSOPT-DCP" Name="tb_firUnit_physopt.dcp"/>
+  <File Type="POSTPLACE-PWROPT-TIMING" Name="tb_firUnit_timing_summary_postplace_pwropted.rpt"/>
+  <File Type="POSTPLACE-PWROPT-DCP" Name="tb_firUnit_postplace_pwropt.dcp"/>
+  <File Type="PLACE-RQA-PB" Name="tb_firUnit_rqa_placed.pb"/>
+  <File Type="PLACE-TIMING" Name="tb_firUnit_timing_summary_placed.rpt"/>
+  <File Type="PLACE-PRE-SIMILARITY" Name="tb_firUnit_incremental_reuse_pre_placed.rpt"/>
+  <File Type="PLACE-SIMILARITY" Name="tb_firUnit_incremental_reuse_placed.rpt"/>
+  <File Type="PLACE-CTRL" Name="tb_firUnit_control_sets_placed.rpt"/>
   <File Type="PLACE-UTIL-PB" Name="tb_firUnit_utilization_placed.pb"/>
-  <File Type="OPT-METHODOLOGY-DRC" Name="tb_firUnit_methodology_drc_opted.rpt"/>
   <File Type="PLACE-UTIL" Name="tb_firUnit_utilization_placed.rpt"/>
   <File Type="PLACE-CLK" Name="tb_firUnit_clock_utilization_placed.rpt"/>
   <File Type="PLACE-IO" Name="tb_firUnit_io_placed.rpt"/>
-  <File Type="PHYSOPT-TIMING" Name="tb_firUnit_timing_summary_physopted.rpt"/>
-  <File Type="PWROPT-DRC" Name="tb_firUnit_drc_pwropted.rpt"/>
+  <File Type="PLACE-DCP" Name="tb_firUnit_placed.dcp"/>
   <File Type="PWROPT-TIMING" Name="tb_firUnit_timing_summary_pwropted.rpt"/>
-  <File Type="OPT-DRC" Name="tb_firUnit_drc_opted.rpt"/>
-  <File Type="PLACE-TIMING" Name="tb_firUnit_timing_summary_placed.rpt"/>
-  <File Type="INIT-TIMING" Name="tb_firUnit_timing_summary_init.rpt"/>
-  <File Type="PA-TCL" Name="tb_firUnit.tcl"/>
-  <File Type="PLACE-CTRL" Name="tb_firUnit_control_sets_placed.rpt"/>
-  <File Type="ROUTE-METHODOLOGY-DRC" Name="tb_firUnit_methodology_drc_routed.rpt"/>
-  <File Type="OPT-DCP" Name="tb_firUnit_opt.dcp"/>
+  <File Type="PWROPT-DRC" Name="tb_firUnit_drc_pwropted.rpt"/>
+  <File Type="PWROPT-DCP" Name="tb_firUnit_pwropt.dcp"/>
   <File Type="OPT-RQA-PB" Name="tb_firUnit_rqa_opted.pb"/>
   <File Type="OPT-HWDEF" Name="tb_firUnit.hwdef"/>
-  <File Type="POSTPLACE-PWROPT-TIMING" Name="tb_firUnit_timing_summary_postplace_pwropted.rpt"/>
-  <File Type="REPORTS-TCL" Name="tb_firUnit_reports.tcl"/>
+  <File Type="OPT-METHODOLOGY-DRC" Name="tb_firUnit_methodology_drc_opted.rpt"/>
+  <File Type="OPT-DRC" Name="tb_firUnit_drc_opted.rpt"/>
+  <File Type="OPT-DCP" Name="tb_firUnit_opt.dcp"/>
   <File Type="OPT-TIMING" Name="tb_firUnit_timing_summary_opted.rpt"/>
-  <File Type="PLACE-SIMILARITY" Name="tb_firUnit_incremental_reuse_placed.rpt"/>
-  <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="tb_firUnit_methodology_drc_routed.pb"/>
-  <File Type="PLACE-DCP" Name="tb_firUnit_placed.dcp"/>
-  <File Type="PLACE-RQA-PB" Name="tb_firUnit_rqa_placed.pb"/>
-  <File Type="PLACE-PRE-SIMILARITY" Name="tb_firUnit_incremental_reuse_pre_placed.rpt"/>
-  <File Type="ROUTE-DRC-RPX" Name="tb_firUnit_drc_routed.rpx"/>
-  <File Type="PWROPT-DCP" Name="tb_firUnit_pwropt.dcp"/>
-  <File Type="POSTPLACE-PWROPT-DCP" Name="tb_firUnit_postplace_pwropt.dcp"/>
-  <File Type="PHYSOPT-DCP" Name="tb_firUnit_physopt.dcp"/>
-  <File Type="PHYSOPT-DRC" Name="tb_firUnit_drc_physopted.rpt"/>
-  <File Type="ROUTE-ERROR-DCP" Name="tb_firUnit_routed_error.dcp"/>
-  <File Type="ROUTE-DCP" Name="tb_firUnit_routed.dcp"/>
-  <File Type="ROUTE-BLACKBOX-DCP" Name="tb_firUnit_routed_bb.dcp"/>
-  <File Type="ROUTE-DRC" Name="tb_firUnit_drc_routed.rpt"/>
-  <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="tb_firUnit_methodology_drc_routed.rpx"/>
-  <File Type="ROUTE-DRC-PB" Name="tb_firUnit_drc_routed.pb"/>
-  <File Type="ROUTE-PWR" Name="tb_firUnit_power_routed.rpt"/>
-  <File Type="ROUTE-PWR-SUM" Name="tb_firUnit_power_summary_routed.pb"/>
-  <File Type="ROUTE-PWR-RPX" Name="tb_firUnit_power_routed.rpx"/>
-  <File Type="ROUTE-STATUS" Name="tb_firUnit_route_status.rpt"/>
-  <File Type="ROUTE-STATUS-PB" Name="tb_firUnit_route_status.pb"/>
-  <File Type="ROUTE-TIMINGSUMMARY" Name="tb_firUnit_timing_summary_routed.rpt"/>
-  <File Type="ROUTE-TIMING-PB" Name="tb_firUnit_timing_summary_routed.pb"/>
-  <File Type="ROUTE-TIMING-RPX" Name="tb_firUnit_timing_summary_routed.rpx"/>
-  <File Type="ROUTE-SIMILARITY" Name="tb_firUnit_incremental_reuse_routed.rpt"/>
-  <File Type="ROUTE-CLK" Name="tb_firUnit_clock_utilization_routed.rpt"/>
+  <File Type="REPORTS-TCL" Name="tb_firUnit_reports.tcl"/>
+  <File Type="INIT-TIMING" Name="tb_firUnit_timing_summary_init.rpt"/>
+  <File Type="PA-TCL" Name="tb_firUnit.tcl"/>
   <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
     <Filter Type="Srcs"/>
-    <File Path="$PPRDIR/../src/hdl/operativeUnit.v">
+    <File Path="$PPRDIR/../src/hdl/controlUnit.vhd">
       <FileInfo>
         <Attr Name="UsedIn" Val="synthesis"/>
-        <Attr Name="UsedIn" Val="implementation"/>
         <Attr Name="UsedIn" Val="simulation"/>
       </FileInfo>
     </File>
-    <File Path="$PPRDIR/../src/hdl/controlUnit.vhd">
+    <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd">
       <FileInfo>
         <Attr Name="UsedIn" Val="synthesis"/>
         <Attr Name="UsedIn" Val="simulation"/>
@@ -164,13 +163,6 @@
         <Attr Name="UsedIn" Val="simulation"/>
       </FileInfo>
     </File>
-    <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd">
-      <FileInfo>
-        <Attr Name="UserDisabled" Val="1"/>
-        <Attr Name="UsedIn" Val="synthesis"/>
-        <Attr Name="UsedIn" Val="simulation"/>
-      </FileInfo>
-    </File>
     <Config>
       <Option Name="DesignMode" Val="RTL"/>
       <Option Name="TopModule" Val="tb_firUnit"/>
@@ -190,14 +182,20 @@
   </FileSet>
   <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
     <Filter Type="Utils"/>
+    <File Path="$PSRCDIR/utils_1/imports/synth_1/tb_firUnit.dcp">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedInSteps" Val="synth_1"/>
+        <Attr Name="AutoDcp" Val="1"/>
+      </FileInfo>
+    </File>
     <Config>
       <Option Name="TopAutoSet" Val="TRUE"/>
     </Config>
   </FileSet>
   <Strategy Version="1" Minor="2">
-    <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014">
-      <Desc>Vivado Implementation Defaults</Desc>
-    </StratHandle>
+    <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"/>
     <Step Id="init_design"/>
     <Step Id="opt_design"/>
     <Step Id="power_opt_design"/>
diff --git a/proj/AudioProc.runs/impl_1/init_design.pb b/proj/AudioProc.runs/impl_1/init_design.pb
deleted file mode 100644
index 571ad1caa943e5395e7af6eace20c32dfdb8ca76..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.runs/impl_1/init_design.pb and /dev/null differ
diff --git a/proj/AudioProc.runs/impl_1/opt_design.pb b/proj/AudioProc.runs/impl_1/opt_design.pb
deleted file mode 100644
index 3cae5c2042c7a3e09cd743dac70a75566073dee9..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.runs/impl_1/opt_design.pb and /dev/null differ
diff --git a/proj/AudioProc.runs/impl_1/place_design.pb b/proj/AudioProc.runs/impl_1/place_design.pb
deleted file mode 100644
index 738422c003fdb4610d67e8106251e732100031e9..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.runs/impl_1/place_design.pb and /dev/null differ
diff --git a/proj/AudioProc.runs/impl_1/project.wdf b/proj/AudioProc.runs/impl_1/project.wdf
index a86424715410d5e74f29256047fa2db66c5c0021..1a09bd1a6e8c5048c552c36092027065c62c99d2 100644
--- a/proj/AudioProc.runs/impl_1/project.wdf
+++ b/proj/AudioProc.runs/impl_1/project.wdf
@@ -1,5 +1,5 @@
 version:1
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:3132:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:3131:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:466c6f775f506572664f7074696d697a65645f48696768:00:00
@@ -13,7 +13,7 @@ version:1
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:3139:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:3331:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00
@@ -28,4 +28,4 @@ version:1
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00
 5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3661346466313732396165393434316161366364373034663438313832636337:506172656e742050412070726f6a656374204944:00
-eof:3846490189
+eof:4252997287
diff --git a/proj/AudioProc.runs/impl_1/runme.log b/proj/AudioProc.runs/impl_1/runme.log
deleted file mode 100644
index e4b9adbd8fea94f29b36f7aa61694c5196ddcfd9..0000000000000000000000000000000000000000
--- a/proj/AudioProc.runs/impl_1/runme.log
+++ /dev/null
@@ -1,315 +0,0 @@
-
-*** Running vivado
-    with args -log tb_firUnit.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source tb_firUnit.tcl -notrace
-
-
-****** Vivado v2024.1 (64-bit)
-  **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
-  **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
-  **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
-  **** Start of session at: Fri May  9 16:04:45 2025
-    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-    ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
-
-source tb_firUnit.tcl -notrace
-create_project: Time (s): cpu = 00:00:14 ; elapsed = 00:00:28 . Memory (MB): peak = 1680.586 ; gain = 325.840 ; free physical = 4615 ; free virtual = 14617
-INFO: [IP_Flow 19-234] Refreshing IP repositories
-WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/repo'; Can't find the specified path.
-If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
-INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
-Command: link_design -top tb_firUnit -part xc7a200tsbg484-1
-Design is defaulting to srcset: sources_1
-Design is defaulting to constrset: constrs_1
-INFO: [Device 21-403] Loading part xc7a200tsbg484-1
-INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library.
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2080.266 ; gain = 0.000 ; free physical = 4169 ; free virtual = 14166
-INFO: [Project 1-479] Netlist was created with Vivado 2024.1
-INFO: [Project 1-570] Preparing netlist for logic optimization
-Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc]
-WARNING: [Vivado 12-584] No ports matched 'CLK100MHZ'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTNC'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTND'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTNL'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTNR'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTNU'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'rstn'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_adc_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_bclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_dac_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_lrclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_mclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'scl'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sda'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-Finished Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc]
-INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2176.578 ; gain = 0.000 ; free physical = 4046 ; free virtual = 14058
-INFO: [Project 1-111] Unisim Transformation Summary:
-No Unisim elements were transformed.
-
-8 Infos, 28 Warnings, 27 Critical Warnings and 0 Errors encountered.
-link_design completed successfully
-link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:14 . Memory (MB): peak = 2180.547 ; gain = 494.023 ; free physical = 4046 ; free virtual = 14058
-Command: opt_design
-Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
-INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
-Running DRC as a precondition to command opt_design
-
-Starting DRC Task
-INFO: [DRC 23-27] Running DRC with 8 threads
-INFO: [Project 1-461] DRC finished with 0 Errors
-INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
-
-Time (s): cpu = 00:00:00.44 ; elapsed = 00:00:00.64 . Memory (MB): peak = 2259.453 ; gain = 78.906 ; free physical = 4033 ; free virtual = 14044
-
-Starting Cache Timing Information Task
-INFO: [Timing 38-35] Done setting XDC timing constraints.
-Ending Cache Timing Information Task | Checksum: b7dde4dd
-
-Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 2715.445 ; gain = 455.992 ; free physical = 3596 ; free virtual = 13622
-
-Starting Logic Optimization Task
-
-Phase 1 Initialization
-
-Phase 1.1 Core Generation And Design Setup
-Phase 1.1 Core Generation And Design Setup | Checksum: b7dde4dd
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-
-Phase 1.2 Setup Constraints And Sort Netlist
-Phase 1.2 Setup Constraints And Sort Netlist | Checksum: b7dde4dd
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-Phase 1 Initialization | Checksum: b7dde4dd
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-
-Phase 2 Timer Update And Timing Data Collection
-
-Phase 2.1 Timer Update
-Phase 2.1 Timer Update | Checksum: b7dde4dd
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-
-Phase 2.2 Timing Data Collection
-Phase 2.2 Timing Data Collection | Checksum: b7dde4dd
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-Phase 2 Timer Update And Timing Data Collection | Checksum: b7dde4dd
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-
-Phase 3 Retarget
-INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0
-INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
-INFO: [Opt 31-49] Retargeted 0 cell(s).
-Phase 3 Retarget | Checksum: b7dde4dd
-
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-Retarget | Checksum: b7dde4dd
-INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells
-
-Phase 4 Constant propagation
-INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
-Phase 4 Constant propagation | Checksum: b7dde4dd
-
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-Constant propagation | Checksum: b7dde4dd
-INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
-
-Phase 5 Sweep
-Phase 5 Sweep | Checksum: 144339148
-
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-Sweep | Checksum: 144339148
-INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 4 cells
-
-Phase 6 BUFG optimization
-Phase 6 BUFG optimization | Checksum: 144339148
-
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313
-BUFG optimization | Checksum: 144339148
-INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells.
-
-Phase 7 Shift Register Optimization
-INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs
-Phase 7 Shift Register Optimization | Checksum: 144339148
-
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313
-Shift Register Optimization | Checksum: 144339148
-INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
-
-Phase 8 Post Processing Netlist
-Phase 8 Post Processing Netlist | Checksum: 144339148
-
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313
-Post Processing Netlist | Checksum: 144339148
-INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
-
-Phase 9 Finalization
-
-Phase 9.1 Finalizing Design Cores and Updating Shapes
-Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 144339148
-
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313
-
-Phase 9.2 Verifying Netlist Connectivity
-
-Starting Connectivity Check Task
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-Phase 9.2 Verifying Netlist Connectivity | Checksum: 144339148
-
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313
-Phase 9 Finalization | Checksum: 144339148
-
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313
-Opt_design Change Summary
-=========================
-
-
--------------------------------------------------------------------------------------------------------------------------
-|  Phase                        |  #Cells created  |  #Cells Removed  |  #Constrained objects preventing optimizations  |
--------------------------------------------------------------------------------------------------------------------------
-|  Retarget                     |               0  |               0  |                                              0  |
-|  Constant propagation         |               0  |               0  |                                              0  |
-|  Sweep                        |               0  |               4  |                                              0  |
-|  BUFG optimization            |               0  |               0  |                                              0  |
-|  Shift Register Optimization  |               0  |               0  |                                              0  |
-|  Post Processing Netlist      |               0  |               0  |                                              0  |
--------------------------------------------------------------------------------------------------------------------------
-
-
-Ending Logic Optimization Task | Checksum: 144339148
-
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313
-
-Starting Power Optimization Task
-INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
-Ending Power Optimization Task | Checksum: 144339148
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-
-Starting Final Cleanup Task
-Ending Final Cleanup Task | Checksum: 144339148
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-
-Starting Netlist Obfuscation Task
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-Ending Netlist Obfuscation Task | Checksum: 144339148
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-INFO: [Common 17-83] Releasing license: Implementation
-26 Infos, 28 Warnings, 27 Critical Warnings and 0 Errors encountered.
-opt_design completed successfully
-opt_design: Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 3059.297 ; gain = 878.750 ; free physical = 3291 ; free virtual = 13313
-INFO: [Vivado 12-24828] Executing command : report_drc -file tb_firUnit_drc_opted.rpt -pb tb_firUnit_drc_opted.pb -rpx tb_firUnit_drc_opted.rpx
-Command: report_drc -file tb_firUnit_drc_opted.rpt -pb tb_firUnit_drc_opted.pb -rpx tb_firUnit_drc_opted.rpx
-INFO: [IP_Flow 19-1839] IP Catalog is up to date.
-INFO: [DRC 23-27] Running DRC with 8 threads
-INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpt.
-report_drc completed successfully
-INFO: [Timing 38-480] Writing timing data to binary archive.
-INFO: [Common 17-1381] The checkpoint '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit_opt.dcp' has been generated.
-Command: place_design
-Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
-INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
-INFO: [Common 17-83] Releasing license: Implementation
-INFO: [DRC 23-27] Running DRC with 8 threads
-INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
-INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
-Running DRC as a precondition to command place_design
-INFO: [DRC 23-27] Running DRC with 8 threads
-INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
-INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
-INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 8 CPUs
-
-Starting Placer Task
-
-Phase 1 Placer Initialization
-
-Phase 1.1 Placer Initialization Netlist Sorting
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3277 ; free virtual = 13299
-Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 981aeb64
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3277 ; free virtual = 13299
-Phase 1 Placer Initialization | Checksum: 981aeb64
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.14 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3276 ; free virtual = 13300
-ERROR: [Place 30-494] The design is empty
-Resolution: Check if opt_design has removed all the leaf cells of your design.  Check whether you have instantiated and connected all of the top level ports.
-Ending Placer Task | Checksum: 981aeb64
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.15 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3276 ; free virtual = 13300
-41 Infos, 28 Warnings, 27 Critical Warnings and 2 Errors encountered.
-place_design failed
-ERROR: [Common 17-69] Command failed: Placer could not place all instances
-INFO: [Common 17-206] Exiting Vivado at Fri May  9 16:06:02 2025...
diff --git a/proj/AudioProc.runs/impl_1/tb_firUnit.vdi b/proj/AudioProc.runs/impl_1/tb_firUnit.vdi
deleted file mode 100644
index 157021aa0ea7736663dd5cd5c1d28d8cc0cd6faf..0000000000000000000000000000000000000000
--- a/proj/AudioProc.runs/impl_1/tb_firUnit.vdi
+++ /dev/null
@@ -1,325 +0,0 @@
-#-----------------------------------------------------------
-# Vivado v2024.1 (64-bit)
-# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
-# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
-# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
-# Start of session at: Fri May  9 16:04:45 2025
-# Process ID: 112122
-# Current directory: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1
-# Command line: vivado -log tb_firUnit.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source tb_firUnit.tcl -notrace
-# Log file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit.vdi
-# Journal file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/vivado.jou
-# Running On        :fl-tp-br-515
-# Platform          :Ubuntu
-# Operating System  :Ubuntu 24.04.2 LTS
-# Processor Detail  :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz
-# CPU Frequency     :4317.128 MHz
-# CPU Physical cores:6
-# CPU Logical cores :12
-# Host memory       :16533 MB
-# Swap memory       :4294 MB
-# Total Virtual     :20828 MB
-# Available Virtual :15874 MB
-#-----------------------------------------------------------
-source tb_firUnit.tcl -notrace
-create_project: Time (s): cpu = 00:00:14 ; elapsed = 00:00:28 . Memory (MB): peak = 1680.586 ; gain = 325.840 ; free physical = 4615 ; free virtual = 14617
-INFO: [IP_Flow 19-234] Refreshing IP repositories
-WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/repo'; Can't find the specified path.
-If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
-INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
-Command: link_design -top tb_firUnit -part xc7a200tsbg484-1
-Design is defaulting to srcset: sources_1
-Design is defaulting to constrset: constrs_1
-INFO: [Device 21-403] Loading part xc7a200tsbg484-1
-INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library.
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2080.266 ; gain = 0.000 ; free physical = 4169 ; free virtual = 14166
-INFO: [Project 1-479] Netlist was created with Vivado 2024.1
-INFO: [Project 1-570] Preparing netlist for logic optimization
-Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc]
-WARNING: [Vivado 12-584] No ports matched 'CLK100MHZ'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTNC'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTND'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTNL'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTNR'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTNU'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'rstn'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_adc_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_bclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_dac_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_lrclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_mclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'scl'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sda'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-Finished Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc]
-INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2176.578 ; gain = 0.000 ; free physical = 4046 ; free virtual = 14058
-INFO: [Project 1-111] Unisim Transformation Summary:
-No Unisim elements were transformed.
-
-8 Infos, 28 Warnings, 27 Critical Warnings and 0 Errors encountered.
-link_design completed successfully
-link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:14 . Memory (MB): peak = 2180.547 ; gain = 494.023 ; free physical = 4046 ; free virtual = 14058
-Command: opt_design
-Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
-INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
-Running DRC as a precondition to command opt_design
-
-Starting DRC Task
-INFO: [DRC 23-27] Running DRC with 8 threads
-INFO: [Project 1-461] DRC finished with 0 Errors
-INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
-
-Time (s): cpu = 00:00:00.44 ; elapsed = 00:00:00.64 . Memory (MB): peak = 2259.453 ; gain = 78.906 ; free physical = 4033 ; free virtual = 14044
-
-Starting Cache Timing Information Task
-INFO: [Timing 38-35] Done setting XDC timing constraints.
-Ending Cache Timing Information Task | Checksum: b7dde4dd
-
-Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 2715.445 ; gain = 455.992 ; free physical = 3596 ; free virtual = 13622
-
-Starting Logic Optimization Task
-
-Phase 1 Initialization
-
-Phase 1.1 Core Generation And Design Setup
-Phase 1.1 Core Generation And Design Setup | Checksum: b7dde4dd
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-
-Phase 1.2 Setup Constraints And Sort Netlist
-Phase 1.2 Setup Constraints And Sort Netlist | Checksum: b7dde4dd
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-Phase 1 Initialization | Checksum: b7dde4dd
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-
-Phase 2 Timer Update And Timing Data Collection
-
-Phase 2.1 Timer Update
-Phase 2.1 Timer Update | Checksum: b7dde4dd
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-
-Phase 2.2 Timing Data Collection
-Phase 2.2 Timing Data Collection | Checksum: b7dde4dd
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-Phase 2 Timer Update And Timing Data Collection | Checksum: b7dde4dd
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-
-Phase 3 Retarget
-INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0
-INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
-INFO: [Opt 31-49] Retargeted 0 cell(s).
-Phase 3 Retarget | Checksum: b7dde4dd
-
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-Retarget | Checksum: b7dde4dd
-INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells
-
-Phase 4 Constant propagation
-INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
-Phase 4 Constant propagation | Checksum: b7dde4dd
-
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-Constant propagation | Checksum: b7dde4dd
-INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
-
-Phase 5 Sweep
-Phase 5 Sweep | Checksum: 144339148
-
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-Sweep | Checksum: 144339148
-INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 4 cells
-
-Phase 6 BUFG optimization
-Phase 6 BUFG optimization | Checksum: 144339148
-
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313
-BUFG optimization | Checksum: 144339148
-INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells.
-
-Phase 7 Shift Register Optimization
-INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs
-Phase 7 Shift Register Optimization | Checksum: 144339148
-
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313
-Shift Register Optimization | Checksum: 144339148
-INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
-
-Phase 8 Post Processing Netlist
-Phase 8 Post Processing Netlist | Checksum: 144339148
-
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313
-Post Processing Netlist | Checksum: 144339148
-INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
-
-Phase 9 Finalization
-
-Phase 9.1 Finalizing Design Cores and Updating Shapes
-Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 144339148
-
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313
-
-Phase 9.2 Verifying Netlist Connectivity
-
-Starting Connectivity Check Task
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-Phase 9.2 Verifying Netlist Connectivity | Checksum: 144339148
-
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313
-Phase 9 Finalization | Checksum: 144339148
-
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313
-Opt_design Change Summary
-=========================
-
-
--------------------------------------------------------------------------------------------------------------------------
-|  Phase                        |  #Cells created  |  #Cells Removed  |  #Constrained objects preventing optimizations  |
--------------------------------------------------------------------------------------------------------------------------
-|  Retarget                     |               0  |               0  |                                              0  |
-|  Constant propagation         |               0  |               0  |                                              0  |
-|  Sweep                        |               0  |               4  |                                              0  |
-|  BUFG optimization            |               0  |               0  |                                              0  |
-|  Shift Register Optimization  |               0  |               0  |                                              0  |
-|  Post Processing Netlist      |               0  |               0  |                                              0  |
--------------------------------------------------------------------------------------------------------------------------
-
-
-Ending Logic Optimization Task | Checksum: 144339148
-
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313
-
-Starting Power Optimization Task
-INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
-Ending Power Optimization Task | Checksum: 144339148
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-
-Starting Final Cleanup Task
-Ending Final Cleanup Task | Checksum: 144339148
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-
-Starting Netlist Obfuscation Task
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-Ending Netlist Obfuscation Task | Checksum: 144339148
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313
-INFO: [Common 17-83] Releasing license: Implementation
-26 Infos, 28 Warnings, 27 Critical Warnings and 0 Errors encountered.
-opt_design completed successfully
-opt_design: Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 3059.297 ; gain = 878.750 ; free physical = 3291 ; free virtual = 13313
-INFO: [Vivado 12-24828] Executing command : report_drc -file tb_firUnit_drc_opted.rpt -pb tb_firUnit_drc_opted.pb -rpx tb_firUnit_drc_opted.rpx
-Command: report_drc -file tb_firUnit_drc_opted.rpt -pb tb_firUnit_drc_opted.pb -rpx tb_firUnit_drc_opted.rpx
-INFO: [IP_Flow 19-1839] IP Catalog is up to date.
-INFO: [DRC 23-27] Running DRC with 8 threads
-INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpt.
-report_drc completed successfully
-INFO: [Timing 38-480] Writing timing data to binary archive.
-INFO: [Common 17-1381] The checkpoint '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit_opt.dcp' has been generated.
-Command: place_design
-Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
-INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
-INFO: [Common 17-83] Releasing license: Implementation
-INFO: [DRC 23-27] Running DRC with 8 threads
-INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
-INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
-Running DRC as a precondition to command place_design
-INFO: [DRC 23-27] Running DRC with 8 threads
-INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
-INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
-INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 8 CPUs
-
-Starting Placer Task
-
-Phase 1 Placer Initialization
-
-Phase 1.1 Placer Initialization Netlist Sorting
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3277 ; free virtual = 13299
-Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 981aeb64
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3277 ; free virtual = 13299
-Phase 1 Placer Initialization | Checksum: 981aeb64
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.14 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3276 ; free virtual = 13300
-ERROR: [Place 30-494] The design is empty
-Resolution: Check if opt_design has removed all the leaf cells of your design.  Check whether you have instantiated and connected all of the top level ports.
-Ending Placer Task | Checksum: 981aeb64
-
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.15 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3276 ; free virtual = 13300
-41 Infos, 28 Warnings, 27 Critical Warnings and 2 Errors encountered.
-place_design failed
-ERROR: [Common 17-69] Command failed: Placer could not place all instances
-INFO: [Common 17-206] Exiting Vivado at Fri May  9 16:06:02 2025...
diff --git a/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.pb b/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.pb
deleted file mode 100644
index 70698d16a043af0b5d745495ba43bfe143354a40..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.pb and /dev/null differ
diff --git a/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpt b/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpt
deleted file mode 100644
index 155b74150ff52c5160d292897d8e59ef50c2e896..0000000000000000000000000000000000000000
--- a/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpt
+++ /dev/null
@@ -1,49 +0,0 @@
-Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
----------------------------------------------------------------------------------------------------------------------------------------------
-| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
-| Date         : Fri May  9 16:06:01 2025
-| Host         : fl-tp-br-515 running 64-bit Ubuntu 24.04.2 LTS
-| Command      : report_drc -file tb_firUnit_drc_opted.rpt -pb tb_firUnit_drc_opted.pb -rpx tb_firUnit_drc_opted.rpx
-| Design       : tb_firUnit
-| Device       : xc7a200tsbg484-1
-| Speed File   : -1
-| Design State : Fully Routed
----------------------------------------------------------------------------------------------------------------------------------------------
-
-Report DRC
-
-Table of Contents
------------------
-1. REPORT SUMMARY
-2. REPORT DETAILS
-
-1. REPORT SUMMARY
------------------
-            Netlist: netlist
-          Floorplan: design_1
-      Design limits: <entire design considered>
-           Ruledeck: default
-             Max violations: <unlimited>
-             Violations found: 1
-+----------+----------+-----------------------------------------------------+------------+
-| Rule     | Severity | Description                                         | Violations |
-+----------+----------+-----------------------------------------------------+------------+
-| CFGBVS-1 | Warning  | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1          |
-+----------+----------+-----------------------------------------------------+------------+
-
-2. REPORT DETAILS
------------------
-CFGBVS-1#1 Warning
-Missing CFGBVS and CONFIG_VOLTAGE Design Properties  
-Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design.  Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0.  It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax:
-
- set_property CFGBVS value1 [current_design]
- #where value1 is either VCCO or GND
-
- set_property CONFIG_VOLTAGE value2 [current_design]
- #where value2 is the voltage provided to configuration bank 0
-
-Refer to the device configuration user guide for more information.
-Related violations: <none>
-
-
diff --git a/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpx b/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpx
deleted file mode 100644
index 18db1c8b86ff645e9e8d8ac51ecab5deffcb2a5c..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpx and /dev/null differ
diff --git a/proj/AudioProc.runs/impl_1/tb_firUnit_opt.dcp b/proj/AudioProc.runs/impl_1/tb_firUnit_opt.dcp
deleted file mode 100644
index 1a856967414f7c51b75008160279cc1954434f4e..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.runs/impl_1/tb_firUnit_opt.dcp and /dev/null differ
diff --git a/proj/AudioProc.runs/impl_1/vivado.jou b/proj/AudioProc.runs/impl_1/vivado.jou
deleted file mode 100644
index 52205a1a023d5176f8b397a62aa86c1bce753206..0000000000000000000000000000000000000000
--- a/proj/AudioProc.runs/impl_1/vivado.jou
+++ /dev/null
@@ -1,24 +0,0 @@
-#-----------------------------------------------------------
-# Vivado v2024.1 (64-bit)
-# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
-# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
-# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
-# Start of session at: Fri May  9 16:04:45 2025
-# Process ID: 112122
-# Current directory: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1
-# Command line: vivado -log tb_firUnit.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source tb_firUnit.tcl -notrace
-# Log file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit.vdi
-# Journal file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/vivado.jou
-# Running On        :fl-tp-br-515
-# Platform          :Ubuntu
-# Operating System  :Ubuntu 24.04.2 LTS
-# Processor Detail  :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz
-# CPU Frequency     :4317.128 MHz
-# CPU Physical cores:6
-# CPU Logical cores :12
-# Host memory       :16533 MB
-# Swap memory       :4294 MB
-# Total Virtual     :20828 MB
-# Available Virtual :15874 MB
-#-----------------------------------------------------------
-source tb_firUnit.tcl -notrace
diff --git a/proj/AudioProc.runs/impl_1/vivado.pb b/proj/AudioProc.runs/impl_1/vivado.pb
deleted file mode 100644
index b155e40f06a230303a04d2a77f07560e35c5dc93..0000000000000000000000000000000000000000
--- a/proj/AudioProc.runs/impl_1/vivado.pb
+++ /dev/null
@@ -1,4 +0,0 @@
-
-
-
-End Record
\ No newline at end of file
diff --git a/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/.lpr b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/.lpr
new file mode 100644
index 0000000000000000000000000000000000000000..afc0a86cf8f820e635f040c3869b4b647d11ec04
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/.lpr
@@ -0,0 +1,7 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Product Version: Vivado v2024.1 (64-bit)                                     -->
+<!--                                                                              -->
+<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.                        -->
+<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.        -->
+
+<labtools version="1" minor="0"/>
diff --git a/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/dcp.xml b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/dcp.xml
new file mode 100755
index 0000000000000000000000000000000000000000..5534b8a08499b3b5f142133dd39029173395d6f9
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/dcp.xml
@@ -0,0 +1,27 @@
+<?xml version="1.0"?>
+<Checkpoint Version="22" Minor="0">
+	<BUILD_NUMBER Name="5076996"/>
+	<FULL_BUILD Name="SW Build 5076996 on Wed May 22 18:36:09 MDT 2024"/>
+	<PRODUCT Name="Vivado v2024.1 (64-bit)"/>
+	<Part Name="xc7a200tsbg484-1"/>
+	<FeatureSet Name="FeatureSet_Classic"/>
+	<NEXTGEN_VERSAL Name="0"/>
+	<Top Name="tb_firUnit"/>
+	<DisableAutoIOBuffers Name="0"/>
+	<OutOfContext Name="0"/>
+	<MacroLevel Name="1"/>
+	<RunGenerated Name="1"/>
+	<HDPlatform Name="0"/>
+	<File Type="VERILOG_STUB" Name="tb_firUnit_stub.v" ModTime="1746799479"/>
+	<File Type="VHDL_STUB" Name="tb_firUnit_stub.vhdl" ModTime="1746799479"/>
+	<File Type="PARAMXML" Name="tb_firUnit_param.xml" ModTime="1746799479"/>
+	<File Type="PARAMXML" Name="tb_firUnit_param.xml" ModTime="1746799479"/>
+	<File Type="XN" Name="tb_firUnit.xn" ModTime="1746799479"/>
+	<File Type="EDIF" Name="tb_firUnit.edf" ModTime="1746799479"/>
+	<File Type="INCR" Name="tb_firUnit.incr" ModTime="1746799479"/>
+	<File Type="RDA" Name="tb_firUnit.rda" ModTime="1746799479"/>
+	<File Type="JSON_RDA" Name="tb_firUnit_rda.json" ModTime="1746799479"/>
+	<File Type="WDF" Name="tb_firUnit.wdf" ModTime="1746799479"/>
+	<File Type="SYNTH" Name="tb_firUnit.synth" ModTime="1746799479"/>
+</Checkpoint>
+
diff --git a/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/incrSyn/tb_firUnit.gnd b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/incrSyn/tb_firUnit.gnd
new file mode 100644
index 0000000000000000000000000000000000000000..30f6370ebe3d71c5fcbe7f9a870d510d6dd170e5
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/incrSyn/tb_firUnit.gnd
@@ -0,0 +1 @@
+the design is too small
diff --git a/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/top.rda b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/top.rda
new file mode 100755
index 0000000000000000000000000000000000000000..f239324ac210dbff00fa8cacf684a29879d9ade5
Binary files /dev/null and b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/top.rda differ
diff --git a/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/wt/project.wpc b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/wt/project.wpc
new file mode 100644
index 0000000000000000000000000000000000000000..834da226cf3908e30536d5ca3070213c82a2f18b
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/wt/project.wpc
@@ -0,0 +1,3 @@
+version:1
+6d6f64655f636f756e7465727c42617463684d6f6465:1
+eof:
diff --git a/proj/AudioProc.runs/synth_1/.vivado.begin.rst b/proj/AudioProc.runs/synth_1/.vivado.begin.rst
index e22780360df090eac5b95088d1098bffc98d4277..323afd7be99cabda4150f7646b76c6ad9d8967b4 100644
--- a/proj/AudioProc.runs/synth_1/.vivado.begin.rst
+++ b/proj/AudioProc.runs/synth_1/.vivado.begin.rst
@@ -1,5 +1,5 @@
 <?xml version="1.0"?>
 <ProcessHandle Version="1" Minor="0">
-    <Process Command="vivado" Owner="l24nicot" Host="fl-tp-br-515" Pid="111584" HostCore="12" HostMemory="16146436">
+    <Process Command="vivado" Owner="l24nicot" Host="fl-tp-br-515" Pid="73655" HostCore="12" HostMemory="16146432">
     </Process>
 </ProcessHandle>
diff --git a/proj/AudioProc.runs/synth_1/.vivado.end.rst b/proj/AudioProc.runs/synth_1/.vivado.end.rst
deleted file mode 100644
index e69de29bb2d1d6434b8b29ae775ad8c2e48c5391..0000000000000000000000000000000000000000
diff --git a/proj/AudioProc.runs/synth_1/__synthesis_is_complete__ b/proj/AudioProc.runs/synth_1/__synthesis_is_complete__
deleted file mode 100644
index e69de29bb2d1d6434b8b29ae775ad8c2e48c5391..0000000000000000000000000000000000000000
diff --git a/proj/AudioProc.runs/impl_1/.init_design.end.rst b/proj/AudioProc.runs/synth_1/__synthesis_is_running__
similarity index 100%
rename from proj/AudioProc.runs/impl_1/.init_design.end.rst
rename to proj/AudioProc.runs/synth_1/__synthesis_is_running__
diff --git a/proj/AudioProc.runs/synth_1/gen_run.xml b/proj/AudioProc.runs/synth_1/gen_run.xml
index c1d68a19fbd186b1a0b274ed5c97d9f24e11b699..cae9c9e244e1200fafd8b1be9d09a4297a240933 100644
--- a/proj/AudioProc.runs/synth_1/gen_run.xml
+++ b/proj/AudioProc.runs/synth_1/gen_run.xml
@@ -1,24 +1,23 @@
 <?xml version="1.0" encoding="UTF-8"?>
-<GenRun Id="synth_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1746799385">
+<GenRun Id="synth_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1747058844" LaunchIncrCheckpoint="$PSRCDIR/utils_1/imports/synth_1/tb_firUnit.dcp">
   <File Type="VDS-TIMINGSUMMARY" Name="tb_firUnit_timing_summary_synth.rpt"/>
   <File Type="RDS-DCP" Name="tb_firUnit.dcp"/>
   <File Type="RDS-UTIL-PB" Name="tb_firUnit_utilization_synth.pb"/>
   <File Type="RDS-UTIL" Name="tb_firUnit_utilization_synth.rpt"/>
+  <File Type="RDS-PROPCONSTRS" Name="tb_firUnit_drc_synth.rpt"/>
+  <File Type="RDS-RDS" Name="tb_firUnit.vds"/>
+  <File Type="REPORTS-TCL" Name="tb_firUnit_reports.tcl"/>
   <File Type="VDS-TIMING-PB" Name="tb_firUnit_timing_summary_synth.pb"/>
   <File Type="PA-TCL" Name="tb_firUnit.tcl"/>
-  <File Type="REPORTS-TCL" Name="tb_firUnit_reports.tcl"/>
-  <File Type="RDS-RDS" Name="tb_firUnit.vds"/>
-  <File Type="RDS-PROPCONSTRS" Name="tb_firUnit_drc_synth.rpt"/>
   <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
     <Filter Type="Srcs"/>
-    <File Path="$PPRDIR/../src/hdl/operativeUnit.v">
+    <File Path="$PPRDIR/../src/hdl/controlUnit.vhd">
       <FileInfo>
         <Attr Name="UsedIn" Val="synthesis"/>
-        <Attr Name="UsedIn" Val="implementation"/>
         <Attr Name="UsedIn" Val="simulation"/>
       </FileInfo>
     </File>
-    <File Path="$PPRDIR/../src/hdl/controlUnit.vhd">
+    <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd">
       <FileInfo>
         <Attr Name="UsedIn" Val="synthesis"/>
         <Attr Name="UsedIn" Val="simulation"/>
@@ -89,13 +88,6 @@
         <Attr Name="UsedIn" Val="simulation"/>
       </FileInfo>
     </File>
-    <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd">
-      <FileInfo>
-        <Attr Name="UserDisabled" Val="1"/>
-        <Attr Name="UsedIn" Val="synthesis"/>
-        <Attr Name="UsedIn" Val="simulation"/>
-      </FileInfo>
-    </File>
     <Config>
       <Option Name="DesignMode" Val="RTL"/>
       <Option Name="TopModule" Val="tb_firUnit"/>
@@ -115,14 +107,20 @@
   </FileSet>
   <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
     <Filter Type="Utils"/>
+    <File Path="$PSRCDIR/utils_1/imports/synth_1/tb_firUnit.dcp">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedInSteps" Val="synth_1"/>
+        <Attr Name="AutoDcp" Val="1"/>
+      </FileInfo>
+    </File>
     <Config>
       <Option Name="TopAutoSet" Val="TRUE"/>
     </Config>
   </FileSet>
   <Strategy Version="1" Minor="2">
-    <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014">
-      <Desc>Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred  with a larger threshold</Desc>
-    </StratHandle>
+    <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"/>
     <Step Id="synth_design">
       <Option Id="FsmExtraction">1</Option>
       <Option Id="KeepEquivalentRegisters">1</Option>
diff --git a/proj/AudioProc.runs/synth_1/runme.log b/proj/AudioProc.runs/synth_1/runme.log
index 0eaa5ce73bf60dbc25c1ede54b71e6f92f4a5b7a..85b500631d2d902de9466a3d2faac7a5751ee4ec 100644
--- a/proj/AudioProc.runs/synth_1/runme.log
+++ b/proj/AudioProc.runs/synth_1/runme.log
@@ -7,534 +7,22 @@
   **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
   **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
   **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
-  **** Start of session at: Fri May  9 16:03:09 2025
+  **** Start of session at: Mon May 12 16:07:28 2025
     ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
     ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 
 source tb_firUnit.tcl -notrace
-create_project: Time (s): cpu = 00:00:15 ; elapsed = 00:00:27 . Memory (MB): peak = 1680.684 ; gain = 327.840 ; free physical = 4724 ; free virtual = 14640
+create_project: Time (s): cpu = 00:00:12 ; elapsed = 00:00:25 . Memory (MB): peak = 1680.613 ; gain = 325.840 ; free physical = 5055 ; free virtual = 14804
 INFO: [IP_Flow 19-234] Refreshing IP repositories
 WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/repo'; Can't find the specified path.
 If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
 INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
+Command: read_checkpoint -auto_incremental -incremental /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.srcs/utils_1/imports/synth_1/tb_firUnit.dcp
+INFO: [Vivado 12-5825] Read reference checkpoint from /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.srcs/utils_1/imports/synth_1/tb_firUnit.dcp for incremental synthesis
+INFO: [Vivado 12-7989] Please ensure there are no constraint changes
 Command: synth_design -top tb_firUnit -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5
 Starting synth_design
 Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t'
 INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t'
 INFO: [Device 21-403] Loading part xc7a200tsbg484-1
 INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library.
-INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes.
-INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
-INFO: [Synth 8-7075] Helper process launched with PID 111843
----------------------------------------------------------------------------------
-Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:05 . Memory (MB): peak = 2498.047 ; gain = 420.559 ; free physical = 3566 ; free virtual = 13535
----------------------------------------------------------------------------------
-INFO: [Synth 8-638] synthesizing module 'tb_firUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:36]
-WARNING: [Synth 8-312] ignoring unsynthesizable construct: extra waveform elements [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:57]
-WARNING: [Synth 8-312] ignoring unsynthesizable construct: extra waveform elements [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:63]
-INFO: [Synth 8-638] synthesizing module 'firUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:42]
-INFO: [Synth 8-638] synthesizing module 'controlUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:45]
-INFO: [Synth 8-256] done synthesizing module 'controlUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:45]
-INFO: [Synth 8-6157] synthesizing module 'operativeUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:17]
-INFO: [Synth 8-6157] synthesizing module 'GND' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993]
-INFO: [Synth 8-6155] done synthesizing module 'GND' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993]
-INFO: [Synth 8-6157] synthesizing module 'BUFG' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951]
-INFO: [Synth 8-6155] done synthesizing module 'BUFG' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951]
-INFO: [Synth 8-6157] synthesizing module 'IBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643]
-INFO: [Synth 8-6155] done synthesizing module 'IBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643]
-INFO: [Synth 8-6157] synthesizing module 'OBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458]
-INFO: [Synth 8-6155] done synthesizing module 'OBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458]
-INFO: [Synth 8-6157] synthesizing module 'LUT3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
-	Parameter INIT bound to: 8'b10000000 
-INFO: [Synth 8-6155] done synthesizing module 'LUT3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
-INFO: [Synth 8-6157] synthesizing module 'DSP48E1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754]
-	Parameter ACASCREG bound to: 0 - type: integer 
-	Parameter ADREG bound to: 1 - type: integer 
-	Parameter ALUMODEREG bound to: 0 - type: integer 
-	Parameter AREG bound to: 0 - type: integer 
-	Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 
-	Parameter A_INPUT bound to: DIRECT - type: string 
-	Parameter BCASCREG bound to: 0 - type: integer 
-	Parameter BREG bound to: 0 - type: integer 
-	Parameter B_INPUT bound to: DIRECT - type: string 
-	Parameter CARRYINREG bound to: 0 - type: integer 
-	Parameter CARRYINSELREG bound to: 0 - type: integer 
-	Parameter CREG bound to: 0 - type: integer 
-	Parameter DREG bound to: 1 - type: integer 
-	Parameter INMODEREG bound to: 0 - type: integer 
-	Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 
-	Parameter MREG bound to: 0 - type: integer 
-	Parameter OPMODEREG bound to: 0 - type: integer 
-	Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 
-	Parameter PREG bound to: 0 - type: integer 
-	Parameter SEL_MASK bound to: MASK - type: string 
-	Parameter SEL_PATTERN bound to: PATTERN - type: string 
-	Parameter USE_DPORT bound to: FALSE - type: string 
-	Parameter USE_MULT bound to: MULTIPLY - type: string 
-	Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 
-	Parameter USE_SIMD bound to: ONE48 - type: string 
-INFO: [Synth 8-6155] done synthesizing module 'DSP48E1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754]
-WARNING: [Synth 8-689] width (36) of port connection 'P' does not match port width (48) of module 'DSP48E1' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422]
-WARNING: [Synth 8-7071] port 'ACOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7071] port 'BCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7071] port 'CARRYCASCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7071] port 'CARRYOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7071] port 'MULTSIGNOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7071] port 'OVERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7071] port 'PATTERNBDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7071] port 'PATTERNDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7071] port 'PCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7071] port 'UNDERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7023] instance 'SC_addResult' of module 'DSP48E1' has 49 connections declared, but only 39 given [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-INFO: [Synth 8-6157] synthesizing module 'LUT2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
-	Parameter INIT bound to: 4'b0110 
-INFO: [Synth 8-6155] done synthesizing module 'LUT2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
-INFO: [Synth 8-6157] synthesizing module 'LUT4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b1011111111111101 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'LUT6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097]
-	Parameter INIT bound to: 64'b1010111110100000110011111100111110101111101000001100000011000000 
-INFO: [Synth 8-6155] done synthesizing module 'LUT6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097]
-INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b0101100000011010 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b1111011001101111 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'MUXF8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674]
-INFO: [Synth 8-6155] done synthesizing module 'MUXF8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674]
-INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b0001111001111000 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'MUXF7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637]
-INFO: [Synth 8-6155] done synthesizing module 'MUXF7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637]
-INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b1110100110010111 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b0110000110000110 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
-	Parameter INIT bound to: 8'b01000010 
-INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
-INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b1010001001000101 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b1111000110001111 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b1101010110101011 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'FDCE' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798]
-	Parameter INIT bound to: 1'b0 
-INFO: [Synth 8-6155] done synthesizing module 'FDCE' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798]
-INFO: [Synth 8-6157] synthesizing module 'CARRY4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367]
-INFO: [Synth 8-6155] done synthesizing module 'CARRY4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367]
-WARNING: [Synth 8-689] width (3) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478]
-INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
-	Parameter INIT bound to: 4'b0001 
-INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
-INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
-	Parameter INIT bound to: 8'b00000110 
-INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
-INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b0000000001101010 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
-	Parameter INIT bound to: 4'b1110 
-INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
-INFO: [Synth 8-6157] synthesizing module 'LUT5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047]
-	Parameter INIT bound to: 32'b00000000000000000110101010101010 
-INFO: [Synth 8-6155] done synthesizing module 'LUT5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047]
-INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
-	Parameter INIT bound to: 4'b0010 
-INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
-INFO: [Synth 8-6157] synthesizing module 'VCC' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953]
-INFO: [Synth 8-6155] done synthesizing module 'VCC' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953]
-INFO: [Synth 8-6155] done synthesizing module 'operativeUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:17]
-INFO: [Synth 8-256] done synthesizing module 'firUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:42]
-INFO: [Synth 8-256] done synthesizing module 'tb_firUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:36]
----------------------------------------------------------------------------------
-Finished RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 2578.016 ; gain = 500.527 ; free physical = 3483 ; free virtual = 13442
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Handling Custom Attributes
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Handling Custom Attributes : Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 2595.828 ; gain = 518.340 ; free physical = 3483 ; free virtual = 13442
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 2595.828 ; gain = 518.340 ; free physical = 3483 ; free virtual = 13442
----------------------------------------------------------------------------------
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2601.766 ; gain = 0.000 ; free physical = 3475 ; free virtual = 13434
-INFO: [Netlist 29-17] Analyzing 53 Unisim elements for replacement
-INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
-INFO: [Project 1-570] Preparing netlist for logic optimization
-
-Processing XDC Constraints
-Initializing timing engine
-Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc]
-WARNING: [Vivado 12-584] No ports matched 'CLK100MHZ'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTNC'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTND'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTNL'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTNR'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTNU'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'rstn'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_adc_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_bclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_dac_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_lrclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_mclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'scl'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sda'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-Finished Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc]
-Completed Processing XDC Constraints
-
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2668.766 ; gain = 0.000 ; free physical = 3452 ; free virtual = 13420
-INFO: [Project 1-111] Unisim Transformation Summary:
-No Unisim elements were transformed.
-
-Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2668.766 ; gain = 0.000 ; free physical = 3452 ; free virtual = 13420
----------------------------------------------------------------------------------
-Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:15 . Memory (MB): peak = 2668.766 ; gain = 591.277 ; free physical = 3385 ; free virtual = 13378
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Loading Part and Timing Information
----------------------------------------------------------------------------------
-Loading part: xc7a200tsbg484-1
----------------------------------------------------------------------------------
-Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:16 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3385 ; free virtual = 13378
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Applying 'set_property' XDC Constraints
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:16 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3378 ; free virtual = 13374
----------------------------------------------------------------------------------
-INFO: [Synth 8-802] inferred FSM for state register 'SR_currentState_reg' in module 'controlUnit'
----------------------------------------------------------------------------------------------------
-                   State |                     New Encoding |                Previous Encoding 
----------------------------------------------------------------------------------------------------
-             wait_sample |                           000001 |                              000
-                   store |                           000010 |                              001
-         processing_loop |                           000100 |                              010
-                  output |                           001000 |                              011
-         wait_end_sample |                           010000 |                              100
-                  iSTATE |                           100000 |                              111
----------------------------------------------------------------------------------------------------
-INFO: [Synth 8-3354] encoded FSM with state register 'SR_currentState_reg' using encoding 'one-hot' in module 'controlUnit'
-WARNING: [Synth 8-327] inferring latch for variable 'FSM_onehot_SR_nextState_reg' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:62]
----------------------------------------------------------------------------------
-Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:10 ; elapsed = 00:00:16 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3354 ; free virtual = 13366
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start RTL Component Statistics 
----------------------------------------------------------------------------------
-Detailed RTL Component Info : 
-+---Muxes : 
-	   5 Input    6 Bit        Muxes := 1     
-	   2 Input    6 Bit        Muxes := 1     
-	   6 Input    3 Bit        Muxes := 1     
-	   6 Input    1 Bit        Muxes := 2     
-	   2 Input    1 Bit        Muxes := 6     
----------------------------------------------------------------------------------
-Finished RTL Component Statistics 
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Part Resource Summary
----------------------------------------------------------------------------------
-Part Resources:
-DSPs: 740 (col length:100)
-BRAMs: 730 (col length: RAMB18 100 RAMB36 50)
----------------------------------------------------------------------------------
-Finished Part Resource Summary
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Cross Boundary and Area Optimization
----------------------------------------------------------------------------------
-WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[5]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[4]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[3]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[2]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[1]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[0]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[5]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[3]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[2]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[1]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[0]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_incrAddress_IBUF_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_initAddress_IBUF_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_initSum_IBUF_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[0]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[10]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[11]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[12]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[13]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[14]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[15]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[1]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[2]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[3]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[4]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[5]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[6]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[7]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[8]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[9]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_loadOutput_IBUF_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_loadShift_IBUF_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_loadSum_IBUF_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_reset_IBUF_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[0]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[10]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[11]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[12]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[13]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[14]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[15]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[1]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[2]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[3]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[4]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[5]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[6]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[7]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[8]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[9]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_processingDone_OBUF_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[0]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[10]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[12]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[14]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[1]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[2]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[3]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[4]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[5]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[6]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[8]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[9]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[0]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[1]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[2]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[3]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][0]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][10]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][11]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][12]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][13]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][15]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][1]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][2]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][3]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][4]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][5]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][6]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][7]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][8]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][9]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][0]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][10]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][11]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][12]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][13]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][14]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][15]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][1]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][2]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][3]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][4]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][5]) is unused and will be removed from module tb_firUnit.
-INFO: [Common 17-14] Message 'Synth 8-3332' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
----------------------------------------------------------------------------------
-Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:11 ; elapsed = 00:00:18 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3300 ; free virtual = 13357
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Applying XDC Timing Constraints
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:16 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3243 ; free virtual = 13231
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Timing Optimization
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Timing Optimization : Time (s): cpu = 00:00:16 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3243 ; free virtual = 13231
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Technology Mapping
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Technology Mapping : Time (s): cpu = 00:00:16 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3243 ; free virtual = 13232
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start IO Insertion
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Flattening Before IO Insertion
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Flattening Before IO Insertion
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Final Netlist Cleanup
----------------------------------------------------------------------------------
-WARNING: synth_design option "-fanout_limit" is deprecated.
----------------------------------------------------------------------------------
-Finished Final Netlist Cleanup
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished IO Insertion : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3264 ; free virtual = 13252
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Renaming Generated Instances
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Renaming Generated Instances : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3264 ; free virtual = 13252
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Rebuilding User Hierarchy
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3265 ; free virtual = 13254
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Renaming Generated Ports
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Renaming Generated Ports : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Handling Custom Attributes
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Handling Custom Attributes : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Renaming Generated Nets
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Renaming Generated Nets : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Writing Synthesis Report
----------------------------------------------------------------------------------
-
-Report BlackBoxes: 
-+-+--------------+----------+
-| |BlackBox name |Instances |
-+-+--------------+----------+
-+-+--------------+----------+
-
-Report Cell Usage: 
-+------+-----+------+
-|      |Cell |Count |
-+------+-----+------+
-|1     |BUFG |     1|
-|2     |LUT1 |     1|
-|3     |IBUF |     1|
-+------+-----+------+
----------------------------------------------------------------------------------
-Finished Writing Synthesis Report : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255
----------------------------------------------------------------------------------
-Synthesis finished with 0 errors, 0 critical warnings and 366 warnings.
-Synthesis Optimization Runtime : Time (s): cpu = 00:00:17 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 526.344 ; free physical = 3266 ; free virtual = 13254
-Synthesis Optimization Complete : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.777 ; gain = 599.281 ; free physical = 3265 ; free virtual = 13254
-INFO: [Project 1-571] Translating synthesized netlist
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2676.777 ; gain = 0.000 ; free physical = 3264 ; free virtual = 13254
-INFO: [Project 1-570] Preparing netlist for logic optimization
-WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. firUnit_1/operativeUnit_1/I_clock_IBUF_inst 
-Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
-INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2676.777 ; gain = 0.000 ; free physical = 3561 ; free virtual = 13553
-INFO: [Project 1-111] Unisim Transformation Summary:
-No Unisim elements were transformed.
-
-Synth Design complete | Checksum: 1fc2f979
-INFO: [Common 17-83] Releasing license: Synthesis
-86 Infos, 146 Warnings, 27 Critical Warnings and 0 Errors encountered.
-synth_design completed successfully
-synth_design: Time (s): cpu = 00:00:26 ; elapsed = 00:00:43 . Memory (MB): peak = 2676.777 ; gain = 992.125 ; free physical = 3563 ; free virtual = 13555
-INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2220.538; main = 1897.222; forked = 375.091
-INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3718.098; main = 2676.773; forked = 1041.324
-INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING
-INFO: [Common 17-1381] The checkpoint '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/tb_firUnit.dcp' has been generated.
-INFO: [Vivado 12-24828] Executing command : report_utilization -file tb_firUnit_utilization_synth.rpt -pb tb_firUnit_utilization_synth.pb
-INFO: [Common 17-206] Exiting Vivado at Fri May  9 16:04:40 2025...
diff --git a/proj/AudioProc.runs/synth_1/tb_firUnit.tcl b/proj/AudioProc.runs/synth_1/tb_firUnit.tcl
index e97fca84357cb73464ba2e5345afdf499dd6aa3a..f36f080506a029b2c03ab0bcf4819bd3387a93ef 100644
--- a/proj/AudioProc.runs/synth_1/tb_firUnit.tcl
+++ b/proj/AudioProc.runs/synth_1/tb_firUnit.tcl
@@ -72,9 +72,9 @@ set_property ip_output_repo /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-fil
 set_property ip_cache_permissions {read write} [current_project]
 OPTRACE "Creating in-memory project" END { }
 OPTRACE "Adding files" START { }
-read_verilog -library xil_defaultlib /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v
 read_vhdl -library xil_defaultlib {
   /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd
+  /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd
   /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd
   /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd
 }
@@ -91,6 +91,8 @@ read_xdc /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/co
 set_property used_in_implementation false [get_files /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc]
 
 set_param ips.enableIPCacheLiteLoad 1
+
+read_checkpoint -auto_incremental -incremental /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.srcs/utils_1/imports/synth_1/tb_firUnit.dcp
 close [open __synthesis_is_running__ w]
 
 OPTRACE "synth_design" START { }
diff --git a/proj/AudioProc.runs/synth_1/tb_firUnit.vds b/proj/AudioProc.runs/synth_1/tb_firUnit.vds
index d3c7a9d1b98d19251fe2be69125a62c661fe60c1..6e6f44c6f76ef4077d893a38193f1a4137131f37 100644
--- a/proj/AudioProc.runs/synth_1/tb_firUnit.vds
+++ b/proj/AudioProc.runs/synth_1/tb_firUnit.vds
@@ -3,8 +3,8 @@
 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
 # IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
 # SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
-# Start of session at: Fri May  9 16:03:09 2025
-# Process ID: 111655
+# Start of session at: Mon May 12 16:07:28 2025
+# Process ID: 73726
 # Current directory: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1
 # Command line: vivado -log tb_firUnit.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source tb_firUnit.tcl
 # Log file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/tb_firUnit.vds
@@ -13,538 +13,26 @@
 # Platform          :Ubuntu
 # Operating System  :Ubuntu 24.04.2 LTS
 # Processor Detail  :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz
-# CPU Frequency     :4099.955 MHz
+# CPU Frequency     :4392.944 MHz
 # CPU Physical cores:6
 # CPU Logical cores :12
 # Host memory       :16533 MB
 # Swap memory       :4294 MB
 # Total Virtual     :20828 MB
-# Available Virtual :15956 MB
+# Available Virtual :16177 MB
 #-----------------------------------------------------------
 source tb_firUnit.tcl -notrace
-create_project: Time (s): cpu = 00:00:15 ; elapsed = 00:00:27 . Memory (MB): peak = 1680.684 ; gain = 327.840 ; free physical = 4724 ; free virtual = 14640
+create_project: Time (s): cpu = 00:00:12 ; elapsed = 00:00:25 . Memory (MB): peak = 1680.613 ; gain = 325.840 ; free physical = 5055 ; free virtual = 14804
 INFO: [IP_Flow 19-234] Refreshing IP repositories
 WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/repo'; Can't find the specified path.
 If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
 INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
+Command: read_checkpoint -auto_incremental -incremental /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.srcs/utils_1/imports/synth_1/tb_firUnit.dcp
+INFO: [Vivado 12-5825] Read reference checkpoint from /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.srcs/utils_1/imports/synth_1/tb_firUnit.dcp for incremental synthesis
+INFO: [Vivado 12-7989] Please ensure there are no constraint changes
 Command: synth_design -top tb_firUnit -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5
 Starting synth_design
 Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t'
 INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t'
 INFO: [Device 21-403] Loading part xc7a200tsbg484-1
 INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library.
-INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes.
-INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
-INFO: [Synth 8-7075] Helper process launched with PID 111843
----------------------------------------------------------------------------------
-Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:05 . Memory (MB): peak = 2498.047 ; gain = 420.559 ; free physical = 3566 ; free virtual = 13535
----------------------------------------------------------------------------------
-INFO: [Synth 8-638] synthesizing module 'tb_firUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:36]
-WARNING: [Synth 8-312] ignoring unsynthesizable construct: extra waveform elements [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:57]
-WARNING: [Synth 8-312] ignoring unsynthesizable construct: extra waveform elements [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:63]
-INFO: [Synth 8-638] synthesizing module 'firUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:42]
-INFO: [Synth 8-638] synthesizing module 'controlUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:45]
-INFO: [Synth 8-256] done synthesizing module 'controlUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:45]
-INFO: [Synth 8-6157] synthesizing module 'operativeUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:17]
-INFO: [Synth 8-6157] synthesizing module 'GND' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993]
-INFO: [Synth 8-6155] done synthesizing module 'GND' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993]
-INFO: [Synth 8-6157] synthesizing module 'BUFG' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951]
-INFO: [Synth 8-6155] done synthesizing module 'BUFG' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951]
-INFO: [Synth 8-6157] synthesizing module 'IBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643]
-INFO: [Synth 8-6155] done synthesizing module 'IBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643]
-INFO: [Synth 8-6157] synthesizing module 'OBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458]
-INFO: [Synth 8-6155] done synthesizing module 'OBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458]
-INFO: [Synth 8-6157] synthesizing module 'LUT3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
-	Parameter INIT bound to: 8'b10000000 
-INFO: [Synth 8-6155] done synthesizing module 'LUT3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
-INFO: [Synth 8-6157] synthesizing module 'DSP48E1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754]
-	Parameter ACASCREG bound to: 0 - type: integer 
-	Parameter ADREG bound to: 1 - type: integer 
-	Parameter ALUMODEREG bound to: 0 - type: integer 
-	Parameter AREG bound to: 0 - type: integer 
-	Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 
-	Parameter A_INPUT bound to: DIRECT - type: string 
-	Parameter BCASCREG bound to: 0 - type: integer 
-	Parameter BREG bound to: 0 - type: integer 
-	Parameter B_INPUT bound to: DIRECT - type: string 
-	Parameter CARRYINREG bound to: 0 - type: integer 
-	Parameter CARRYINSELREG bound to: 0 - type: integer 
-	Parameter CREG bound to: 0 - type: integer 
-	Parameter DREG bound to: 1 - type: integer 
-	Parameter INMODEREG bound to: 0 - type: integer 
-	Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 
-	Parameter MREG bound to: 0 - type: integer 
-	Parameter OPMODEREG bound to: 0 - type: integer 
-	Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 
-	Parameter PREG bound to: 0 - type: integer 
-	Parameter SEL_MASK bound to: MASK - type: string 
-	Parameter SEL_PATTERN bound to: PATTERN - type: string 
-	Parameter USE_DPORT bound to: FALSE - type: string 
-	Parameter USE_MULT bound to: MULTIPLY - type: string 
-	Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 
-	Parameter USE_SIMD bound to: ONE48 - type: string 
-INFO: [Synth 8-6155] done synthesizing module 'DSP48E1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754]
-WARNING: [Synth 8-689] width (36) of port connection 'P' does not match port width (48) of module 'DSP48E1' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422]
-WARNING: [Synth 8-7071] port 'ACOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7071] port 'BCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7071] port 'CARRYCASCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7071] port 'CARRYOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7071] port 'MULTSIGNOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7071] port 'OVERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7071] port 'PATTERNBDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7071] port 'PATTERNDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7071] port 'PCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7071] port 'UNDERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-WARNING: [Synth 8-7023] instance 'SC_addResult' of module 'DSP48E1' has 49 connections declared, but only 39 given [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394]
-INFO: [Synth 8-6157] synthesizing module 'LUT2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
-	Parameter INIT bound to: 4'b0110 
-INFO: [Synth 8-6155] done synthesizing module 'LUT2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
-INFO: [Synth 8-6157] synthesizing module 'LUT4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b1011111111111101 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'LUT6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097]
-	Parameter INIT bound to: 64'b1010111110100000110011111100111110101111101000001100000011000000 
-INFO: [Synth 8-6155] done synthesizing module 'LUT6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097]
-INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b0101100000011010 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b1111011001101111 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'MUXF8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674]
-INFO: [Synth 8-6155] done synthesizing module 'MUXF8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674]
-INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b0001111001111000 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'MUXF7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637]
-INFO: [Synth 8-6155] done synthesizing module 'MUXF7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637]
-INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b1110100110010111 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b0110000110000110 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
-	Parameter INIT bound to: 8'b01000010 
-INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
-INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b1010001001000101 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b1111000110001111 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b1101010110101011 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'FDCE' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798]
-	Parameter INIT bound to: 1'b0 
-INFO: [Synth 8-6155] done synthesizing module 'FDCE' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798]
-INFO: [Synth 8-6157] synthesizing module 'CARRY4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367]
-INFO: [Synth 8-6155] done synthesizing module 'CARRY4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367]
-WARNING: [Synth 8-689] width (3) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478]
-INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
-	Parameter INIT bound to: 4'b0001 
-INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
-INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
-	Parameter INIT bound to: 8'b00000110 
-INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
-INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-	Parameter INIT bound to: 16'b0000000001101010 
-INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
-INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
-	Parameter INIT bound to: 4'b1110 
-INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
-INFO: [Synth 8-6157] synthesizing module 'LUT5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047]
-	Parameter INIT bound to: 32'b00000000000000000110101010101010 
-INFO: [Synth 8-6155] done synthesizing module 'LUT5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047]
-INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
-	Parameter INIT bound to: 4'b0010 
-INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
-INFO: [Synth 8-6157] synthesizing module 'VCC' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953]
-INFO: [Synth 8-6155] done synthesizing module 'VCC' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953]
-INFO: [Synth 8-6155] done synthesizing module 'operativeUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:17]
-INFO: [Synth 8-256] done synthesizing module 'firUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:42]
-INFO: [Synth 8-256] done synthesizing module 'tb_firUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:36]
----------------------------------------------------------------------------------
-Finished RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 2578.016 ; gain = 500.527 ; free physical = 3483 ; free virtual = 13442
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Handling Custom Attributes
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Handling Custom Attributes : Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 2595.828 ; gain = 518.340 ; free physical = 3483 ; free virtual = 13442
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 2595.828 ; gain = 518.340 ; free physical = 3483 ; free virtual = 13442
----------------------------------------------------------------------------------
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2601.766 ; gain = 0.000 ; free physical = 3475 ; free virtual = 13434
-INFO: [Netlist 29-17] Analyzing 53 Unisim elements for replacement
-INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
-INFO: [Project 1-570] Preparing netlist for logic optimization
-
-Processing XDC Constraints
-Initializing timing engine
-Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc]
-WARNING: [Vivado 12-584] No ports matched 'CLK100MHZ'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'led7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTNC'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTND'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTNL'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTNR'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'BTNU'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'rstn'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sw7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_adc_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_bclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_dac_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_lrclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'ac_mclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'scl'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-WARNING: [Vivado 12-584] No ports matched 'sda'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203]
-CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203]
-Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
-Finished Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc]
-Completed Processing XDC Constraints
-
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2668.766 ; gain = 0.000 ; free physical = 3452 ; free virtual = 13420
-INFO: [Project 1-111] Unisim Transformation Summary:
-No Unisim elements were transformed.
-
-Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2668.766 ; gain = 0.000 ; free physical = 3452 ; free virtual = 13420
----------------------------------------------------------------------------------
-Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:15 . Memory (MB): peak = 2668.766 ; gain = 591.277 ; free physical = 3385 ; free virtual = 13378
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Loading Part and Timing Information
----------------------------------------------------------------------------------
-Loading part: xc7a200tsbg484-1
----------------------------------------------------------------------------------
-Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:16 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3385 ; free virtual = 13378
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Applying 'set_property' XDC Constraints
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:16 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3378 ; free virtual = 13374
----------------------------------------------------------------------------------
-INFO: [Synth 8-802] inferred FSM for state register 'SR_currentState_reg' in module 'controlUnit'
----------------------------------------------------------------------------------------------------
-                   State |                     New Encoding |                Previous Encoding 
----------------------------------------------------------------------------------------------------
-             wait_sample |                           000001 |                              000
-                   store |                           000010 |                              001
-         processing_loop |                           000100 |                              010
-                  output |                           001000 |                              011
-         wait_end_sample |                           010000 |                              100
-                  iSTATE |                           100000 |                              111
----------------------------------------------------------------------------------------------------
-INFO: [Synth 8-3354] encoded FSM with state register 'SR_currentState_reg' using encoding 'one-hot' in module 'controlUnit'
-WARNING: [Synth 8-327] inferring latch for variable 'FSM_onehot_SR_nextState_reg' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:62]
----------------------------------------------------------------------------------
-Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:10 ; elapsed = 00:00:16 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3354 ; free virtual = 13366
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start RTL Component Statistics 
----------------------------------------------------------------------------------
-Detailed RTL Component Info : 
-+---Muxes : 
-	   5 Input    6 Bit        Muxes := 1     
-	   2 Input    6 Bit        Muxes := 1     
-	   6 Input    3 Bit        Muxes := 1     
-	   6 Input    1 Bit        Muxes := 2     
-	   2 Input    1 Bit        Muxes := 6     
----------------------------------------------------------------------------------
-Finished RTL Component Statistics 
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Part Resource Summary
----------------------------------------------------------------------------------
-Part Resources:
-DSPs: 740 (col length:100)
-BRAMs: 730 (col length: RAMB18 100 RAMB36 50)
----------------------------------------------------------------------------------
-Finished Part Resource Summary
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Cross Boundary and Area Optimization
----------------------------------------------------------------------------------
-WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[5]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[4]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[3]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[2]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[1]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[0]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[5]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[3]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[2]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[1]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[0]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_incrAddress_IBUF_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_initAddress_IBUF_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_initSum_IBUF_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[0]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[10]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[11]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[12]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[13]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[14]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[15]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[1]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[2]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[3]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[4]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[5]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[6]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[7]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[8]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[9]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_loadOutput_IBUF_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_loadShift_IBUF_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_loadSum_IBUF_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_reset_IBUF_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[0]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[10]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[11]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[12]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[13]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[14]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[15]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[1]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[2]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[3]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[4]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[5]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[6]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[7]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[8]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[9]_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_processingDone_OBUF_inst) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[0]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[10]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[12]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[14]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[1]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[2]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[3]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[4]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[5]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[6]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[8]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[9]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[0]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[1]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[2]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[3]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][0]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][10]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][11]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][12]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][13]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][15]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][1]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][2]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][3]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][4]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][5]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][6]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][7]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][8]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][9]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][0]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][10]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][11]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][12]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][13]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][14]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][15]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][1]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][2]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][3]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][4]) is unused and will be removed from module tb_firUnit.
-WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][5]) is unused and will be removed from module tb_firUnit.
-INFO: [Common 17-14] Message 'Synth 8-3332' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
----------------------------------------------------------------------------------
-Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:11 ; elapsed = 00:00:18 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3300 ; free virtual = 13357
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Applying XDC Timing Constraints
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:16 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3243 ; free virtual = 13231
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Timing Optimization
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Timing Optimization : Time (s): cpu = 00:00:16 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3243 ; free virtual = 13231
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Technology Mapping
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Technology Mapping : Time (s): cpu = 00:00:16 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3243 ; free virtual = 13232
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start IO Insertion
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Flattening Before IO Insertion
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Flattening Before IO Insertion
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Final Netlist Cleanup
----------------------------------------------------------------------------------
-WARNING: synth_design option "-fanout_limit" is deprecated.
----------------------------------------------------------------------------------
-Finished Final Netlist Cleanup
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished IO Insertion : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3264 ; free virtual = 13252
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Renaming Generated Instances
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Renaming Generated Instances : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3264 ; free virtual = 13252
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Rebuilding User Hierarchy
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3265 ; free virtual = 13254
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Renaming Generated Ports
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Renaming Generated Ports : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Handling Custom Attributes
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Handling Custom Attributes : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Renaming Generated Nets
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Finished Renaming Generated Nets : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-Start Writing Synthesis Report
----------------------------------------------------------------------------------
-
-Report BlackBoxes: 
-+-+--------------+----------+
-| |BlackBox name |Instances |
-+-+--------------+----------+
-+-+--------------+----------+
-
-Report Cell Usage: 
-+------+-----+------+
-|      |Cell |Count |
-+------+-----+------+
-|1     |BUFG |     1|
-|2     |LUT1 |     1|
-|3     |IBUF |     1|
-+------+-----+------+
----------------------------------------------------------------------------------
-Finished Writing Synthesis Report : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255
----------------------------------------------------------------------------------
-Synthesis finished with 0 errors, 0 critical warnings and 366 warnings.
-Synthesis Optimization Runtime : Time (s): cpu = 00:00:17 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 526.344 ; free physical = 3266 ; free virtual = 13254
-Synthesis Optimization Complete : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.777 ; gain = 599.281 ; free physical = 3265 ; free virtual = 13254
-INFO: [Project 1-571] Translating synthesized netlist
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2676.777 ; gain = 0.000 ; free physical = 3264 ; free virtual = 13254
-INFO: [Project 1-570] Preparing netlist for logic optimization
-WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. firUnit_1/operativeUnit_1/I_clock_IBUF_inst 
-Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
-INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2676.777 ; gain = 0.000 ; free physical = 3561 ; free virtual = 13553
-INFO: [Project 1-111] Unisim Transformation Summary:
-No Unisim elements were transformed.
-
-Synth Design complete | Checksum: 1fc2f979
-INFO: [Common 17-83] Releasing license: Synthesis
-86 Infos, 146 Warnings, 27 Critical Warnings and 0 Errors encountered.
-synth_design completed successfully
-synth_design: Time (s): cpu = 00:00:26 ; elapsed = 00:00:43 . Memory (MB): peak = 2676.777 ; gain = 992.125 ; free physical = 3563 ; free virtual = 13555
-INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2220.538; main = 1897.222; forked = 375.091
-INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3718.098; main = 2676.773; forked = 1041.324
-INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING
-INFO: [Common 17-1381] The checkpoint '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/tb_firUnit.dcp' has been generated.
-INFO: [Vivado 12-24828] Executing command : report_utilization -file tb_firUnit_utilization_synth.rpt -pb tb_firUnit_utilization_synth.pb
-INFO: [Common 17-206] Exiting Vivado at Fri May  9 16:04:40 2025...
diff --git a/proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.pb b/proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.pb
deleted file mode 100644
index 5025e353ba537f34af2cf8001ac91e37695c0681..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.pb and /dev/null differ
diff --git a/proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.rpt b/proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.rpt
deleted file mode 100644
index c69e47c74d485b62a924471442d09e59930eba03..0000000000000000000000000000000000000000
--- a/proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.rpt
+++ /dev/null
@@ -1,175 +0,0 @@
-Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
----------------------------------------------------------------------------------------------------------------------------------------------
-| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
-| Date         : Fri May  9 16:04:40 2025
-| Host         : fl-tp-br-515 running 64-bit Ubuntu 24.04.2 LTS
-| Command      : report_utilization -file tb_firUnit_utilization_synth.rpt -pb tb_firUnit_utilization_synth.pb
-| Design       : tb_firUnit
-| Device       : xc7a200tsbg484-1
-| Speed File   : -1
-| Design State : Synthesized
----------------------------------------------------------------------------------------------------------------------------------------------
-
-Utilization Design Information
-
-Table of Contents
------------------
-1. Slice Logic
-1.1 Summary of Registers by Type
-2. Memory
-3. DSP
-4. IO and GT Specific
-5. Clocking
-6. Specific Feature
-7. Primitives
-8. Black Boxes
-9. Instantiated Netlists
-
-1. Slice Logic
---------------
-
-+-------------------------+------+-------+------------+-----------+-------+
-|        Site Type        | Used | Fixed | Prohibited | Available | Util% |
-+-------------------------+------+-------+------------+-----------+-------+
-| Slice LUTs*             |    1 |     0 |          0 |    134600 | <0.01 |
-|   LUT as Logic          |    1 |     0 |          0 |    134600 | <0.01 |
-|   LUT as Memory         |    0 |     0 |          0 |     46200 |  0.00 |
-| Slice Registers         |    0 |     0 |          0 |    269200 |  0.00 |
-|   Register as Flip Flop |    0 |     0 |          0 |    269200 |  0.00 |
-|   Register as Latch     |    0 |     0 |          0 |    269200 |  0.00 |
-| F7 Muxes                |    0 |     0 |          0 |     67300 |  0.00 |
-| F8 Muxes                |    0 |     0 |          0 |     33650 |  0.00 |
-+-------------------------+------+-------+------------+-----------+-------+
-* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count.
-Warning! LUT value is adjusted to account for LUT combining.
-Warning! For any ECO changes, please run place_design if there are unplaced instances
-
-
-1.1 Summary of Registers by Type
---------------------------------
-
-+-------+--------------+-------------+--------------+
-| Total | Clock Enable | Synchronous | Asynchronous |
-+-------+--------------+-------------+--------------+
-| 0     |            _ |           - |            - |
-| 0     |            _ |           - |          Set |
-| 0     |            _ |           - |        Reset |
-| 0     |            _ |         Set |            - |
-| 0     |            _ |       Reset |            - |
-| 0     |          Yes |           - |            - |
-| 0     |          Yes |           - |          Set |
-| 0     |          Yes |           - |        Reset |
-| 0     |          Yes |         Set |            - |
-| 0     |          Yes |       Reset |            - |
-+-------+--------------+-------------+--------------+
-
-
-2. Memory
----------
-
-+----------------+------+-------+------------+-----------+-------+
-|    Site Type   | Used | Fixed | Prohibited | Available | Util% |
-+----------------+------+-------+------------+-----------+-------+
-| Block RAM Tile |    0 |     0 |          0 |       365 |  0.00 |
-|   RAMB36/FIFO* |    0 |     0 |          0 |       365 |  0.00 |
-|   RAMB18       |    0 |     0 |          0 |       730 |  0.00 |
-+----------------+------+-------+------------+-----------+-------+
-* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
-
-
-3. DSP
-------
-
-+-----------+------+-------+------------+-----------+-------+
-| Site Type | Used | Fixed | Prohibited | Available | Util% |
-+-----------+------+-------+------------+-----------+-------+
-| DSPs      |    0 |     0 |          0 |       740 |  0.00 |
-+-----------+------+-------+------------+-----------+-------+
-
-
-4. IO and GT Specific
----------------------
-
-+-----------------------------+------+-------+------------+-----------+-------+
-|          Site Type          | Used | Fixed | Prohibited | Available | Util% |
-+-----------------------------+------+-------+------------+-----------+-------+
-| Bonded IOB                  |    0 |     0 |          0 |       285 |  0.00 |
-| Bonded IPADs                |    0 |     0 |          0 |        14 |  0.00 |
-| Bonded OPADs                |    0 |     0 |          0 |         8 |  0.00 |
-| PHY_CONTROL                 |    0 |     0 |          0 |        10 |  0.00 |
-| PHASER_REF                  |    0 |     0 |          0 |        10 |  0.00 |
-| OUT_FIFO                    |    0 |     0 |          0 |        40 |  0.00 |
-| IN_FIFO                     |    0 |     0 |          0 |        40 |  0.00 |
-| IDELAYCTRL                  |    0 |     0 |          0 |        10 |  0.00 |
-| IBUFDS                      |    0 |     0 |          0 |       274 |  0.00 |
-| GTPE2_CHANNEL               |    0 |     0 |          0 |         4 |  0.00 |
-| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |          0 |        40 |  0.00 |
-| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |          0 |        40 |  0.00 |
-| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |          0 |       500 |  0.00 |
-| IBUFDS_GTE2                 |    0 |     0 |          0 |         2 |  0.00 |
-| ILOGIC                      |    0 |     0 |          0 |       285 |  0.00 |
-| OLOGIC                      |    0 |     0 |          0 |       285 |  0.00 |
-+-----------------------------+------+-------+------------+-----------+-------+
-
-
-5. Clocking
------------
-
-+------------+------+-------+------------+-----------+-------+
-|  Site Type | Used | Fixed | Prohibited | Available | Util% |
-+------------+------+-------+------------+-----------+-------+
-| BUFGCTRL   |    1 |     0 |          0 |        32 |  3.13 |
-| BUFIO      |    0 |     0 |          0 |        40 |  0.00 |
-| MMCME2_ADV |    0 |     0 |          0 |        10 |  0.00 |
-| PLLE2_ADV  |    0 |     0 |          0 |        10 |  0.00 |
-| BUFMRCE    |    0 |     0 |          0 |        20 |  0.00 |
-| BUFHCE     |    0 |     0 |          0 |       120 |  0.00 |
-| BUFR       |    0 |     0 |          0 |        40 |  0.00 |
-+------------+------+-------+------------+-----------+-------+
-
-
-6. Specific Feature
--------------------
-
-+-------------+------+-------+------------+-----------+-------+
-|  Site Type  | Used | Fixed | Prohibited | Available | Util% |
-+-------------+------+-------+------------+-----------+-------+
-| BSCANE2     |    0 |     0 |          0 |         4 |  0.00 |
-| CAPTUREE2   |    0 |     0 |          0 |         1 |  0.00 |
-| DNA_PORT    |    0 |     0 |          0 |         1 |  0.00 |
-| EFUSE_USR   |    0 |     0 |          0 |         1 |  0.00 |
-| FRAME_ECCE2 |    0 |     0 |          0 |         1 |  0.00 |
-| ICAPE2      |    0 |     0 |          0 |         2 |  0.00 |
-| PCIE_2_1    |    0 |     0 |          0 |         1 |  0.00 |
-| STARTUPE2   |    0 |     0 |          0 |         1 |  0.00 |
-| XADC        |    0 |     0 |          0 |         1 |  0.00 |
-+-------------+------+-------+------------+-----------+-------+
-
-
-7. Primitives
--------------
-
-+----------+------+---------------------+
-| Ref Name | Used | Functional Category |
-+----------+------+---------------------+
-| LUT1     |    1 |                 LUT |
-| BUFG     |    1 |               Clock |
-+----------+------+---------------------+
-
-
-8. Black Boxes
---------------
-
-+----------+------+
-| Ref Name | Used |
-+----------+------+
-
-
-9. Instantiated Netlists
-------------------------
-
-+----------+------+
-| Ref Name | Used |
-+----------+------+
-
-
diff --git a/proj/AudioProc.runs/synth_1/vivado.jou b/proj/AudioProc.runs/synth_1/vivado.jou
index 8e623c0e512522f4fa4ba180ad5ecfe163c535e0..3b0739689f57fee20991fbc719220c64732a547a 100644
--- a/proj/AudioProc.runs/synth_1/vivado.jou
+++ b/proj/AudioProc.runs/synth_1/vivado.jou
@@ -3,8 +3,8 @@
 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
 # IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
 # SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
-# Start of session at: Fri May  9 16:03:09 2025
-# Process ID: 111655
+# Start of session at: Mon May 12 16:07:28 2025
+# Process ID: 73726
 # Current directory: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1
 # Command line: vivado -log tb_firUnit.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source tb_firUnit.tcl
 # Log file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/tb_firUnit.vds
@@ -13,12 +13,12 @@
 # Platform          :Ubuntu
 # Operating System  :Ubuntu 24.04.2 LTS
 # Processor Detail  :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz
-# CPU Frequency     :4099.955 MHz
+# CPU Frequency     :4392.944 MHz
 # CPU Physical cores:6
 # CPU Logical cores :12
 # Host memory       :16533 MB
 # Swap memory       :4294 MB
 # Total Virtual     :20828 MB
-# Available Virtual :15956 MB
+# Available Virtual :16177 MB
 #-----------------------------------------------------------
 source tb_firUnit.tcl -notrace
diff --git a/proj/AudioProc.runs/synth_1/vivado.pb b/proj/AudioProc.runs/synth_1/vivado.pb
index 1245da19d09b8e54f456c55ff6ce4c76f24d9dd4..9c590e3d969321290bf425379c8a127a5f72019c 100644
Binary files a/proj/AudioProc.runs/synth_1/vivado.pb and b/proj/AudioProc.runs/synth_1/vivado.pb differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh
index 20421964565c2f5728a9d5ec372d2e664ea409c1..3c7ba34ed004d72fcfabfb8aa20a61e9bc7ef49b 100755
--- a/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh
@@ -6,7 +6,7 @@
 # Simulator   : AMD Vivado Simulator
 # Description : Script for compiling the simulation design source files
 #
-# Generated by Vivado on Fri May 09 15:49:39 CEST 2025
+# Generated by Vivado on Mon May 12 16:03:52 CEST 2025
 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
 #
 # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
@@ -16,13 +16,9 @@
 #
 # ****************************************************************************
 set -Eeuo pipefail
-# compile Verilog/System Verilog design sources
-echo "xvlog --incr --relax -prj tb_firUnit_vlog.prj"
-xvlog --incr --relax -prj tb_firUnit_vlog.prj 2>&1 | tee compile.log
-
 # compile VHDL design sources
 echo "xvhdl --incr --relax -prj tb_firUnit_vhdl.prj"
-xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 2>&1 | tee -a compile.log
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 2>&1 | tee compile.log
 
 echo "Waiting for jobs to finish..."
 echo "No pending jobs, compilation finished."
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log
index d82ee6ec36afa6e8f1beba6e9472111b20718b43..ca74b1ceae89ecaafc2730eed71d53d797cf5f35 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log
@@ -1,11 +1,19 @@
 Vivado Simulator v2024.1
 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
-Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log 
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
 Using 8 slave threads.
 Starting static elaboration
-Pass Through NonSizing Optimizer
-WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422]
-WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478]
 Completed static elaboration
-INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh
index bf50b73a1ac41f1ef86fb02729697bed31994d53..c5493a92bc3878bb552aa7cef0623eff6553f076 100755
--- a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh
@@ -6,7 +6,7 @@
 # Simulator   : AMD Vivado Simulator
 # Description : Script for elaborating the compiled design
 #
-# Generated by Vivado on Fri May 09 15:49:42 CEST 2025
+# Generated by Vivado on Mon May 12 16:03:54 CEST 2025
 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
 #
 # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
@@ -17,6 +17,6 @@
 # ****************************************************************************
 set -Eeuo pipefail
 # elaborate design
-echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log"
-xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log
+echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log"
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
 
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log
index e69de29bb2d1d6434b8b29ae775ad8c2e48c5391..0ce3bab20fd26e42dc28e30e4b307a370d2a8447 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log
@@ -0,0 +1,21 @@
+Time resolution is 1 ps
+Stopped at time : 0 fs : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 19 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 125 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 135 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 145 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 155 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 165 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 175 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 185 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 195 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 205 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 215 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 225 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 235 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 245 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 255 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 265 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 315 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 325 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
+Stopped at time : 335 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh
index 60b643640339c37737bdc8be2e0693e8b2b1b000..c17c31bfcd5fe130bec18f9972a5743051f6b035 100755
--- a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh
@@ -6,7 +6,7 @@
 # Simulator   : AMD Vivado Simulator
 # Description : Script for simulating the design by launching the simulator
 #
-# Generated by Vivado on Fri May 09 15:42:20 CEST 2025
+# Generated by Vivado on Mon May 12 16:02:26 CEST 2025
 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
 #
 # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb
index beb3da55cedbbaafab58db3fc1d325340832c9d5..f8d76e2b03fa99df299f7f0d4710f19479cc3761 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb and b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj
index f5164303db8b7813dd76fdb363b7c18c0c679809..0107b4e0d82614c83b8f672cdff5fbeac1c3cfc2 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj
@@ -1,6 +1,7 @@
 # compile vhdl design source files
 vhdl xil_defaultlib  \
 "../../../../../src/hdl/controlUnit.vhd" \
+"../../../../../src/hdl/operativeUnit.vhd" \
 "../../../../../src/hdl/firUnit.vhd" \
 "../../../../../src/hdl/tb_firUnit.vhd" \
 
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj
deleted file mode 100644
index 4918d41c886ff6e9c7b433572c2692552e42d4f0..0000000000000000000000000000000000000000
--- a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj
+++ /dev/null
@@ -1,9 +0,0 @@
-# compile verilog/system verilog design source files
-verilog xil_defaultlib  \
-"../../../../../src/hdl/operativeUnit.v" \
-
-# compile glbl module
-verilog xil_defaultlib "glbl.v"
-
-# Do not sort compile order
-nosort
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb
index 69071c5714dc58b42ac3a2f73ee62acdd9979c04..f17fb2dfa33c0317a5b8bf49cd8cf2f51e64bf31 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb and b/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt
index 2965ab3b73825075d89f3fba7755ebff3606c69a..8a25a911b8deeb63be565a8d140a089d2d79bd2f 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt
@@ -1 +1 @@
---incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" "xil_defaultlib.glbl" -log "elaborate.log" 
+--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" -log "elaborate.log" 
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt
index fdbc612e3497473d6b58c7f0c1432b55416f6136..f3273028f603e4810a84b110bd88c43a047ebf92 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt
@@ -1 +1,2 @@
 Breakpoint File Version 1.0
+151,true,"/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd"
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o
index 5ee797baee77bb08bbabc6d1f4508370d065912b..37f104a61d48f09312550ed9b3ce64d79b7c0aa3 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c
index 82891810cefac246dd5535788ebac91bf57678ef..c9f38b089b7890e304c5c8351f5a219f05e35b77 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c
@@ -54,595 +54,40 @@
 #endif
 typedef void (*funcp)(char *, char *);
 extern int main(int, char**);
-IKI_DLLESPEC extern void execute_2(char*, char *);
-IKI_DLLESPEC extern void execute_3(char*, char *);
-IKI_DLLESPEC extern void execute_4(char*, char *);
-IKI_DLLESPEC extern void execute_5(char*, char *);
-IKI_DLLESPEC extern void execute_6(char*, char *);
-IKI_DLLESPEC extern void execute_7(char*, char *);
-IKI_DLLESPEC extern void execute_8(char*, char *);
-IKI_DLLESPEC extern void execute_9(char*, char *);
-IKI_DLLESPEC extern void execute_10(char*, char *);
-IKI_DLLESPEC extern void execute_11(char*, char *);
-IKI_DLLESPEC extern void execute_21(char*, char *);
-IKI_DLLESPEC extern void execute_22(char*, char *);
-IKI_DLLESPEC extern void execute_23(char*, char *);
-IKI_DLLESPEC extern void execute_24(char*, char *);
+IKI_DLLESPEC extern void execute_26(char*, char *);
 IKI_DLLESPEC extern void execute_27(char*, char *);
 IKI_DLLESPEC extern void execute_28(char*, char *);
 IKI_DLLESPEC extern void execute_29(char*, char *);
-IKI_DLLESPEC extern void execute_30(char*, char *);
-IKI_DLLESPEC extern void execute_31(char*, char *);
 IKI_DLLESPEC extern void execute_32(char*, char *);
 IKI_DLLESPEC extern void execute_33(char*, char *);
 IKI_DLLESPEC extern void execute_34(char*, char *);
 IKI_DLLESPEC extern void execute_35(char*, char *);
-IKI_DLLESPEC extern void execute_3821(char*, char *);
-IKI_DLLESPEC extern void execute_3822(char*, char *);
-IKI_DLLESPEC extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*);
-IKI_DLLESPEC extern void execute_1958(char*, char *);
-IKI_DLLESPEC extern void execute_41(char*, char *);
-IKI_DLLESPEC extern void execute_1959(char*, char *);
-IKI_DLLESPEC extern void execute_89(char*, char *);
-IKI_DLLESPEC extern void execute_1983(char*, char *);
-IKI_DLLESPEC extern void execute_1984(char*, char *);
-IKI_DLLESPEC extern void execute_1985(char*, char *);
-IKI_DLLESPEC extern void execute_124(char*, char *);
-IKI_DLLESPEC extern void execute_2035(char*, char *);
-IKI_DLLESPEC extern void execute_2036(char*, char *);
-IKI_DLLESPEC extern void execute_2037(char*, char *);
-IKI_DLLESPEC extern void execute_2038(char*, char *);
-IKI_DLLESPEC extern void execute_2039(char*, char *);
-IKI_DLLESPEC extern void execute_2040(char*, char *);
-IKI_DLLESPEC extern void execute_2041(char*, char *);
-IKI_DLLESPEC extern void execute_2042(char*, char *);
-IKI_DLLESPEC extern void execute_2034(char*, char *);
-IKI_DLLESPEC extern void execute_126(char*, char *);
-IKI_DLLESPEC extern void execute_127(char*, char *);
-IKI_DLLESPEC extern void execute_128(char*, char *);
-IKI_DLLESPEC extern void execute_129(char*, char *);
-IKI_DLLESPEC extern void execute_130(char*, char *);
-IKI_DLLESPEC extern void execute_131(char*, char *);
-IKI_DLLESPEC extern void execute_132(char*, char *);
-IKI_DLLESPEC extern void execute_133(char*, char *);
-IKI_DLLESPEC extern void execute_134(char*, char *);
-IKI_DLLESPEC extern void execute_135(char*, char *);
-IKI_DLLESPEC extern void execute_136(char*, char *);
-IKI_DLLESPEC extern void execute_137(char*, char *);
-IKI_DLLESPEC extern void execute_138(char*, char *);
-IKI_DLLESPEC extern void execute_139(char*, char *);
-IKI_DLLESPEC extern void execute_140(char*, char *);
-IKI_DLLESPEC extern void execute_141(char*, char *);
-IKI_DLLESPEC extern void execute_142(char*, char *);
-IKI_DLLESPEC extern void execute_143(char*, char *);
-IKI_DLLESPEC extern void execute_144(char*, char *);
-IKI_DLLESPEC extern void execute_145(char*, char *);
-IKI_DLLESPEC extern void execute_146(char*, char *);
-IKI_DLLESPEC extern void execute_147(char*, char *);
-IKI_DLLESPEC extern void execute_148(char*, char *);
-IKI_DLLESPEC extern void execute_149(char*, char *);
-IKI_DLLESPEC extern void execute_150(char*, char *);
-IKI_DLLESPEC extern void execute_151(char*, char *);
-IKI_DLLESPEC extern void execute_152(char*, char *);
-IKI_DLLESPEC extern void execute_153(char*, char *);
-IKI_DLLESPEC extern void execute_156(char*, char *);
-IKI_DLLESPEC extern void execute_157(char*, char *);
-IKI_DLLESPEC extern void execute_158(char*, char *);
-IKI_DLLESPEC extern void execute_159(char*, char *);
-IKI_DLLESPEC extern void execute_160(char*, char *);
-IKI_DLLESPEC extern void execute_161(char*, char *);
-IKI_DLLESPEC extern void execute_162(char*, char *);
-IKI_DLLESPEC extern void execute_163(char*, char *);
-IKI_DLLESPEC extern void execute_164(char*, char *);
-IKI_DLLESPEC extern void execute_165(char*, char *);
-IKI_DLLESPEC extern void execute_166(char*, char *);
-IKI_DLLESPEC extern void execute_167(char*, char *);
-IKI_DLLESPEC extern void execute_168(char*, char *);
-IKI_DLLESPEC extern void execute_169(char*, char *);
-IKI_DLLESPEC extern void execute_170(char*, char *);
-IKI_DLLESPEC extern void execute_171(char*, char *);
-IKI_DLLESPEC extern void execute_2043(char*, char *);
-IKI_DLLESPEC extern void execute_2044(char*, char *);
-IKI_DLLESPEC extern void execute_2045(char*, char *);
-IKI_DLLESPEC extern void execute_2046(char*, char *);
-IKI_DLLESPEC extern void execute_2047(char*, char *);
-IKI_DLLESPEC extern void execute_2048(char*, char *);
-IKI_DLLESPEC extern void execute_2049(char*, char *);
-IKI_DLLESPEC extern void execute_2050(char*, char *);
-IKI_DLLESPEC extern void execute_2051(char*, char *);
-IKI_DLLESPEC extern void execute_2052(char*, char *);
-IKI_DLLESPEC extern void execute_2053(char*, char *);
-IKI_DLLESPEC extern void execute_2054(char*, char *);
-IKI_DLLESPEC extern void execute_2055(char*, char *);
-IKI_DLLESPEC extern void execute_2056(char*, char *);
-IKI_DLLESPEC extern void execute_2057(char*, char *);
-IKI_DLLESPEC extern void vlog_simple_process_execute_0_fast_no_reg_no_agg(char*, char*, char*);
-IKI_DLLESPEC extern void vlog_simple_process_execute_1_fast_no_reg_no_agg(char*, char*, char*);
-IKI_DLLESPEC extern void execute_2098(char*, char *);
-IKI_DLLESPEC extern void execute_2103(char*, char *);
-IKI_DLLESPEC extern void execute_2118(char*, char *);
-IKI_DLLESPEC extern void execute_2120(char*, char *);
-IKI_DLLESPEC extern void execute_2122(char*, char *);
-IKI_DLLESPEC extern void execute_2134(char*, char *);
-IKI_DLLESPEC extern void execute_2135(char*, char *);
-IKI_DLLESPEC extern void execute_2136(char*, char *);
-IKI_DLLESPEC extern void execute_2138(char*, char *);
-IKI_DLLESPEC extern void execute_2139(char*, char *);
-IKI_DLLESPEC extern void execute_2140(char*, char *);
-IKI_DLLESPEC extern void execute_2141(char*, char *);
-IKI_DLLESPEC extern void execute_2142(char*, char *);
-IKI_DLLESPEC extern void execute_2143(char*, char *);
-IKI_DLLESPEC extern void execute_2144(char*, char *);
-IKI_DLLESPEC extern void execute_2145(char*, char *);
-IKI_DLLESPEC extern void execute_2147(char*, char *);
-IKI_DLLESPEC extern void execute_2148(char*, char *);
-IKI_DLLESPEC extern void execute_2149(char*, char *);
-IKI_DLLESPEC extern void execute_2150(char*, char *);
-IKI_DLLESPEC extern void execute_2151(char*, char *);
-IKI_DLLESPEC extern void execute_2152(char*, char *);
-IKI_DLLESPEC extern void execute_2153(char*, char *);
-IKI_DLLESPEC extern void execute_2154(char*, char *);
-IKI_DLLESPEC extern void execute_2155(char*, char *);
-IKI_DLLESPEC extern void execute_2156(char*, char *);
-IKI_DLLESPEC extern void execute_2157(char*, char *);
-IKI_DLLESPEC extern void execute_2162(char*, char *);
-IKI_DLLESPEC extern void execute_2163(char*, char *);
-IKI_DLLESPEC extern void execute_2164(char*, char *);
-IKI_DLLESPEC extern void execute_2165(char*, char *);
-IKI_DLLESPEC extern void execute_2166(char*, char *);
-IKI_DLLESPEC extern void execute_2167(char*, char *);
-IKI_DLLESPEC extern void execute_2168(char*, char *);
-IKI_DLLESPEC extern void execute_2169(char*, char *);
-IKI_DLLESPEC extern void execute_2170(char*, char *);
-IKI_DLLESPEC extern void execute_2171(char*, char *);
-IKI_DLLESPEC extern void execute_2172(char*, char *);
-IKI_DLLESPEC extern void execute_2173(char*, char *);
-IKI_DLLESPEC extern void execute_2174(char*, char *);
-IKI_DLLESPEC extern void execute_2175(char*, char *);
-IKI_DLLESPEC extern void execute_2176(char*, char *);
-IKI_DLLESPEC extern void execute_2177(char*, char *);
-IKI_DLLESPEC extern void execute_2178(char*, char *);
-IKI_DLLESPEC extern void execute_2179(char*, char *);
-IKI_DLLESPEC extern void execute_2180(char*, char *);
-IKI_DLLESPEC extern void execute_2181(char*, char *);
-IKI_DLLESPEC extern void execute_2182(char*, char *);
-IKI_DLLESPEC extern void execute_2183(char*, char *);
-IKI_DLLESPEC extern void execute_2184(char*, char *);
-IKI_DLLESPEC extern void execute_174(char*, char *);
-IKI_DLLESPEC extern void execute_2186(char*, char *);
-IKI_DLLESPEC extern void execute_2187(char*, char *);
-IKI_DLLESPEC extern void execute_2188(char*, char *);
-IKI_DLLESPEC extern void execute_2189(char*, char *);
-IKI_DLLESPEC extern void execute_2185(char*, char *);
-IKI_DLLESPEC extern void execute_177(char*, char *);
-IKI_DLLESPEC extern void execute_178(char*, char *);
-IKI_DLLESPEC extern void execute_181(char*, char *);
-IKI_DLLESPEC extern void execute_182(char*, char *);
-IKI_DLLESPEC extern void execute_288(char*, char *);
-IKI_DLLESPEC extern void execute_324(char*, char *);
-IKI_DLLESPEC extern void execute_573(char*, char *);
-IKI_DLLESPEC extern void execute_574(char*, char *);
-IKI_DLLESPEC extern void execute_575(char*, char *);
-IKI_DLLESPEC extern void execute_2330(char*, char *);
-IKI_DLLESPEC extern void execute_2331(char*, char *);
-IKI_DLLESPEC extern void execute_2332(char*, char *);
-IKI_DLLESPEC extern void execute_2333(char*, char *);
-IKI_DLLESPEC extern void execute_2342(char*, char *);
-IKI_DLLESPEC extern void execute_2343(char*, char *);
-IKI_DLLESPEC extern void execute_2344(char*, char *);
-IKI_DLLESPEC extern void execute_2347(char*, char *);
-IKI_DLLESPEC extern void execute_2348(char*, char *);
-IKI_DLLESPEC extern void execute_2349(char*, char *);
-IKI_DLLESPEC extern void execute_2350(char*, char *);
-IKI_DLLESPEC extern void execute_656(char*, char *);
-IKI_DLLESPEC extern void execute_657(char*, char *);
-IKI_DLLESPEC extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
-IKI_DLLESPEC extern void transaction_34(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_35(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_36(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_38(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void execute_36(char*, char *);
+IKI_DLLESPEC extern void execute_37(char*, char *);
+IKI_DLLESPEC extern void execute_38(char*, char *);
+IKI_DLLESPEC extern void execute_39(char*, char *);
+IKI_DLLESPEC extern void execute_40(char*, char *);
+IKI_DLLESPEC extern void execute_42(char*, char *);
+IKI_DLLESPEC extern void execute_43(char*, char *);
+IKI_DLLESPEC extern void execute_44(char*, char *);
+IKI_DLLESPEC extern void execute_45(char*, char *);
+IKI_DLLESPEC extern void execute_46(char*, char *);
+IKI_DLLESPEC extern void execute_47(char*, char *);
+IKI_DLLESPEC extern void execute_48(char*, char *);
+IKI_DLLESPEC extern void execute_49(char*, char *);
+IKI_DLLESPEC extern void execute_50(char*, char *);
+IKI_DLLESPEC extern void execute_51(char*, char *);
+IKI_DLLESPEC extern void execute_52(char*, char *);
+IKI_DLLESPEC extern void transaction_0(char*, char*, unsigned, unsigned, unsigned);
 IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
-IKI_DLLESPEC extern void transaction_40(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_41(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_42(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_43(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_44(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_45(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_46(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_49(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_50(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_51(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_52(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_53(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_54(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_55(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_56(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_57(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_58(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_59(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_60(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_61(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_62(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_73(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_183(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_192(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_193(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_194(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_195(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_196(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_197(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_201(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_202(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_203(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_204(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_205(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_206(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_207(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_208(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_215(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_232(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_237(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_265(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_266(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_267(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_268(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_269(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_270(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_271(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_272(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_273(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_274(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_275(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_276(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_277(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_278(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_279(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_280(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_281(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_282(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_283(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_284(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_285(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_294(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_295(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_298(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_302(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_303(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_306(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_309(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_311(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_313(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_315(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_320(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_323(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_329(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_334(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_350(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_357(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_358(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_359(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_360(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_366(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_994(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1000(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1006(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1020(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1026(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1032(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1038(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1051(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1057(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1063(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1078(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1084(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1090(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1096(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1110(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1116(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1159(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1165(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1171(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1177(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1183(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1189(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1195(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1201(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1207(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1213(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1219(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1225(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1231(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1237(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1243(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1249(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1255(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1261(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1267(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1273(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1279(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1285(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1291(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1297(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1303(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1309(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1315(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1321(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1327(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1333(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1339(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1345(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1351(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1357(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1363(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1369(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1375(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1381(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1387(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1393(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1399(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1405(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1411(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1417(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1423(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1429(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1435(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1441(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1447(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1453(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1459(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1465(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1471(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1477(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1483(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1489(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1495(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1501(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1507(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1513(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1519(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1525(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1531(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1537(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1543(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1549(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1555(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1561(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1567(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1573(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1579(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1585(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1591(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1597(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1603(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1609(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1615(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1621(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1627(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1633(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1639(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1645(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1651(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1657(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1663(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1669(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1675(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1681(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1687(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1693(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1699(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1705(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1711(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1717(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1723(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1729(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1735(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1741(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1747(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1753(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1759(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1765(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1771(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1777(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1783(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1789(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1795(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1801(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1807(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1813(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1819(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1825(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1831(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1837(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1843(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1849(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1855(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1861(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1867(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1873(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1879(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1885(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1891(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1897(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1903(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1909(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1915(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1921(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1927(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1933(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1939(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1945(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1951(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1957(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1963(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1969(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1975(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1981(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1987(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1993(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1999(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2005(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2011(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2017(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2023(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2029(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2035(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2041(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2047(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2053(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2059(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2065(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2071(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2077(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2083(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2089(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2095(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2101(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2107(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2113(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2119(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2125(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2131(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2137(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2143(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2149(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2155(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2161(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2167(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2173(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2179(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2185(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2191(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2197(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2203(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2209(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2215(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2221(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2227(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2233(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2239(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2245(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2251(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2257(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2263(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2269(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2275(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2281(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2287(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2293(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2299(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2305(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2311(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2317(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2323(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2329(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2335(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2341(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2347(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2353(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2359(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2365(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2371(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2377(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2383(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2389(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2395(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2401(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2407(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2413(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2419(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2425(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2431(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2437(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2443(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2449(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2455(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2461(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2467(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2473(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2479(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2485(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2491(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2497(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2503(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2509(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2515(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2521(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2527(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2533(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2539(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2545(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2551(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2557(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2563(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2569(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2575(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2581(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2587(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2593(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2599(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2605(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2611(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2617(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2623(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2629(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2635(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2641(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2647(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2653(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2659(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2665(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2671(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2677(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2683(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2689(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2695(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2701(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2707(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2713(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2941(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2947(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2953(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2959(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2965(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2971(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2977(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2983(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2989(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2995(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3001(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3007(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3013(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3019(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3025(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3031(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3037(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3043(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3049(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3055(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3061(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3067(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3073(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3079(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3085(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3091(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3097(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3103(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3109(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3115(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3121(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3127(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3133(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3139(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3145(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3151(char*, char*, unsigned, unsigned, unsigned);
-funcp funcTab[581] = {(funcp)execute_2, (funcp)execute_3, (funcp)execute_4, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_21, (funcp)execute_22, (funcp)execute_23, (funcp)execute_24, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_3821, (funcp)execute_3822, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_1958, (funcp)execute_41, (funcp)execute_1959, (funcp)execute_89, (funcp)execute_1983, (funcp)execute_1984, (funcp)execute_1985, (funcp)execute_124, (funcp)execute_2035, (funcp)execute_2036, (funcp)execute_2037, (funcp)execute_2038, (funcp)execute_2039, (funcp)execute_2040, (funcp)execute_2041, (funcp)execute_2042, (funcp)execute_2034, (funcp)execute_126, (funcp)execute_127, (funcp)execute_128, (funcp)execute_129, (funcp)execute_130, (funcp)execute_131, (funcp)execute_132, (funcp)execute_133, (funcp)execute_134, (funcp)execute_135, (funcp)execute_136, (funcp)execute_137, (funcp)execute_138, (funcp)execute_139, (funcp)execute_140, (funcp)execute_141, (funcp)execute_142, (funcp)execute_143, (funcp)execute_144, (funcp)execute_145, (funcp)execute_146, (funcp)execute_147, (funcp)execute_148, (funcp)execute_149, (funcp)execute_150, (funcp)execute_151, (funcp)execute_152, (funcp)execute_153, (funcp)execute_156, (funcp)execute_157, (funcp)execute_158, (funcp)execute_159, (funcp)execute_160, (funcp)execute_161, (funcp)execute_162, (funcp)execute_163, (funcp)execute_164, (funcp)execute_165, (funcp)execute_166, (funcp)execute_167, (funcp)execute_168, (funcp)execute_169, (funcp)execute_170, (funcp)execute_171, (funcp)execute_2043, (funcp)execute_2044, (funcp)execute_2045, (funcp)execute_2046, (funcp)execute_2047, (funcp)execute_2048, (funcp)execute_2049, (funcp)execute_2050, (funcp)execute_2051, (funcp)execute_2052, (funcp)execute_2053, (funcp)execute_2054, (funcp)execute_2055, (funcp)execute_2056, (funcp)execute_2057, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)vlog_simple_process_execute_1_fast_no_reg_no_agg, (funcp)execute_2098, (funcp)execute_2103, (funcp)execute_2118, (funcp)execute_2120, (funcp)execute_2122, (funcp)execute_2134, (funcp)execute_2135, (funcp)execute_2136, (funcp)execute_2138, (funcp)execute_2139, (funcp)execute_2140, (funcp)execute_2141, (funcp)execute_2142, (funcp)execute_2143, (funcp)execute_2144, (funcp)execute_2145, (funcp)execute_2147, (funcp)execute_2148, (funcp)execute_2149, (funcp)execute_2150, (funcp)execute_2151, (funcp)execute_2152, (funcp)execute_2153, (funcp)execute_2154, (funcp)execute_2155, (funcp)execute_2156, (funcp)execute_2157, (funcp)execute_2162, (funcp)execute_2163, (funcp)execute_2164, (funcp)execute_2165, (funcp)execute_2166, (funcp)execute_2167, (funcp)execute_2168, (funcp)execute_2169, (funcp)execute_2170, (funcp)execute_2171, (funcp)execute_2172, (funcp)execute_2173, (funcp)execute_2174, (funcp)execute_2175, (funcp)execute_2176, (funcp)execute_2177, (funcp)execute_2178, (funcp)execute_2179, (funcp)execute_2180, (funcp)execute_2181, (funcp)execute_2182, (funcp)execute_2183, (funcp)execute_2184, (funcp)execute_174, (funcp)execute_2186, (funcp)execute_2187, (funcp)execute_2188, (funcp)execute_2189, (funcp)execute_2185, (funcp)execute_177, (funcp)execute_178, (funcp)execute_181, (funcp)execute_182, (funcp)execute_288, (funcp)execute_324, (funcp)execute_573, (funcp)execute_574, (funcp)execute_575, (funcp)execute_2330, (funcp)execute_2331, (funcp)execute_2332, (funcp)execute_2333, (funcp)execute_2342, (funcp)execute_2343, (funcp)execute_2344, (funcp)execute_2347, (funcp)execute_2348, (funcp)execute_2349, (funcp)execute_2350, (funcp)execute_656, (funcp)execute_657, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_34, (funcp)transaction_35, (funcp)transaction_36, (funcp)transaction_38, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_40, (funcp)transaction_41, (funcp)transaction_42, (funcp)transaction_43, (funcp)transaction_44, (funcp)transaction_45, (funcp)transaction_46, (funcp)transaction_49, (funcp)transaction_50, (funcp)transaction_51, (funcp)transaction_52, (funcp)transaction_53, (funcp)transaction_54, (funcp)transaction_55, (funcp)transaction_56, (funcp)transaction_57, (funcp)transaction_58, (funcp)transaction_59, (funcp)transaction_60, (funcp)transaction_61, (funcp)transaction_62, (funcp)transaction_73, (funcp)transaction_183, (funcp)transaction_192, (funcp)transaction_193, (funcp)transaction_194, (funcp)transaction_195, (funcp)transaction_196, (funcp)transaction_197, (funcp)transaction_201, (funcp)transaction_202, (funcp)transaction_203, (funcp)transaction_204, (funcp)transaction_205, (funcp)transaction_206, (funcp)transaction_207, (funcp)transaction_208, (funcp)transaction_215, (funcp)transaction_232, (funcp)transaction_237, (funcp)transaction_265, (funcp)transaction_266, (funcp)transaction_267, (funcp)transaction_268, (funcp)transaction_269, (funcp)transaction_270, (funcp)transaction_271, (funcp)transaction_272, (funcp)transaction_273, (funcp)transaction_274, (funcp)transaction_275, (funcp)transaction_276, (funcp)transaction_277, (funcp)transaction_278, (funcp)transaction_279, (funcp)transaction_280, (funcp)transaction_281, (funcp)transaction_282, (funcp)transaction_283, (funcp)transaction_284, (funcp)transaction_285, (funcp)transaction_294, (funcp)transaction_295, (funcp)transaction_298, (funcp)transaction_302, (funcp)transaction_303, (funcp)transaction_306, (funcp)transaction_309, (funcp)transaction_311, (funcp)transaction_313, (funcp)transaction_315, (funcp)transaction_320, (funcp)transaction_323, (funcp)transaction_329, (funcp)transaction_334, (funcp)transaction_350, (funcp)transaction_357, (funcp)transaction_358, (funcp)transaction_359, (funcp)transaction_360, (funcp)transaction_366, (funcp)transaction_994, (funcp)transaction_1000, (funcp)transaction_1006, (funcp)transaction_1020, (funcp)transaction_1026, (funcp)transaction_1032, (funcp)transaction_1038, (funcp)transaction_1051, (funcp)transaction_1057, (funcp)transaction_1063, (funcp)transaction_1078, (funcp)transaction_1084, (funcp)transaction_1090, (funcp)transaction_1096, (funcp)transaction_1110, (funcp)transaction_1116, (funcp)transaction_1159, (funcp)transaction_1165, (funcp)transaction_1171, (funcp)transaction_1177, (funcp)transaction_1183, (funcp)transaction_1189, (funcp)transaction_1195, (funcp)transaction_1201, (funcp)transaction_1207, (funcp)transaction_1213, (funcp)transaction_1219, (funcp)transaction_1225, (funcp)transaction_1231, (funcp)transaction_1237, (funcp)transaction_1243, (funcp)transaction_1249, (funcp)transaction_1255, (funcp)transaction_1261, (funcp)transaction_1267, (funcp)transaction_1273, (funcp)transaction_1279, (funcp)transaction_1285, (funcp)transaction_1291, (funcp)transaction_1297, (funcp)transaction_1303, (funcp)transaction_1309, (funcp)transaction_1315, (funcp)transaction_1321, (funcp)transaction_1327, (funcp)transaction_1333, (funcp)transaction_1339, (funcp)transaction_1345, (funcp)transaction_1351, (funcp)transaction_1357, (funcp)transaction_1363, (funcp)transaction_1369, (funcp)transaction_1375, (funcp)transaction_1381, (funcp)transaction_1387, (funcp)transaction_1393, (funcp)transaction_1399, (funcp)transaction_1405, (funcp)transaction_1411, (funcp)transaction_1417, (funcp)transaction_1423, (funcp)transaction_1429, (funcp)transaction_1435, (funcp)transaction_1441, (funcp)transaction_1447, (funcp)transaction_1453, (funcp)transaction_1459, (funcp)transaction_1465, (funcp)transaction_1471, (funcp)transaction_1477, (funcp)transaction_1483, (funcp)transaction_1489, (funcp)transaction_1495, (funcp)transaction_1501, (funcp)transaction_1507, (funcp)transaction_1513, (funcp)transaction_1519, (funcp)transaction_1525, (funcp)transaction_1531, (funcp)transaction_1537, (funcp)transaction_1543, (funcp)transaction_1549, (funcp)transaction_1555, (funcp)transaction_1561, (funcp)transaction_1567, (funcp)transaction_1573, (funcp)transaction_1579, (funcp)transaction_1585, (funcp)transaction_1591, (funcp)transaction_1597, (funcp)transaction_1603, (funcp)transaction_1609, (funcp)transaction_1615, (funcp)transaction_1621, (funcp)transaction_1627, (funcp)transaction_1633, (funcp)transaction_1639, (funcp)transaction_1645, (funcp)transaction_1651, (funcp)transaction_1657, (funcp)transaction_1663, (funcp)transaction_1669, (funcp)transaction_1675, (funcp)transaction_1681, (funcp)transaction_1687, (funcp)transaction_1693, (funcp)transaction_1699, (funcp)transaction_1705, (funcp)transaction_1711, (funcp)transaction_1717, (funcp)transaction_1723, (funcp)transaction_1729, (funcp)transaction_1735, (funcp)transaction_1741, (funcp)transaction_1747, (funcp)transaction_1753, (funcp)transaction_1759, (funcp)transaction_1765, (funcp)transaction_1771, (funcp)transaction_1777, (funcp)transaction_1783, (funcp)transaction_1789, (funcp)transaction_1795, (funcp)transaction_1801, (funcp)transaction_1807, (funcp)transaction_1813, (funcp)transaction_1819, (funcp)transaction_1825, (funcp)transaction_1831, (funcp)transaction_1837, (funcp)transaction_1843, (funcp)transaction_1849, (funcp)transaction_1855, (funcp)transaction_1861, (funcp)transaction_1867, (funcp)transaction_1873, (funcp)transaction_1879, (funcp)transaction_1885, (funcp)transaction_1891, (funcp)transaction_1897, (funcp)transaction_1903, (funcp)transaction_1909, (funcp)transaction_1915, (funcp)transaction_1921, (funcp)transaction_1927, (funcp)transaction_1933, (funcp)transaction_1939, (funcp)transaction_1945, (funcp)transaction_1951, (funcp)transaction_1957, (funcp)transaction_1963, (funcp)transaction_1969, (funcp)transaction_1975, (funcp)transaction_1981, (funcp)transaction_1987, (funcp)transaction_1993, (funcp)transaction_1999, (funcp)transaction_2005, (funcp)transaction_2011, (funcp)transaction_2017, (funcp)transaction_2023, (funcp)transaction_2029, (funcp)transaction_2035, (funcp)transaction_2041, (funcp)transaction_2047, (funcp)transaction_2053, (funcp)transaction_2059, (funcp)transaction_2065, (funcp)transaction_2071, (funcp)transaction_2077, (funcp)transaction_2083, (funcp)transaction_2089, (funcp)transaction_2095, (funcp)transaction_2101, (funcp)transaction_2107, (funcp)transaction_2113, (funcp)transaction_2119, (funcp)transaction_2125, (funcp)transaction_2131, (funcp)transaction_2137, (funcp)transaction_2143, (funcp)transaction_2149, (funcp)transaction_2155, (funcp)transaction_2161, (funcp)transaction_2167, (funcp)transaction_2173, (funcp)transaction_2179, (funcp)transaction_2185, (funcp)transaction_2191, (funcp)transaction_2197, (funcp)transaction_2203, (funcp)transaction_2209, (funcp)transaction_2215, (funcp)transaction_2221, (funcp)transaction_2227, (funcp)transaction_2233, (funcp)transaction_2239, (funcp)transaction_2245, (funcp)transaction_2251, (funcp)transaction_2257, (funcp)transaction_2263, (funcp)transaction_2269, (funcp)transaction_2275, (funcp)transaction_2281, (funcp)transaction_2287, (funcp)transaction_2293, (funcp)transaction_2299, (funcp)transaction_2305, (funcp)transaction_2311, (funcp)transaction_2317, (funcp)transaction_2323, (funcp)transaction_2329, (funcp)transaction_2335, (funcp)transaction_2341, (funcp)transaction_2347, (funcp)transaction_2353, (funcp)transaction_2359, (funcp)transaction_2365, (funcp)transaction_2371, (funcp)transaction_2377, (funcp)transaction_2383, (funcp)transaction_2389, (funcp)transaction_2395, (funcp)transaction_2401, (funcp)transaction_2407, (funcp)transaction_2413, (funcp)transaction_2419, (funcp)transaction_2425, (funcp)transaction_2431, (funcp)transaction_2437, (funcp)transaction_2443, (funcp)transaction_2449, (funcp)transaction_2455, (funcp)transaction_2461, (funcp)transaction_2467, (funcp)transaction_2473, (funcp)transaction_2479, (funcp)transaction_2485, (funcp)transaction_2491, (funcp)transaction_2497, (funcp)transaction_2503, (funcp)transaction_2509, (funcp)transaction_2515, (funcp)transaction_2521, (funcp)transaction_2527, (funcp)transaction_2533, (funcp)transaction_2539, (funcp)transaction_2545, (funcp)transaction_2551, (funcp)transaction_2557, (funcp)transaction_2563, (funcp)transaction_2569, (funcp)transaction_2575, (funcp)transaction_2581, (funcp)transaction_2587, (funcp)transaction_2593, (funcp)transaction_2599, (funcp)transaction_2605, (funcp)transaction_2611, (funcp)transaction_2617, (funcp)transaction_2623, (funcp)transaction_2629, (funcp)transaction_2635, (funcp)transaction_2641, (funcp)transaction_2647, (funcp)transaction_2653, (funcp)transaction_2659, (funcp)transaction_2665, (funcp)transaction_2671, (funcp)transaction_2677, (funcp)transaction_2683, (funcp)transaction_2689, (funcp)transaction_2695, (funcp)transaction_2701, (funcp)transaction_2707, (funcp)transaction_2713, (funcp)transaction_2941, (funcp)transaction_2947, (funcp)transaction_2953, (funcp)transaction_2959, (funcp)transaction_2965, (funcp)transaction_2971, (funcp)transaction_2977, (funcp)transaction_2983, (funcp)transaction_2989, (funcp)transaction_2995, (funcp)transaction_3001, (funcp)transaction_3007, (funcp)transaction_3013, (funcp)transaction_3019, (funcp)transaction_3025, (funcp)transaction_3031, (funcp)transaction_3037, (funcp)transaction_3043, (funcp)transaction_3049, (funcp)transaction_3055, (funcp)transaction_3061, (funcp)transaction_3067, (funcp)transaction_3073, (funcp)transaction_3079, (funcp)transaction_3085, (funcp)transaction_3091, (funcp)transaction_3097, (funcp)transaction_3103, (funcp)transaction_3109, (funcp)transaction_3115, (funcp)transaction_3121, (funcp)transaction_3127, (funcp)transaction_3133, (funcp)transaction_3139, (funcp)transaction_3145, (funcp)transaction_3151};
-const int NumRelocateId= 581;
+funcp funcTab[26] = {(funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)transaction_0, (funcp)vhdl_transfunc_eventcallback};
+const int NumRelocateId= 26;
 
 void relocate(char *dp)
 {
-	iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc",  (void **)funcTab, 581);
-	iki_vhdl_file_variable_register(dp + 701048);
-	iki_vhdl_file_variable_register(dp + 701104);
+	iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc",  (void **)funcTab, 26);
+	iki_vhdl_file_variable_register(dp + 8352);
+	iki_vhdl_file_variable_register(dp + 8408);
 
 
 	/*Populate the transaction function pointer field in the whole net structure */
@@ -653,37 +98,10 @@ void sensitize(char *dp)
 	iki_sensitize(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc");
 }
 
-	// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
-
-void wrapper_func_0(char *dp)
-
-{
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 706376, dp + 710584, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 706432, dp + 711536, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 706488, dp + 711088, 0, 15, 0, 15, 16, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707760, dp + 711312, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707816, dp + 710864, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707872, dp + 710752, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707928, dp + 710976, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707984, dp + 711424, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 708040, dp + 711200, 0, 0, 0, 0, 1, 1);
-
-}
-
 void simulate(char *dp)
 {
 		iki_schedule_processes_at_time_zero(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc");
-	wrapper_func_0(dp);
-
+	// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
 	iki_execute_processes();
 
 	// Schedule resolution functions for the multiply driven Verilog nets that have strength
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o
index a9f97708d37957c5b7332b318e1a8328f23eb48b..6347e4da7209e8b0908794cf5461bd74caade9b7 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg
index 297b9e992f1375e4e2d92ad2b3dd04198712feae..b8dec24608bc5eadd699a0113423ae35a4827848 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem
index 27ce65fd36b319fa07e3dac72478c40d7c93cc55..e406e470775a77dfece7c32f0bae1014f700966c 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc
index fc47b423b3ee70d11db834f8c2a490b5d1c6d05a..f709d602a57a13d2c1804daece255895dd5fb06a 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx
index dc8949b784aba23d96670f3f04d0f0cef4bc8326..1593e3a91d942a03c28b222ccca493804212d414 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx
@@ -1,8 +1,8 @@
 
 { 
-    crc :  1683845849965284633  , 
+    crc :  1143525208533358939  , 
     ccp_crc :  0  , 
-    cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl" , 
+    cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit" , 
     buildDate : "May 22 2024" , 
     buildTime : "18:54:44" , 
     linkCmd : "/usr/bin/gcc -Wa,-W  -O -fPIC  -m64  -Wl,--no-as-needed  -Wl,--unresolved-symbols=ignore-all  -o \"xsim.dir/tb_firUnit_behav/xsimk\"   \"xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o\" -L\"/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel    -L/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , 
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti
index de53811036a2f957538e2ef601a5cacbda6adb84..88786c17baca8370ce20465c4bb205e41a8b7615 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype
index 57a1c98a5f6d4cad2df1f5c52fb8d6f99ce7db99..6dc1deb65a85fafe2dcea36f677983510a180e28 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type
index 4bb1da6cb0a15d69c89837f99883d3bb83711317..c28c84d68e27da5f1de4e8577d991ed1a8af5b02 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg
index 832e56613973f956b8646686c3c128fba9f57e20..d9dfb41e729f1be9d061a05d63e2d5b0276a9eb1 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini
index f3245613d0d2eebe4fb7c65c2a6cd13249bc61fa..5b3ad0b68b3b70e4a990b5ae78cb919e4e6cac6e 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini
@@ -25,11 +25,11 @@ INOUT_PROTOINST_FILTER=true
 INTERNAL_PROTOINST_FILTER=true
 CONSTANT_PROTOINST_FILTER=true
 VARIABLE_PROTOINST_FILTER=true
-SCOPE_NAME_COLUMN_WIDTH=117
-SCOPE_DESIGN_UNIT_COLUMN_WIDTH=162
-SCOPE_BLOCK_TYPE_COLUMN_WIDTH=103
-OBJECT_NAME_COLUMN_WIDTH=188
-OBJECT_VALUE_COLUMN_WIDTH=49
+SCOPE_NAME_COLUMN_WIDTH=193
+SCOPE_DESIGN_UNIT_COLUMN_WIDTH=209
+SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84
+OBJECT_NAME_COLUMN_WIDTH=207
+OBJECT_VALUE_COLUMN_WIDTH=640
 OBJECT_DATA_TYPE_COLUMN_WIDTH=75
 PROCESS_NAME_COLUMN_WIDTH=75
 PROCESS_TYPE_COLUMN_WIDTH=75
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk
index 601c500522af25ddcb1d2a63828bcfac88d7619f..1d21f3b9a648c61953d3b82e0b2ad96b73a5576d 100755
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log
index 209349b4dce5978752cbaf60a7a549324af9f8f0..76d4694c39c0c1036ea800e603037a85d0e5a225 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log
@@ -1,4 +1,7 @@
-Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 54637
+Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 39085
 Design successfully loaded
-Design Loading Memory Usage: 22124 KB (Peak: 22132 KB)
-Design Loading CPU Usage: 20 ms
+Design Loading Memory Usage: 20176 KB (Peak: 20752 KB)
+Design Loading CPU Usage: 10 ms
+Simulation completed
+Simulation Memory Usage: 110112 KB (Peak: 159444 KB)
+Simulation CPU Usage: 70 ms
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb
index 2cd36717c37d471288591c5d76a402d9e27a149d..524354ce540f93f1efa0e06688d9ab3c5597414e 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb
index 7038570f515aa9f4c528854a26d6372e929efdc1..05e0ab7281ae3cfe00b0e1966fb470aea8bbb9ae 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..cab88913091cf55618a3e92e942754b91fde32bc
Binary files /dev/null and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb
index 7d960f0977c8810ab08941f81e0232f3ddd49a1f..9d7b37da0e7d042258150b04e4d745e44f4e261f 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
index f4d6768fb23e5413cf5cb73e6c42c3b047b644fd..c692e4e4f5a7728e606d0ac1cb4bc204f6e730e7 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
@@ -6,12 +6,13 @@ May 22 2024
 /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/TWICtl.vhd,1746792867,vhdl,,,,twictl;twiutils,,,,,,,,
 /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v,1746792867,verilog,,,,audioProc,,,,,,,,
 /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audio_init.v,1746792867,verilog,,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/debounce.v,,audio_init,,,,,,,,
-/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd,1746798166,vhdl,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd,,,controlunit,,,,,,,,
+/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd,1746798676,vhdl,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd,,,controlunit,,,,,,,,
 /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/debounce.v,1746792867,verilog,,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v,,debounce,,,,,,,,
 /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/fir.vhd,1746792867,vhdl,,,,fir,,,,,,,,
 /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd,1746792867,vhdl,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd,,,firunit,,,,,,,,
 /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/i2s_ctl.vhd,1746792867,vhdl,,,,i2s_ctl,,,,,,,,
 /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v,1746792867,verilog,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v,,\operativeUnit\,,,,,,,,
+/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd,1747058630,vhdl,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd,,,operativeunit,,,,,,,,
 /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd,1746792867,vhdl,,,,tb_firunit,,,,,,,,
 /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/ip/clk_wiz_0/clk_wiz_0.v,1746792867,verilog,,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audio_init.v,,clk_wiz_0,,,,,,,,
 /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v,1746792867,verilog,,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/ip/clk_wiz_0/clk_wiz_0.v,,clk_wiz_0_clk_wiz,,,,,,,,
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log
index e69de29bb2d1d6434b8b29ae775ad8c2e48c5391..839bfeda147cbfde937b5041e9a8a5af48ea0203 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log
@@ -0,0 +1,6 @@
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb
index b155e40f06a230303a04d2a77f07560e35c5dc93..23655c1f32e73dce22c237a8f6b4ac4d827fd2f9 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb and b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb differ
diff --git a/proj/AudioProc.runs/synth_1/tb_firUnit.dcp b/proj/AudioProc.srcs/utils_1/imports/synth_1/tb_firUnit.dcp
old mode 100644
new mode 100755
similarity index 100%
rename from proj/AudioProc.runs/synth_1/tb_firUnit.dcp
rename to proj/AudioProc.srcs/utils_1/imports/synth_1/tb_firUnit.dcp
diff --git a/proj/AudioProc.xpr b/proj/AudioProc.xpr
index 94253f18da14030d4da9da3dca5cc62e9a5e96d1..16d3300d2ada9265d63747eaaf96c33fcb69611f 100644
--- a/proj/AudioProc.xpr
+++ b/proj/AudioProc.xpr
@@ -60,7 +60,7 @@
     <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
     <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
     <Option Name="EnableBDX" Val="FALSE"/>
-    <Option Name="WTXSimLaunchSim" Val="19"/>
+    <Option Name="WTXSimLaunchSim" Val="31"/>
     <Option Name="WTModelSimLaunchSim" Val="0"/>
     <Option Name="WTQuestaLaunchSim" Val="0"/>
     <Option Name="WTIesLaunchSim" Val="0"/>
@@ -91,14 +91,13 @@
   <FileSets Version="1" Minor="32">
     <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
       <Filter Type="Srcs"/>
-      <File Path="$PPRDIR/../src/hdl/operativeUnit.v">
+      <File Path="$PPRDIR/../src/hdl/controlUnit.vhd">
         <FileInfo>
           <Attr Name="UsedIn" Val="synthesis"/>
-          <Attr Name="UsedIn" Val="implementation"/>
           <Attr Name="UsedIn" Val="simulation"/>
         </FileInfo>
       </File>
-      <File Path="$PPRDIR/../src/hdl/controlUnit.vhd">
+      <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd">
         <FileInfo>
           <Attr Name="UsedIn" Val="synthesis"/>
           <Attr Name="UsedIn" Val="simulation"/>
@@ -169,13 +168,6 @@
           <Attr Name="UsedIn" Val="simulation"/>
         </FileInfo>
       </File>
-      <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd">
-        <FileInfo>
-          <Attr Name="UserDisabled" Val="1"/>
-          <Attr Name="UsedIn" Val="synthesis"/>
-          <Attr Name="UsedIn" Val="simulation"/>
-        </FileInfo>
-      </File>
       <Config>
         <Option Name="DesignMode" Val="RTL"/>
         <Option Name="TopModule" Val="tb_firUnit"/>
@@ -194,6 +186,7 @@
       </Config>
     </FileSet>
     <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1">
+      <Filter Type="Srcs"/>
       <Config>
         <Option Name="DesignMode" Val="RTL"/>
         <Option Name="TopModule" Val="tb_firUnit"/>
@@ -210,6 +203,14 @@
     </FileSet>
     <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
       <Filter Type="Utils"/>
+      <File Path="$PSRCDIR/utils_1/imports/synth_1/tb_firUnit.dcp">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="implementation"/>
+          <Attr Name="UsedInSteps" Val="synth_1"/>
+          <Attr Name="AutoDcp" Val="1"/>
+        </FileInfo>
+      </File>
       <Config>
         <Option Name="TopAutoSet" Val="TRUE"/>
       </Config>
@@ -237,11 +238,9 @@
     </Simulator>
   </Simulators>
   <Runs Version="1" Minor="22">
-    <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred  with a larger threshold" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true">
+    <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred  with a larger threshold" AutoIncrementalCheckpoint="true" IncrementalCheckpoint="$PSRCDIR/utils_1/imports/synth_1/tb_firUnit.dcp" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true">
       <Strategy Version="1" Minor="2">
-        <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014">
-          <Desc>Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred  with a larger threshold</Desc>
-        </StratHandle>
+        <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"/>
         <Step Id="synth_design">
           <Option Id="FsmExtraction">1</Option>
           <Option Id="KeepEquivalentRegisters">1</Option>
@@ -258,9 +257,7 @@
     </Run>
     <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Vivado Implementation Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" LaunchOptions="-jobs 6 " AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true">
       <Strategy Version="1" Minor="2">
-        <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014">
-          <Desc>Vivado Implementation Defaults</Desc>
-        </StratHandle>
+        <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"/>
         <Step Id="init_design"/>
         <Step Id="opt_design"/>
         <Step Id="power_opt_design"/>
diff --git a/src/hdl/operativeUnit.vhd b/src/hdl/operativeUnit.vhd
index 4b88965e893e45be66942629e59fa7dfc50055b9..f2d7f8b4edc1a9ae4a3328d12cc511ec6f4dd835 100644
--- a/src/hdl/operativeUnit.vhd
+++ b/src/hdl/operativeUnit.vhd
@@ -45,7 +45,7 @@ entity operativeUnit is
         I_incrAddress    : in  std_logic;                     -- Control signal to increment register read address
         I_initSum        : in  std_logic;                     -- Control signal to initialize the MAC register
         I_loadSum        : in  std_logic;                     -- Control signal to load the MAC register;
-        I_loadY          : in  std_logic;                     -- Control signal to load Y register
+        I_loadOutput     : in  std_logic;                     -- Control signal to load Y register
         O_processingDone : out std_logic;                     -- Indicate that processing is done
         O_filteredSample : out std_logic_vector(15 downto 0)   -- filtered sample
         );
@@ -114,37 +114,45 @@ begin
                         );
     
     -- Process to describe the shift register storing the input samples
-    shift : process (I_reset, I_loadShift) is
+    shift : process(I_reset, I_clock) is
     begin  -- process shift
         if I_reset = '1' then           -- asynchronous reset (active high)
             SR_shiftRegister <= (others => (others => '0'));
-        elsif (I_loadShift = '1') then
-            SR_shiftRegister
-
+        elsif rising_edge(I_clock) then 
+            if (I_loadShift = '1') then
+                for i in 15 downto 1 loop
+                    SR_shiftRegister(i) <= SR_shiftRegister(i - 1);
+                end loop; 
+                SR_shiftRegister(0) <= signed(I_inputSample);
+            end if;
         end if;
     end process shift;
 
     -- Process to describe the counter providing the selection adresses
     -- of the multiplexers
-    incr_address : process (_BLANK_) is
+    incr_address : process (I_reset, I_clock)is
     begin
         if I_reset = '1' then               -- asynchronous reset (active high)
             SR_readAddress <= 0;
-        elsif _BLANK_
-
+        elsif rising_edge(I_clock) then 
+            if I_initAddress = '1' then
+                SR_readAddress <= 0;
+            elsif I_incrAddress = '1' then
+                SR_readAddress <= SR_readAddress + 1;
+            end if;
         end if;
     end process incr_address;
 
     -- Signal detecting that the next cycle will be the one
     -- providing the last product used to compute the convolution
-    O_processingDone <= '1' when _BLANK_;
+    O_processingDone <= '1' when SR_readAddress = 14 else '0';
 
     -- Signals connected with multiplexers (SIMPLY inferred with table indices)
-    SC_multOperand1 <= _BLANK_;             -- 16 bits
-    SC_multOperand2 <= _BLANK_;             -- 16 bits
+    SC_multOperand1 <= SR_shiftRegister(SR_readAddress);             -- 16 bits
+    SC_multOperand2 <= SR_coefRegister(SR_readAddress);             -- 16 bits
 
     -- Multiplication of the operands
-    SC_MultResult   <= _BLANK_;             -- 32 bits
+    SC_MultResult   <= SC_multOperand1*SC_multOperand2;             -- 32 bits
 
     -- Sum of the multiplication result and the accumulated value
     SC_addResult    <= resize(SC_MultResult, SC_addResult'length) + SR_sum;
@@ -152,19 +160,32 @@ begin
     -- Register to store the accumulated value if the loadSum is active
     -- It also reduces the width of the sum to fit to the input and output
     -- signal widths (be careful with truncating/rounding)
-    sum_acc : process (_BLANK_) is
+    sum_acc : process (I_reset, I_clock) is
     begin
         if I_reset = '1' then               -- asynchronous reset (active high)
             SR_sum <= (others => '0');
-        elsif _BLANK_
+        elsif rising_edge(I_clock) then 
+            if I_initSum = '1' then
+                SR_sum <= (others => '0');
+            elsif I_loadSum = '1' then
+                SR_sum <= SC_addResult;
+            end if;
         end if;
     end process sum_acc;
 
     -- Register to store the final result if the loadOuput is active
-    store_result : process (_BLANK_) is
+    store_result : process (I_reset, I_clock) is
     begin
-        _BLANK_
-
+        if I_reset = '1' then               -- asynchronous reset (active high)
+            SR_filteredSample <= (others => '0');
+        elsif rising_edge(I_clock) then 
+            if I_loadOutput = '1' then
+                SR_filteredSample <= SC_addResult(30 downto 15);
+                if SC_addResult(14) = '1' then
+                    SR_filteredSample <= SR_filteredSample + 1;
+                end if;
+            end if;
+         end if;
     end process store_result;
 
     O_filteredSample <= std_logic_vector(SR_filteredSample);
diff --git a/vivado.jou b/vivado.jou
index 0cee59400718d05a9bd27b53bcc909c0d8b26f69..b0e8ba965adcd0165907547af4680889db2952a3 100644
--- a/vivado.jou
+++ b/vivado.jou
@@ -90,3 +90,4 @@ run 10 us
 run 10 us
 launch_runs impl_1 -to_step write_bitstream -jobs 6
 wait_on_run impl_1
+close_sim
diff --git a/vivado.log b/vivado.log
index 506c20f06f408b551116b73959b511fa5b691efb..36507b149c8c79f9bb7640e684ffe0e9897e5bbe 100644
--- a/vivado.log
+++ b/vivado.log
@@ -1054,3 +1054,7 @@ launch_runs impl_1 -to_step write_bitstream -jobs 6
 Run output will be captured here: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/runme.log
 [Fri May  9 16:03:06 2025] Launched impl_1...
 Run output will be captured here: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/runme.log
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+exit
+INFO: [Common 17-206] Exiting Vivado at Fri May  9 16:37:46 2025...
diff --git a/vivado_pid88932.str b/vivado_pid88932.str
deleted file mode 100644
index dc2755318e8695dd329629044af2f69a00a3701c..0000000000000000000000000000000000000000
--- a/vivado_pid88932.str
+++ /dev/null
@@ -1,4534 +0,0 @@
-/*
-
-AMD Vivado v2024.1 (64-bit) [Major: 2024, Minor: 1]
-SW Build: 5076996 on Wed May 22 18:36:09 MDT 2024
-IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024
-IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024
-
-Process ID (PID): 88932
-License: Customer
-Mode: GUI Mode
-
-Current time: 	Fri May 09 14:20:51 CEST 2025
-Time zone: 	Central European Standard Time (Europe/Paris)
-
-OS: Ubuntu
-OS Version: 6.8.0-59-generic
-OS Architecture: amd64
-Available processors (cores): 12
-LSB Release Description: DISTRIB_ID=Ubuntu
-
-Display: 0
-Screen size: 1680x1050
-Local screen bounds: x = 66, y = 32, width = 1614, height = 1018
-Screen resolution (DPI): 100
-Available screens: 1
-Default font: family=Dialog,name=Dialog,style=plain,size=12
-Scale size: 12
-OS font scaling: 100%
-Anti-Alias Enabled: false
-
-Java version: 	21.0.1 64-bit
-JavaFX version: 21.0.1
-Java home: 	/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12
-Java executable: 	/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12/bin/java
-Java arguments: 	[-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Dsun.java2d.uiScale.enabled=false, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, -XX:+UseStringDeduplication, -XX:MaxGCPauseMillis=200, -XX:+ParallelRefProcEnabled, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/java.awt.event=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.base/java.nio=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.table=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.desktop/sun.awt.shell=ALL-UNNAMED, --add-exports=java.base/sun.security.action=ALL-UNNAMED, --add-exports=java.desktop/sun.font=ALL-UNNAMED, --add-opens=java.desktop/sun.awt.X11=ALL-UNNAMED, -XX:NewSize=80m, -XX:MaxNewSize=80m, -Xms512m, -Xmx4072m, -Xss10m, -Xrs]
-Java initial memory (-Xms): 	512 MB
-Java maximum memory (-Xmx):	 3 GB
-
-User name: 	l24nicot
-User home directory: /homes/l24nicot
-User working directory: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant
-User country: 	US
-User language: 	en
-User locale: 	en_US
-
-RDI_BASEROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado
-HDI_APPROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
-RDI_DATADIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data
-RDI_BINDIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin
-
-Vivado preferences file: /homes/l24nicot/.Xilinx/Vivado/2024.1/vivado.xml
-Vivado preferences directory: /homes/l24nicot/.Xilinx/Vivado/2024.1/
-Vivado layouts directory: /homes/l24nicot/.Xilinx/Vivado/2024.1/data/layouts
-PlanAhead jar file: 	/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/classes/planAhead.jar
-Vivado log file: 	/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/vivado.log
-Vivado journal file: 	/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/vivado.jou
-Engine tmp dir: 	./.Xil/Vivado-88932-fl-tp-br-515
-Non-Default Parameters:	[]
-
-Xilinx & AMD Environment Variables
---------------------------------------------------------------------------------------------
-GNOME_SHELL_SESSION_MODE: ubuntu
-RDI_APPROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
-RDI_BASEROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado
-RDI_BINROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin
-RDI_BUILD: yes
-RDI_DATADIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data
-RDI_INSTALLROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1
-RDI_INSTALLVER: 2024.1
-RDI_JAVA_PLATFORM: 
-RDI_JAVA_VERSION: 21.0.1_12
-RDI_LIBDIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/Ubuntu:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o
-RDI_OPT_EXT: .o
-RDI_PATCHROOT: 
-RDI_PLATFORM: lnx64
-RDI_PREPEND_PATH: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64
-RDI_PROG: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/vivado
-RDI_SESSION_INFO: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant:fl-tp-br-515_1746793104_88863
-RDI_SHARED_DATA: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data
-RDI_TPS_ROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64
-RDI_USE_JDK21: True
-SHELL: /bin/bash
-XILINX: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE
-XILINXD_LICENSE_FILE: 27007@licence-01.imta.fr
-XILINX_DSP: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE
-XILINX_HLS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis_HLS/2024.1
-XILINX_PLANAHEAD: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
-XILINX_SDK: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1
-XILINX_VITIS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1
-XILINX_VIVADO: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
-XILINX_VIVADO_HLS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1
-
-
-GUI allocated memory:	512 MB
-GUI max memory:		4,072 MB
-Engine allocated memory: 1,501 MB
-
-Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
-
-*/
-
-// TclEventType: START_GUI
-// Tcl Message: start_gui 
-// HMemoryUtils.trashcanNow. Engine heap size: 1,525 MB. GUI used memory: 72 MB. Current time: 5/9/25, 2:20:52 PM CEST
-// HMemoryUtils.trashcanNow. Engine heap size: 1,681 MB. GUI used memory: 70 MB. Current time: 5/9/25, 2:21:12 PM CEST
-selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 95, 17); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR)
-typeControlKey(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, "RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR", 'v'); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR)
-// Tcl (Dont Echo) Command: 'rdi::info_commands bd::match_path'
-setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "cd tp-filtre-etudiant-$USER/proj", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE)
-// Tcl Command: 'cd tp-filtre-etudiant-$USER/proj'
-// HMemoryUtils.trashcanNow. Engine heap size: 1,847 MB. GUI used memory: 71 MB. Current time: 5/9/25, 2:21:32 PM CEST
-// Tcl Message: cd tp-filtre-etudiant-$USER/proj 
-// Tcl Message: can't read "USER": no such variable 
-setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "ls", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE)
-// Tcl Command: 'ls'
-// Tcl Message: ls 
-// Tcl Message: docs proj README.md src vivado.jou vivado.log vivado_pid88932.str 
-// Tcl (Dont Echo) Command: 'rdi::info_commands {cd*}'
-setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "cd proj", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE)
-// Tcl Command: 'cd proj'
-// Tcl Message: cd proj 
-setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "ls", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE)
-// Tcl Command: 'ls'
-// Tcl Message: ls 
-// Tcl Message: cleanup.cmd cleanup.sh create_project.tcl 
-// [GUI Memory]: 99 MB (+100813kb) [00:01:38]
-// [Engine Memory]: 1,858 MB (+1796753kb) [00:01:38]
-setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, (String) null); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE)
-setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, (String) null); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE)
-// Tcl (Dont Echo) Command: 'rdi::info_commands bd::match_path'
-setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./create_project.tcl", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE)
-// Tcl Command: 'source ./create_project.tcl'
-// TclEventType: DEBUG_PROBE_SET_CHANGE
-// TclEventType: FLOW_ADDED
-// Tcl Message: source ./create_project.tcl 
-// Tcl Message: # if {[info exists ::create_path]} { # 	set dest_dir $::create_path # } else { # 	set dest_dir [pwd] # } # puts "INFO: Creating new project in $dest_dir" 
-// Tcl Message: INFO: Creating new project in /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj 
-// Tcl Message: # set proj_name "AudioProc" # set origin_dir ".." # set orig_proj_dir "[file normalize "$origin_dir/proj"]" # set src_dir $origin_dir/src # set repo_dir $origin_dir/repo # set part_num "xc7a200tsbg484-1" # create_project $proj_name $dest_dir 
-// TclEventType: FILE_SET_NEW
-// TclEventType: RUN_ADD
-// TclEventType: RUN_CURRENT
-// TclEventType: PROJECT_DASHBOARD_NEW
-// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
-// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
-// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
-// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
-// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
-// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
-// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
-// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
-// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
-// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
-// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
-// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
-// TclEventType: PROJECT_NEW
-// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified 
-// TclEventType: PROJECT_NEW
-// [GUI Memory]: 140 MB (+37802kb) [00:01:57]
-// [Engine Memory]: 1,966 MB (+15308kb) [00:01:58]
-// WARNING: HEventQueue.dispatchEvent() is taking  3632 ms.
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. 
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// TclEventType: RUN_MODIFY
-// HMemoryUtils.trashcanNow. Engine heap size: 2,051 MB. GUI used memory: 78 MB. Current time: 5/9/25, 2:22:07 PM CEST
-// TclEventType: RUN_MODIFY
-// TclEventType: CREATE_IP_CATALOG
-// TclEventType: PART_MODIFIED
-// TclEventType: PROJECT_CHANGE
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// TclEventType: FILE_SET_CHANGE
-// TclEventType: IP_LOCK_CHANGE
-// TclEventType: COMPOSITE_FILE_CHANGE
-// TclEventType: FILE_SET_CHANGE
-// TclEventType: RUN_OPTIONS_MODIFIED
-// TclEventType: RUN_MODIFY
-// TclEventType: RUN_OPTIONS_MODIFIED
-// TclEventType: RUN_MODIFY
-// Tcl Message: create_project: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 8148.336 ; gain = 197.250 ; free physical = 6602 ; free virtual = 16113 
-// TclEventType: RUN_OPTIONS_MODIFIED
-// TclEventType: RUN_MODIFY
-// [GUI Memory]: 154 MB (+7545kb) [00:02:02]
-// TclEventType: RUN_MODIFY
-// TclEventType: RUN_OPTIONS_MODIFIED
-// Tcl Message: # set obj [get_runs impl_1] # set_property "part" "$part_num" $obj # set_property "steps.write_bitstream.args.bin_file" "1" $obj # current_run -implementation [get_runs impl_1] 
-// Tcl Message: impl_1 
-// Elapsed time: 10 seconds
-dismissDialog("Tcl Command"); // bj (Tcl Command Progress)
-// TclEventType: FILE_SET_CHANGE
-// TclEventType: DG_GRAPH_STALE
-// TclEventType: FILE_SET_CHANGE
-// TclEventType: DG_GRAPH_STALE
-// Tcl Message: update_compile_order -fileset sources_1 
-setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, (String) null); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE)
-// TclEventType: DG_GRAPH_STALE
-// TclEventType: FILE_SET_CHANGE
-// [Engine Memory]: 2,083 MB (+19804kb) [00:02:11]
-// Tcl Message: update_compile_order -fileset sources_1 
-// Elapsed time: 21 seconds
-setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, (String) null); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE)
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
-expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, controlUnit.vhd]", 2, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, controlUnit.vhd]", 2, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
-// HMemoryUtils.trashcanNow. Engine heap size: 2,137 MB. GUI used memory: 80 MB. Current time: 5/9/25, 2:22:47 PM CEST
-selectCodeEditor("controlUnit.vhd", 96, 255); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 96, 255, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 219, 269); // ac (controlUnit.vhd)
-// Elapsed time: 12 seconds
-selectCodeEditor("controlUnit.vhd", 128, 358); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 241, 294); // ac (controlUnit.vhd)
-// Elapsed time: 56 seconds
-selectCodeEditor("controlUnit.vhd", 108, 235); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 108, 235, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 174, 246); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 12, 235); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 217, 268); // ac (controlUnit.vhd)
-// Elapsed time: 16 seconds
-selectCodeEditor("controlUnit.vhd", 76, 266); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 76, 266, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 91, 186); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 203, 233); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 75, 262); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 100, 353); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 244, 186); // ac (controlUnit.vhd)
-// Elapsed time: 14 seconds
-selectCodeEditor("controlUnit.vhd", 128, 143); // ac (controlUnit.vhd)
-// Elapsed time: 15 seconds
-typeControlKey(null, null, 'z');
-selectCodeEditor("controlUnit.vhd", 449, 272); // ac (controlUnit.vhd)
-// Elapsed time: 175 seconds
-selectCodeEditor("controlUnit.vhd", 186, 144); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 255, 219); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 105, 97); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 105, 97, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 167, 136); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 33, 126); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 199, 118); // ac (controlUnit.vhd)
-// Elapsed time: 13 seconds
-selectCodeEditor("controlUnit.vhd", 74, 161); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 73, 174); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 73, 174, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 114, 182); // ac (controlUnit.vhd)
-// Elapsed time: 32 seconds
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, operativeUnit.vhd]", 3, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, operativeUnit.vhd]", 3, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
-// [Engine Memory]: 2,189 MB (+2638kb) [00:08:55]
-// HMemoryUtils.trashcanNow. Engine heap size: 2,199 MB. GUI used memory: 80 MB. Current time: 5/9/25, 2:29:02 PM CEST
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-// Elapsed time: 84 seconds
-selectCodeEditor("controlUnit.vhd", 44, 233); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 257, 245); // ac (controlUnit.vhd)
-// Elapsed time: 66 seconds
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 5, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 5, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 5, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
-// HMemoryUtils.trashcanNow. Engine heap size: 2,230 MB. GUI used memory: 80 MB. Current time: 5/9/25, 2:31:47 PM CEST
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-// Elapsed time: 48 seconds
-selectCodeEditor("controlUnit.vhd", 157, 136); // ac (controlUnit.vhd)
-// Elapsed time: 91 seconds
-selectCodeEditor("controlUnit.vhd", 101, 51); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 101, 50, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 116, 222); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 116, 222, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-// Elapsed time: 13 seconds
-selectCodeEditor("controlUnit.vhd", 292, 311); // ac (controlUnit.vhd)
-// Elapsed time: 38 seconds
-selectCodeEditor("controlUnit.vhd", 124, 271); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 123, 271, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 197, 96); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 197, 96, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-// Elapsed time: 46 seconds
-selectCodeEditor("controlUnit.vhd", 232, 101); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 232, 101, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 92, 56); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 75, 128); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 48, 98); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 257, 110); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 258, 98); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 71, 126); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 71, 126, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 203, 132); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 203, 132, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 266, 175); // ac (controlUnit.vhd)
-// Elapsed time: 34 seconds
-selectCodeEditor("controlUnit.vhd", 117, 173); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 117, 173, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 200, 350); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 200, 350, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 271, 367); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 115, 310); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 115, 310, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 162, 343); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 115, 302); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 115, 302, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 217, 312); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 217, 312, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 95, 310); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 95, 310, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 81, 383); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 81, 383, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 240, 362); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 118, 380); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 126, 378); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-typeControlKey(null, null, 'z');
-typeControlKey(null, null, 'z');
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 81, 321); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 81, 321, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 83, 296); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 83, 296, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 114, 284); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 114, 284, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 83, 296); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 83, 296, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 291, 249); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 291, 249, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 76, 291); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 76, 291, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 132, 307); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 132, 307, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 421, 111); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 421, 111, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 74, 322); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 74, 322, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 102, 409); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 255, 96); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 46, 115); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 244, 152); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 26, 127); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 268, 159); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 46, 131); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 306, 149); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 254, 165); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 233, 142); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 233, 142, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 240, 168); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 265, 147); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 227, 146); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 227, 146, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 206, 278); // ac (controlUnit.vhd)
-// Elapsed time: 44 seconds
-selectCodeEditor("controlUnit.vhd", 206, 278, false, false, false, true, false); // ac (controlUnit.vhd) - Popup Trigger
-selectCodeEditor("controlUnit.vhd", 203, 269); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 43, 352); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 42, 249); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 53, 283); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 21, 279); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 54, 308); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 55, 316); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 58, 296); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 59, 263); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 218, 102); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 218, 102, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 60, 265); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 60, 264); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-// Elapsed time: 11 seconds
-selectCodeEditor("controlUnit.vhd", 67, 264); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 219, 266); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 213, 266); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 58, 291); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-// Elapsed time: 75 seconds
-selectCodeEditor("controlUnit.vhd", 101, 336); // ac (controlUnit.vhd)
-typeControlKey(null, null, 'z');
-typeControlKey(null, null, 'z');
-typeControlKey(null, null, 'z');
-selectCodeEditor("controlUnit.vhd", 406, 159); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 406, 159, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 75, 367); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 75, 367, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 125, 369); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 143, 371); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 52, 381); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 79, 367); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 79, 367, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 146, 386); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 146, 386, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 476, 154); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 476, 154, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 82, 394); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 82, 394, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 250, 377); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 42, 235); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 39, 247); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 124, 210); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 125, 209); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 145, 230); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 133, 211); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 133, 211, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 145, 206); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 145, 206, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 178, 217); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 130, 206); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 130, 206, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 211, 155); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 300, 343); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 379, 367); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 179, 374); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 199, 281); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 42, 236); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 47, 250); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 77, 266); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 193, 282); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 231, 265); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 222, 143); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 222, 143, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 237, 282); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 10, 236); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 301, 240); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 100, 220); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 100, 220, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 218, 236); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 130, 216); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 130, 216, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 115, 251); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 115, 251, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 61, 262); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 243, 242); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 118, 218); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 118, 218, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 96, 277); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 96, 277, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 60, 293); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 291, 266); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 184, 246); // ac (controlUnit.vhd)
-// Elapsed time: 116 seconds
-selectCodeEditor("controlUnit.vhd", 90, 145); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 90, 145, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-// Elapsed time: 69 seconds
-selectCodeEditor("controlUnit.vhd", 194, 342); // ac (controlUnit.vhd)
-// Elapsed time: 36 seconds
-selectCodeEditor("controlUnit.vhd", 19, 235); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 96, 227); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 104, 223); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 100, 233); // ac (controlUnit.vhd)
-// Elapsed time: 79 seconds
-selectCodeEditor("controlUnit.vhd", 135, 261); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 135, 261, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 71, 296); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 71, 296, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 128, 267); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 128, 267, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-// Elapsed time: 10 seconds
-selectCodeEditor("controlUnit.vhd", 75, 295); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 75, 295, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 118, 268); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 118, 268, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 177, 320); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 177, 320, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 143, 264); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 149, 267); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 142, 266); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 236, 283); // ac (controlUnit.vhd)
-// Elapsed time: 22 seconds
-selectCodeEditor("controlUnit.vhd", 129, 293); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 129, 293, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 117, 330); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 117, 330, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 116, 323); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 116, 323, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 118, 299); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 118, 299, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-// Elapsed time: 14 seconds
-selectCodeEditor("controlUnit.vhd", 45, 299); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 45, 319); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 58, 333); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 85, 321); // ac (controlUnit.vhd)
-// Elapsed time: 14 seconds
-selectCodeEditor("controlUnit.vhd", 270, 323); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 80, 322); // ac (controlUnit.vhd)
-// Elapsed time: 15 seconds
-selectCodeEditor("controlUnit.vhd", 158, 176); // ac (controlUnit.vhd)
-// Elapsed time: 31 seconds
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 197, 291); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-// Elapsed time: 13 seconds
-selectCodeEditor("controlUnit.vhd", 80, 367); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-// Elapsed time: 11 seconds
-selectCodeEditor("controlUnit.vhd", 338, 369); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 263, 385); // ac (controlUnit.vhd)
-// Elapsed time: 15 seconds
-selectCodeEditor("controlUnit.vhd", 99, 189); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 99, 189, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 215, 296); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 61, 277); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 59, 322); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 82, 324); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 230, 343); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 142, 268); // ac (controlUnit.vhd)
-// Elapsed time: 14 seconds
-selectCodeEditor("controlUnit.vhd", 256, 231); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 260, 252); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 283, 281); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 268, 321); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 283, 354); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 305, 306); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 191, 269); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 266, 247); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 291, 293); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 261, 353); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 246, 392); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 332, 157); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 295, 241); // ac (controlUnit.vhd)
-// Elapsed time: 33 seconds
-selectCodeEditor("controlUnit.vhd", 167, 400); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 178, 400); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 189, 401); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 187, 398); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 88, 335); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 189, 355); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 81, 339); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 55, 381); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 191, 400); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 173, 383); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 56, 429); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 58, 389); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 56, 428); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 180, 400); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 61, 385); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 58, 427); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 42, 201); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 308, 317); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 267, 114); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 282, 142); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 163, 189); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 259, 280); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 112, 294); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 260, 311); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 291, 363); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 64, 367); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 157, 356); // ac (controlUnit.vhd)
-// Elapsed time: 10 seconds
-selectCodeEditor("controlUnit.vhd", 205, 325); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 205, 325, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 114, 355); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 114, 355, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-// Elapsed time: 32 seconds
-selectCodeEditor("controlUnit.vhd", 301, 231); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 269, 265); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 244, 311); // ac (controlUnit.vhd)
-// Elapsed time: 25 seconds
-selectCodeEditor("controlUnit.vhd", 129, 233); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 129, 233, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 100, 123); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 100, 123, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 118, 112); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 118, 112, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 211, 267); // ac (controlUnit.vhd)
-// Elapsed time: 27 seconds
-selectCodeEditor("controlUnit.vhd", 295, 341); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 154, 379); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 245, 264); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 239, 258); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 309, 396); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 83, 350); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 41, 353); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 49, 275); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 68, 292); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 138, 324); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 213, 280); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 130, 128); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 130, 128, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 248, 307); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 248, 307, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 215, 344); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 85, 289); // ac (controlUnit.vhd)
-// Elapsed time: 209 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-// Elapsed time: 10 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_firUnit.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-// Elapsed time: 13 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-// HMemoryUtils.trashcanNow. Engine heap size: 2,233 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:01:47 PM CEST
-// Elapsed time: 287 seconds
-selectCodeEditor("controlUnit.vhd", 121, 366); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 121, 366, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 226, 399); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 226, 399, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-// Elapsed time: 14 seconds
-selectCodeEditor("controlUnit.vhd", 286, 193); // ac (controlUnit.vhd)
-// Elapsed time: 58 seconds
-selectCodeEditor("controlUnit.vhd", 246, 188); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 87, 293); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 321, 307); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 376, 268); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 355, 320); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 17, 306); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 377, 400); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 52, 308); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 52, 308, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 254, 307); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 254, 307, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 275, 311); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 260, 310); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 254, 310); // ac (controlUnit.vhd)
-// Elapsed time: 19 seconds
-selectCodeEditor("controlUnit.vhd", 265, 315); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 274, 313); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 274, 313, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-// Elapsed time: 21 seconds
-selectCodeEditor("controlUnit.vhd", 58, 330); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 16, 311); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 225, 325); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 221, 307); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 256, 311); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 256, 311, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-// Elapsed time: 35 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-// Elapsed time: 126 seconds
-selectCodeEditor("controlUnit.vhd", 84, 159); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 268, 128); // ac (controlUnit.vhd)
-// Elapsed time: 141 seconds
-selectCodeEditor("controlUnit.vhd", 99, 338); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 99, 338, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 219, 312); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 126, 275); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 126, 275, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-// Elapsed time: 10 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-// Elapsed time: 23 seconds
-selectCodeEditor("controlUnit.vhd", 121, 116); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 121, 116, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 278, 277); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 278, 277, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 454, 231); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 257, 281); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 254, 279); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 278, 293); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 278, 293, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 269, 310); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 269, 310, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 328, 145); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 328, 145, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 399, 307); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 399, 307, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 260, 305); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 306, 318); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 255, 309); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 272, 323); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 272, 323, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 394, 297); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 394, 297, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 412, 324); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 412, 324, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 485, 309); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 284, 338); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 284, 338, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 283, 354); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 283, 354, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 400, 353); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 400, 353, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-// Elapsed time: 49 seconds
-selectCodeEditor("controlUnit.vhd", 277, 345); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 277, 345, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 270, 371); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 278, 372); // ac (controlUnit.vhd)
-// Elapsed time: 92 seconds
-selectCodeEditor("controlUnit.vhd", 132, 250); // ac (controlUnit.vhd)
-// Elapsed time: 60 seconds
-selectCodeEditor("controlUnit.vhd", 25, 198); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 157, 229); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 18, 190); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 18, 356); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 171, 301); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 114, 298); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 204, 277); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 112, 116); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 112, 116, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 100, 81); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 324, 84); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 140, 281); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 140, 281, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 324, 83); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 361, 119); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 97, 115); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 97, 115, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 347, 133); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 411, 39); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 411, 39, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 348, 212); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 386, 28); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 386, 29, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 391, 37); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 391, 37, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 368, 199); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 82, 42); // ac (controlUnit.vhd)
-// Elapsed time: 12 seconds
-selectCodeEditor("controlUnit.vhd", 302, 314); // ac (controlUnit.vhd)
-// Elapsed time: 240 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectTab((HResource) null, "PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 2, true, false); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) - Popup Trigger
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, operativeUnit.vhd]", 3, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, operativeUnit.vhd]", 3, false, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger
-selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With)
-selectMenuItem(PAResourceCommand.PACommandNames_SRC_DISABLE, "Disable File"); // ap (PAResourceCommand.PACommandNames_SRC_DISABLE, src_disable_menu)
-// Run Command: PAResourceCommand.PACommandNames_SRC_DISABLE
-// TclEventType: DG_GRAPH_STALE
-// TclEventType: FILE_SET_CHANGE
-// Tcl Message: set_property is_enabled false [get_files  /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd] 
-// Elapsed Time for: 'L.f': 01h:00m:54s
-// HMemoryUtils.trashcanNow. Engine heap size: 2,262 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:23:02 PM CEST
-// TclEventType: DG_GRAPH_STALE
-// TclEventType: FILE_SET_CHANGE
-// Elapsed Time for: 'L.f': 01h:00m:58s
-// Tcl Message: update_compile_order -fileset sources_1 
-// Elapsed Time for: 'L.f': 01h:01m:00s
-// Elapsed Time for: 'L.f': 01h:01m:04s
-// Elapsed time: 19 seconds
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 4, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 4, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 5, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 5, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node
-selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
-selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy)
-selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu)
-// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
-// TclEventType: FILE_SET_CHANGE
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// TclEventType: DG_GRAPH_STALE
-// Tcl Message: set_property top firUnit [current_fileset] 
-// TclEventType: DG_GRAPH_STALE
-// Elapsed Time for: 'L.f': 01h:01m:18s
-// [Engine Memory]: 2,306 MB (+7326kb) [01:03:18]
-// TclEventType: DG_GRAPH_STALE
-// TclEventType: FILE_SET_CHANGE
-// Elapsed Time for: 'L.f': 01h:01m:20s
-// Tcl Message: update_compile_order -fileset sources_1 
-// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:23:27 PM CEST
-// Elapsed Time for: 'L.f': 01h:01m:22s
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 4, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
-// Elapsed Time for: 'L.f': 01h:01m:24s
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 4, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node
-selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With)
-selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
-selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy)
-selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu)
-// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
-// TclEventType: FILE_SET_CHANGE
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// TclEventType: DG_GRAPH_STALE
-// Tcl Message: set_property top tb_firUnit [current_fileset] 
-// TclEventType: DG_GRAPH_STALE
-// Elapsed Time for: 'L.f': 01h:01m:26s
-// TclEventType: DG_GRAPH_STALE
-// TclEventType: FILE_SET_CHANGE
-// Elapsed Time for: 'L.f': 01h:01m:28s
-// Tcl Message: update_compile_order -fileset sources_1 
-// Elapsed Time for: 'L.f': 01h:01m:30s
-// Elapsed Time for: 'L.f': 01h:01m:34s
-expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Disabled Sources]", 6); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Disabled Sources]", 6); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Disabled Sources]", 6); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
-selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
-selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save", "Save Project"); // a (PAResourceQtoS.SaveProjectUtils_SAVE)
-// TclEventType: DG_GRAPH_STALE
-dismissDialog("Save Project"); // Z.d (dialog0)
-// TclEventType: LAUNCH_SIM
-// TclEventType: DG_GRAPH_STALE
-// TclEventType: FILE_SET_CHANGE
-// TclEventType: LAUNCH_SIM
-// TclEventType: FILE_SET_CHANGE
-// Tcl Message: launch_simulation 
-// Tcl Message: Command: launch_simulation  
-// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'audioProc' 
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// Tcl Message: INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' 
-// Tcl Message: INFO: [USF-XSim-7] Finding pre-compiled libraries... INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-54] Inspecting design source files for 'audioProc' in fileset 'sim_1'... 
-// TclEventType: LOAD_FEATURE
-// TclEventType: PACKAGER_MESSAGE_RESET
-// TclEventType: PACKAGER_UNLOAD_CORE
-// Tcl Message: INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-98] Fetching design files from 'sim_1'... 
-// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design 
-// Tcl Message: INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xvlog --incr --relax -prj audioProc_vlog.prj 
-// Tcl Message: INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" into library xil_defaultlib INFO: [VRFC 10-311] analyzing module clk_wiz_0_clk_wiz 
-// TclEventType: LAUNCH_SIM_LOG
-// Tcl Message: execute_script: Time (s): cpu = 00:00:03 ; elapsed = 00:00:12 . Memory (MB): peak = 8459.359 ; gain = 0.000 ; free physical = 5850 ; free virtual = 15540 
-// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '12' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log 
-// Tcl Message: Vivado Simulator v2024.1 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log  Using 8 slave threads. Starting static elaboration 
-// Tcl Message: Starting simulation data flow analysis Completed simulation data flow analysis Time Resolution for simulation is 1ps Compiling package std.standard Compiling package std.textio Compiling package ieee.std_logic_1164 Compiling package ieee.std_logic_arith Compiling package ieee.std_logic_unsigned Compiling package ieee.math_real Compiling package ieee.numeric_std Compiling module unisims_ver.IBUF Compiling module unisims_ver.MMCME2_ADV(CLKFBOUT_MULT_F=6.0,C... 
-// HMemoryUtils.trashcanNow. Engine heap size: 2,349 MB. GUI used memory: 83 MB. Current time: 5/9/25, 3:24:26 PM CEST
-// TclEventType: LAUNCH_SIM
-// Tcl Message: Built simulation snapshot audioProc_behav 
-// Tcl Message: execute_script: Time (s): cpu = 00:00:08 ; elapsed = 00:00:13 . Memory (MB): peak = 8459.359 ; gain = 0.000 ; free physical = 5732 ; free virtual = 15477 
-// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '12' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
-// Tcl Message:    with args "audioProc_behav -key {Behavioral:sim_1:Functional:audioProc} -tclbatch {audioProc.tcl} -log {simulate.log}" 
-// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
-// TclEventType: LOAD_FEATURE
-// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// Elapsed time: 34 seconds
-closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary)
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: WAVEFORM_OPEN_WCFG
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// Tcl Message: Time resolution is 1 ps 
-// TclEventType: WAVEFORM_OPEN_WCFG
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MODEL_EVENT
-// Tcl Message: source audioProc.tcl 
-// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } 
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// HMemoryUtils.trashcanNow. Engine heap size: 2,432 MB. GUI used memory: 90 MB. Current time: 5/9/25, 3:24:31 PM CEST
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// [Engine Memory]: 2,432 MB (+11766kb) [01:04:25]
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: # run 1000ns 
-// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'audioProc_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
-// Tcl Message: launch_simulation: Time (s): cpu = 00:00:21 ; elapsed = 00:00:36 . Memory (MB): peak = 8549.523 ; gain = 99.164 ; free physical = 5741 ; free virtual = 15522 
-// Elapsed Time for: 'h': 42s
-// 'd' command handler elapsed time: 42 seconds
-dismissDialog("Run Simulation"); // e (Run Simulation Progress)
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// Elapsed Time for: 'L.f': 01h:02m:28s
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:24:43 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:24:44 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:24:45 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 89 MB. Current time: 5/9/25, 3:24:48 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,457 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:24:57 PM CEST
-// Elapsed time: 25 seconds
-maximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // L (PAResourceOtoP.PAViews_BASE_WORKSPACE, PlanAheadTabBaseWorkspace)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// [Engine Memory]: 3,457 MB (+946876kb) [01:04:51]
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:04 PM CEST
-selectMenu("Waveform Style"); // am (Waveform Style)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:09 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:09 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:10 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:10 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:12 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:12 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:14 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:15 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// Elapsed time: 27 seconds
-expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, outputRightSample[23:0]]", 21); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, outputRightSample[23:0]]", 21); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:34 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, outputLeftSample[23:0]]", 20); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, outputLeftSample[23:0]]", 20); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, inputRightSample[23:0]]", 19); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:36 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, inputRightSample[23:0]]", 19); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, inputLeftSample[23:0]]", 18); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, inputLeftSample[23:0]]", 18); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// HMemoryUtils.trashcanNow. Engine heap size: 2,432 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:37 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,432 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:43 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,432 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:43 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
-unMinimizeFrame(PAResourceOtoP.PAViews_TCL_CONSOLE, "Tcl Console"); // R (PAResourceOtoP.PAViews_TCL_CONSOLE, Tcl Console)
-// HMemoryUtils.trashcanNow. Engine heap size: 3,476 MB. GUI used memory: 90 MB. Current time: 5/9/25, 3:25:49 PM CEST
-// Elapsed time: 31 seconds
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH
-// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: SIMULATION_MODEL_RELOADED
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: LAUNCH_SIM
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// TclEventType: PACKAGER_MESSAGE_RESET
-// TclEventType: PACKAGER_UNLOAD_CORE
-// Tcl Message: relaunch_sim 
-// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral 
-// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xvlog --incr --relax -prj audioProc_vlog.prj 
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// Tcl Message: xvhdl --incr --relax -prj audioProc_vhdl.prj 
-// TclEventType: LAUNCH_SIM
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. 
-// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds 
-// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral 
-// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'audioProc' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log 
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds 
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: SIMULATION_MODEL_RELOADED
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 90 MB. Current time: 5/9/25, 3:26:27 PM CEST
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 8549.523 ; gain = 0.000 ; free physical = 5660 ; free virtual = 15430 
-// 'a' command handler elapsed time: 7 seconds
-dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress)
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 90 MB. Current time: 5/9/25, 3:26:32 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 78 MB. Current time: 5/9/25, 3:26:38 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 77 MB. Current time: 5/9/25, 3:26:47 PM CEST
-// Elapsed time: 22 seconds
-expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, out_audioR[23:0]]", 6); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 77 MB. Current time: 5/9/25, 3:26:51 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 72, 269); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-/********** leftMouseClick (827, 531, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 77 MB. Current time: 5/9/25, 3:26:53 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 3,474 MB. GUI used memory: 77 MB. Current time: 5/9/25, 3:26:55 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 77 MB. Current time: 5/9/25, 3:26:58 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 76 MB. Current time: 5/9/25, 3:26:58 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, out_audioR[23:0]]", 9); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE)
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 77 MB. Current time: 5/9/25, 3:27:49 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 73 MB. Current time: 5/9/25, 3:27:51 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 73 MB. Current time: 5/9/25, 3:27:51 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_CLOSE_WCFG
-// Elapsed time: 72 seconds
-closeView(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 1"); // t (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-unMinimizeFrame(PAResourceOtoP.PAViews_SOURCES, "Sources"); // R (PAResourceOtoP.PAViews_SOURCES, Sources)
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_firUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
-selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
-selectButton("OptionPane.button", "Yes", "Simulation is Already Running"); // JButton (OptionPane.button)
-// TclEventType: SIMULATION_CLOSE_SIMULATION
-// Tcl Message: close_sim 
-// Tcl Message: INFO: [Simtcl 6-16] Simulation closed 
-dismissDialog("Close"); // bj (Close Progress)
-// TclEventType: LAUNCH_SIM
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// TclEventType: PACKAGER_MESSAGE_RESET
-// TclEventType: PACKAGER_UNLOAD_CORE
-// Tcl Message: launch_simulation 
-// Tcl Message: Command: launch_simulation  
-// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xvlog --incr --relax -prj audioProc_vlog.prj 
-// Tcl Message: xvhdl --incr --relax -prj audioProc_vhdl.prj 
-// TclEventType: LAUNCH_SIM_LOG
-// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. 
-// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log 
-// TclEventType: LAUNCH_SIM
-// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
-// Tcl Message:    with args "audioProc_behav -key {Behavioral:sim_1:Functional:audioProc} -tclbatch {audioProc.tcl} -log {simulate.log}" 
-// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
-// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary)
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: WAVEFORM_OPEN_WCFG
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: WAVEFORM_OPEN_WCFG
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// HMemoryUtils.trashcanNow. Engine heap size: 2,441 MB. GUI used memory: 75 MB. Current time: 5/9/25, 3:28:42 PM CEST
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// Tcl Message: Time resolution is 1 ps 
-// Tcl Message: source audioProc.tcl 
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } 
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: # run 1000ns 
-// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'audioProc_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
-// Tcl Message: launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 8556.098 ; gain = 6.574 ; free physical = 5679 ; free virtual = 15450 
-// 'd' command handler elapsed time: 10 seconds
-dismissDialog("Run Simulation"); // e (Run Simulation Progress)
-// HMemoryUtils.trashcanNow. Engine heap size: 3,485 MB. GUI used memory: 76 MB. Current time: 5/9/25, 3:28:44 PM CEST
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// Elapsed time: 11 seconds
-selectTab((HResource) null, (HResource) null, "Sources", 1); // aa
-expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 7); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 8); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 10, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 10, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node
-selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update)
-selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy)
-selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu)
-// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
-// TclEventType: FILE_SET_CHANGE
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// Tcl Message: set_property top tb_firUnit [get_filesets sim_1] 
-// TclEventType: FILE_SET_CHANGE
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// TclEventType: DG_GRAPH_STALE
-// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] 
-// TclEventType: DG_GRAPH_STALE
-// Elapsed Time for: 'L.f': 01h:07m:00s
-// Elapsed Time for: 'L.f': 01h:07m:02s
-selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
-selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
-// TclEventType: LAUNCH_SIM
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// TclEventType: PACKAGER_MESSAGE_RESET
-// TclEventType: PACKAGER_UNLOAD_CORE
-// Tcl Message: launch_simulation 
-// Tcl Message: Command: launch_simulation  
-// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj 
-// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 
-// TclEventType: LAUNCH_SIM_LOG
-// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. 
-// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log 
-// TclEventType: LAUNCH_SIM
-// Tcl Message: Built simulation snapshot tb_firUnit_behav 
-// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
-// Tcl Message:    with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" 
-// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
-// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: WAVEFORM_OPEN_WCFG
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// HMemoryUtils.trashcanNow. Engine heap size: 2,494 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:19 PM CEST
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: Time resolution is 1 ps 
-// Tcl Message: source tb_firUnit.tcl 
-// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } # run 1000ns 
-// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
-// Tcl Message: launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 8615.930 ; gain = 45.684 ; free physical = 5636 ; free virtual = 15426 
-// 'd' command handler elapsed time: 9 seconds
-dismissDialog("Run Simulation"); // e (Run Simulation Progress)
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:25 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:25 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:25 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_filteredSample[15:0]]", 4, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectMenu("Waveform Style"); // am (Waveform Style)
-selectMenu("Signal Color"); // am (Signal Color)
-selectMenu("Radix"); // am (Radix)
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-selectMenu("Radix"); // am (Radix)
-selectMenuItem((HResource) null, "Signed Decimal"); // ad (Signed Decimal)
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:37 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:39 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:39 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,529 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:39 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:40 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,529 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,529 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,879 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,529 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:41 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,401 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:41 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,618 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:41 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:41 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,529 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:41 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,401 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:41 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,140 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:41 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 221, 144); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-/********** leftMouseClick (1500, 432, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:45 PM CEST
-maximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // L (PAResourceOtoP.PAViews_BASE_WORKSPACE, PlanAheadTabBaseWorkspace)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:29:50 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:29:51 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:29:52 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:29:53 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:29:56 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:29:58 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:29:59 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:00 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:30:02 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:03 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:30:03 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:04 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:04 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:30:05 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 3,529 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:14 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// Elapsed time: 30 seconds
-selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:19 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:21 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:24 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectCodeEditor("controlUnit.vhd", 384, 156); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 220, 145); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 407, 568); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 407, 568, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 236, 284); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 236, 284, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 409, 566); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 409, 566, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 722, 522); // ac (controlUnit.vhd)
-// TclEventType: DG_GRAPH_STALE
-// TclEventType: FILE_SET_CHANGE
-// [GUI Memory]: 162 MB (+675kb) [01:10:36]
-// Elapsed Time for: 'L.f': 01h:08m:38s
-selectCodeEditor("controlUnit.vhd", 94, 266); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 94, 266, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-// Elapsed Time for: 'L.f': 01h:08m:42s
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 608, 494); // ac (controlUnit.vhd)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH
-// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: SIMULATION_MODEL_RELOADED
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: LAUNCH_SIM
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// Tcl Message: relaunch_sim 
-// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral 
-// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj 
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 
-// TclEventType: LAUNCH_SIM
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'controlUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'firUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. 
-// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds 
-// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral 
-// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log 
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: Built simulation snapshot tb_firUnit_behav 
-// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds 
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: SIMULATION_MODEL_RELOADED
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// HMemoryUtils.trashcanNow. Engine heap size: 2,511 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:31:05 PM CEST
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5502 ; free virtual = 15300 
-// 'a' command handler elapsed time: 9 seconds
-dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress)
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_CLOSE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,556 MB. GUI used memory: 86 MB. Current time: 5/9/25, 3:31:12 PM CEST
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectButton(RDIResource.BaseDialog_OK, "OK", "Confirm Close"); // a (RDIResource.BaseDialog_OK)
-dismissDialog("Confirm Close"); // t (dialog1)
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: WAVEFORM_CLOSE_WCFG
-selectButton("OptionPane.button", "Discard", "Save Waveform Configuration"); // JButton (OptionPane.button)
-// TclEventType: SIMULATION_CLOSE_SIMULATION
-// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 83 MB. Current time: 5/9/25, 3:31:17 PM CEST
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// Tcl Message: close_sim 
-// Tcl Message: INFO: [Simtcl 6-16] Simulation closed 
-dismissDialog("Close"); // bj (Close Progress)
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,467 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:31:18 PM CEST
-selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // j (PAResourceTtoZ.TaskBanner_CLOSE)
-closeTask("Simulation", "Behavioral Simulation - Functional - sim_1 - audioProc", "DesignTask.SIMULATION");
-// TclEventType: WAVEFORM_CLOSE_WCFG
-// TclEventType: SIMULATION_CLOSE_SIMULATION
-// Tcl Message: close_sim 
-// Tcl Message: INFO: [Simtcl 6-16] Simulation closed 
-dismissDialog("Close"); // bj (Close Progress)
-selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
-selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
-// TclEventType: LAUNCH_SIM
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// TclEventType: PACKAGER_MESSAGE_RESET
-// TclEventType: PACKAGER_UNLOAD_CORE
-// Tcl Message: launch_simulation 
-// Tcl Message: Command: launch_simulation  
-// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj 
-// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 
-// TclEventType: LAUNCH_SIM_LOG
-// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. 
-// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log 
-// TclEventType: LAUNCH_SIM
-// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
-// Tcl Message:    with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" 
-// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
-// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary)
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: WAVEFORM_OPEN_WCFG
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: WAVEFORM_OPEN_WCFG
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// HMemoryUtils.trashcanNow. Engine heap size: 2,469 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:31:33 PM CEST
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: Time resolution is 1 ps 
-// Tcl Message: source tb_firUnit.tcl 
-// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } # run 1000ns 
-// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
-// Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5547 ; free virtual = 15350 
-// 'd' command handler elapsed time: 6 seconds
-dismissDialog("Run Simulation"); // e (Run Simulation Progress)
-// HMemoryUtils.trashcanNow. Engine heap size: 2,469 MB. GUI used memory: 83 MB. Current time: 5/9/25, 3:31:34 PM CEST
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-maximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // L (PAResourceOtoP.PAViews_BASE_WORKSPACE, PlanAheadTabBaseWorkspace)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,469 MB. GUI used memory: 86 MB. Current time: 5/9/25, 3:31:37 PM CEST
-selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_filteredSample[15:0]]", 4, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node
-selectMenu("Name"); // am (Name)
-selectMenu("Waveform Style"); // am (Waveform Style)
-selectMenu("Signal Color"); // am (Signal Color)
-selectMenu("Radix"); // am (Radix)
-selectMenuItem((HResource) null, "Signed Decimal"); // ad (Signed Decimal)
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// HMemoryUtils.trashcanNow. Engine heap size: 2,459 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:31:44 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 153, 477); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-/********** leftMouseClick (908, 740, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectCodeEditor("controlUnit.vhd", 435, 560); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 435, 560, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 643, 536); // ac (controlUnit.vhd)
-// Elapsed time: 53 seconds
-selectCodeEditor("controlUnit.vhd", 77, 173); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 76, 295); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 85, 415); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 342, 371); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 178, 416); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 178, 416, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-// Elapsed time: 11 seconds
-selectCodeEditor("controlUnit.vhd", 248, 174); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-// Elapsed time: 10 seconds
-selectCodeEditor("controlUnit.vhd", 246, 411); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 163, 292); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 163, 292, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 232, 292); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 248, 414); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 252, 414); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 403, 369); // ac (controlUnit.vhd)
-// Elapsed time: 15 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-unMinimizeFrame(PAResourceOtoP.PAViews_SCOPE, "Scopes"); // R (PAResourceOtoP.PAViews_SCOPE, Scope)
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,460 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:34:09 PM CEST
-selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "tb_firUnit ; tb_firUnit(archi_tb_firUnit) ; VHDL Entity", 0, "tb_firUnit(archi_tb_firUnit)", 1, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node
-// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "firUnit_1 ; firUnit(archi_firUnit) ; VHDL Entity", 1, "firUnit(archi_firUnit)", 1, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node
-// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "firUnit_1 ; firUnit(archi_firUnit) ; VHDL Entity", 1, "firUnit_1", 0, true, false, false, false, false, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Double Click - Node
-// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_OPEN_SOURCE
-selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "controlUnit_1 ; controlUnit(archi_operativeUnit) ; VHDL Entity", 2, "controlUnit_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE)
-// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-unMinimizeFrame(PAResourceOtoP.PAViews_OBJECTS, "Objects"); // R (PAResourceOtoP.PAViews_OBJECTS, Objects)
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "SR_currentState ; PROCESSING_LOOP ; Enumeration", 11, "SR_currentState", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE)
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH
-selectButton(RDIResource.BaseDialog_OK, "OK", "Save Simulation Sources"); // a (RDIResource.BaseDialog_OK)
-// TclEventType: DG_GRAPH_STALE
-dismissDialog("Save Simulation Sources"); // c (dialog2)
-// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
-// TclEventType: DG_GRAPH_STALE
-// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
-// TclEventType: FILE_SET_CHANGE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: SIMULATION_MODEL_RELOADED
-// HMemoryUtils.trashcanNow. Engine heap size: 2,490 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:34:41 PM CEST
-// TclEventType: SIMULATION_MODEL_RELOADED
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: LAUNCH_SIM
-// Tcl Message: relaunch_sim 
-// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral 
-// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' 
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// Tcl Message: INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj 
-// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 
-// TclEventType: LAUNCH_SIM
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'controlUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'firUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. 
-// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds 
-// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral 
-// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design 
-// Tcl Message: INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log 
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: Built simulation snapshot tb_firUnit_behav 
-// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds 
-// Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5602 ; free virtual = 15329 
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: SIMULATION_MODEL_RELOADED
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5618 ; free virtual = 15351 
-// 'a' command handler elapsed time: 14 seconds
-// Elapsed time: 12 seconds
-dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress)
-// Elapsed Time for: 'L.f': 01h:12m:48s
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// Elapsed time: 11 seconds
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 176, 182); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-/********** leftMouseClick (1485, 459, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:35:05 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:35:09 PM CEST
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:35:12 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:35:13 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:35:16 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:35:18 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:35:18 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:35:19 PM CEST
-// Elapsed time: 18 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-// Elapsed time: 10 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:35:42 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// Elapsed time: 14 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectCodeEditor("controlUnit.vhd", 113, 294); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 210, 390); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 210, 390, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 208, 382); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 208, 382, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 222, 445); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 222, 503); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 222, 503, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 132, 548); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 132, 548, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-// Elapsed time: 22 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 20, 182); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-/********** leftMouseClick (1341, 473, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:45 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:45 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:46 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:36:46 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:49 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:52 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:55 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:57 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:58 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:36:58 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:59 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:37:00 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:01 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:37:02 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:02 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:02 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:02 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:03 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:03 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// Elapsed time: 23 seconds
-selectMenu("Waveform Style"); // am (Waveform Style)
-selectMenu("Signal Color"); // am (Signal Color)
-selectMenu("Radix"); // am (Radix)
-selectMenu("Signal Color"); // am (Signal Color)
-selectMenu("Waveform Style"); // am (Waveform Style)
-selectMenu("Signal Color"); // am (Signal Color)
-selectMenu("Waveform Style"); // am (Waveform Style)
-selectMenu("Signal Color"); // am (Signal Color)
-selectMenu("Radix"); // am (Radix)
-selectMenu("Radix"); // am (Radix)
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-selectMenu("Radix"); // am (Radix)
-selectMenuItem((HResource) null, "Signed Decimal"); // ad (Signed Decimal)
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:37:18 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:20 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:21 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:21 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:22 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// Elapsed time: 12 seconds
-selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:37:31 PM CEST
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:37:34 PM CEST
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:37:36 PM CEST
-// Elapsed time: 12 seconds
-selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 18, 198); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-/********** leftMouseClick (929, 476, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:37:42 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:37:43 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:37:44 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 89 MB. Current time: 5/9/25, 3:37:48 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 89 MB. Current time: 5/9/25, 3:37:49 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:37:50 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:37:51 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:37:51 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:37:52 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:37:53 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:37:59 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:38:00 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// Elapsed time: 52 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_firUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectCodeEditor("controlUnit.vhd", 501, 310); // ac (controlUnit.vhd)
-// Elapsed time: 43 seconds
-selectCodeEditor("controlUnit.vhd", 252, 185); // ac (controlUnit.vhd)
-// Elapsed time: 46 seconds
-selectCodeEditor("controlUnit.vhd", 288, 430); // ac (controlUnit.vhd)
-// Elapsed time: 11 seconds
-selectCodeEditor("controlUnit.vhd", 295, 428); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 102, 462); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 134, 400); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 134, 400, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 246, 462); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 246, 462, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 63, 443); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 265, 308); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 144, 277); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 144, 277, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 253, 341); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 253, 341, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 320, 337); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 249, 188); // ac (controlUnit.vhd)
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 134, 157); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 134, 157, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 235, 213); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 235, 213, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 350, 249); // ac (controlUnit.vhd)
-// TclEventType: DG_GRAPH_STALE
-// TclEventType: FILE_SET_CHANGE
-// Elapsed Time for: 'L.f': 01h:18m:46s
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "firUnit.vhd", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH
-// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: SIMULATION_MODEL_RELOADED
-// HMemoryUtils.trashcanNow. Engine heap size: 2,490 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:40:56 PM CEST
-// TclEventType: SIMULATION_MODEL_RELOADED
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: LAUNCH_SIM
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// Tcl Message: relaunch_sim 
-// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral 
-// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj 
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 
-// TclEventType: LAUNCH_SIM
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'controlUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'firUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. 
-// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds 
-// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral 
-// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log 
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: Built simulation snapshot tb_firUnit_behav 
-// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds 
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: SIMULATION_MODEL_RELOADED
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5583 ; free virtual = 15336 
-// 'a' command handler elapsed time: 8 seconds
-dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress)
-// Elapsed Time for: 'L.f': 01h:19m:00s
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// Elapsed time: 12 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectCodeEditor("controlUnit.vhd", 451, 286); // ac (controlUnit.vhd)
-// Elapsed time: 23 seconds
-selectCodeEditor("controlUnit.vhd", 316, 475); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 316, 474, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 294, 346); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 148, 307); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 148, 307, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 330, 480); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 330, 480, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 334, 473); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 334, 473, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 333, 507); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 333, 507, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 334, 524); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 334, 524, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 336, 537); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 336, 537, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 338, 557); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 338, 557, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 337, 550); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 337, 550, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 400, 390); // ac (controlUnit.vhd)
-// TclEventType: DG_GRAPH_STALE
-// TclEventType: FILE_SET_CHANGE
-// Elapsed Time for: 'L.f': 01h:19m:44s
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH
-// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: SIMULATION_MODEL_RELOADED
-// HMemoryUtils.trashcanNow. Engine heap size: 2,520 MB. GUI used memory: 89 MB. Current time: 5/9/25, 3:41:52 PM CEST
-// TclEventType: SIMULATION_MODEL_RELOADED
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: LAUNCH_SIM
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// Tcl Message: relaunch_sim 
-// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral 
-// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj 
-// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: LAUNCH_SIM
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'controlUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'firUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. 
-// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds 
-// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral 
-// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log 
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: Built simulation snapshot tb_firUnit_behav 
-// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds 
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: SIMULATION_MODEL_RELOADED
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 8649.246 ; gain = 0.000 ; free physical = 5672 ; free virtual = 15422 
-// 'a' command handler elapsed time: 9 seconds
-dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress)
-// Elapsed Time for: 'L.f': 01h:19m:56s
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // j (PAResourceTtoZ.TaskBanner_CLOSE)
-closeTask("Simulation", "Behavioral Simulation - Functional - sim_1 - tb_firUnit", "DesignTask.SIMULATION");
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: WAVEFORM_CLOSE_WCFG
-selectButton("OptionPane.button", "Discard", "Save Waveform Configuration"); // JButton (OptionPane.button)
-// TclEventType: SIMULATION_CLOSE_SIMULATION
-// Tcl Message: close_sim 
-// Tcl Message: INFO: [Simtcl 6-16] Simulation closed 
-dismissDialog("Close"); // bj (Close Progress)
-selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
-selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
-// TclEventType: LAUNCH_SIM
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// TclEventType: PACKAGER_MESSAGE_RESET
-// TclEventType: PACKAGER_UNLOAD_CORE
-// Tcl Message: launch_simulation 
-// Tcl Message: Command: launch_simulation  
-// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj 
-// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 
-// TclEventType: LAUNCH_SIM_LOG
-// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. 
-// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log 
-// TclEventType: LAUNCH_SIM
-// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim 
-// Tcl Message:    with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" 
-// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature 
-// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary)
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: WAVEFORM_OPEN_WCFG
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: WAVEFORM_OPEN_WCFG
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// HMemoryUtils.trashcanNow. Engine heap size: 2,549 MB. GUI used memory: 89 MB. Current time: 5/9/25, 3:42:21 PM CEST
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: Time resolution is 1 ps 
-// Tcl Message: source tb_firUnit.tcl 
-// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { #   if { [llength [get_objects]] > 0} { #     add_wave / #     set_property needs_save false [current_wave_config] #   } else { #      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." #   } # } # run 1000ns 
-// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns 
-// Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 8658.109 ; gain = 8.863 ; free physical = 5678 ; free virtual = 15432 
-// 'd' command handler elapsed time: 7 seconds
-dismissDialog("Run Simulation"); // e (Run Simulation Progress)
-// HMemoryUtils.trashcanNow. Engine heap size: 2,549 MB. GUI used memory: 89 MB. Current time: 5/9/25, 3:42:23 PM CEST
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-maximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // L (PAResourceOtoP.PAViews_BASE_WORKSPACE, PlanAheadTabBaseWorkspace)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,549 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:42:26 PM CEST
-selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_filteredSample[15:0]]", 4, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectCodeEditor("controlUnit.vhd", 123, 33); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 123, 33, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 302, 566); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 302, 566, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 307, 578); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 307, 578, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 309, 596); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 309, 596, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 315, 616); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 315, 616, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-// [GUI Memory]: 174 MB (+4045kb) [01:22:36]
-selectCodeEditor("controlUnit.vhd", 316, 609); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 316, 609, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 318, 640); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 318, 640, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 315, 653); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 315, 653, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 344, 402); // ac (controlUnit.vhd)
-// TclEventType: DG_GRAPH_STALE
-// TclEventType: FILE_SET_CHANGE
-// Elapsed Time for: 'L.f': 01h:20m:40s
-// Elapsed Time for: 'L.f': 01h:20m:42s
-// TclEventType: SIMULATION_ADD_BREAKPOINT
-// Tcl Message: add_bp {/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd} 96 
-// TclEventType: SIMULATION_DELETE_BREAKPOINT
-// Tcl Message: remove_bps -file {/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd} -line 96 
-selectCodeEditor("controlUnit.vhd", 233, 396); // ac (controlUnit.vhd)
-// Elapsed time: 22 seconds
-selectCodeEditor("controlUnit.vhd", 261, 222); // ac (controlUnit.vhd)
-// Elapsed time: 23 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "firUnit.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_firUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 5", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH
-// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: SIMULATION_MODEL_RELOADED
-// HMemoryUtils.trashcanNow. Engine heap size: 2,527 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:43:39 PM CEST
-// TclEventType: SIMULATION_MODEL_RELOADED
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: LAUNCH_SIM
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// Tcl Message: relaunch_sim 
-// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral 
-// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj 
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 
-// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'controlUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'firUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' 
-// TclEventType: LAUNCH_SIM
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. 
-// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '4' seconds 
-// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral 
-// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log 
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: Built simulation snapshot tb_firUnit_behav 
-// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds 
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: SIMULATION_MODEL_RELOADED
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 8676.250 ; gain = 0.000 ; free physical = 5699 ; free virtual = 15449 
-// 'a' command handler elapsed time: 10 seconds
-// Elapsed time: 10 seconds
-dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress)
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// Elapsed time: 34 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-// Elapsed time: 118 seconds
-selectCodeEditor("controlUnit.vhd", 466, 231); // ac (controlUnit.vhd)
-// Elapsed time: 16 seconds
-unMinimizeFrame(PAResourceOtoP.PAViews_SOURCES, "Sources"); // R (PAResourceOtoP.PAViews_SOURCES, Sources)
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Utility Sources]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Utility Sources, utils_1]", 13, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 6); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, audioProc (audioProc.v)]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 11); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd), controlUnit_1 : controlUnit(archi_operativeUnit) (controlUnit.vhd)]", 13, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd), operativeUnit_1 : operativeUnit (operativeUnit.v)]", 14, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 11); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v)]", 3); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v)]", 3); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Disabled Sources]", 4); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Disabled Sources]", 4); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 1); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 1); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-// Elapsed time: 25 seconds
-expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 11); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
-// Elapsed time: 18 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-// Elapsed time: 20 seconds
-selectCodeEditor("controlUnit.vhd", 138, 325); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 138, 325, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-selectCodeEditor("controlUnit.vhd", 139, 352); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 139, 352, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click
-// Elapsed time: 21 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.v", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 5", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:48:39 PM CEST
-// Elapsed time: 12 seconds
-selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_filteredSample[15:0]]", 4, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node
-selectMenu("Name"); // am (Name)
-selectMenu("Waveform Style"); // am (Waveform Style)
-selectMenu("Signal Color"); // am (Signal Color)
-selectMenu("Radix"); // am (Radix)
-selectMenu("Signal Color"); // am (Signal Color)
-selectMenu("Waveform Style"); // am (Waveform Style)
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 223, 379); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-/********** leftMouseClick (1270, 639, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_filteredSample[15:0]]", 4, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectMenu("Name"); // am (Name)
-selectMenu("Waveform Style"); // am (Waveform Style)
-selectMenu("Signal Color"); // am (Signal Color)
-selectMenu("Radix"); // am (Radix)
-selectMenu("Radix"); // am (Radix)
-selectMenuItem((HResource) null, "Signed Decimal"); // ad (Signed Decimal)
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_UPDATE_TITLE
-// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:06 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 228, 468); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-/********** leftMouseClick (1275, 721, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// Elapsed time: 13 seconds
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 434, 344); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-/********** leftMouseClick (1463, 607, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:49:21 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:21 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:22 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:22 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,642 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:22 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// [Engine Memory]: 3,642 MB (+12449kb) [01:29:15]
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,642 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:22 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:23 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:23 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,642 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:24 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,642 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:24 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,514 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:24 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:24 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:24 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:49:24 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL, "simulation_live_run_all"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL, simulation_live_run_all)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// Tcl Message: run all 
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK, "simulation_live_break"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK, simulation_live_break)
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART, "simulation_live_restart"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART, simulation_live_restart)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// HMemoryUtils.trashcanNow. Engine heap size: 3,660 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:49:35 PM CEST
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// Tcl Message: restart 
-// Tcl Message: INFO: [Wavedata 42-604] Simulation restarted 
-dismissDialog("Restart"); // bj (Restart Progress)
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH
-// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: SIMULATION_MODEL_RELOADED
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: LAUNCH_SIM
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// Tcl Message: relaunch_sim 
-// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral 
-// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj 
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 
-// TclEventType: LAUNCH_SIM
-// TclEventType: FILE_SET_OPTIONS_CHANGE
-// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. 
-// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds 
-// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral 
-// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' 
-// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log 
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds 
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: SIMULATION_MODEL_RELOADED
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:10 ; elapsed = 00:00:06 . Memory (MB): peak = 8723.930 ; gain = 0.000 ; free physical = 5641 ; free virtual = 15424 
-// 'a' command handler elapsed time: 6 seconds
-dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress)
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: run 10 ns 
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:49:51 PM CEST
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: run 10 ns 
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: run 10 ns 
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: run 10 ns 
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:49:52 PM CEST
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: run 10 ns 
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: run 10 ns 
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: run 10 ns 
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:49:53 PM CEST
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time)
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: run 10 ns 
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: run 10 ns 
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: run 10 ns 
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:49:54 PM CEST
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time)
-// Tcl Message: run 10 ns 
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: run 10 ns 
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-selectComboBox(PAResourceQtoS.SimulationLiveRunForComp_SPECIFY_TIME_AND_UNITS, "ms", 1); // b (PAResourceQtoS.SimulationLiveRunForComp_SPECIFY_TIME_AND_UNITS)
-selectComboBox(PAResourceQtoS.SimulationLiveRunForComp_SPECIFY_TIME_AND_UNITS, "us", 2); // b (PAResourceQtoS.SimulationLiveRunForComp_SPECIFY_TIME_AND_UNITS)
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: run 10 us 
-selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time)
-// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// TclEventType: SIMULATION_CLEAR_CURRENT_LINE
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:01 PM CEST
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: SIMULATION_OBJECT_TREE_RESTORED
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: SIMULATION_UPDATE_LATEST_TIME
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
-// TclEventType: SIMULATION_CURRENT_STACK_CHANGED
-// TclEventType: SIMULATION_UPDATE_STACK_FRAMES
-// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
-// TclEventType: SIMULATION_UPDATE_LOCALS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_OBJECT_TREE
-// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
-// Tcl Message: run 10 us 
-// TclEventType: WAVEFORM_MODEL_EVENT
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_MODEL_EVENT
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 393, 144); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-/********** leftMouseClick (1419, 428, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:06 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:07 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 3,650 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:07 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:07 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:08 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:08 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 3,650 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:09 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:09 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:10 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:10 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:11 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:11 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 3,650 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:11 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// Elapsed time: 11 seconds
-selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// Elapsed time: 14 seconds
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 10, 145); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-/********** leftMouseClick (1075, 425, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:31 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 95 MB. Current time: 5/9/25, 3:50:37 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:42 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:44 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:44 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:44 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 95 MB. Current time: 5/9/25, 3:50:49 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:50 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:53 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:55 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 3,650 MB. GUI used memory: 95 MB. Current time: 5/9/25, 3:50:58 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:51:01 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// Elapsed time: 34 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectCodeEditor("controlUnit.vhd", 320, 283); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 283, 127); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 333, 368); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 343, 380); // ac (controlUnit.vhd)
-selectCodeEditor("controlUnit.vhd", 365, 326); // ac (controlUnit.vhd)
-// TclEventType: DG_GRAPH_STALE
-// TclEventType: FILE_SET_CHANGE
-// Elapsed Time for: 'L.f': 01h:29m:11s
-// Elapsed Time for: 'L.f': 01h:29m:14s
-// Elapsed time: 140 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.v", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 5*", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:53:41 PM CEST
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 437, 249); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-/********** leftMouseClick (1316, 512, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 21, 260); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-/********** startDrag (909, 523); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:53:44 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:53:45 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 98 MB. Current time: 5/9/25, 3:53:45 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,538 MB. GUI used memory: 98 MB. Current time: 5/9/25, 3:53:45 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:53:45 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:53:45 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:53:45 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:53:46 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-/********** endDrag (1020, 522); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 110, 305); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-/********** leftMouseClick (994, 567, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:53:46 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-typeControlKey(null, null, 'z');
-typeControlKey(null, null, 'z');
-typeControlKey(null, null, 'z');
-typeControlKey(null, null, 'z');
-selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
-selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 476, 435); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-/********** startDrag (1365, 696); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:53:52 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:53:52 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-/********** endDrag (1462, 686); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 714, 713); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-/********** leftMouseClick (1599, 976, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-typeControlKey(null, null, 'z');
-typeControlKey(null, null, 'z');
-selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit)
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:53:56 PM CEST
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 177, 232); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-/********** leftMouseClick (1051, 501, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:02 PM CEST
-selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:06 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,538 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:07 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 98 MB. Current time: 5/9/25, 3:54:07 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,538 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,536 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,277 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,016 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,624 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,538 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:07 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:08 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:08 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:08 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 98 MB. Current time: 5/9/25, 3:54:08 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:08 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:10 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:10 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:11 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:11 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,755 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:11 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:11 PM CEST
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:13 PM CEST
-// Elapsed time: 11 seconds
-floatFrame(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 5*"); // R (RDIResource.RDIViews_WAVEFORM_VIEWER, Untitled 5)
-// RDIResource.RDIViews_WAVEFORM_VIEWER: Untitled 5*: float view
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:15 PM CEST
-maximizeFrame(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 5*"); // R (RDIResource.RDIViews_WAVEFORM_VIEWER, Untitled 5)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 628, 364); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-/********** leftMouseClick (1107, 464, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 100 MB. Current time: 5/9/25, 3:54:18 PM CEST
-selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// TclEventType: WAVEFORM_UPDATE_COMMANDS
-// TclEventType: WAVEFORM_MOVE_CURSOR
-selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 299, 426); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer)
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-/********** startDrag (767, 523); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 99 MB. Current time: 5/9/25, 3:54:31 PM CEST
-/********** endDrag (767, 523); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/
-// TclEventType: WAVEFORM_UPDATE_WAVEFORM
-// Elapsed time: 162 seconds
-selectCodeEditor("operativeUnit.v", 303, 361); // ac (operativeUnit.v)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-// Elapsed time: 303 seconds
-closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.v", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode)
-selectCodeEditor("operativeUnit.v", 249, 475); // ac (operativeUnit.v)
-// Elapsed time: 11 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.v", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectCodeEditor("operativeUnit.v", 21, 345); // ac (operativeUnit.v)
-selectCodeEditor("operativeUnit.v", 307, 339); // ac (operativeUnit.v)
-closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode)
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
-// Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
-selectButton(RDIResource.BaseDialog_YES, "Yes", "No Implementation Results Available"); // a (RDIResource.BaseDialog_YES)
-dismissDialog("No Implementation Results Available"); // t (dialog3)
-selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK)
-// 'cI' command handler elapsed time: 5 seconds
-dismissDialog("Launch Runs"); // cL (dialog4)
-// Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 6 
-// TclEventType: RUN_LAUNCH
-// TclEventType: RUN_STATUS_CHANGE
-// TclEventType: RUN_MODIFY
-// Tcl Message: [Fri May  9 16:03:06 2025] Launched synth_1... Run output will be captured here: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/runme.log [Fri May  9 16:03:06 2025] Launched impl_1... Run output will be captured here: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/runme.log 
-dismissDialog("Generate Bitstream"); // bj (Generate Bitstream Progress)
-// TclEventType: RUN_STATUS_CHANGE
-// Elapsed time: 77 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-// TclEventType: RUN_COMPLETED
-// TclEventType: RUN_STATUS_CHANGE
-// TclEventType: RUN_STEP_COMPLETED
-// TclEventType: RUN_STATUS_CHANGE
-// Elapsed time: 29 seconds
-selectCodeEditor("operativeUnit.vhd", 158, 383); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 472, 420); // ac (operativeUnit.vhd)
-// Elapsed time: 18 seconds
-selectCodeEditor("operativeUnit.vhd", 51, 120); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 51, 120, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click
-selectCodeEditor("operativeUnit.vhd", 53, 109); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 53, 109, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click
-selectCodeEditor("operativeUnit.vhd", 350, 293); // ac (operativeUnit.vhd)
-// Elapsed time: 11 seconds
-selectCodeEditor("operativeUnit.vhd", 204, 96); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 326, 122); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 54, 112); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 54, 112, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click
-selectCodeEditor("operativeUnit.vhd", 129, 173); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 129, 173, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click
-selectCodeEditor("operativeUnit.vhd", 105, 326); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 105, 326, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click
-typeControlKey((HResource) null, "operativeUnit.vhd", 'c'); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 127, 504); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 127, 504, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click
-typeControlKey((HResource) null, "operativeUnit.vhd", 'v'); // ac (operativeUnit.vhd)
-typeControlKey((HResource) null, "operativeUnit.vhd", 'v'); // ac (operativeUnit.vhd)
-// TclEventType: RUN_STEP_COMPLETED
-// Elapsed time: 15 seconds
-selectCodeEditor("operativeUnit.vhd", 99, 504); // ac (operativeUnit.vhd)
-// TclEventType: RUN_FAILED
-// TclEventType: RUN_STATUS_CHANGE
-// TclEventType: RUN_STEP_COMPLETED
-selectButton(RDIResource.BaseDialog_OK, "OK", "Implementation Failed"); // a (RDIResource.BaseDialog_OK)
-// Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
-dismissDialog("Implementation Failed"); // Q.a (dialog5)
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-// TclEventType: SIMULATION_UPDATE_SCOPE_TREE
-// TclEventType: SIMULATION_UPDATE_STACKS
-selectCodeEditor("operativeUnit.vhd", 261, 510); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 264, 503); // ac (operativeUnit.vhd)
-// Elapsed time: 46 seconds
-selectCodeEditor("operativeUnit.vhd", 157, 173); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 158, 173, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click
-typeControlKey((HResource) null, "operativeUnit.vhd", 'c'); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 252, 415); // ac (operativeUnit.vhd)
-typeControlKey((HResource) null, "operativeUnit.vhd", 'v'); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 62, 430); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 56, 433); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 166, 356); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 166, 356, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click
-selectCodeEditor("operativeUnit.vhd", 105, 379); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 105, 379, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click
-typeControlKey((HResource) null, "operativeUnit.vhd", 'c'); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 172, 353); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 172, 353, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click
-typeControlKey((HResource) null, "operativeUnit.vhd", 'v'); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 144, 412); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 143, 412, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click
-typeControlKey((HResource) null, "operativeUnit.vhd", 'c'); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 199, 356); // ac (operativeUnit.vhd)
-typeControlKey((HResource) null, "operativeUnit.vhd", 'v'); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 57, 424); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 220, 431); // ac (operativeUnit.vhd)
-// Elapsed time: 32 seconds
-selectCodeEditor("operativeUnit.vhd", 375, 401); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 461, 426); // ac (operativeUnit.vhd)
-// TclEventType: DG_GRAPH_STALE
-// TclEventType: FILE_SET_CHANGE
-// Elapsed time: 18 seconds
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-// Elapsed Time for: 'L.f': 01h:46m:21s
-selectCodeEditor("controlUnit.vhd", 335, 304); // ac (controlUnit.vhd)
-// Elapsed Time for: 'L.f': 01h:46m:23s
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-selectCodeEditor("operativeUnit.vhd", 165, 434); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 265, 433); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 238, 419); // ac (operativeUnit.vhd)
-selectCodeEditor("operativeUnit.vhd", 389, 331); // ac (operativeUnit.vhd)
-// TclEventType: DG_GRAPH_STALE
-// TclEventType: FILE_SET_CHANGE
-selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
-// Elapsed Time for: 'L.f': 01h:46m:31s
-// Elapsed Time for: 'L.f': 01h:46m:33s