From c4346f443d612c11d0dec6728a1fa5b6bee9898e Mon Sep 17 00:00:00 2001 From: Loann NICOTTE <l24nicot@fl-tp-br-515.imta.fr> Date: Mon, 12 May 2025 16:09:12 +0200 Subject: [PATCH] =?UTF-8?q?fin=20partie=20op=C3=A9rative?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- docs/.~lock.Sans nom 1.odt# | 2 +- proj/AudioProc.cache/wt/project.wpc | 2 +- proj/AudioProc.cache/wt/synthesis.wdf | 52 - proj/AudioProc.cache/wt/webtalk_pa.xml | 4 +- proj/AudioProc.runs/.jobs/vrs_config_2.xml | 15 + .../impl_1/.init_design.begin.rst | 5 - .../impl_1/.opt_design.begin.rst | 5 - .../AudioProc.runs/impl_1/.opt_design.end.rst | 0 .../impl_1/.place_design.begin.rst | 5 - .../impl_1/.place_design.error.rst | 0 proj/AudioProc.runs/impl_1/.vivado.begin.rst | 5 - proj/AudioProc.runs/impl_1/.vivado.error.rst | 0 proj/AudioProc.runs/impl_1/gen_run.xml | 102 +- proj/AudioProc.runs/impl_1/init_design.pb | Bin 13707 -> 0 bytes proj/AudioProc.runs/impl_1/opt_design.pb | Bin 13442 -> 0 bytes proj/AudioProc.runs/impl_1/place_design.pb | Bin 2853 -> 0 bytes proj/AudioProc.runs/impl_1/project.wdf | 6 +- proj/AudioProc.runs/impl_1/runme.log | 315 -- proj/AudioProc.runs/impl_1/tb_firUnit.vdi | 325 -- .../impl_1/tb_firUnit_drc_opted.pb | Bin 37 -> 0 bytes .../impl_1/tb_firUnit_drc_opted.rpt | 49 - .../impl_1/tb_firUnit_drc_opted.rpx | Bin 1631 -> 0 bytes proj/AudioProc.runs/impl_1/tb_firUnit_opt.dcp | Bin 21007 -> 0 bytes proj/AudioProc.runs/impl_1/vivado.jou | 24 - proj/AudioProc.runs/impl_1/vivado.pb | 4 - .../.Xil/Vivado-73726-fl-tp-br-515/.lpr | 7 + .../refSynth/dcp.xml | 27 + .../refSynth/incrSyn/tb_firUnit.gnd | 1 + .../refSynth/top.rda | Bin 0 -> 1535 bytes .../Vivado-73726-fl-tp-br-515/wt/project.wpc | 3 + proj/AudioProc.runs/synth_1/.vivado.begin.rst | 2 +- proj/AudioProc.runs/synth_1/.vivado.end.rst | 0 .../synth_1/__synthesis_is_complete__ | 0 .../__synthesis_is_running__} | 0 proj/AudioProc.runs/synth_1/gen_run.xml | 32 +- proj/AudioProc.runs/synth_1/runme.log | 522 +- proj/AudioProc.runs/synth_1/tb_firUnit.tcl | 4 +- proj/AudioProc.runs/synth_1/tb_firUnit.vds | 528 +- .../synth_1/tb_firUnit_utilization_synth.pb | Bin 276 -> 0 bytes .../synth_1/tb_firUnit_utilization_synth.rpt | 175 - proj/AudioProc.runs/synth_1/vivado.jou | 8 +- proj/AudioProc.runs/synth_1/vivado.pb | Bin 73145 -> 1998 bytes .../AudioProc.sim/sim_1/behav/xsim/compile.sh | 8 +- .../sim_1/behav/xsim/elaborate.log | 18 +- .../sim_1/behav/xsim/elaborate.sh | 6 +- .../sim_1/behav/xsim/simulate.log | 21 + .../sim_1/behav/xsim/simulate.sh | 2 +- .../sim_1/behav/xsim/tb_firUnit_behav.wdb | Bin 67691 -> 18220 bytes .../sim_1/behav/xsim/tb_firUnit_vhdl.prj | 1 + .../sim_1/behav/xsim/tb_firUnit_vlog.prj | 9 - proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb | Bin 1474 -> 1988 bytes .../tb_firUnit_behav/Compile_Options.txt | 2 +- .../tb_firUnit_behav/TempBreakPointFile.txt | 1 + .../tb_firUnit_behav/obj/xsim_0.lnx64.o | Bin 383088 -> 19952 bytes .../xsim.dir/tb_firUnit_behav/obj/xsim_1.c | 630 +-- .../tb_firUnit_behav/obj/xsim_1.lnx64.o | Bin 46472 -> 5704 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.dbg | Bin 36976 -> 11064 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.mem | Bin 84391 -> 3749 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.reloc | Bin 142624 -> 1155 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.rlx | 4 +- .../xsim/xsim.dir/tb_firUnit_behav/xsim.rtti | Bin 633 -> 603 bytes .../xsim.dir/tb_firUnit_behav/xsim.svtype | Bin 78 -> 16 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.type | Bin 7552 -> 7048 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg | Bin 31264 -> 23424 bytes .../tb_firUnit_behav/xsimSettings.ini | 10 +- .../xsim/xsim.dir/tb_firUnit_behav/xsimk | Bin 319288 -> 31968 bytes .../xsim.dir/tb_firUnit_behav/xsimkernel.log | 9 +- .../xsim.dir/xil_defaultlib/controlunit.vdb | Bin 8578 -> 8214 bytes .../xsim/xsim.dir/xil_defaultlib/firunit.vdb | Bin 10398 -> 10499 bytes .../xsim.dir/xil_defaultlib/operativeunit.vdb | Bin 0 -> 14817 bytes .../xsim.dir/xil_defaultlib/tb_firunit.vdb | Bin 7630 -> 7630 bytes .../xil_defaultlib/xil_defaultlib.rlx | 3 +- proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log | 6 + proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb | Bin 16 -> 835 bytes .../utils_1/imports}/synth_1/tb_firUnit.dcp | Bin proj/AudioProc.xpr | 33 +- src/hdl/operativeUnit.vhd | 55 +- vivado.jou | 1 + vivado.log | 4 + vivado_pid88932.str | 4534 ----------------- 80 files changed, 292 insertions(+), 7294 deletions(-) create mode 100644 proj/AudioProc.runs/.jobs/vrs_config_2.xml delete mode 100644 proj/AudioProc.runs/impl_1/.init_design.begin.rst delete mode 100644 proj/AudioProc.runs/impl_1/.opt_design.begin.rst delete mode 100644 proj/AudioProc.runs/impl_1/.opt_design.end.rst delete mode 100644 proj/AudioProc.runs/impl_1/.place_design.begin.rst delete mode 100644 proj/AudioProc.runs/impl_1/.place_design.error.rst delete mode 100644 proj/AudioProc.runs/impl_1/.vivado.begin.rst delete mode 100644 proj/AudioProc.runs/impl_1/.vivado.error.rst delete mode 100644 proj/AudioProc.runs/impl_1/init_design.pb delete mode 100644 proj/AudioProc.runs/impl_1/opt_design.pb delete mode 100644 proj/AudioProc.runs/impl_1/place_design.pb delete mode 100644 proj/AudioProc.runs/impl_1/runme.log delete mode 100644 proj/AudioProc.runs/impl_1/tb_firUnit.vdi delete mode 100644 proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.pb delete mode 100644 proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpt delete mode 100644 proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpx delete mode 100644 proj/AudioProc.runs/impl_1/tb_firUnit_opt.dcp delete mode 100644 proj/AudioProc.runs/impl_1/vivado.jou delete mode 100644 proj/AudioProc.runs/impl_1/vivado.pb create mode 100644 proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/.lpr create mode 100755 proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/dcp.xml create mode 100644 proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/incrSyn/tb_firUnit.gnd create mode 100755 proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/top.rda create mode 100644 proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/wt/project.wpc delete mode 100644 proj/AudioProc.runs/synth_1/.vivado.end.rst delete mode 100644 proj/AudioProc.runs/synth_1/__synthesis_is_complete__ rename proj/AudioProc.runs/{impl_1/.init_design.end.rst => synth_1/__synthesis_is_running__} (100%) delete mode 100644 proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.pb delete mode 100644 proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.rpt delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb rename proj/{AudioProc.runs => AudioProc.srcs/utils_1/imports}/synth_1/tb_firUnit.dcp (100%) mode change 100644 => 100755 delete mode 100644 vivado_pid88932.str diff --git a/docs/.~lock.Sans nom 1.odt# b/docs/.~lock.Sans nom 1.odt# index 2badea4..e7e0dd4 100644 --- a/docs/.~lock.Sans nom 1.odt# +++ b/docs/.~lock.Sans nom 1.odt# @@ -1 +1 @@ -,l24nicot,fl-tp-br-515,09.05.2025 16:08,file:///homes/l24nicot/.config/libreoffice/4; \ No newline at end of file +,l24nicot,fl-tp-br-515,12.05.2025 15:18,file:///homes/l24nicot/.config/libreoffice/4; \ No newline at end of file diff --git a/proj/AudioProc.cache/wt/project.wpc b/proj/AudioProc.cache/wt/project.wpc index 9b34209..6888ede 100644 --- a/proj/AudioProc.cache/wt/project.wpc +++ b/proj/AudioProc.cache/wt/project.wpc @@ -1,3 +1,3 @@ version:1 -6d6f64655f636f756e7465727c4755494d6f6465:1 +6d6f64655f636f756e7465727c4755494d6f6465:2 eof: diff --git a/proj/AudioProc.cache/wt/synthesis.wdf b/proj/AudioProc.cache/wt/synthesis.wdf index b23c12c..e69de29 100644 --- a/proj/AudioProc.cache/wt/synthesis.wdf +++ b/proj/AudioProc.cache/wt/synthesis.wdf @@ -1,52 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761323030747362673438342d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:74625f666972556e6974:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7668646c5f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e6372656d656e74616c5f6d6f6465:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c696e74:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66696c65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f77:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f775f73657474696e6773:64656661756c743a3a6e6f6e65:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:5b7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c75745f63617363616465:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6f73:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:343030:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:35:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:6f6e655f686f74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:5b7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:6f6666:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d676c6f62616c5f726574696d696e67:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d657374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a323273:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:323637362e3737374d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3938352e3138384d42:00:00 -eof:782676231 diff --git a/proj/AudioProc.cache/wt/webtalk_pa.xml b/proj/AudioProc.cache/wt/webtalk_pa.xml index 1b0b52d..c7fb615 100644 --- a/proj/AudioProc.cache/wt/webtalk_pa.xml +++ b/proj/AudioProc.cache/wt/webtalk_pa.xml @@ -3,10 +3,10 @@ <!--The data in this file is primarily intended for consumption by Xilinx tools. The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> -<application name="pa" timeStamp="Fri May 9 16:03:06 2025"> +<application name="pa" timeStamp="Mon May 12 16:07:25 2025"> <section name="Project Information" visible="false"> <property name="ProjectID" value="a2a460662c534046acb4b1eb8742bffd" type="ProjectID"/> -<property name="ProjectIteration" value="1" type="ProjectIteration"/> +<property name="ProjectIteration" value="2" type="ProjectIteration"/> </section> <section name="PlanAhead Usage" visible="true"> <item name="Project Data"> diff --git a/proj/AudioProc.runs/.jobs/vrs_config_2.xml b/proj/AudioProc.runs/.jobs/vrs_config_2.xml new file mode 100644 index 0000000..0f282cf --- /dev/null +++ b/proj/AudioProc.runs/.jobs/vrs_config_2.xml @@ -0,0 +1,15 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="synth_1" LaunchDir="/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> + <Run Id="impl_1" LaunchDir="/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream"> + <Parent Id="synth_1"/> + </Run> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/proj/AudioProc.runs/impl_1/.init_design.begin.rst b/proj/AudioProc.runs/impl_1/.init_design.begin.rst deleted file mode 100644 index 2858507..0000000 --- a/proj/AudioProc.runs/impl_1/.init_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ -<?xml version="1.0"?> -<ProcessHandle Version="1" Minor="0"> - <Process Command=".planAhead." Owner="l24nicot" Host="" Pid="112122"> - </Process> -</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.opt_design.begin.rst b/proj/AudioProc.runs/impl_1/.opt_design.begin.rst deleted file mode 100644 index 2858507..0000000 --- a/proj/AudioProc.runs/impl_1/.opt_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ -<?xml version="1.0"?> -<ProcessHandle Version="1" Minor="0"> - <Process Command=".planAhead." Owner="l24nicot" Host="" Pid="112122"> - </Process> -</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.opt_design.end.rst b/proj/AudioProc.runs/impl_1/.opt_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/proj/AudioProc.runs/impl_1/.place_design.begin.rst b/proj/AudioProc.runs/impl_1/.place_design.begin.rst deleted file mode 100644 index 2858507..0000000 --- a/proj/AudioProc.runs/impl_1/.place_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ -<?xml version="1.0"?> -<ProcessHandle Version="1" Minor="0"> - <Process Command=".planAhead." Owner="l24nicot" Host="" Pid="112122"> - </Process> -</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.place_design.error.rst b/proj/AudioProc.runs/impl_1/.place_design.error.rst deleted file mode 100644 index e69de29..0000000 diff --git a/proj/AudioProc.runs/impl_1/.vivado.begin.rst b/proj/AudioProc.runs/impl_1/.vivado.begin.rst deleted file mode 100644 index 553e3af..0000000 --- a/proj/AudioProc.runs/impl_1/.vivado.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ -<?xml version="1.0"?> -<ProcessHandle Version="1" Minor="0"> - <Process Command="vivado" Owner="l24nicot" Host="fl-tp-br-515" Pid="112051" HostCore="12" HostMemory="16146436"> - </Process> -</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.vivado.error.rst b/proj/AudioProc.runs/impl_1/.vivado.error.rst deleted file mode 100644 index e69de29..0000000 diff --git a/proj/AudioProc.runs/impl_1/gen_run.xml b/proj/AudioProc.runs/impl_1/gen_run.xml index fd1fe21..373879b 100644 --- a/proj/AudioProc.runs/impl_1/gen_run.xml +++ b/proj/AudioProc.runs/impl_1/gen_run.xml @@ -1,5 +1,5 @@ <?xml version="1.0" encoding="UTF-8"?> -<GenRun Id="impl_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1746799386"> +<GenRun Id="impl_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1747058845"> <File Type="ROUTE-RQS-RPT" Name="route_report_qor_suggestions_0.rpt"/> <File Type="POSTROUTE-PHYSOPT-RQS" Name="tb_firUnit_postroute_physopted.rqs"/> <File Type="ROUTE-RQS" Name="tb_firUnit_routed.rqs"/> @@ -38,62 +38,61 @@ <File Type="ROUTE-BUS-SKEW-RPX" Name="tb_firUnit_bus_skew_routed.rpx"/> <File Type="ROUTE-BUS-SKEW-PB" Name="tb_firUnit_bus_skew_routed.pb"/> <File Type="ROUTE-BUS-SKEW" Name="tb_firUnit_bus_skew_routed.rpt"/> + <File Type="ROUTE-CLK" Name="tb_firUnit_clock_utilization_routed.rpt"/> + <File Type="ROUTE-SIMILARITY" Name="tb_firUnit_incremental_reuse_routed.rpt"/> + <File Type="ROUTE-TIMING-RPX" Name="tb_firUnit_timing_summary_routed.rpx"/> + <File Type="ROUTE-TIMING-PB" Name="tb_firUnit_timing_summary_routed.pb"/> + <File Type="ROUTE-TIMINGSUMMARY" Name="tb_firUnit_timing_summary_routed.rpt"/> + <File Type="ROUTE-STATUS-PB" Name="tb_firUnit_route_status.pb"/> + <File Type="ROUTE-STATUS" Name="tb_firUnit_route_status.rpt"/> + <File Type="ROUTE-PWR-RPX" Name="tb_firUnit_power_routed.rpx"/> + <File Type="ROUTE-PWR-SUM" Name="tb_firUnit_power_summary_routed.pb"/> + <File Type="ROUTE-PWR" Name="tb_firUnit_power_routed.rpt"/> + <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="tb_firUnit_methodology_drc_routed.pb"/> + <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="tb_firUnit_methodology_drc_routed.rpx"/> + <File Type="ROUTE-METHODOLOGY-DRC" Name="tb_firUnit_methodology_drc_routed.rpt"/> + <File Type="ROUTE-DRC-RPX" Name="tb_firUnit_drc_routed.rpx"/> + <File Type="ROUTE-DRC-PB" Name="tb_firUnit_drc_routed.pb"/> + <File Type="ROUTE-DRC" Name="tb_firUnit_drc_routed.rpt"/> + <File Type="ROUTE-BLACKBOX-DCP" Name="tb_firUnit_routed_bb.dcp"/> + <File Type="ROUTE-DCP" Name="tb_firUnit_routed.dcp"/> + <File Type="ROUTE-ERROR-DCP" Name="tb_firUnit_routed_error.dcp"/> + <File Type="PHYSOPT-TIMING" Name="tb_firUnit_timing_summary_physopted.rpt"/> + <File Type="PHYSOPT-DRC" Name="tb_firUnit_drc_physopted.rpt"/> + <File Type="PHYSOPT-DCP" Name="tb_firUnit_physopt.dcp"/> + <File Type="POSTPLACE-PWROPT-TIMING" Name="tb_firUnit_timing_summary_postplace_pwropted.rpt"/> + <File Type="POSTPLACE-PWROPT-DCP" Name="tb_firUnit_postplace_pwropt.dcp"/> + <File Type="PLACE-RQA-PB" Name="tb_firUnit_rqa_placed.pb"/> + <File Type="PLACE-TIMING" Name="tb_firUnit_timing_summary_placed.rpt"/> + <File Type="PLACE-PRE-SIMILARITY" Name="tb_firUnit_incremental_reuse_pre_placed.rpt"/> + <File Type="PLACE-SIMILARITY" Name="tb_firUnit_incremental_reuse_placed.rpt"/> + <File Type="PLACE-CTRL" Name="tb_firUnit_control_sets_placed.rpt"/> <File Type="PLACE-UTIL-PB" Name="tb_firUnit_utilization_placed.pb"/> - <File Type="OPT-METHODOLOGY-DRC" Name="tb_firUnit_methodology_drc_opted.rpt"/> <File Type="PLACE-UTIL" Name="tb_firUnit_utilization_placed.rpt"/> <File Type="PLACE-CLK" Name="tb_firUnit_clock_utilization_placed.rpt"/> <File Type="PLACE-IO" Name="tb_firUnit_io_placed.rpt"/> - <File Type="PHYSOPT-TIMING" Name="tb_firUnit_timing_summary_physopted.rpt"/> - <File Type="PWROPT-DRC" Name="tb_firUnit_drc_pwropted.rpt"/> + <File Type="PLACE-DCP" Name="tb_firUnit_placed.dcp"/> <File Type="PWROPT-TIMING" Name="tb_firUnit_timing_summary_pwropted.rpt"/> - <File Type="OPT-DRC" Name="tb_firUnit_drc_opted.rpt"/> - <File Type="PLACE-TIMING" Name="tb_firUnit_timing_summary_placed.rpt"/> - <File Type="INIT-TIMING" Name="tb_firUnit_timing_summary_init.rpt"/> - <File Type="PA-TCL" Name="tb_firUnit.tcl"/> - <File Type="PLACE-CTRL" Name="tb_firUnit_control_sets_placed.rpt"/> - <File Type="ROUTE-METHODOLOGY-DRC" Name="tb_firUnit_methodology_drc_routed.rpt"/> - <File Type="OPT-DCP" Name="tb_firUnit_opt.dcp"/> + <File Type="PWROPT-DRC" Name="tb_firUnit_drc_pwropted.rpt"/> + <File Type="PWROPT-DCP" Name="tb_firUnit_pwropt.dcp"/> <File Type="OPT-RQA-PB" Name="tb_firUnit_rqa_opted.pb"/> <File Type="OPT-HWDEF" Name="tb_firUnit.hwdef"/> - <File Type="POSTPLACE-PWROPT-TIMING" Name="tb_firUnit_timing_summary_postplace_pwropted.rpt"/> - <File Type="REPORTS-TCL" Name="tb_firUnit_reports.tcl"/> + <File Type="OPT-METHODOLOGY-DRC" Name="tb_firUnit_methodology_drc_opted.rpt"/> + <File Type="OPT-DRC" Name="tb_firUnit_drc_opted.rpt"/> + <File Type="OPT-DCP" Name="tb_firUnit_opt.dcp"/> <File Type="OPT-TIMING" Name="tb_firUnit_timing_summary_opted.rpt"/> - <File Type="PLACE-SIMILARITY" Name="tb_firUnit_incremental_reuse_placed.rpt"/> - <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="tb_firUnit_methodology_drc_routed.pb"/> - <File Type="PLACE-DCP" Name="tb_firUnit_placed.dcp"/> - <File Type="PLACE-RQA-PB" Name="tb_firUnit_rqa_placed.pb"/> - <File Type="PLACE-PRE-SIMILARITY" Name="tb_firUnit_incremental_reuse_pre_placed.rpt"/> - <File Type="ROUTE-DRC-RPX" Name="tb_firUnit_drc_routed.rpx"/> - <File Type="PWROPT-DCP" Name="tb_firUnit_pwropt.dcp"/> - <File Type="POSTPLACE-PWROPT-DCP" Name="tb_firUnit_postplace_pwropt.dcp"/> - <File Type="PHYSOPT-DCP" Name="tb_firUnit_physopt.dcp"/> - <File Type="PHYSOPT-DRC" Name="tb_firUnit_drc_physopted.rpt"/> - <File Type="ROUTE-ERROR-DCP" Name="tb_firUnit_routed_error.dcp"/> - <File Type="ROUTE-DCP" Name="tb_firUnit_routed.dcp"/> - <File Type="ROUTE-BLACKBOX-DCP" Name="tb_firUnit_routed_bb.dcp"/> - <File Type="ROUTE-DRC" Name="tb_firUnit_drc_routed.rpt"/> - <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="tb_firUnit_methodology_drc_routed.rpx"/> - <File Type="ROUTE-DRC-PB" Name="tb_firUnit_drc_routed.pb"/> - <File Type="ROUTE-PWR" Name="tb_firUnit_power_routed.rpt"/> - <File Type="ROUTE-PWR-SUM" Name="tb_firUnit_power_summary_routed.pb"/> - <File Type="ROUTE-PWR-RPX" Name="tb_firUnit_power_routed.rpx"/> - <File Type="ROUTE-STATUS" Name="tb_firUnit_route_status.rpt"/> - <File Type="ROUTE-STATUS-PB" Name="tb_firUnit_route_status.pb"/> - <File Type="ROUTE-TIMINGSUMMARY" Name="tb_firUnit_timing_summary_routed.rpt"/> - <File Type="ROUTE-TIMING-PB" Name="tb_firUnit_timing_summary_routed.pb"/> - <File Type="ROUTE-TIMING-RPX" Name="tb_firUnit_timing_summary_routed.rpx"/> - <File Type="ROUTE-SIMILARITY" Name="tb_firUnit_incremental_reuse_routed.rpt"/> - <File Type="ROUTE-CLK" Name="tb_firUnit_clock_utilization_routed.rpt"/> + <File Type="REPORTS-TCL" Name="tb_firUnit_reports.tcl"/> + <File Type="INIT-TIMING" Name="tb_firUnit_timing_summary_init.rpt"/> + <File Type="PA-TCL" Name="tb_firUnit.tcl"/> <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> <Filter Type="Srcs"/> - <File Path="$PPRDIR/../src/hdl/operativeUnit.v"> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> <FileInfo> <Attr Name="UsedIn" Val="synthesis"/> - <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> <FileInfo> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="simulation"/> @@ -164,13 +163,6 @@ <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> - <FileInfo> - <Attr Name="UserDisabled" Val="1"/> - <Attr Name="UsedIn" Val="synthesis"/> - <Attr Name="UsedIn" Val="simulation"/> - </FileInfo> - </File> <Config> <Option Name="DesignMode" Val="RTL"/> <Option Name="TopModule" Val="tb_firUnit"/> @@ -190,14 +182,20 @@ </FileSet> <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> <Filter Type="Utils"/> + <File Path="$PSRCDIR/utils_1/imports/synth_1/tb_firUnit.dcp"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedInSteps" Val="synth_1"/> + <Attr Name="AutoDcp" Val="1"/> + </FileInfo> + </File> <Config> <Option Name="TopAutoSet" Val="TRUE"/> </Config> </FileSet> <Strategy Version="1" Minor="2"> - <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"> - <Desc>Vivado Implementation Defaults</Desc> - </StratHandle> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"/> <Step Id="init_design"/> <Step Id="opt_design"/> <Step Id="power_opt_design"/> diff --git a/proj/AudioProc.runs/impl_1/init_design.pb b/proj/AudioProc.runs/impl_1/init_design.pb deleted file mode 100644 index 571ad1caa943e5395e7af6eace20c32dfdb8ca76..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 13707 zcmd;j!N^slS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5 zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4Shkp$UR*WC3Cunpqg=nOc|`adNR6 z8=2}^m>3wbaj}?~8JZfgbFmtlm>HT!u^F1{nj0BqFck1q@JwK25Mbi6<B|?aO)E+* z&dAJ5SMUr_C`v8JFU~B<FUm|U<`Q6)Vm2~1(PB@|FG@{M&5L3)wA3{+HpyTpV69+< zn6QzFYXPHMVrEWiib6@gLQZ~Sib82|Y7vTIl?v*r#p>1ys>NKMX$mD7nZ*hznMJ9| zC7|#t&d4v#Nm0lHY0gVeEmBBIRmjX!sLU@dQpm|HE>Xx&gP4fyHXViZe2{%58L1$f zf>TRMGV{_w#wO<Erz<4pr6?4o=H{2BDrA=EaS5<Vu^1W~TEKl`<j&=)pOK%NTCAUA zWRjPeoL{0}kXVwTU!GZ<p<hy<Tb7ZMqnn$WsvqjAo0piI3KC1p%qb~K)lDraP038m zE71qJ$4G%o&N(qpy+k1`GcQE}<ecJy)a1;x%+wSGkcoOx?4Uq1GO@^DC}68#gM?Zq zBbSm-equ^$ib7asSz<~)$P*y*z+n#wE<I5Avltp18zG$Sz-6ajT3iHnx_)Y2aY>S) zzOSpRene(YW?qGU8Q3f%10xeXLw$(B`cPg<Vo9QYW&y-C#)ihoahS@*<D8$Ho0ykk z1&Sg87AYoEV=YdIX(h=yMkZWFIhlFc@hPdrndx~7x+VDq3MEPLX_-Z#d6^{&x&?_v zB?=YE=7~lI1|`Kw=_VE?x`t7#Cc1`Ti&!dHAl?q;Qg(qD0ZIX>X^EvdplAa{WN}e) zacT+7er6M6b1lw-oWwlGjMT&wBW^Cv;{4L0<kaGL!zeaGBV7|?^9+UpxWN%zYPbwe z&d)0@DMB^d1kG%y8o1e@6b?7rm5Uz~RiF$4_NQtwC|?+wnrpG8q?TnSr-CvohUeLg z40TNmP=Yy{OC=z&2<%6Nl>F3Ug}nR{g^a|qR0U^OM_+}U%%q~kqDnoG>6T_@cui+F zGSsy+G6IDGJSTn_6a|+Imy};>2{_*t=NExvH#tAIASbmXRS&5MGcW)ZTae-m!ZtFn zFwiqHGXs@Z26_etkOIum%n~GEXkut)hOGb#;gW+{SDsj`04Xz56v{J8GC*ZEB(97s z4Yk;z<){${7aJsJfLM^s5yfh#YhrGRoF%-uGy{rK3lfV!(VhqOP+ESGLQZ~qX0k$l zK}lwAW>sQIW_}(hn=%`lSi-FU8E0y4fNb1qMlP{{#G+!5X%Q~Y3T~M>sS44m#j#uh zEK<yd=9XIAki1n|l9^L%l*N?>&f=7mPQ^vZ`jB*;n3-2ntnZgvQCS?8nUb0x@0(a$ zl3Ju!k&-OHXu%l8W@Mmi2=+ca%^zUo68FnjD9A4=DOSi$EJ@BtO#zqT;Ifq2)B-&R zIs14U8W{L`MA6gjMyy<n76MEbj1G+8Lc!DmlyTvO>`6v0B~ZPikXliYnp{$>kXWLS zlbQ&sQSwq1@{>T-svegByA+eDDZJw4<Kih!EdkZK1*t_Pl>_A+Rzq`LQ_~EF0*(rf z35<*aOk8_NiV`+1mYmcSBf2`Djf=_91b1|t8fwvj>>ujHhcVsb!*rO%2h2azi4POH z$A{T4iw~H8s1qNibdL}7VHO`S|4=7B%;+8;c&hUuS%1L%L!J0Ar+a)@4zv6L^A8o` z!zskincneXWIVj$1MVN{#D@#r<71e$ca32Fp-z1G&^<n2?L*KQ5n6X}DApfFF#k{| zK7!~TAF%e}aET9?f2b25p>&TASo?6e#0ShjREUqF;*vbN#|Nx^I9%cb<{!-X*hSL# z6$=+raXGzX!`NtewXWd)!HkXFB*g|R7jtnr-3JqmVU3Ytk~!f1p-ObneJs%!))pBq z(E;-hRicCLLy5+)rpR!K4w!$a5*>6ONi>GFM21Ur!2Cm%=%D*RqA{!?GF+kq<{zp= z2i?aJjbY<%!zDUk{=tlnBP6w~__%lylj9RplH-d(D|G1H;<Yp!UM*g@e=y@^KS}Yz z$;F<S9G{e&lTG(18D^ajOPGH!qlBESl9D)3S!I|Fy<5WkgBdTRt^VQS;z&%6&nX&+ zyfVysh?X$_V8#hK#aHe?L<y`{HB4%HOPGH!qlB~-S)ehL<Q%%zChT0yMh39r7fXDc z9%?JHP&`D92uVq#e}urw-C+|UFb`oy$QDK}dAH2G%wo{;QGDxPEe3x5D`<T_eEn-6 zmu5gI*ww1V3YmFjsYNBJMH<DLpq1*X#gJVN1(|so#hTz%`b?G<TFm(cB}U9#j0RvL zip|(i*U%Vb=&)I!ZD?+$XKHQ%T91ubplx6RUa@UxVqj{4ZGm<UmttsMW^rb&LP$|! zUU6D}Q7(AZv_f!cZf;^xr4<)sjkcj7(i&}bE>*vL1*pE%oYdUZypm#t^3<YKg%X%4 zsVRC~pq18!hK9&1t?RkmRf`oo^V0H*bwDe?!xM}0Ks!Ug9Ot6U67W78m?(Ioh-$Hd zYf({tQL#d5UUGhEUP)>Z$Z*g$2qO#RZ4k^{j21>LTuep~(i{@gEGD`pASb{Rk2jZ; zYOw-r)q9FUacOdLYH@K|X--ZhXuUU+ktMRJyj<K!n=7I~#)0<ez>Rx4DAx7!bMYd% z5_vb0fhl4sKV)Z;ks)X|l8HHJH<F2^iJpOxF?cr;p|rxs#pRlpq7amtoL`h8zz6`u CPB`!Y diff --git a/proj/AudioProc.runs/impl_1/opt_design.pb b/proj/AudioProc.runs/impl_1/opt_design.pb deleted file mode 100644 index 3cae5c2042c7a3e09cd743dac70a75566073dee9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 13442 zcmd<G<>GP9&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^E@TFDQvmNiEJy&x>L; z(KR$Q&R{5DsbHDF$RNPP)xgN*;8;?Unp;qknU}6mlCO}STB4Askdv95npd2vkd|Mh zkd~TQQd*R%psrf1u8^3QqMu)+kdj)KnG6vE*~)BeV4=m9oS&PUpJyb%#pjt@kdvC5 znpcuol9``p#Kpx?k!+r5WMEJd#b#)(YiweU?1%y`1NZzA((GiFVm3B3AjwY442A;M z3RZ~6W4LsJO7rqSo^}axR!A&XNK_~&N=?qsOUVSe859&C|0L$6z(Ur@2zSV`nCKcA zA^XXJONcADB(Vq_tg6KdA&JG=;E*yjFxKKu&d)0@DN4-DD=9W&<zjXTa*kp%w9qv) zK#3eXE*WGumS>h^D5w@Ilw=g8CZ-f~39w2r8JTD?rxYa{F>^6mM6ntf>l%SV7Vb-D zE>V#0(lYZhi!)MF6re_cv@#o+8)>l@6y;~7CYOL>$Uwohs3^avIEvL!*Tl>aIj~Z= zoC0!E6N^(7ic-^3i$Gyhl98$aGP)?WAit<YK?6d^rxYb?f)h<{eo?AIW?ou;Q7$+Q z=t1o?f!hhO2NY;<=Wb!-V&MX(W7Hs;%*Zu?F(flLRY9Xz(@G(^pj5$D!N9-@jPy)Q z6s#3ea}o=RQ&W(|%}f;Z6ns;2^NT7KG<=;jtrQAU6SEa;6^x8bE%i)HjTNjF(i1cD z6l@jDE%Yo6%oMB@(uz`36$&yci!+lGa};b9Obm=c%D}>9nMEa~U{OO80}~VE*bXH+ zwxzfvoD-8XQWZinb3uvE6A?t%l7K6hs!M)eszPyU2{<K1xHv16Ky)IdA#mU_8JlXc zLFA%XjV*MIO_8H8l#5BVSbzndVQjdpT=P;uF2Lm+aFSK1QE<*kP0lVZ&9zcUGEYfK zHAzX~LiYN49C6mi$kmN2&P=gIm^rT4GB-5UGcf__fyS1Jsi~f&r4g3sGB&k@$0Aa6 z8JnSIPJg1KON2|vCqF$iS;4;m6tq>~w2UpHyt#O|0y02ZM70>4f~A-Z4Gd9}F$))y zp#r#g%*!mvOw7qdF%FdD;N@~W5yr7`u^8$ZDi|qoDL8|2n|o?rY7y9h3XXXx3NGL> zUm-ZPq_hC)ViYT)(NcsHmjlEDAVUcmNH|@r#gQ(07`Zxer3(XW>B0a<x-d2{GS@S* zFht~H13d!+kP+bAij;|sjVwW`z{1F>!WgwKOe8kUjTDSjxRk&FtKf_%$-$u>3@Q!% zQcH3&i$QfAs7yx*^;on}cj0n^g}M<WuJ9T;9K+omElBjZbf7_^;E9q^hNI_Gh)8rS zTuep^Mw(pepenFPA+#VRu_P6o+Mtz)OJYf)f^&XOPHHl!?Sd`06H`91aj_VI3KTvr z9%P%a8HY2@Kn5UdCLCuQa1<2%j9fjW6%={~hV&{cLWzhraBvza7)fx65g$*1Xqmx+ z%M6kkjP#6PZ3eXHrf(1%(JP1(&_cqU%M}_Dpm0bfA|DLXfN>=v?Xhq%87qKV^+Bm6 ziACwDSnHxDMy`mE{F1~R1?P;!%)DZSkbDKFRE3bD#Jplq!#y=cAw0Dx71Xs<aP)Ig z@GH$tN-a{zPeW0XnO9s=tl(Ufnpl#WqL2tt4{q&S7#nFZ=NFWKdM5^8B8uJEP}k7H z7}R)%xB3IQGy_V(-4oSfh0MIN)S{BqB8_5AP&Y%hSRp4rF-4&uGf$&f6VlGLv_LnN z4P>gZ1#)}Wl1m)s^;A#~P9ZrpCkJkXi6z2_C{|-bT@#efp(9$-)8JBrBt2sVn4yHz z)@B^#+9XD<ew^hRs0hQ_nK7VSzBA^M!|zE%F9NwAkj$kA2_w~Fg=A=A05MZ@a*9Et z1`0)~x%p*?xF{B2m0~tBGlxV37Z(T2QgA{66H%aeHMT&Bnn2<bzlnm87?%jRah8}@ zqEJwjUyzs%ZX08(&1jMWebEw;36~KhfJ`7QJT!L=-vnjPrG+gbuzMJL;>xEeap6b_ z;4}s%uq8An;u4yvf)P6xYjAmLD%MVxJz64A<5Gbn0#gOB9+W^ZG%+zYwlp-cK<Qvp zxg0U3SN(0sC4<k4*dscDqKJmY1UOPbgbB8o^&>83%@mA;xdfd;-P{%OF+2Y#ojPx{ zST^D^fW)$y0)~DfVw}8TFauB@7t1i10j^;%V*^u5JtIqVL<ic~NYB6!K7c}c589SX zgIND!kNyruSR)Tqb)eTG`DqH}8JWr8LD0maRB+g0uUqs$m5Z^ZDWr127LB0P0cn$f zS>SYHW`;5v89`h+F;_5><&qB0$V@9y2ue-QEG|hc!Zuull452sa-{?Z`6vXX<|Y;> zB<Dj~J>Z5)aF9<iwCPg>H4frQ6jcbR{4@m^M<E%~jw{IrjT3_m0)>OAi5Vmun7J5{ zybSR-xIt!MhSDIzGFAvl&gKeuyg8f`wmX**=|0Dv#LFlu;qa$4aFl`xaC$~-I)xCI zo-GuNB)P-`@{3Cp0*dmJQ;Um1qr`ZIv~YG=EfjE=GMtl&GnXD|zQ&$RiYQ7ZxFZmp zI=}?BB;rY260uY;;^X3R%gn<&hMh%(VQgG1mY^XgT`p~?aiGKo9l8b$LPLr^@Q@cs zCO9LpAhj4<8$KPa4)){nffQOG^GPt9c4-qdVKK1vb1bnjVx(ZCz$F)!T9lbq2?};- z?gw>T@<3yZWtk<F*dl?Xi52JkJh)+ySth8FD3hRcm`6Zw22nVV5QUZ!9l7jbDbYv) zf0z#E#OH<<EV^9U&|p!3W>0Ycd^iVzK9`g~d^HAW&@C@LRUx=EH#f1Uk_&r1pwA^` ziwoe;BF4o?vP?fCSC#HS0UWOFV&eM3Sfii-NhJ!{L5+ffvNL#$2{!1YfDj6T4mm-D zU^8{8DGK>Xpj8jWpcNlwsd?a;b>u!?v4TPk*Fc4aE{@=M!^U-utwsSc0*Ng^YS07? z6hMAQ5yT>dB9F-d>ENnS0G0m5CFsLeFy%D088VOnvkHwS!e$f9U_dhjoeT3bW`7n* zB@s4*%t6vY1QVnK6x#SY9K=K_$Q&Z9LsA0L0kRoa`xUH|c77gg8IGKCGytuVGv_je zF0;X0MuNG(2KxXYnZrT2nwzFL2LRFAL6i;$k<=Ot$S=n}Zi3PpOyn{T&&<hDD9+9- zP)N?nPtH~V4eRHngBP8F1&Sf7+zL{QGV@auY(OI+dBxzFBW6QG6D_ua@*>cj6nJ*l zz#xjv*hJUR7-irGcO+sulGsS3<}5evAzbtrq_8FHMf5r;elA{cBTm6NCp9q-zTgNY z?y!uKLh}ZSR$@bR=yePNhz?FsE@4=g%Rectv^W{uG{)9F`oPFlq*@GGae=%xQneUm zC6#J1jyw-m2VGSMW`oyAAr1T@1Q83TK+D^Bq?k=C5X*06xTIk2EzXCmc}>m-tpP4c z)dNpMgI8)=85n@3q><UMF=)^v3OKPEv2n40)@y+T42_Kqjlm0s&B5#Ucq(`xi+8b% zI6+eq%oRwXHhj~Q2bWk-Drj9UsEZC=u??E62Ti^+Ss26DdSWcdj$$=5*R=p;PWU8z z10$EaYOw-nIcl*EsLTvcECQ{LhH#vVGE2ZKVqv1-8C}(4=#pWD)V$>U(!7$?qSO>U z&?;vpBMaoU(x3%QW=0^=0!*4i2B26>bWKo3#l5(sK;!<<l#`-RT$&6Te@rXQ$pQ6` zq?n8>k&Q)N+zv7gRE)#dP(B$HS%Z&@2eAU*3OO^FBQk>_IAg#w11A@|g}H^Exv2qB z*<m>&m$++1YH}&KBLiEt4oeBFre?;*23nj2If;3W8L5dWMyXuMh~?S}x@nm?sR|`Y z@oAYwp?R4lpf%j_`2{7ZDSAZ(B?`I)N!TR|k`#1{3M#Nm78O)PaTpru8ktxap{&c8 z#z<((0-aokxfjBYOVTqy!8x%cF(*G=A+uPav;Z^@16phcnonXeG%_~QVo%O5N=;AA zi()sl1g&I2S)4%mg8f}gT*@ICpmp}er8y<VptUxjRra9SuFO0Ia7?K}8#AUBX2_Z0 z9V1tXenx(7YO#Kfkx5==a(;<^L1IaUetBkbhJHzbZdpc3j&5#hs(z@eZeC(;Do89X zGpD2|RX4SyG$k`JuS6fT9YEi)G$k`XpeR3Cuc$PySU)qjASd2XA6EhqV3ZmVdo38F zSdDZI%}`Po=>-TPBSc{oARb(5;h=&Kv@r&<<~{|q(6S_7At^I2v8YlZu_!qsvn&;q zI+%^j%;3xK*^Di8O)LzM*CQQf<Wc}dtZFf2A5BJLu|iU6YMw$mWYspf;AE9zHZwAW zm)ksCT*;ut_XYWxc_l^#TzPbg-~56Sy_DpFD0V}0T|;9FP!SEUC-}IyT=P;Cf>M+7 Ii&6v_0oSb1kN^Mx diff --git a/proj/AudioProc.runs/impl_1/place_design.pb b/proj/AudioProc.runs/impl_1/place_design.pb deleted file mode 100644 index 738422c003fdb4610d67e8106251e732100031e9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2853 zcmd<G=i+hB&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^X2e$Vp62jZaA}&P>mX zVl~k<G&Ig&C}62znZU>(z{J(S$mQTzQj(fmP?DLKu27P%ke*tikf@N8nVgzeoT`wP zU!;(hnpjd=l&YYvTCA>+n3tlTU!;(dT9%m%5dzuEY;0hm#g?3(o133!B*4YznOl&P znwy$el30?NpJ&9y#Zi%Lo@iuXP!h#vXs&B)Vvg*H0xkph{1VdaWR+qzHZ&l~PRk62 z0@eyvh{rv+#DY?DQWJ|o-iG=X5{j%+Ocutt4US?pG}pBN1tQ!mJ1&`^(!4y7NiIRo z3gww4849Y!3MCmusfj7YTmr08OhzVJ%qd05M$BA{7E!E5#=1tJ5P+ND!X*mQnU<NC zS)7rY0y6@v)!Y&}O1Zc=3=~|8it>w!!O>}Hf$XbPE~fyHr&1M)Qqxk4K=E0Uk*WYP zyC}6Fzo<k(1475A6eVkdV>dUyC{-adFD<_)7aUo7U`s6wkSv8*gc5vlTsp{JPApbP zR46D)P0r6t$pl3?$YxM-NX$!tB~2qEBqK4BCX0!#kr8sD7=eMB&E@1<np2VqNjRY7 zl$w{Al#`kQjws}utWXM0w}}e5i4~c-rMU|EX`mG691vOzN^OQlTAX0zX*v1jkTl0; zY@lmqXo#FxoVkR!f=d#Mz&T&FSRo{_I2)Xq4GoO7xRdkqic5+TGxJJ{jX1d20zl<R zQ52h@g|49iDD}gOkRUD|u7Hfh;#39IVn`{(Y-nJJY5)rtlc9o<IF~5I7zNL~%#zH+ zoXje4l7pHGava>$6e3Jz<6<$?GgL5A=TgOLu7Y1`Nls>Qi9&Eb*pt}o`M}6kq*@%3 znVYJhQLJgDkX%rzU<(RMYlYOD#De0~6bM&O!8bKGzo=3{!`DgEN}(V%F&iRbt&pCW znFnL16{V&s6l7EuXC^1+pa_;_7L}Aj#kd4`q?k=C5XF}amlVvc#SphDB<F+5(2`U= z1yJ#6U|<DC=xk#{GjlydQzIjGE>;6Q0|NsiHZB%pBXe_*fT6LGr6st)H3#P{o(djF z;g`V0q*^S%0x#s;xm+PJ2ntT3f>NPI!8s!}IlH(t*Gj?C!Z0y4$;^ZcIsa~8<YM6h zrw7!e(9g)#!-yW<V82-z7$_izI!sW{z*qr$@WXts0FMN47${gPpvMC!NMOQ<m;eV5 zvZMXb0>+ff7#c7N*n@?h!C+`YjbJdt77WG)2FSr+!6g!sk*WY`H7H~jD}dSum0SWG zQcQ*hNJUE&s8lhrG|6Bn;Hcn$q%CK(Ak^a0aLr2r6=u*71Qj!MO+JRE^a{5IMlN^N zVg=8<wESWnP$3eYSOjVoKse4tnI+(20VWD+Oo3EEs&j?ZyyX1Sypq(S)D%5XIm~2a zfm~y<a50$}8nJLO8Cifyb5Ox<6vbkqYl2d8+Hi5H7AvGBX6B@(fQ>OmuFJ7iye25+ zc{G<Wv{eZ;1kx<xkYX~kgqIj<Tq@A$OwKRONm0nlFHr#3I0}h5ISQG1#U+V($*INQ z#*!JR<p56~F<c_96`8O)C$U69wOCIN+~{C7GBAT%z`?~925!e1NpVTI6=f>;CRQpa rSSlErSs9pF85k)T85o&@%QhneGvr#0kBiGSFGV3JH95a1MSu|iC6)mh diff --git a/proj/AudioProc.runs/impl_1/project.wdf b/proj/AudioProc.runs/impl_1/project.wdf index a864247..1a09bd1 100644 --- a/proj/AudioProc.runs/impl_1/project.wdf +++ b/proj/AudioProc.runs/impl_1/project.wdf @@ -1,5 +1,5 @@ version:1 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:3132:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:3131:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:466c6f775f506572664f7074696d697a65645f48696768:00:00 @@ -13,7 +13,7 @@ version:1 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:3139:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:3331:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 @@ -28,4 +28,4 @@ version:1 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3661346466313732396165393434316161366364373034663438313832636337:506172656e742050412070726f6a656374204944:00 -eof:3846490189 +eof:4252997287 diff --git a/proj/AudioProc.runs/impl_1/runme.log b/proj/AudioProc.runs/impl_1/runme.log deleted file mode 100644 index e4b9adb..0000000 --- a/proj/AudioProc.runs/impl_1/runme.log +++ /dev/null @@ -1,315 +0,0 @@ - -*** Running vivado - with args -log tb_firUnit.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source tb_firUnit.tcl -notrace - - -****** Vivado v2024.1 (64-bit) - **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 - **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 - **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 - **** Start of session at: Fri May 9 16:04:45 2025 - ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. - ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. - -source tb_firUnit.tcl -notrace -create_project: Time (s): cpu = 00:00:14 ; elapsed = 00:00:28 . Memory (MB): peak = 1680.586 ; gain = 325.840 ; free physical = 4615 ; free virtual = 14617 -INFO: [IP_Flow 19-234] Refreshing IP repositories -WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/repo'; Can't find the specified path. -If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. -Command: link_design -top tb_firUnit -part xc7a200tsbg484-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Device 21-403] Loading part xc7a200tsbg484-1 -INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2080.266 ; gain = 0.000 ; free physical = 4169 ; free virtual = 14166 -INFO: [Project 1-479] Netlist was created with Vivado 2024.1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc] -WARNING: [Vivado 12-584] No ports matched 'CLK100MHZ'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTNC'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTND'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTNL'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTNR'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTNU'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'rstn'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_adc_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_bclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_dac_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_lrclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_mclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'scl'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sda'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -Finished Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2176.578 ; gain = 0.000 ; free physical = 4046 ; free virtual = 14058 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -8 Infos, 28 Warnings, 27 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:14 . Memory (MB): peak = 2180.547 ; gain = 494.023 ; free physical = 4046 ; free virtual = 14058 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 8 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:00.44 ; elapsed = 00:00:00.64 . Memory (MB): peak = 2259.453 ; gain = 78.906 ; free physical = 4033 ; free virtual = 14044 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -Ending Cache Timing Information Task | Checksum: b7dde4dd - -Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 2715.445 ; gain = 455.992 ; free physical = 3596 ; free virtual = 13622 - -Starting Logic Optimization Task - -Phase 1 Initialization - -Phase 1.1 Core Generation And Design Setup -Phase 1.1 Core Generation And Design Setup | Checksum: b7dde4dd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 - -Phase 1.2 Setup Constraints And Sort Netlist -Phase 1.2 Setup Constraints And Sort Netlist | Checksum: b7dde4dd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 -Phase 1 Initialization | Checksum: b7dde4dd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 - -Phase 2 Timer Update And Timing Data Collection - -Phase 2.1 Timer Update -Phase 2.1 Timer Update | Checksum: b7dde4dd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 - -Phase 2.2 Timing Data Collection -Phase 2.2 Timing Data Collection | Checksum: b7dde4dd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 -Phase 2 Timer Update And Timing Data Collection | Checksum: b7dde4dd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 - -Phase 3 Retarget -INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 3 Retarget | Checksum: b7dde4dd - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 -Retarget | Checksum: b7dde4dd -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells - -Phase 4 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 4 Constant propagation | Checksum: b7dde4dd - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 -Constant propagation | Checksum: b7dde4dd -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 5 Sweep -Phase 5 Sweep | Checksum: 144339148 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 -Sweep | Checksum: 144339148 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 4 cells - -Phase 6 BUFG optimization -Phase 6 BUFG optimization | Checksum: 144339148 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 -BUFG optimization | Checksum: 144339148 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 7 Shift Register Optimization -INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs -Phase 7 Shift Register Optimization | Checksum: 144339148 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 -Shift Register Optimization | Checksum: 144339148 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 8 Post Processing Netlist -Phase 8 Post Processing Netlist | Checksum: 144339148 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 -Post Processing Netlist | Checksum: 144339148 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells - -Phase 9 Finalization - -Phase 9.1 Finalizing Design Cores and Updating Shapes -Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 144339148 - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 - -Phase 9.2 Verifying Netlist Connectivity - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 -Phase 9.2 Verifying Netlist Connectivity | Checksum: 144339148 - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 -Phase 9 Finalization | Checksum: 144339148 - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 0 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 4 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - -Ending Logic Optimization Task | Checksum: 144339148 - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 144339148 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 144339148 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 -Ending Netlist Obfuscation Task | Checksum: 144339148 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 -INFO: [Common 17-83] Releasing license: Implementation -26 Infos, 28 Warnings, 27 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 3059.297 ; gain = 878.750 ; free physical = 3291 ; free virtual = 13313 -INFO: [Vivado 12-24828] Executing command : report_drc -file tb_firUnit_drc_opted.rpt -pb tb_firUnit_drc_opted.pb -rpx tb_firUnit_drc_opted.rpx -Command: report_drc -file tb_firUnit_drc_opted.rpt -pb tb_firUnit_drc_opted.pb -rpx tb_firUnit_drc_opted.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 8 threads -INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpt. -report_drc completed successfully -INFO: [Timing 38-480] Writing timing data to binary archive. -INFO: [Common 17-1381] The checkpoint '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit_opt.dcp' has been generated. -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' -INFO: [Common 17-83] Releasing license: Implementation -INFO: [DRC 23-27] Running DRC with 8 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 8 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 8 CPUs - -Starting Placer Task - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3277 ; free virtual = 13299 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 981aeb64 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3277 ; free virtual = 13299 -Phase 1 Placer Initialization | Checksum: 981aeb64 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.14 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3276 ; free virtual = 13300 -ERROR: [Place 30-494] The design is empty -Resolution: Check if opt_design has removed all the leaf cells of your design. Check whether you have instantiated and connected all of the top level ports. -Ending Placer Task | Checksum: 981aeb64 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.15 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3276 ; free virtual = 13300 -41 Infos, 28 Warnings, 27 Critical Warnings and 2 Errors encountered. -place_design failed -ERROR: [Common 17-69] Command failed: Placer could not place all instances -INFO: [Common 17-206] Exiting Vivado at Fri May 9 16:06:02 2025... diff --git a/proj/AudioProc.runs/impl_1/tb_firUnit.vdi b/proj/AudioProc.runs/impl_1/tb_firUnit.vdi deleted file mode 100644 index 157021a..0000000 --- a/proj/AudioProc.runs/impl_1/tb_firUnit.vdi +++ /dev/null @@ -1,325 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2024.1 (64-bit) -# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 -# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 -# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 -# Start of session at: Fri May 9 16:04:45 2025 -# Process ID: 112122 -# Current directory: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1 -# Command line: vivado -log tb_firUnit.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source tb_firUnit.tcl -notrace -# Log file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit.vdi -# Journal file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/vivado.jou -# Running On :fl-tp-br-515 -# Platform :Ubuntu -# Operating System :Ubuntu 24.04.2 LTS -# Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz -# CPU Frequency :4317.128 MHz -# CPU Physical cores:6 -# CPU Logical cores :12 -# Host memory :16533 MB -# Swap memory :4294 MB -# Total Virtual :20828 MB -# Available Virtual :15874 MB -#----------------------------------------------------------- -source tb_firUnit.tcl -notrace -create_project: Time (s): cpu = 00:00:14 ; elapsed = 00:00:28 . Memory (MB): peak = 1680.586 ; gain = 325.840 ; free physical = 4615 ; free virtual = 14617 -INFO: [IP_Flow 19-234] Refreshing IP repositories -WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/repo'; Can't find the specified path. -If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. -Command: link_design -top tb_firUnit -part xc7a200tsbg484-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Device 21-403] Loading part xc7a200tsbg484-1 -INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2080.266 ; gain = 0.000 ; free physical = 4169 ; free virtual = 14166 -INFO: [Project 1-479] Netlist was created with Vivado 2024.1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc] -WARNING: [Vivado 12-584] No ports matched 'CLK100MHZ'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTNC'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTND'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTNL'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTNR'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTNU'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'rstn'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_adc_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_bclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_dac_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_lrclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_mclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'scl'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sda'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -Finished Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2176.578 ; gain = 0.000 ; free physical = 4046 ; free virtual = 14058 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -8 Infos, 28 Warnings, 27 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:14 . Memory (MB): peak = 2180.547 ; gain = 494.023 ; free physical = 4046 ; free virtual = 14058 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 8 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:00.44 ; elapsed = 00:00:00.64 . Memory (MB): peak = 2259.453 ; gain = 78.906 ; free physical = 4033 ; free virtual = 14044 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -Ending Cache Timing Information Task | Checksum: b7dde4dd - -Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 2715.445 ; gain = 455.992 ; free physical = 3596 ; free virtual = 13622 - -Starting Logic Optimization Task - -Phase 1 Initialization - -Phase 1.1 Core Generation And Design Setup -Phase 1.1 Core Generation And Design Setup | Checksum: b7dde4dd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 - -Phase 1.2 Setup Constraints And Sort Netlist -Phase 1.2 Setup Constraints And Sort Netlist | Checksum: b7dde4dd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 -Phase 1 Initialization | Checksum: b7dde4dd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 - -Phase 2 Timer Update And Timing Data Collection - -Phase 2.1 Timer Update -Phase 2.1 Timer Update | Checksum: b7dde4dd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 - -Phase 2.2 Timing Data Collection -Phase 2.2 Timing Data Collection | Checksum: b7dde4dd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 -Phase 2 Timer Update And Timing Data Collection | Checksum: b7dde4dd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 - -Phase 3 Retarget -INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 3 Retarget | Checksum: b7dde4dd - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 -Retarget | Checksum: b7dde4dd -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells - -Phase 4 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 4 Constant propagation | Checksum: b7dde4dd - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 -Constant propagation | Checksum: b7dde4dd -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 5 Sweep -Phase 5 Sweep | Checksum: 144339148 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 -Sweep | Checksum: 144339148 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 4 cells - -Phase 6 BUFG optimization -Phase 6 BUFG optimization | Checksum: 144339148 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 -BUFG optimization | Checksum: 144339148 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 7 Shift Register Optimization -INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs -Phase 7 Shift Register Optimization | Checksum: 144339148 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 -Shift Register Optimization | Checksum: 144339148 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 8 Post Processing Netlist -Phase 8 Post Processing Netlist | Checksum: 144339148 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 -Post Processing Netlist | Checksum: 144339148 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells - -Phase 9 Finalization - -Phase 9.1 Finalizing Design Cores and Updating Shapes -Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 144339148 - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 - -Phase 9.2 Verifying Netlist Connectivity - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 -Phase 9.2 Verifying Netlist Connectivity | Checksum: 144339148 - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 -Phase 9 Finalization | Checksum: 144339148 - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 0 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 4 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - -Ending Logic Optimization Task | Checksum: 144339148 - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 144339148 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 144339148 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 -Ending Netlist Obfuscation Task | Checksum: 144339148 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 -INFO: [Common 17-83] Releasing license: Implementation -26 Infos, 28 Warnings, 27 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 3059.297 ; gain = 878.750 ; free physical = 3291 ; free virtual = 13313 -INFO: [Vivado 12-24828] Executing command : report_drc -file tb_firUnit_drc_opted.rpt -pb tb_firUnit_drc_opted.pb -rpx tb_firUnit_drc_opted.rpx -Command: report_drc -file tb_firUnit_drc_opted.rpt -pb tb_firUnit_drc_opted.pb -rpx tb_firUnit_drc_opted.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 8 threads -INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpt. -report_drc completed successfully -INFO: [Timing 38-480] Writing timing data to binary archive. -INFO: [Common 17-1381] The checkpoint '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit_opt.dcp' has been generated. -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' -INFO: [Common 17-83] Releasing license: Implementation -INFO: [DRC 23-27] Running DRC with 8 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 8 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 8 CPUs - -Starting Placer Task - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3277 ; free virtual = 13299 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 981aeb64 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3277 ; free virtual = 13299 -Phase 1 Placer Initialization | Checksum: 981aeb64 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.14 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3276 ; free virtual = 13300 -ERROR: [Place 30-494] The design is empty -Resolution: Check if opt_design has removed all the leaf cells of your design. Check whether you have instantiated and connected all of the top level ports. -Ending Placer Task | Checksum: 981aeb64 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.15 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3276 ; free virtual = 13300 -41 Infos, 28 Warnings, 27 Critical Warnings and 2 Errors encountered. -place_design failed -ERROR: [Common 17-69] Command failed: Placer could not place all instances -INFO: [Common 17-206] Exiting Vivado at Fri May 9 16:06:02 2025... diff --git a/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.pb b/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.pb deleted file mode 100644 index 70698d16a043af0b5d745495ba43bfe143354a40..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 37 scmd;LGcqtV(KDRH%<U57tPqr1T$)o-e1M1Hfe=H3B*OxE#sjJh0Ia$Rz5oCK diff --git a/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpt b/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpt deleted file mode 100644 index 155b741..0000000 --- a/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpt +++ /dev/null @@ -1,49 +0,0 @@ -Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 -| Date : Fri May 9 16:06:01 2025 -| Host : fl-tp-br-515 running 64-bit Ubuntu 24.04.2 LTS -| Command : report_drc -file tb_firUnit_drc_opted.rpt -pb tb_firUnit_drc_opted.pb -rpx tb_firUnit_drc_opted.rpx -| Design : tb_firUnit -| Device : xc7a200tsbg484-1 -| Speed File : -1 -| Design State : Fully Routed ---------------------------------------------------------------------------------------------------------------------------------------------- - -Report DRC - -Table of Contents ------------------ -1. REPORT SUMMARY -2. REPORT DETAILS - -1. REPORT SUMMARY ------------------ - Netlist: netlist - Floorplan: design_1 - Design limits: <entire design considered> - Ruledeck: default - Max violations: <unlimited> - Violations found: 1 -+----------+----------+-----------------------------------------------------+------------+ -| Rule | Severity | Description | Violations | -+----------+----------+-----------------------------------------------------+------------+ -| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | -+----------+----------+-----------------------------------------------------+------------+ - -2. REPORT DETAILS ------------------ -CFGBVS-1#1 Warning -Missing CFGBVS and CONFIG_VOLTAGE Design Properties -Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: - - set_property CFGBVS value1 [current_design] - #where value1 is either VCCO or GND - - set_property CONFIG_VOLTAGE value2 [current_design] - #where value2 is the voltage provided to configuration bank 0 - -Refer to the device configuration user guide for more information. -Related violations: <none> - - diff --git a/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpx b/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpx deleted file mode 100644 index 18db1c8b86ff645e9e8d8ac51ecab5deffcb2a5c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1631 zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DRyFDVBlgl)H4#2C`pP>%Pb1b z%PfgcDN2sdFDOY((JLybkof=q|9}1r#sY>4h6YB44o1caj0}^Qxm|*s6`-bkV`X6A zU=(1MVh>L&%FE14SK@GXb9V|0)-}{J_RTCV&df_!fQTq0=A|e&`}?_hy2pq4`-C{U zyDGS(7H6jCDFhVd7o--IWTqAyaX}R88Y&xFFgTrN^-Ikx$w)0yD9K2LnUj}agl<k* zeojeZda6PJ#Gp!r%wmP&)DnfvJg~;((xRf&yps47uyuM03eNd?X_@JzMTsSu`FRRS ziFw%yFe8dnb5fH_6f_|2&{W7REiO?=N(CENlCKcv?Ch_QU!>sf=c0qnM`+4H&Q8uR zDgqgqjAAL&J{^!7^NUhIUd&fWNi9h&%FWD61v}eQ-yh+u(t?8gq7sF)d`MUnWabrv zw1VAkpr@eVSpxQSX?l8UaY<^5LP@?taY1TwW?Ci4tm0G!Xh4>NqXgtGb=Q>45(Ssk zvdrXEq$p5VNGr`t1_ul@_}oK1L0(SD1bHK;5@an%e}s#(LRw}{ssh5uwEUc${Bls7 z7gy$$Bvx2)ae)FZ9v&}{P*o^P%qdMZRES26m{=|a<?@WwqEwiCW--jwNWqR_Bx;fZ z8*N0uXd_Tqf&2iC1yI5%%S?d;8)`g30+@>{C^ZcdM<8t}kU&FKSz4T0q>x^knUV^Q mrQH0YRE5mEwEUu6a5B^L8cofx;4U6b&7d6R?&mUOQ!@aeur9Lz diff --git a/proj/AudioProc.runs/impl_1/tb_firUnit_opt.dcp b/proj/AudioProc.runs/impl_1/tb_firUnit_opt.dcp deleted file mode 100644 index 1a856967414f7c51b75008160279cc1954434f4e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 21007 zcmWIWW@Zs#U|`^2IMA>%D*SAp%TY!K22)lB26hHchLq$2y^7qN&=6Jz=9AoV8GEOA z=g)Q!X}$h+y~IW@am5){7B1VA6AGM;9)6Tq-OeU4E7LHUm$~#`?c0hqCR|++snzE# z@5|ino?$CfCi=o4n`7<sOA%p9+K*<W**^Yre%_>6&0k%ELpdv!EX&c;yp;4MH%+aN zJJ|lCk2Q<kt%o~HR{NO7USBeM@;;v!^IB6*(_0LiRYMtDcrQrh6foL+VG0rLdH=3H z<9ipMjRbROpnCclEuMs=qfHytWVJM2`0cV`3%~WmO8L+34NAva-%s$LxJqNs$vWS6 zYS)tkIhF4j$vo_Sn>!&&G)rpI{N8<gd*yTOV{a#>>^QV$&ej?4jy9xcdPW2Z*M%u$ z281OpetL!T%r>3=-PQAXqvWQDt+lj^(c(DjY`IwPU4iPeMW2LYLOZ5Ud3*2o^d*NB z>Tb?2OKR_vwpkW9XU3)Wtjxo=ectsQU+n4=ck*(l+10PnZ#PZ-AK-uC@0`yuA%_FB zl}+}neg192w~mE#YyN!NH)~7lHTRAhjZck*`}Je_xo=;H{C1=;kneQ<*?*sIUicQ6 z^W}+jU)xdH`&`wZmYln3;K1?wUi#4k-|O8P=YKQ0r0o3f?uEwo8wd7T|M~lbZ}aT! z@{f{CezBuQ`6ai4kY@}G3|Wi}41x@t3?)hNX_-Z#d6^~g#U-UldS&p)ukG9Dci2I| zrMmv;6op1!F{cF~f+m_17I6s3M%`cgSaiDe>u))a_8)(~q@gWs>D;;I)}gJ-FZc(3 zi#_!A@!`LvwZYea2&n(7Xzevuy>>2fI&YV(_VJ0iks<pJo=N$@mu)@CJm;g58k@~$ zjkd4*7tHx`tFwu}c2)sLSwT;}r|d5QVI67riIZ6R!=6oE=oq<hvcK$Wo@D|t^91e} zu`Dc1*>|Bu`If-On|it1`#dFWGn39dnV;~{*stQbhTfZni5+eJ@1L=3DpQ;`SLDfN zm6<B#X48-Etjw~D?#z$7boA+}gna+1kIbl{!zqz>@dX0|Lo*`-g9x_J$w)!uj@rJ1 zS%(b-T;Bic`rB~pidu`AUF#8%W^cvZh31MUrPEhV=-FcS@qYNZg%-A7ww>8CbFNNv zv-~rMzpV=^>TabyO^!Sy9$9pqJ!8(v#C+X3VhV>imD@OX$E3V|Fe$Q0`bPQWA~x#^ zRbd;MLZ#-9`<1+{Rv0)3UoTZX*0Ei?{=dbkZ*P}co>+7v?^EsVFsB8{k0c{b8@Kwq z^N38ov382f$^^Y585KMn6Ww|L{ZU^$gZbse$@bPO*Badq`mO!Op*lZsXIAT<TSWnL zWlpH-s9pY={c4{^?Vj3u2k%OC9Y{RlmGS1J?qzNCAX4Z&WU!lofnf&&Mi3Pw7A5AQ z6d>IvR&p3`DJTtMXcj5vn44knG5q$@-2dfTd&|Oa6eoI@)a|K%w|I8a&Gq-b$E`6x zk@q^QYT6E^xE0FBa@uTuPp_2i)4X$S;;A+5E!*Pfum4!E)+77>JoDSz|L6+Y?a%GM zEYsLJ`>CDG_PfECj#XvG`z-%iBWU`N{rvpRZ?<3O$`Ls8Gu%A&U|;a$b+cY9%kkN@ zeb1@H%{;&VHN54Q5U*^T_M%$1CTM?ETxICB%-=q<&+J`WBkZ^S&nh+s)PxaQA7b;C znStR2GXn!JO2W{q$b)AY#uv=ue<E@!!VE23ofJU8!Xy<$DHx|1xW3=?@!~PdB7Wy- zml*g?IcjQHGH-Tt{WNLUVa^v5w@$qJ&M{L@Dj~;y|1ST<NiSw@xy{|>alZIp`ci{1 z|NkEq1s`wZb(!U5SNz91QfHp&fty>8+<i3h)BCkmOKeX(jMaH>{zOV9pJDfE@7H(g zw(1GRcD8bP-rPFV@01SD?+tY>O`Ch8lFKvR$LtfI;dJp~tNXm2i=~_xrdfHvnSYMW za^=JK1x-1E1uC~CX1qCDpQ0dm-R(d@_Kov#6*;n=Z+tdrc<hMP58a=2&LgnsSE1s< zi93F+TDV?*Q^~_n{nzJi+{)nz{CuQyL-eK%Ur#@NqCMx$6}c;nn;7EvJ$P`nS1w@c z$ySp&MK6~=Tc^l(;myja{NZ;iZmL*aD1A41soMVK+wZ&E*st}!p|keFs<6Ex{y!W( z`o#A8*9so~B(u=xgBU}I>c;?;ZAY$a8AXZzifg-a$3gAF4TmEhQ;hV^{Jhx7w&QlF zT<nJnuM!m>bn@|pB(9nI-8%A4Y*=!PsPEG!OTI;#edev4Q&P2w(<*=Y-0v2XG@E?6 zqO+zQS+VD!<<x(A4_sZ$4*xh|q9~VOFMj9Jt``jIfsc<YJTU*G^3(J+VJV*~^(1v! z7cY7EIA%)9k^e&W4=4UIHnDcw$ME!|%)aB|il^ru-tdE0*xRz$S7tf?>3)@UQr81^ zF0rngcf`nOQi-B&H&3l(+vA<AGRuwq!{dAyQk1spZdiWk**Bq|!J;P^KHO+NyX0`W z1JB3eX<O6VmwcI|<m~s(mg~gv9sdn_xU;yY7<;{)vpy?X{lUB^k0<2GubF-Sp?ceE zlT#@<i{$0~PnpEIIKFu;sv+j@|MDl>BQ@8FcLFU~C5;@9*9J0lRvwPN=25>~XWB-N zZ<qf}xO%?IJW5`^=f{<<lMxju>fdxKgVk2-II?x&*Rtl7-kcYr9{L>JIE9hzfupw@ zoBoQ&YK`Z44)%ZU7vem5>8|cACC)GX`#<j7vFPL$=h_)cs`6ehO18f_8X8(P^IOE1 z`h!o-DwaPHjQ;I)q%}w2itDY59tyv9D9yREUGC=XJRAR)PJXx9e0FUT*xhhr&CfFd zhnvpL*lGKs?7!!`TRRTS)c<_-Ez_FQZg&#fXB_*TZJe}=x#+p&iMVW+|A!^lYbh%7 z-khSFyCsyp>{@QP%-82J>ocF6I^MO{vM*y><ch@IpC6@a&HDA%>VxO&Pa2Y8{yHrC zM0b>Y$q>2H$hkerRMtz5_wqIQ#XFi`EsMHxDScPMZ>_~sT68D>pZs}JF5ec`r3HSG zM%s1rJPh@>eLpkDs@m3q?QE{?mPx;I{>`|nmhE(;To1K?V7bqG?S~ixgXdQU20pX` zA|)AKLhPNJn}6HlTHAj6{Z0+v59@s>N%Gn@xnyI6M%X>!>#z6Z2Jo<450frWicZ$d z?K=49yQ=C<CC!zW&R#s3V7S>s;&Y7Mlb!tWB_gaF<@2s3Zs@F!FrU1zzNoJB&HR2p zyNLQTcKY+0zgPReP8E1-dwcKIq-}lQ4`u$@^1W8j_U+M!yYu}&KQBM6aAUW1-S$~} z%pZ;ag@0755I>^-`Dlsgd)L`F@8#_6dUUpAW7p!nfggS;?}|UuytUr8_ff*<)30mN zawnau`<bf$H-58y)S3In*`KBIT<*XBZzkuz=d<Ty`~R1JSybQ5X$pOFzB%J#>etu* zT|ZqvXn!PrjotdS_K)NfKm6N&?}ArP%C*l)s{e%dw7vHI^RLEa-|nr8UT#<Ty?=Is zRlU7s+1^QVR&)DGe{boS)W7LR{qk$m6GU^CoeyjJQn`2IqvZ#@bhZgiUhDbct@!EI zp3P@_qoef&x9e;Z)6SE5{~_C;`@ZvD>tlQOZ;lJw{I%$0{k$69M~{`Vw>{HcT(EbR zepvh(i;V?&t=*w4Gq3*UPWveT(Qw+0DP`yE)i?b+w|{YhRkfGh|Nm=WrrT}VVZYP! z(e?iumKo>$x|Z>A`$wxS^P2MyZdSFMV;x(QrLr&U<Lx)6+ZOzC3kVQr{-yV=ch`%O zw)3xV=3n#u6ze1_CsoQ(dT>|%h4xI5T~^;VoIK3q+;!>r?w?6w(e*udA1<w~deeX2 z{hIFU$mjBTd3Ps1_p^EZs;=Vi!(;D0_uo3J{F*=S?eTZ(>zf#F8Sq?<zLTG3=&ZZ# ze!`I(Y07M`4;QQ{S+jV$MO<^@-MZ$TZ}v2%%NU<8u`<6e{_&0GfqkaSkKRl?dHHcm zzk&B$p0F=-{n=ihkN=-pdd~hw+5L3p*J<Crtbg)+!p*(r1uo~$2u8>+3x2}fuQP3X z$D!vh`PaB>wcCGqnJ22OB%g3gBf_4&c>ePpw+jol+JDNQ`F`WN^R5m(?Q2+%rOvo^ zwdihV<DD7Z>MJZG`kPB@*WV65VD>=d?aZfTUsL!6B2B9Q`F^@-@iFpyfTM7(f!XFI zo94Y0l<F1qKUci%aEZ;o=WYF-?oS`THLyF}vu#@bnaM5BYVO<lK9-$tb@s@5gC)#L zOR7(#-hcM_PO_iX#U01{*0&#C?LD=??l{AJg^4frH`aYU`(^cI%g^i1>lQ5d&fB`C zEM!OC{+lZ*X6cLndv<j`>!d9k@`RSpDY5YIHLx%``zF$APPuYj2<P`dv-MrI($p8e zsxp!hlW_I!ndIg8-dDqUrcq&%Xlh4b(8`t7N3I_`+L-lywZ{BIE9WtP+`7u=cyvNe zN><dD2j|rl{aIB0Z#+_OuKMrsTLUL8+tU662Eu1m-*3!&WG9=)x2xYPQl0O`z8|I& z7WEk4TeX@^V^(5I+NCJ}7rU4QISg0#->p&AO0AJvn87B<ccx|Uybl{+9G)-Srj<Br zy*Q_<anA*VwJX#`UdlV|m{cyj>1f!&`Ul4jHE8u$I=pn6syDNl_5Q+=kj^u<UfX|V zc$X;kYF_A6laLK%DsI2jsujEQeSp>GBdo6v>ogwtWiaJKN#v%wgJG+gwR}rsCkmTh zy%G^WeS=FOmuBECKIJ8su2^rhzEW|8`}EeMo{2&Ew;gJJhjdkCA5z!%=DJ*Uo9*%9 zOTqgT3ccJijy9=?o$kG)D_R*iY1^S6D@4Aon<4nOhAnSO=*b0VY8P?3xi4E`F?WxW zq{_S9^JV6X2p&v5X*YF^-6G)t-&?;^KeGDW_<lBJ>%4c2OM`{K)!qEiGWlz*vE%yX zj}Njg4xaqAWcT6+)&_I$n)|4}dKojp&-dEp!xNj{2Uu;o@^r<9i~owPOe5_zzRS)y z_bzy;?z@Q{a~S5%S|dN@H{TL#1MjE}c4vgx*YG=ke3#;W`Pz*Cy4RGaF8I`OHP=M% z*}{UKU!I2grCoNMztky+yQkO7vvN<;vz(vruE#%Jd@1O<ZI0RU;?qlAZ0BBLHPi96 zR|pOgmzypWy>I>Z@SiKTlpK+Iw`TdY)7=7v&KkT&cHd9*N#pAJnz$@EK)yXK>(a{| z`k#;9l3Mrt!MsBYZzdf7WguM;A)m&);IgFt_2So^=A35NSj(ROyO85B#ZN^yd-LgK z6OFp{Vhm2E#2(%lnzn9U>Z@5HcJK9LqHl$G^1rdYcH8go`e2ivNwJ&HtPq?mw|eyq znNH6&A#cApU2NYSU0?C}p#7u|G9u><G_xCy^GQAWs$#Qo#^&1TmiyA*ziTdBCwIzq z>MvWfy)Vihe)C>h+P<Y<>0)Y4Z~AqI^GUX8-X824La~#s7ZepPW^WapeS8K>X@}EH z&N$%}ZNXZSF`t%5EuXyVdG)z>$K>*l9FSGGR?9p$HP(0`tF>WBSm@dQ4Su}x_cdiD zKONX%uOTgZYQYYxZ#VYUv;4aKC2~PjGrzP{O_$W2MRQKF*}pM2s(9cZ(7dwbRb9N! zZ?7IbmTrHW%n41c6SUHIXMX)Eyf6N!#MA)WuBp||%jVT&=)EgG;iGNgpA_QtmBHYv z=(48y32Iskt5}ZBTeEMQg+|EVmS?qRd=^R>$eN^2I(l8q{9cItL7#lZjx#<28~u3Z zyIlz>=P0|is3Y^|jRg-U%+Neu=(FV9w>*zC9}a7!{jx~Wy}Z~V>x7DYu;E0@(>@;r zMK`Zpa=})pc)R4}qU&BUs;8H)`yi~<YBp75S6;DN<h|U&MxV4pPwy_9bSOIE&B;&m za-~kxIW4`gY|<gl11hJhx1~+~%VHepxv=_*%{$FcdU@Mcf99O(blZn(wn68drIM4C z-Y4}3&h<CA9If#_+9vm0kG%Q+^{4G$=}au&;I1WWlgzt5WuM>H)h<6%&95gb&)1hZ za>8TQ!mbwqnvYl=mdB}{nt%U6NpdIO_3CynY5$|KN83tXg)aIsYvt?G(ESU!UIu7B zk#txVr|hLaqsZuLx`E_Wzj>S5W=*nm={hj;JYUHCg;_5HRzA(VZ2bC+qEO_s&CFm$ zY4aFU4k=njR^7eyl`nMu!c#A2Xr|lVWOY!JQJR=)XB|@8HfgV`uh2I!SI-%Rp_8o3 zi@3{NRj02z%_q&<KK-Sd82^(s@t=e^!+m->znmz0Wb5<kanwpv4zHzizCUVMX_)cn z)#;^rGj2@Wa`|~c%AqBa92sg064cY8*vgVLwC6tlp?PBsQ%Op|(O<_u^=$mX;2~gN z$esK@*v??dbM{T$sk_}2WJC8n7p}Q5BTw_Xw3o3PXEx_Gsid~2)~^=Ioeo~5wc=>h zc`?ydN2QJ!2~V$D!=9qjcG^L*(<=N^wZNHx3t0!>&6+oh<FNTeo1<_3m9Zy%w-)H~ zvU-0yT<7V%TYJte*%aWj#`Nu$koG4=7dcuMPdd$Bcw4NY`>B&y=1g``onvwm$x~Q8 zX0abR`#R>Pvd48@u9+DOi)OAYW;O{|`OXma@nR(}@7*5?IyOv;POd(?<ym7}+dKXV zQ)M4!q?TV<b@7So%p2a<m(9vxSR|+>zxP<iMj@rkrCV6k>Ut9=ic0QuZ%SUn?tVAf zlX*gq7IUS@(V4R*uRIu77Zv~Tu(L{Rt)NK2&CDsUIx-tF7RUsos`4w%P-rMxFvS}r zvO^{yRjprRK@qFZs*Ot-7dd{`<?7wAeTB)P9`D{cKH=AXJd}FV>*fCbRJmC<^W)DY zPuuTLzqDe)_VP=C6Sp#6JX0d_`pAY0>^C|W9w^!K@$Juf<;HgGzZZ9X@AuvQ_s8-Y z!Bo#DYndviPFv?#zShBJRYv{wE&Fnlc3gP)DL~`m+1=HGvoa@M-6t{qeMiVA&8)d` z-%BH}e}B_>>cqEcuQM2(X0iTJo3ONTwNTLB6H}eae#;bn&v3tW;a;?!Qpu88oPM@X zWV0){RhvteOq>;Wpm^q4N&XPGbp1o8T8uYkNeEBa@I-3+?Wf68Gm}N_5|vkX80y_G zTdSh8^UB+@qsAV;r4r>;O@wb%9pY3GFMi7x;Z|{={pGuzXJVauE^IJzvwg_Xv*K0j z`<yACI!|or<govo9x;9Kybp4-+Ov1IXbbOtvCV#u<@tW2?Q0#ZR<)$*oXeKJocl~D zwyNQM>D3>HW;bMnoIJx(bjM9|Uv_uSv5E4|cTJgIz6g?R(Tl375BxA`=JXi9$NxUR zxx08}W%h*0TC-<5FsgBhpJEYl4RdL+eA4sxO+qM}=vl{rRXxuHXLV++%}`UyJb8&p zPxR~wu1P)$d)`Z1URd(oW%sHxpVbs*ManUkB~9~6m@5&kW@-I>(scEvyMdmsogYhx z-AtOPqn&N^AokdGO}~A9p0gWELM~Zp*<a9IKHEQeWnaoSjXAfU8qRv#Wu0@ou_o;o zS6Px;ae{iA)a9T2dm2+Bx|Y`k+%e;A-d~*iDLv3m?UJ;l`r+9&)6d*$$OsXvyYt1@ zsq^ON`&SRo)0z3^*B}3Lq6e0!p2!e;^;%M0Bl+XGJ?hieJXe+#wEdX5`N70z$1X8X z%sTSOHD~?q)9Rny1m^jMDP%T1(mc<SCU<A=e4TujM>9ROZk&{6Nxi+r!1wvF&1si; z!=lcqt(N$F!9>r!xPI9(rB90KR$80P6r@69_T}#4R6R2-YhpUT;x3!_-`?Eq@Rw># z3Ay-V;mg8SgDTC1n(FT@uM4p*?mGDWK*kk4-;TNQU$ZK&u{mdNX6Uz)ntkm4kvETe zjn?nJ&3!hiAt7zzcFu^;2Up1LTC#oL-gk|g94DGGzg!_^T<#!n@twyKQ<<1!m*uLr zx168VSd!*FvuaoMf%4f6^>bR(?+4x5sM_nWV|zgM;YT(J>S;>6Wl3t&6V%&IUELXP zfBdq3<I{8d_qn`qSP&$7UDR5rH85_^MJ2nJw@dzQeN_4EjbHZ_E@qRpxxxGuDrQpz z93tZ{J&9{~{@S{x?VPRlT@L+2oSXliy8BQ>Kbv{c%uenbosm84)`s0%=k`7KmD!-Z zxg*+2?#aV~+|_yW&ztwzKmG5g_q4%m7VDcT>EEGdMt9scSH$Npj+z~?>Ef|mlPTR% z(veepA4PFzBzM13x?N$qV$(+U+Zho}ORpU(eER*y@!TB4bEnPvw2nV!*nUwb;ri_e z$?9)~(q|vluI;@(_3=lB?H2>|UggXQ={xRx$4zPO&Q*O6cU;&KDdH+Q;i}ssught* z!beZUEuFaI%9hAgE}Rpm2G4#Nbvf;-;L#JOcAhQRXsDwQl>H<$QsKXesmFPq)%{nZ zDk8s`7IA;nOpe;M<lLdvn>0Ur?wQ53ZGuOdUZ}o0+qq>E_dC5+o-~8|>hYDe`&n0% zx;!!p`#U?by}r_AlTlb|YIa=5EO!-+<*9;c`%F3wT(XLSradU&=2haEIAzYXm$5~U z8MbaX`$}a~YkI1o!A=8)Xpbez>8Urvi=Od=nBq$x2guw$EEe?AqqVid?s6J0|IyP+ zFFq@8URpeRtAz-+_A{e>1$mp7v>EYfr*ZAe$=htymh-T%b^1rw;@PZvDct>9dwTR| z-d+>Da7EnF@{A1$d7GcL<SYvRs4As1{kD#r;%tjGMuO9)nw_>kp|(rZ@pi&W8-+_# zAFs+66raX8af3`~ZTF5%5@LZXOg}FA6WueZ>Dq>gE{}>LQ<tpp=9Ttbq93<&^HK9m zfvOIbXFE=L1Zql|P4Y<9^S*ZObtO+!dc?nl*LHOay*6X}t+Z^a@~Jn$eV6@@nwnfa zJ9FxzSABmLy~|yg6uAGOr?%*GUPmb<#a%({IZ5}vGyLCl)`jEE5g)e$emt!Uii>*p z8lO~KT~KiC3*U?9Pkn5@Uimuxn~&V~Q>xcX?yT~4ydWm($hg;lY3jXW>+T%TV>}vn zGH>!#Yl)@>YaRstR66g#c6L_N0$r`iY_~paT9LH(P2kQDKTeGofty~jPw#M_zx?hx z`_*L`c17j7jy_sz_qhDoX}a&!Tvwkfvvs>xNOjDL^F7~_zRUdS>H}P9w}g(E2tQVv z!K-h5`q=4vQ?zYG4FmIMEoJ^XBmBk!QE$toWr^QfHtyJ@=e+E#gWKVpCDT4irSOy< zONraHh-=cJ8C#eoSAJR`D3;t>Kjk?8rSN+@%l5x;|MHW0(<2V$JN;V8&yG7@>^D#j z{Z`!DD*I6W>DAVo$tv4|zbHpsJY}YHDd4;Xlce)p!_Ud<U${@XxW&yy_p#`*Nr&uD zy_UM1^{&Qp?*31{d7ME(OKq+zq^<~7lhV{EUvEAsx@k&?<oVLe$8Q$%pHw|HW5t<C z`_>=-`pz|$>F)f5xkk4)M7Y|%<WS))y_Axs=D+{Ny%x!rM)TTO-HZOO(0?JblvR(v zyN+e`#+%EQT4hPDcRUu5E~DO4w*7_sjEP#0cjiTj8-73EcxHxJ{hT{5dOWQsfJD=H zyQe(+7bq!g@0S+=_SUgtt~BO5_U%H8Jt~AaPu}0CY0jd!GH9N$fYB9&?N&4Q=LP3+ zI9jE+I_o)pjp*F`PbMg2Ve(8LE7x?R4~$;NH&l5D`<c8Bv=mNHo8wylXiiAwc~Obl zut!NPBDI&U-`%}v%er^oA1VWvdr$osGu2){>ZHW0o|Qpek9O^nPjuVV!_H%;U0CgM z>eZ7Z$z93^c?FJd5%9BB*}*9EYJ%shD5jYvEQ%|O=5;Sy%23R%**bGc)3QZVHoWsG z5I>@*eXN+XsLd+fNc;3PMGNK7d&O<5m+s+KGJE6Vl`vgHbqDvO3861`GDtf28hTg1 z5t`~EdD81^%%Rr;%cf-bpRS&7BQyOCk3j+d5yjQFi&=x(tWu4X{q00FCr?vQ4Lw%O z_0FJ}|KxA^o7(S7)SIH^`63zT2ivUCs(7pvcZuc5;#0+a2Jb)4d8@8I`Df*?b*den zQ(vk)jSe`oYVt`XwKAiZ64MM<9hYgE!M@A<X}2vnxi0Z4wGlqED%%#6phIr@eLD90 z*$kzeQ_>1Ie=cE~v5oQP3L{zZZd;|iRW&C+IY0Hjl~9x7y4df5>5{b~F+S&2OaI?| z5yu{RcZG04O`BEx%Krs+tIix_{<$N6%Azx$<Qm?&lq^2Hp(51wi6MvNs|lCn8s2$I zOwv02@xWd8cM40tmF&8wVIg?;amMDP>91TS=wJCcX{y`7dIR~;xW`R3cg#hrEm-9j zRZHiFaROfbA6`T4K(T~HQqo4n_uT*8xon(yw5#B}6kZ;4#tbNH~?e`j9(lV05S zoIl=LQ@G5NbxIlcb&cPWFTLWw%k7$YF|E=>ckV&9^c4@6YS-SaHjoQ7E9ObNeaBSP zyogKBrQBF%Rmw9KP@YtoscEG4*1>I6%(0{4qMvN1zWG*ou>FCo=<>6x3TE*o)hs+0 zzHe2-&lN>8l|RfA{N7t*uex`4V1ayv>Cw#1B6FL}Sw1@Pu8(0(v{}WI#^GgC-n%DV zQA{XuW%k|X7u#HCt9~$X`BD+@>Ql0zV}{9Lf!jI@mLCfcDExXcuuSjL>|?vQWlNqf zI{T?wO5Tdobl;`Oj?WjL8g2ML<$LXvv_*WoVlSpWwddt8i~m@v#`^wXMT#WPjEv&z zCw7beoV&e={UUF-*=qi*ju}2JQb|VtyE;6L1tx0sTONGA;8RNAPZ^i(TDR6Ttax~^ zIN+f6{APoBpf;M*RL_bev5ffZ+kHP&YRPRqw}15)UDub=U6=H8u5&PFZVp()RHS*V z%J#&!r5DUjXIx6I50+Z>=7G<eNv|_gw*N5|SY?u+F|F&X!p!Jf5(#Nt{AEr{cTZln zOFB>K-@#MmJNC}F^Y-VwiX*ANcfDDo=#ineJ3&3|5PMmYhW*_7=l>gQXK6aQ%gSX< zk<)k=&-yXxSglQZ^W5K;#g}eo%vil}!=EEzc8|Stx9+}Fa^7#VL9#EmQkZmg%*Uf_ zpTuWB<y^3~?NUJQYtQvpuAJYyc4_SWm(6ZcZGW?r^XCRBC%YSMVwcJkyI7y}MESP< z)=%uW&!0`Xv3uT}#+hmjb7!<ZWjmytXJRfi@93F+rT@V<+h$Jo^t;C5Vl2}9pAj}# z&H!F_u>grk06;0ff;8%TrU*;DhBFTlN1Y1hLE&W++q2QTwnakNMsyQI*8LMxnB$ z84F_9aY+2wyhBScBx^<?<3h=azDEv+u*NbZF~l?EXM0@u693(1j-~IG87dxGDdrK2 zlTtHoEuMM!XZM0KhqoP846oR(@N!Q2_4!5coz?Y!_dn~-VdI%^ry+T~?#gAOeLZ}M zv0qL#N@cCoUzbp}arVzD-JN;j-}Z){P3`e`edK8J)^{h3e*9S)%6(sS-u-K5ZL35t zf7(&awYqfCqu<M!Uq5|0ukQTgHTOI>@xPFJH*eX_=+DiY7DfiL$vWP*U3pU~Nw8CH zqncqTmy#?4r-cMl{|eQW?oyd&rG;t>*#py`ugeU)`ZOVwZ?gFd<x?3)oB|tsSWcMM zed{m0WxOl(e{^bjt5fLI%-B6$0$E0DD=+-(&0m|oY5%=lm5ya+xYxg#cv$Sd-skCe z@A9}Dn=WU3He#*B@}sTYUo*e)v-cdf=z3{bDDgu;C9px~k?~(O(+3qZ;=e7;Gdz}J z?$h<K$zx4+7z^{Yngc%<bFE`JnWFe$mQPd1(Oo*6t}}hwyn|fV<eZ<rdO`N4m+X5V zYdPf$c~pmI#$=vfmwvzIkOjZ{l>`>g6^2uTP6@cIaB~sya^y}nU~-ybq|GnH(4u(K zSZY@1%9T6*Y~Nxrr=?w1{Mf&n>)4OpiZGSms_(ja=JV!f!aZ*j>Uw_5te9mv_rlUS zHu@Ws3pZTb-@CbO69dOCL3Mt?4!iGdhnX)o+F!3drpEK!aZ1V~gIs}b?iU$eUT0dA z1eBLt><&F@$IkOL(D%n_X8U<|N%jxjKOTE|fyMp{)6=-%wo4C7dZSPMpS*gN>fJ+= zVmrhZ3+C^deqSY#?T+3;e$*7Z+;QJ}c}4~X5JpR}#U+WTDYlqlEeAtUzqNV5S}PBM zPOpXrg{01{OAXC8cl=mYk~IIMRr1R7R~(eW*_o!_x%uC}s7`yX@xo{8v$FlmqTf$p zI$aq3_{7?`UQB{LLX#%maBsW4=wwc`#ksO$nR`y_TC%8F_S`PAjxMO(Jy+YjC|=2p zdsF`L$*RZSc5ogxcyrcy?|It^zx>aaztEW}5Wvo(H2F~GW~K)LHzPb_t&$8T2&We* zH5tvSu-&3!_dbhx+G^L0n{K>%{#V`0WtQUl`OBM1{+;I*&D^9QV==#SNlM9<&z1jk z9~=z|-6FM(_v^39zb|-pvKR4x`NuTt?opNvZj4zAmw9on<Yy3bZRXBa6FJEEV5P|k zX7?%2I99xg$a}-(z_7siG@FC5IxA|J2HriL|4EvG0fhO{rp%I35OZeWV!-=`>wSh< zFAo?w2+VN(asUZ9c&e=MI(2kgsBVa-V)MTlZoj2}>e@%uUb4!Z^y{sj;>jcH7k_3^ z3T=Pz($uzn`(Fd*A50R=={N39FHNsllzFz1ZA*<}R_?-;wL5ElmvQax@f7a6XlYy| z(N=ZXNxH-NfofLzT*=#v5j-!>ex9fuveKd8l&H4FE|*?UW4AMNGrH8yv}T^lU41dm zKC@!opOBwt!zQ;b+1I*iP4$%a^Kp9?b3PAyo)@?>VSdq~m0n+2{w!$-%vl(C`}W=t zEv*Dm4S%l(Cpp(}Kb?O3(8Ipg_L8C<)f4Z<{-`egA#}EIeeTT-jQ0DT6aSoZ%Hd!P zJiSkG&1Ioe#doSJb^aVPw77m^!@Kr(GJFTE#NXBa?0p<3eM*1L?Xw?FKmHo^L*tH9 zQ`+RYJ8QeEKJwobxYoK^ujc9E4eksX2RhqhCfqaSIXpds@A15V9m$Wy>)M^nrj$P1 zeVMa$Q{M}*RUxZnFPxtIK19en%Il%#@sOimd<{iEnri;aIly7F;_8x;`Meh=Txe%5 z`<XCp-?siqRrWI5RX^Wsu%0nz`5LvOb!MV9mI{|R9XGxUof#h}-Cr7Vs@^hT=b?gi zvqV_sry2@BQQ;6`{?ferX4w3=;LMk6+{GR@E_{Ca=;4N+c?Z;uXC$-4?<u*NxiKuw zvoE^aDrTF*hX!WejKl;+)=evf93JduoGa0|sf1Upxpw7;-Hdw$CS6;?_anza-SCTW z*yKZ>ZZ^dI^2iQit1(+3EPCNO=W0*U4>tu28W=1YV>UJwPi9)s#VdM6L^ELu|EbCE z*;tC(zujKEDg1ws-#+OLr#W9%RkMB+u}w`_wd~}}%}jNMjo%hsJTT?uW~Nzz&fS_z zCVeh0+JDjHZD7z+es-1w&c#9&Y;u=Qu792WqxbP*Gx24Ssn2g!Pn$da_@R&fUCw(S zzbe^L(0TZp?<1l8o6lUTd0$#;|7qru@T(KkBaR>2%=0~JuGzHGv>8`-x_{pNwD<X3 zzl_uKOXK9Y^BoJV-k-j`skn2(wm*^W9@l1GRGf8lz47-bS)X@v`~G~Ya<Q_0@27rc z5ofkR=(CF<Y@gR(6`MEn@%QhK-aikwTgosm!r<wQRVD`?HD7vSJ$GKf5$0*nobn3o z3l}`!<gshMI%kHV$<Yf}61{o64RyBf$Y;}->J_kNiq}$gjZaUz-gX2A8!{HpuP>Q# zdghfm&kfeO^&Sq}{+iAARZy|?w%H=Pvwj3@Uh&*aQ_NI=SALbRROaHm$&cnsp3*&T zA^Gd{Z^JJodzTkirtzFJsL_~y-1sYZ${(KBHW&Y}?fkGX&Z4d}Z40Yd*o7tEJU9Of zOk}mX9{1|YiszG)?2o?*^e%qKn8Bsk%3m67$=53Elks<+(1~j&A4+g4XXnoobh~zM z8Ar6u&;Hg8M<@5sdd^|OeCYk(?=>G5dc6&;Ez19F>2B6}#gN}FM5ktMj1Rj^%?e&S z5zTdBdc1Za+S`_Or(f9itjqECtnDUm@9B1b=DxMp{PfX_f6iT6o9TG^(%O?hXNFIb zTyj5rjdFzS+0YArsyS5-YwbK47T~iiW6#ffmy-K@F1}iR=G9rz3(qsZ#?&qKH%a}w zWC7Ri3TtKEzUAt_y^7<mT>52FoFn+$>e{vCCoL~(9T%IZ`ku4tpuNkp8;fdx1%4Ji zdC90k#bj5_*>9iBW8U5|Uq4Oxz2Nrl{W*dw&!4USQdT(q`<u6qY!hyMYx($klYZcf zZxyjiS1~O+u|agl-tZfjce=+cb!s#dV(GlOG|XOt`R~7@^Y7Q+c(h~MwK?}AJl)s$ z&-z>L8hz*W!&jFh7k6Ke_*_<48h3V9@vS|V^ydBh*O<1H?^S2*B_8MN^7{AoWcArg zHZ0>!*(lk+V}^D8qQKo2=imQlo9*1|9BMoHdEon}kM`Hc%$VDJb#L(p{u%e}16ZW) zi8YAV{QS#aDXIJ{!H~UwPI=|zXLkEMKks<AVcnWd>)vE--E{W#?DtXcqbxa?FDzl~ z{_C<h%|3AF_Og#x-~T`U#clWX?4`MHd&?3f+O`?1H2TOiEaN{FcqRY!<fQeFce<!P zPj@g$(O5j!aH<xUM(UkgrRgtUyE^YZn_d#TWWGrPo02zMX4*-G&fVV+7+GyNH`Tqi zYIgsvrqXpXC5#=6;)`Row;$PObZe_ofKbN4X*)#x_3!QRI+ef1oR8C|so_f8mF3sX z*RQ*D?d!YUuX~dv-tn3=d+@W^`uzDf{e~6$a<4z3|1*VVWzTI6R;ha*Kfmw7<CCs) zo73I?+^b*HG3`WFr{#=xj=S}iExD<kmN!Hmb?>f^63{ke-~L65^^lJ5SN6+K>;3Y& zEGz06X1RQL`2Anza=9-jkIKBc%<C|j-DW~Pdr`_n6Ir)n(^Zx|Pvbf*Z>+Yc3H!fA zIxPCkLA$LFzw2LP$d34a;luCrvjN-UL~h>oX}fQ)%@#3xL*2m-zu#9bylbN`^)~AM zr<NqW8VxC*rA16mmRk#w9&S05?Y3O2XJ7xXCkvllY;;>LlC<f0%b_>lPTb^-TCA2) zJI`yv=^fQ&N{)Ju-^~;BdMng;Cxc`0Gle(T*{kNO^Ct*4BwA(UinFf~w9Vb1RqZD= z!+M&h<uL=ruWu#=evjC6Izlz|goNtsbfI~x6DNJRGf{m~ypz*6*$bzY*H658nQ6BL zQ}xW;<B{SYyp?DBNM3xFBRK8Mj5@}H8cwr1dv+^tI_bP6t3&Uy!GhHjrp0k4&fMY{ z7A;U5!mL@!-ePju-tFyix!)I5<>j@?Vz2dYe7)$ViGjlX<e2lp{Wc#YYA2pnnppl~ zYFVLmSB%)n^Xt!4%F1U=>+gz@+vogd&+ESz4BKT24><1oB)#A8-R11Fg?TyCD(>A9 zI4>=|#H%X&Uu5%>t@oy0y|FyLbI;dxHQvoRd$pMtK0KBqJ#U-w8Hr?>tA(P;@8#OU zQkP#}6?bsL%`6lBuH|}<zv<`bN4zeL*DL;fXgRmuwhPPUUF|lnyT57G(TZO|#lgRI znNvS5tgASc6cLl?nv=HlSlTr$yD3($#6;J>dtEetpK8(8rKRz4%Wo_<cU>np^Ww?X zd=A1KK`KX=+}kMCD&4(Y`ZeFt_E#r!^n<_G&HEAX>r3<#?W>%{^XI7+mFF&sd3pJD zT$$RJm#!1nd)H`0rl-8UoPYNC)MJ{8uPdyo=kF8wsW<t;N!xjSX5U}Bp54v1U?sCk zitNOa!i)nZ?i1X0U*rG!NIs=y&22x~n``H5O%)LcWf64#aHfWTvy+9Qoe1xWb^~s1 zrVe?x*>cS_H)>zYnF#QI-cx#kkI~gk_{YmEwGYahcsW;A#EJHoT`&7RC&<ibHD}ME zdCPgay+J%-iKR?zX*OE%iCd-DrG2&x47Xu6<_eQI7b<P+=*JW?L4$1%qfotxpZCF? zxwm&rvP(73)}HE`y)+~(iN8WwIC+-4_rb>85}T9ZFO7a`@o@WSGZioS<jU1IefC;6 z@5<>5qL(kU&g^Qwx*%%J)W}JXuPx%6UVOJ`o!<%v1=i<`x~#_=!<cn+wb{<Fda<6+ zS)8T5Kyb&(9k;Ssneq>@B)slg=is+OW<t_Sb)MMWjG2rtUbiF`{+tn%{VR*9iG@eO z!H*$)%^3@U8O_G44<?wF9=)5FWj^Jazv$MR5)&?kZeU0d4vyOXG5S<8gG_*HXrQ#A zXpm!kHt#g9z%6#wS1(=nnYz?wLpTGM&rU~&Q*VxDn}6{V6IfarWq(m#@|RP%oOCby zw_T13TwM+4+jf7P&AXJbfI;NrNr}16`G3-)0~bWa=jum2Z``|P#T*re;ES`hoHK3L zt~KBKZP(Q-ZT>f<s$0`vX1nI@;6D>2T(Zu0nMq*ka-EI$vdw36n|a3vubHvw$UfnS zWU0lQy)K<KeEob$z`eKku1&u9HbhOxOO4M<Y(`z@iV#OnpOw>-mKvx|^EAmcY*m#D z?mKyGO7Wu2;kvbxcHO+Dw!l}jbdrKspXOw(Wj=N~9Va&Bm)6@JnGm@C%gm{KMUCl- zPb~V)olafsULwHB&Af~4rM_0jj-#^7)l7z4SH|kU3p3wuAQe1cOD>>{iRFxZ-ItC2 z{$0j8>ucU9zPrxN_cCx(iB5k|e$e?32Il8CJLUI;yt>TV`ffw=73DR3f&B(nb^mMn zY~LBO7hBf3Kfa*6GSH&#|6}P#8C}VIWskr6Z@ZZFR$O}j|LamZV%g6h1opo7N={v8 zA+^8$hU7E1vp@bGKBcjJXYmI2lRy4WPoD6ktn=gV@>at>YxZJ;y8kc4tKVPlzHfi4 zb>*wM&Hj3I|2OC!(aoD7w!c11Om}XxrP%L1O|G}sOc!0YnoBq?Q(Sca|EnTPS5_|h zvGw0&4X<9NgC%_P96wh7xAmX!`-!&Evm@{8ueHdP^6_<?S#d;Tqel&ol8Z7Eg8+j7 z!(mAV7J+s{L!amzTagAPxquR%0-qF@nkx!oY)2P5MaXcmG%_$qurP3Nv7dfyFsJ3A z^ec;+M0Q{9%WY3I7UcI@-H(XV4cv9;{GCZRVy2z(>bY^o<5zKXkod+qaUrKZ*oocn z-jW>Pm~P;5HKwcD?bXu4g|@P@pKP-5@xN!&{7vKhB9YilPqhtpZ@3|S$gJ@8w+pjg zDBP9Iydv2uS;*y9q*l0k=BuxXQ*?KPB^o^LPkkG>efiqc9j`iCik`PlYRz@@i<<Go zt8mFB$xGLsZa(^Tb8nZ-wwZduUJd^CYc5X-(MV|xycwzDtT!+1-QDfaAKPZ>%{a4V z?HYqwvhQzxKAr4cu`$oe>fx@#dGGBR*t6vxG`#vFBynf@%2VCGE*ufYEBM#@-D2MH zGH?Ievj4faUj{E;zyDV4pDQmeFW)wQ{_VHF?&f`8Uv~KIpWL4hrPOwRnVa==ZbCL+ z!VM0+?Tj;ZjemZeUEKfk$wa|pn~s@oKl|XZ_-P$S_8BY^)eSFSY)iRQ;I&n|``a<T z7*!Qzk55-8F??*%;%R#J$N%?hmdfxgfer7|)-Q;2tmb_gT2lLb-^3-)!%lV<W%YIP zK2fWYI;v|gnR?Q!J>R0WJTYaR=*vQRt)(oMmf1W<`vc$QZJiV*dNWF7S82p#Ub(DY z>y*5E%=C8`U43?O{-qhs^Xh{&J}9Rw&9zm(ZI%6D*PR6+v+jf~xi8}*bLhg1b0*bU z(sf79O|*W%!7HTo!cQjF=~h~#Y@%D6!mg{C7Ka&+P5&0R{+0c_w=eFy%;~v(Wn=4O z{r=?<d$uX%vMH!lvG&-m-S^S&9fts`<remmq=ZHb3#pPdK3V$%Ox&j?tM(`B-SzUg z_Vj6g`3Z$SiQ{UMA9Q~Z{?Rerd}(<90*ib(@teA#C6m_+_XSz1FDzTuFMm|w@Dur^ z6K`6qZ<N2bag7t_vP2`N>Z)EoBVl=Nr=y1t3nf1H`C54Fmg^VQ1I!OfTGV%(4qsIL z-|O1BC+Yhl5^F6jFXf1ePT%;oqFmf`SNIABTW;Zl_juz=jlQZGGv3+wV&96QGi&5C z?>IEMY%6|oFL=N3oO6vka$L%8X-n?B&Z?QQD(>90V4u|W<=LGccUzD4aEt766u#p# zucP^4)2!;3rxxDK+$eQyj^O=g+lww|?9<!2y|8Z1t;+a=Zc$PTT}(v6FNyAAKh{2J zw}K9@6}N6%ctP~VpJnIvFl?T^$zq#EMuER`&$h`s9zW_dU&`fE^nAl%_a#=WrzWZ< ze46`Pa)V;hVoz<6sedNjotCg9JSg1RH1BqUz$xiNx25;>Mv70~FQ@i=N6HCSM*~(_ z?P<auUYAuRyl3RxR-eW8p)T1(g0cUcr{zS}!tbh8T+Z?fH8;8jR;bT#x7T!^#S=5B zJBTNzWry$7jWW}$)h%b$oMTOkNaKCVEo9L<FKlXs<}y8(uvrsdJ~?b#7AD5&`*W`5 zX2Gxa+?z#|Y}cB<pCULVwdam#Kd<FZiL+iucPzLuWBt=f|9u?(pD=O`mFX+aXua9n zXtOd`tZs9}sm+&WUr*hrtC_P~B{%t94{zWS$0w|TCTr_IXGLh%1ncbA`{5uI{6g~c z<Teq0d4rZi0h!4xPv`Id?HB1eyQzG_%ySaoW^S7Jei^T<P0?}7lWbl~THj4*6Z7WI z67aS4|MAatLrvuF<x}%LcCp=vXL5XgOmW5zwqqqzx-Q;65WwTQRk>X59q)vzHw_ql z=QlPgUOE;1O3-}mlJna?N?%>hJ?G{bFN>SAdRqeLT5OTpy5#8;Gsz6OXAdUuWrW?E z+3{LU*RKEKgTvo0lt|}gobSGq(lPC2-N}nneVYoq(*=HuYv0?mW$Wql2QKY;rWPi% zugBSP0iT5C1OcZFo~3_s_uneKeRb~R`k&L!`z-uDljEPyODnOtUizE9=J4&9`J-;} zg{9jqJkN8udEDYz!2Ct7@|y7dx9zn{B)7GAYTwwka@)y|EHAs}op9eJVYjKz=4<B7 z`Rjkh+?!X+^Kp|{beyE4m-_wPOn37CIVx!L$$7FXnb@=Ky2ZP0+vZ8DDys}WS|uGX zlrflFu(|nabfof}NwGSSH+Nk-CilooVrJr`_^l~#7D~ny?y}%)bg*Ho|6;^+=a9YM z&d-a>C53|SL>v&*Vd3si<KuVPmdQSAqS2Q|fltql7S~@@$!yyuT5@%v&1<&vD>rK| ztWFP*`>Ze3{-#R&F!$A$uI6=`l2*I_6n=8<e8_sX<jS)56V^r=tZy(2W{Lij^XI4d zv#)a}`CmWq)o_dSj#b>JOI8Rk4fpkWcFjoUOyn%yr2l&*<S&IDm|7+NSk+fE_RXKu z{c$IA!nU^e#;6|Z+@QFjCnM6_b;_-uZc~=t;{GlYeC1{+FPq82@72F`lD8ObTh%Dp z>%w|%WyYrksu|5$-DSH<wb@NtOR`_s_IUmKBz2~2;!=yVog!vAGuyV?o>(#e<f6AR zt~acXU(NEq<9#E}GgIDe)*2PXJImQDg7Uwvn~|YcWymkst1fJ@OKGP4PvfoJe<Y?= zZ&JMQZQ7Mv*Js~}*QlH(-c@I+Y1WWu!1eIPg=;Kr$+;}LK^%v4r9!WtcVTB<`Jr*! zn%^HME>hmH;^VrX%p3=^=HLA_rF4OM75|5tIf<I7(hi3Xo%Q{BV@~J2m`Q&tk36>9 z?SFiObNd{wm!_9hk1Nl3|ChJ3l66JOnZ3gL$9|^Y<;=RLxp~fEj#W*^CbwUhkh0Em zRqnQXt4(ZIFLVhMFTEr+dB?dEmpoQV8-y=@vw6Ga-P~7KbGL1}*=P9r_(EZ&(*GIf zl^;c@EZlErAH`Xctf5g*boqa=SHM%<u8p^fC2AK`cIcgU*V+4K{lm-h1>39Y^;;#C zev3)nJ8UDKy8XB9tMf}2`M%Q-b^K`lZQkUFYu1ZAZbdt8t!nJ%6#n<%?#1?ybuJPc z9G2uw(U(v$7im+|`w~|D+}JBw@BGTvyBpPh^j69I$z1<S___D4n&v-_$J??6E1bD_ zHLd;}N~vG{^NNUz+>{$#vE8>HZR=q<>a}dj%*g>sKSJ0deHlZQ16O@&IJxLd`Y%6e zO@o`Q@?VQzc<rq?_$fgrOD5)WfY5%9jZeE?&$|C`Lghy#m5;|?vT5w#{_L^1e6`E- zLm#$$l{Z*pq;jDu#=DF~YQ|>I^}Ye0oR()^yqKA?KKWJYrbX&cgM0OO4V?S7JX&LH zlrPIY@$s$XLn8dM&D8(&x=spmF^%e(y{YS1_2v^-!v)uE>($(KlJ&NYHjAz(|AhKN z5hJ!2784G5a>cicX=dbW^6lO%zkk)asZS#%%@fU=eg*`bnNqXXn)S(=ms7two;+~i z?Y`hgdkZ)pSA2UZqBQ*vLw1VjX0ZvM(s=r>NH9DQQK(jx(=$EQ*SK+3cl?dTPr5I! zSQD~ZCZ{{G(RI6NYtBQDvsb4EE;(&_OR4>m^x{+BWlR)~PW<jBXRyMFS*ptY|51Zo z%Y%9Db8fV7V9fvYO~y-ZT6%oIs&8Ku53`g#ylfw~CH~grh1M#?mS>%Oe;<$Gjn=CX zOt?HD>`UR7<8zV(cSJ6{QX?^6^pCR4T%`-Yvl=|2|FDD{?!M_EbH)Eukn7uj5;Epx z<}7bToO`x4DDC`Tz^!><zif#|bJwNQ;-Yu1x!#|bzDmdZwAA%KfsN@=M}3}mZ?z~m z*zVRR|Kas6-Ry<!aXS}pv%a9fv*P{(4pAr1t~0Zqe&m;$z!TYYSK$ArL#>l?6*Nl3 z>=OB=bZ8hnQ1&&b%)h1>QRcy*c<q7n4BM91%^siMgtQpONHrt`TyhDMG6;C&+Uh9s z;i!wH4BI*P1y;W%Z2$K+K)Ts-M|gvELAj|9pW~ghOLNq9^*VNb{j9TZi_AK)*(u7t zrx!;#s1!9mVXK+;xZuTnj>V-O1qTZcobGh?JfG5kWUn5_yOkHM^KaX}Vt>t@t!m38 zt97_;?eST+-)S<)9FJjK^dNHe%h<Dzuc_=aN%B8_A@m@p)?Y7W>pjK}F9Vq;$rW5b zI_1OB6&fk*Strk*)0Jj=*f?$fvFVa-f`;8?n_3m3!gDsn9(ugc&F<2Y$^gf2YuLj1 zSy~r%g!22aKNEOxp}g)&P|Rfo7oU>*&o3)als*6A=!xf!lQ$U`ew;4C*Ye)@=#0FY z?dn&Un=j7rt}<J;cdDfYx8J{=wx%By=g+>gk$a6n?{o+8ck?q}+X?H(edMrtD|_wn zt3O|NFVCCzd)4d{IVCgCXC0V#OtdoLotyFYFRmUpOMDfUDqhIX>EqRvWSF#U9j}b7 zhGW7E?+rbv>*MMfz5EYW?2Y96{Ep?qytMHDXX@Fep7U~jTD|<=#wEuSIDSdq@VYcb zQFi(Cx6bxA8H;?UPCssKaDMNeoO7p2w{@{T^^rO|v*)%*dZtfb#N9JpL5}mqnYom< zY4+b+^M>2^W9$c~C;OWwEvqcan!>NgEL!vTSKG6@wJ%CuURqP%{qntINb9<Vt<8Of zIxFtK)?BAk_`mFgihE|nzX&IWEB*m>Pyg-vFg@gI(ydFk1KQ+xUTdCxx4t&@*2-)r zf#f}(uWH3Vbh3PHvWUqpIw8$mjOp3)4bygQOZpPVaM7FR5tHrXm7LsiDhx)=-2q3> zv?#t*@tVsXcPu5Dy<z_HJFiV=b1=+$m*T<VA=GkoW|q-ErQfk_uVwi)RoH(%iC!0e zc5R-<jR2b&PJC=%rY1eGd3`$4iSfaX*rnfGIUlF~P<PL&d#`llq?+-wy%U&EGCRKV zZ7)5*vUi$^gXzY(Z~jCbzae5Q?QU{gBF9qhc)^hgc{kjobBo&7IPl*{T6wVRM7GMg z(68BhX6bS+d(Nj_v!u{0j%TX8@NAArAKkkY+GUuJZJ0V`4&(j3|5xXS{Y{I%sK?m% zxNvDPlkHXSJwZOt!orfHZta;CcQfw9H8Ho<DxAN9wr<$1EVHp~&rhfAvzf)jyALeB zq-?6KT$Z^h=M^V^s8+<+=!zqO`=9qrO-_C{%ku08w%@l`H;Mho`5NXTRAv87bBBBP zoWh>c2z!I-JKo!NoSe>Esx8PiV^XV8(Z<P=3#VLZZMAZ>vg>4L<5PKTu`@Yq#ZC9F zJX;x^xNm#ak{;dj6El(C_O<en_!PaRKYi75%8j1r>%^44KEH<LaRGPu-3nvweZMUq ziBD-?^>?}0mhz%Y>>QFSr>v6rb)7djucX-Zk6X^+a(nlGi#`Qy{eP$Fdz{`R@yF_I zb$|9YACT+ydmn7y*qT((S^sh8p`SNjplw+XJZ`!;n1O+zkAZ;!ZJsbQFS!Ul`O1Cr z%-J*E{pFrIo;~HK^}D{G@z(P?>w8w)^GxCClluDJx<*%w&5eRI{B%$GoH@D1OXuX7 z^P4w$>3E$xq2nEDU=nT+V8EQDaEPrputMTe#sqE#)CtS%H*Z&lurV-zFxn(=Q3~25 zaG-do5yP%f20@z-1zFN(TGJCO1MENe?Ba=uJ(h9z&D1cXI-iM;ULH2RW%(|AIhWW5 zV~6t>I`mT4l$M;$PEP7@J3hxqiTe)&JL6x5IbT~Bb{_Yz4SlMzHLG57PmWpiwv#^h z4Z^MFxbL_$VRG*Q$ur;PPHp?W@%-(uEA^j~WEF1yZ;yPn(x4!H-a6%(+tp{}-nn`@ zGH03c@yLB$tGc#*v(9{ec7Og|%gfShc3xJRIQa+rWp)k@mn(YH$^%0MCtAr&c=O|c zz$Xn)f%YR4AKp2;{+_|>P3MAcT>G;s=bh)~2|23^#LhOo3<(Y0w(IIO-bi<LjoW_F z_s{=395=0W#>I?{5q3(i{-?dj{af*S)&=&OCho3(qIMHsEPFb^JoIa|$DLmr4@d@- z?N!*h=t<`8Y#ohnq51j(@0r$x8vG1ZzENi(H|fj|<>&L}71y8p68^R9rjKCp#y!qU z<{hn!zf(AyH}q*}>G?kj_XPCCPdgVhOtF#k>sT?df<3P!`{va@@fC-6?2M>WN-8@m zJbBmG)wh}Ib{HS+K4R(eD%DKVT*XiM>z1>hb_Jd<Stz$X@?E9PoU>_`S<Oc#N?Gli zu`wb}`Kz7F*|eXW&TWAme^#wC=jjydtnRpS`hs9>iJgUlT*#4}X}A5_H*XBc4-WN_ z7vKvx;xR`>$XNWe<CJZy@-^0X@|ZJqS}9%%@^?Ao@h5ZM7eC&0)nBE1q|$e;5`Gjg zX;J_2=OU(Jr)~8%=T6GoTez`?HO}I6xN?8yvF@XvrnD>nny`c~n8W4D&l8b4>Vl?> zlPhv1mOl(q-)nl-$b8q;(ziB_Z+30)etv(~GP~2Y_x(b7z4x&E_l$Vu`G(1H|Dvq# z3#LiO^NZ`{Nc&y6{5s#&_UO7^+n?g`;@9t<-4m{F-+M?;ge!h!^ye>I{^+$w)F0FH zeY`Gqf7VmJk8?HN9Ew?ae%`Tl=e%kRwOy~Qv|-+{R4K@^kWo)VqSQ8Q#$%I@%u@vJ zEL`DqCc{jE+x&p#TKnKrC-1HmTa-3=XU-jl<43q%r%H$J6`Fg)D{$LM-+4PUj`Xx0 z%(%m_-$kHZ#a)%Z%{wZ<OUgsC{>A&5A2V<DvFcSiUaWpM>32)et_-o$_RAi%9sT6L zIrl=&?_kTS+X42T(@ZAtRIsHsTlDwHh&+`)_<*rqz&h5EF^0)?aptUJnOf3Jf#vZ= z4|tRqm>#ZMUGBcY@lBuRmvGT7_Ddd$Tx6fJze>W6vCv~>)u9hP_aCl&w%1aDFOwzO z+V<=L#fsTs6MI~jZ*b9U-*^4(&zHYk3plINZ5Gu#?lV~Okk7^<CHKcoi!W7WQhqP9 zxa!|r_umxhp8p}z_X5W@;r<8pZ294Wwpx{DXS!}Zo3!=wWmXx-E3s#P+pm0hjf+dY z^Gj((rj>p5vQG7m@;D#mtSDD*f!%)=pJ$6X$m=O#x3T#Cf4lPQ&ewVR1s&elg-0DQ zUZmFf|Eg@0EDwLf@f8o37`Yg_Ww^OCsd!G}D{zvWrTkgQVUcIwV~rC6&OFL2oE$<z zjDmhgX0=#<X6!2B_grt1lPfB2q?R)GECa7Y^z$FHauj9n29~p=uI@Y0FDA4>eBo6G z@r*6mGFCsHiJHFO@`AB-_B+?~H)#%wJ1;o<?QNLR^JYedK+YnT<2P-Nc0O9H?Q86M zOlRj$_8C?_Fa7qWEuF&g?#ov(w-q1xJ1>4n?>0JR5PV_sE8itcG<sZ^3#Uj_2G5k; z>E|W0s^;kB;0}c!k?D75q^`9pnl-^-+FPlmp}jr9^3n$b`HFeOPpjW~u*gfrd&7#j zVlzvQzCV{X>-@KoJF&>X`TKRRq@Da*82h%AvF+E@c{@qM@PdbwY#gg)fu2a1-=wvi z4F5GR$b3?HwRm=*)trzw-b;Bt-rr)#!j^eE{Ku_r*>MhzJccft=NN>&?Rg?l>U&pV z_S&$2zODjEtDc3{?<+Mp%P1$zKfmF)SI%{F6~0ej)C*)@`z#N2bm;KAl>DN6-wz$r zmJbv6G^}Nsw(|Eo=4qOVO1Vjs3%N~&G8jX$U+ysfta~kGsz_pecIwkLM|FD2!$tV# zs?Rfi<Mb?XZkw-6)sv|UA4YzdF6Atgm{-2xFni_8*yQB&OI?4rT}WuJRSGv{I$hH8 z|N9=t1=|wSbGs(5&|7)J+pF@I;}>1c`fA%L8=3E{;(}E~kaK(HB(g4l#>Bwj!ok2G zjIwejz9=P8FRM5oaVEvvDY@CV4Frzge;+K<=*S+LlkR!zN$mA9{tIQ%lh!o{wj|9@ z&fWCHv*=dNm)-B5Y&pXF`tWN*VUBBm-@U*5*Z<dFrWY@LHW*zy%sy8-noGa;UC+aE z;p|84%c3H8YS`%J$0<m@<&V^V_w~W*kf8YYlbmj~GA~UKVqh08$(TN)G;y-1kW-jE z-=?J(G}8*Sg0mlROgD1<-r<#A9(?(X1Z(_-U8P&+>KADmF`v$x@J?-mvncQH^$&b? zL)n@u@-3uzA1c-Ou4%~f`*wVN`{N3h<?fpULiVq%Q?X&Yx;@Oyrbj_>MxAE@d+xWr zg}%u}M{ABgo5nkd@kUhkN^yfXn|FRGuv@=%eWO<Q@4Iqy&MeDqbq=29l<s)MEbIf% z+?Wi#Edko<vKxG?48u<tKXhGpM$|pKm-XA_h<90D+gFQSDVY?{dHc$Neb$Q=wR}4D zg7)s4w(?`3YK>s5XShqq*G(rxv;`M`PG08PnW#JcRCLOV*FIdnoY!jl`Cc5Y*`)UN z5?`#!X|IneuP!mTWV1(1`XW@c<8H5p_iBqz#q+$Y*DZZ+CVV&G%HC<_(R{Wy8oobH z3|6e0`>r!iCg0WB%;)dg!pl>?v9A4lXwBsS^Xwmde{$3eV!!u($e*2<zhGv8{ezxg z0!v~h>?&RI^(5P$h}O%Gn2v3EsKxq>tD8H{>+Ip{i3~d8x30|7j=ZGu<*~#J*YqrJ zv7Jsg5+<H3eiU=^<E`k4Y=H(+m5DYtyLMjT_@bWm^!VfaTNUrLd{Xrccefm~{ipJk zdE=uWo7HBEWEnAvU#hfnygl1$lGw@?RkPo>`us8`rFL3xasIBw@X@TW(CqHc-rV*y z{&u~4_7byfWoFgb{kZ+fI`x^;#kJ0RZ4atyStK9NIi)wRDLv@n>EjP3JJ|Y{H`}eW z(mllb$L6Nk?<MadW6xc@RMEJ6qe$fAjQU!oL&ckK?|MGFr+RVzs@<zIqIa17xV`ZI z<Ify__<PRRW!LD%-}wVyUkb?|i&rzT{bpidc%^}H7(+SI`qH~m7yE822-Lj4ej}l* z_y4mb>6QBBLE2w`TW{XsdByw6GZ&@xrQ#=#oqp5Pn9BG+s{YTPe;@6>-ghxM*|lHg zbISC{#VL_N7ag0wY<d*8<U!TMICdNDP0J#!r>I2yEuZVj)bp^Q>+hA)AN6a$M^5G| zxO>R@)FQtApZP2QY5%i-`CQv%g@cA<fxAL$x0A%5G}D6K%gV<xr*X{Z{`;8oZTgLM zIfe=)^%jg~It+R#ZU;JdJh<n4oo7@2N8Pyr>t|f!`0x25^n>?-*s`TZ;=X67c^-|r zx_e%Zg8N0`m5fvOem9mjG%*%#<@9#5Dp=RE<-+Ybs}rss-P<L{x`e~|z86o$0U2SA zqn*OxW<@oxS1oHutC0(=z3?VR&{)YO!&r;q%!)go<=z=hmN@^)!GO_?v%>RqjP@(e zESKQ5OyZSZ%kLVqU)Ztq^fV7{@2r@PS2bc=cbxqw%xe~%pS<-&o!_FDRVxIKNT1$( z_q-dccT3UQ<BQD17cBlRs4AxWgkh&Z?+!OxuhR>>&c3y}Z<Qiq_wC;9bCI)W=I&;D zrevI?{rq~uZd>+_yZ?i#=LD*W{q*r)IPu`|S;;3(?%g%}?Tgr{^S+0!ed@AOFSk-S zow52+v~uU73YM++5}NaE*=laCv`Eu4oh0^PW0;HSz1-}YVyDL!w>zokW|i3Ol3-^% zXYr`+>54a*t~<l|I9}(j`Exx=$jO4Ap=X=9yVU!Fv*Mf7Yfb&`1eeadny1mFAF!zA z)?_iQLtl3t-DYxBZ_UPT&61ks+kSp>GW*&Z>U4ST-8H+PFYSq+Z?pNs#%amtpIpeC z;l4F7P1p4DMZ2Goo6T&}GmpG_^`f@?Ow}EuZ&S;%*PGqpd9X6wB)4MI{(~>Y?8?lQ zvhHVFL~noC8YyafK5fC?Ue0L8m>-N8`MI-lw{4lIXzKrr$9}u@>uSF9b2nBW$}E#k zyR%y)be;>Zsp7@INB8`S72cfj)Q*YMAb3*oyH8BV!cJY``6099&k0}Ef^=n_>-V>= zl~0v&l4}!qlpo;D$Rxrn!hroGI9>)2U}RumXlMkn;OD||Le7N)oehU>0_wqH$R>Pd zWWaXJ7#_1wkKsZ#OOP3hSs9>1x$qc=dSn&EIFPp*8ckSGj6*uW3XgH2C}%+-8>hvJ zY#jJxC_Lt{+($k#24W5*%)L2L%>gZj#cB`(mgV#igCPE^P(U##6;$})F$i^)GqOQi z$|web*F57f2z6;MvO#q!C<ax47y4o~2~w1!cK#tIK>}%+1BywIp#ZEVK|%?&_YN@$ z5=#1xC?<jX@>mUmI0?1&1u+QXq#f?a1|hY@@R+t3rLICY?S>b!X?ozA3&kK-Hjw*y z8F(2EGcz!7_%Sf(F}RwfS0#IyMg;_97@MWJn5Ouc8x=W+dM2h7rH8nA6o(j<Ww=x% M8Ahg81O?gx01qInod5s; diff --git a/proj/AudioProc.runs/impl_1/vivado.jou b/proj/AudioProc.runs/impl_1/vivado.jou deleted file mode 100644 index 52205a1..0000000 --- a/proj/AudioProc.runs/impl_1/vivado.jou +++ /dev/null @@ -1,24 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2024.1 (64-bit) -# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 -# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 -# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 -# Start of session at: Fri May 9 16:04:45 2025 -# Process ID: 112122 -# Current directory: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1 -# Command line: vivado -log tb_firUnit.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source tb_firUnit.tcl -notrace -# Log file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit.vdi -# Journal file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/vivado.jou -# Running On :fl-tp-br-515 -# Platform :Ubuntu -# Operating System :Ubuntu 24.04.2 LTS -# Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz -# CPU Frequency :4317.128 MHz -# CPU Physical cores:6 -# CPU Logical cores :12 -# Host memory :16533 MB -# Swap memory :4294 MB -# Total Virtual :20828 MB -# Available Virtual :15874 MB -#----------------------------------------------------------- -source tb_firUnit.tcl -notrace diff --git a/proj/AudioProc.runs/impl_1/vivado.pb b/proj/AudioProc.runs/impl_1/vivado.pb deleted file mode 100644 index b155e40..0000000 --- a/proj/AudioProc.runs/impl_1/vivado.pb +++ /dev/null @@ -1,4 +0,0 @@ - - - -End Record \ No newline at end of file diff --git a/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/.lpr b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/.lpr new file mode 100644 index 0000000..afc0a86 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/.lpr @@ -0,0 +1,7 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<labtools version="1" minor="0"/> diff --git a/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/dcp.xml b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/dcp.xml new file mode 100755 index 0000000..5534b8a --- /dev/null +++ b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/dcp.xml @@ -0,0 +1,27 @@ +<?xml version="1.0"?> +<Checkpoint Version="22" Minor="0"> + <BUILD_NUMBER Name="5076996"/> + <FULL_BUILD Name="SW Build 5076996 on Wed May 22 18:36:09 MDT 2024"/> + <PRODUCT Name="Vivado v2024.1 (64-bit)"/> + <Part Name="xc7a200tsbg484-1"/> + <FeatureSet Name="FeatureSet_Classic"/> + <NEXTGEN_VERSAL Name="0"/> + <Top Name="tb_firUnit"/> + <DisableAutoIOBuffers Name="0"/> + <OutOfContext Name="0"/> + <MacroLevel Name="1"/> + <RunGenerated Name="1"/> + <HDPlatform Name="0"/> + <File Type="VERILOG_STUB" Name="tb_firUnit_stub.v" ModTime="1746799479"/> + <File Type="VHDL_STUB" Name="tb_firUnit_stub.vhdl" ModTime="1746799479"/> + <File Type="PARAMXML" Name="tb_firUnit_param.xml" ModTime="1746799479"/> + <File Type="PARAMXML" Name="tb_firUnit_param.xml" ModTime="1746799479"/> + <File Type="XN" Name="tb_firUnit.xn" ModTime="1746799479"/> + <File Type="EDIF" Name="tb_firUnit.edf" ModTime="1746799479"/> + <File Type="INCR" Name="tb_firUnit.incr" ModTime="1746799479"/> + <File Type="RDA" Name="tb_firUnit.rda" ModTime="1746799479"/> + <File Type="JSON_RDA" Name="tb_firUnit_rda.json" ModTime="1746799479"/> + <File Type="WDF" Name="tb_firUnit.wdf" ModTime="1746799479"/> + <File Type="SYNTH" Name="tb_firUnit.synth" ModTime="1746799479"/> +</Checkpoint> + diff --git a/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/incrSyn/tb_firUnit.gnd b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/incrSyn/tb_firUnit.gnd new file mode 100644 index 0000000..30f6370 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/incrSyn/tb_firUnit.gnd @@ -0,0 +1 @@ +the design is too small diff --git a/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/top.rda b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/refSynth/top.rda new file mode 100755 index 0000000000000000000000000000000000000000..f239324ac210dbff00fa8cacf684a29879d9ade5 GIT binary patch literal 1535 zcmdPcs{c1(m)Kf;hFJkD0yZBCvZT+nrYBf#kgZVD<%^Lui!!fXYOWOJGx5>O!=|?^ z--R#d65C+xaDGE)i%4ML;l5V~j|lXhi;LgL_KD#O!#jrft0q~|PZgi2F5K>;cG#%% zrF2>^-*bU=CRY*^3)nW+rZ0W?@Ac<xSHIlf6VA@@?SHKJ4nzOzf-|<OcjVr=dOB0= za$)moo2`nTf$T4tnQrAQ;TQ04?>MQYU)o`!$e*EXSTaRvdgwdXR|`!X7WE!ec9xm+ zCBsEV;@sUouXs1=WuFP+Z#`?PDb|!KH7D$%!Q2&Hhk~}>n#K6~#fOB*%-6qf)ZaL~ ziO*2L@U)h>>)-o-_N<l7j~BYt;GW=kasHvD6Z4|@D*Z$4)1O@9XWiGZ={4)gt18~N zWt|;2_E%*$RtSISYE!k(V%a9k>#kz_?!-=Y^%=g$csqlGw&YCB-?4$QHpk9HK_+-h z%GBE{9_|X>e=y>qmb>e#$T{DS%C=vs2)S!)-(h?tcl96VC2`X(8bm#fyK26tprOY? z=4^Y%#0v9MJG`fP?)rLcTjtdHWoIutZJ!o<_J6B+&&;)-gf2R-$(dTN+INNV;Rl!Q zBd!yxq$Sq<I&}U>@{)V*hdr9C<mO81M?PJ?<6?z{jIWzY+_qD;EhoB<{6Bo?cyh=| zt@Vq0j@&=g8sOn^Mdh60B9jCB<wfZ}`5T`3O@5?S$h+XmiodA^k24(P<t^8KnpkmY z+q3tJTvzXz_T=TY^?A81Cyf#|{atSJJ>7?0d0N${O*R+SFS}*C;_0#J&aGUx+b(~( z@+;ccUq3t9|KHJTM@!3%-(NZHKU?o~%Asp7ui4n@?mw+~ZNC2MpoeQ>_h&uj`#5*m z=}i&K&(GVp=A2i}`d2PjmfJ8_OjWv9xt?L;Y57wfA$~Co++1d{{UXmaeD5$E4cR-f zrDA1-j%Z#(epLOkkjcBFHC=q3ZA!hvu-)af%T#NYa*jp9(VT~jW}Xzf)>3gWMMui~ zz|I#JJ5n-^>?}CRb&8`|<1yb~TVp-n+Y1k<>S|P-w=J3<pm$3%FJo%`O}4aKx85(A zYyN-V)YTbN_j{aCx!3s2^Zgyhs@nnkJ^BnfxGdOIO>LDo%&mCyinIRBb^T3|?&%LM zcKB^Ac_^`<Prd%DUJcW~c#d8RVfO<E<+Bf;J2wCN=HIf@vhGDczS!ZGkiC4l_>N}2 zzad{Mc6Yt_JD+Fy4Vz76b+a1eFIYbo_{;sNbv{qNz~<Fmw{CCgO5xFJRrWIdvN!L% z|MmBc&K<i}SN>Nw-V@}wGQ>n{K6gbEheFwWZPf=76DPa;vf5%YcfReVBZ7AVzQo^E z+_Ce6=NgYibASK)zH_(SuB3{du(CplcOjQ{2VH#THht5Yx#|^>*HT~piN1b0edqhz zovRjhOn*?{aBxMSqS7f1SCdI1A|fh>B^sP0m9#z!IUMrrOIF}hYLRf^5Ef86!=cWk z#FMy_S-jz#k?(O~@!iV|nm%}5s1d#PhO3e%#NeCbY+f7NKb=dSeEK%~$T5+sDE@QD z{O@x_Rn2Y^RO#|QKR?{>@|WU`{(OP2S8$lgE}OApbBZQwU3B8ZaLcdC&wuh-vM*9( ze8gXMDNg$2;_WU67`c~wPk+6m{Po%yrJ_GM7X_Z`Dc?1ttfITB?W_b_Pt5mc7v2|` zx5ikB3)`Nmv#?+}em%>4cYf}oK(Vr!pDZUVXb2I#P&H2@zh<?<o}EJBS`!b=n!8wR z;^al_LA+HnPA{CfBJYiD)5h|;^kzY=OCPEx91(ijqEw^nqj~00bDg5i)E@Ee?#`!K z8#k@kQM@KYQ(odpiqn(uvo?PJH_gdfE`96c^~4ti7T^BAX01AQV=`-;cJ<>`wMV2n zJ|s;JeD#_`c&^lq;%6T$&bjCuVs3gpTS{(cpzVI62d~zivx+R(bR{Zh=gkf4PJ2wh zImNEuyOz~{y<M#DCMm8LS&93X2u}%#kT_+qenH%M{{G`NiOB}JN`Duv+;m1WclSH> z=IE9-3!X5MxihDCed)RAQ@Pt=tH-?+jXxA$Cj5z4apagXzyEbn_2SOjuB}cx-#$$a NH|{!cld(B~6#$36^-=%; literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/wt/project.wpc b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/wt/project.wpc new file mode 100644 index 0000000..834da22 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/.Xil/Vivado-73726-fl-tp-br-515/wt/project.wpc @@ -0,0 +1,3 @@ +version:1 +6d6f64655f636f756e7465727c42617463684d6f6465:1 +eof: diff --git a/proj/AudioProc.runs/synth_1/.vivado.begin.rst b/proj/AudioProc.runs/synth_1/.vivado.begin.rst index e227803..323afd7 100644 --- a/proj/AudioProc.runs/synth_1/.vivado.begin.rst +++ b/proj/AudioProc.runs/synth_1/.vivado.begin.rst @@ -1,5 +1,5 @@ <?xml version="1.0"?> <ProcessHandle Version="1" Minor="0"> - <Process Command="vivado" Owner="l24nicot" Host="fl-tp-br-515" Pid="111584" HostCore="12" HostMemory="16146436"> + <Process Command="vivado" Owner="l24nicot" Host="fl-tp-br-515" Pid="73655" HostCore="12" HostMemory="16146432"> </Process> </ProcessHandle> diff --git a/proj/AudioProc.runs/synth_1/.vivado.end.rst b/proj/AudioProc.runs/synth_1/.vivado.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/proj/AudioProc.runs/synth_1/__synthesis_is_complete__ b/proj/AudioProc.runs/synth_1/__synthesis_is_complete__ deleted file mode 100644 index e69de29..0000000 diff --git a/proj/AudioProc.runs/impl_1/.init_design.end.rst b/proj/AudioProc.runs/synth_1/__synthesis_is_running__ similarity index 100% rename from proj/AudioProc.runs/impl_1/.init_design.end.rst rename to proj/AudioProc.runs/synth_1/__synthesis_is_running__ diff --git a/proj/AudioProc.runs/synth_1/gen_run.xml b/proj/AudioProc.runs/synth_1/gen_run.xml index c1d68a1..cae9c9e 100644 --- a/proj/AudioProc.runs/synth_1/gen_run.xml +++ b/proj/AudioProc.runs/synth_1/gen_run.xml @@ -1,24 +1,23 @@ <?xml version="1.0" encoding="UTF-8"?> -<GenRun Id="synth_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1746799385"> +<GenRun Id="synth_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1747058844" LaunchIncrCheckpoint="$PSRCDIR/utils_1/imports/synth_1/tb_firUnit.dcp"> <File Type="VDS-TIMINGSUMMARY" Name="tb_firUnit_timing_summary_synth.rpt"/> <File Type="RDS-DCP" Name="tb_firUnit.dcp"/> <File Type="RDS-UTIL-PB" Name="tb_firUnit_utilization_synth.pb"/> <File Type="RDS-UTIL" Name="tb_firUnit_utilization_synth.rpt"/> + <File Type="RDS-PROPCONSTRS" Name="tb_firUnit_drc_synth.rpt"/> + <File Type="RDS-RDS" Name="tb_firUnit.vds"/> + <File Type="REPORTS-TCL" Name="tb_firUnit_reports.tcl"/> <File Type="VDS-TIMING-PB" Name="tb_firUnit_timing_summary_synth.pb"/> <File Type="PA-TCL" Name="tb_firUnit.tcl"/> - <File Type="REPORTS-TCL" Name="tb_firUnit_reports.tcl"/> - <File Type="RDS-RDS" Name="tb_firUnit.vds"/> - <File Type="RDS-PROPCONSTRS" Name="tb_firUnit_drc_synth.rpt"/> <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> <Filter Type="Srcs"/> - <File Path="$PPRDIR/../src/hdl/operativeUnit.v"> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> <FileInfo> <Attr Name="UsedIn" Val="synthesis"/> - <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> <FileInfo> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="simulation"/> @@ -89,13 +88,6 @@ <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> - <FileInfo> - <Attr Name="UserDisabled" Val="1"/> - <Attr Name="UsedIn" Val="synthesis"/> - <Attr Name="UsedIn" Val="simulation"/> - </FileInfo> - </File> <Config> <Option Name="DesignMode" Val="RTL"/> <Option Name="TopModule" Val="tb_firUnit"/> @@ -115,14 +107,20 @@ </FileSet> <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> <Filter Type="Utils"/> + <File Path="$PSRCDIR/utils_1/imports/synth_1/tb_firUnit.dcp"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedInSteps" Val="synth_1"/> + <Attr Name="AutoDcp" Val="1"/> + </FileInfo> + </File> <Config> <Option Name="TopAutoSet" Val="TRUE"/> </Config> </FileSet> <Strategy Version="1" Minor="2"> - <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"> - <Desc>Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold</Desc> - </StratHandle> + <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"/> <Step Id="synth_design"> <Option Id="FsmExtraction">1</Option> <Option Id="KeepEquivalentRegisters">1</Option> diff --git a/proj/AudioProc.runs/synth_1/runme.log b/proj/AudioProc.runs/synth_1/runme.log index 0eaa5ce..85b5006 100644 --- a/proj/AudioProc.runs/synth_1/runme.log +++ b/proj/AudioProc.runs/synth_1/runme.log @@ -7,534 +7,22 @@ **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 - **** Start of session at: Fri May 9 16:03:09 2025 + **** Start of session at: Mon May 12 16:07:28 2025 ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. source tb_firUnit.tcl -notrace -create_project: Time (s): cpu = 00:00:15 ; elapsed = 00:00:27 . Memory (MB): peak = 1680.684 ; gain = 327.840 ; free physical = 4724 ; free virtual = 14640 +create_project: Time (s): cpu = 00:00:12 ; elapsed = 00:00:25 . Memory (MB): peak = 1680.613 ; gain = 325.840 ; free physical = 5055 ; free virtual = 14804 INFO: [IP_Flow 19-234] Refreshing IP repositories WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/repo'; Can't find the specified path. If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: read_checkpoint -auto_incremental -incremental /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.srcs/utils_1/imports/synth_1/tb_firUnit.dcp +INFO: [Vivado 12-5825] Read reference checkpoint from /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.srcs/utils_1/imports/synth_1/tb_firUnit.dcp for incremental synthesis +INFO: [Vivado 12-7989] Please ensure there are no constraint changes Command: synth_design -top tb_firUnit -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5 Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t' INFO: [Device 21-403] Loading part xc7a200tsbg484-1 INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. -INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. -INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes -INFO: [Synth 8-7075] Helper process launched with PID 111843 ---------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:05 . Memory (MB): peak = 2498.047 ; gain = 420.559 ; free physical = 3566 ; free virtual = 13535 ---------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'tb_firUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:36] -WARNING: [Synth 8-312] ignoring unsynthesizable construct: extra waveform elements [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:57] -WARNING: [Synth 8-312] ignoring unsynthesizable construct: extra waveform elements [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:63] -INFO: [Synth 8-638] synthesizing module 'firUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:42] -INFO: [Synth 8-638] synthesizing module 'controlUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:45] -INFO: [Synth 8-256] done synthesizing module 'controlUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:45] -INFO: [Synth 8-6157] synthesizing module 'operativeUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:17] -INFO: [Synth 8-6157] synthesizing module 'GND' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993] -INFO: [Synth 8-6155] done synthesizing module 'GND' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993] -INFO: [Synth 8-6157] synthesizing module 'BUFG' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] -INFO: [Synth 8-6155] done synthesizing module 'BUFG' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] -INFO: [Synth 8-6157] synthesizing module 'IBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] -INFO: [Synth 8-6155] done synthesizing module 'IBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] -INFO: [Synth 8-6157] synthesizing module 'OBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458] -INFO: [Synth 8-6155] done synthesizing module 'OBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458] -INFO: [Synth 8-6157] synthesizing module 'LUT3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] - Parameter INIT bound to: 8'b10000000 -INFO: [Synth 8-6155] done synthesizing module 'LUT3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] -INFO: [Synth 8-6157] synthesizing module 'DSP48E1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754] - Parameter ACASCREG bound to: 0 - type: integer - Parameter ADREG bound to: 1 - type: integer - Parameter ALUMODEREG bound to: 0 - type: integer - Parameter AREG bound to: 0 - type: integer - Parameter AUTORESET_PATDET bound to: NO_RESET - type: string - Parameter A_INPUT bound to: DIRECT - type: string - Parameter BCASCREG bound to: 0 - type: integer - Parameter BREG bound to: 0 - type: integer - Parameter B_INPUT bound to: DIRECT - type: string - Parameter CARRYINREG bound to: 0 - type: integer - Parameter CARRYINSELREG bound to: 0 - type: integer - Parameter CREG bound to: 0 - type: integer - Parameter DREG bound to: 1 - type: integer - Parameter INMODEREG bound to: 0 - type: integer - Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 - Parameter MREG bound to: 0 - type: integer - Parameter OPMODEREG bound to: 0 - type: integer - Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 - Parameter PREG bound to: 0 - type: integer - Parameter SEL_MASK bound to: MASK - type: string - Parameter SEL_PATTERN bound to: PATTERN - type: string - Parameter USE_DPORT bound to: FALSE - type: string - Parameter USE_MULT bound to: MULTIPLY - type: string - Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string - Parameter USE_SIMD bound to: ONE48 - type: string -INFO: [Synth 8-6155] done synthesizing module 'DSP48E1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754] -WARNING: [Synth 8-689] width (36) of port connection 'P' does not match port width (48) of module 'DSP48E1' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422] -WARNING: [Synth 8-7071] port 'ACOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7071] port 'BCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7071] port 'CARRYCASCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7071] port 'CARRYOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7071] port 'MULTSIGNOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7071] port 'OVERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7071] port 'PATTERNBDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7071] port 'PATTERNDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7071] port 'PCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7071] port 'UNDERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7023] instance 'SC_addResult' of module 'DSP48E1' has 49 connections declared, but only 39 given [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -INFO: [Synth 8-6157] synthesizing module 'LUT2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] - Parameter INIT bound to: 4'b0110 -INFO: [Synth 8-6155] done synthesizing module 'LUT2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] -INFO: [Synth 8-6157] synthesizing module 'LUT4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b1011111111111101 -INFO: [Synth 8-6155] done synthesizing module 'LUT4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'LUT6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] - Parameter INIT bound to: 64'b1010111110100000110011111100111110101111101000001100000011000000 -INFO: [Synth 8-6155] done synthesizing module 'LUT6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] -INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b0101100000011010 -INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b1111011001101111 -INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'MUXF8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674] -INFO: [Synth 8-6155] done synthesizing module 'MUXF8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674] -INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b0001111001111000 -INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'MUXF7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637] -INFO: [Synth 8-6155] done synthesizing module 'MUXF7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637] -INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b1110100110010111 -INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b0110000110000110 -INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] - Parameter INIT bound to: 8'b01000010 -INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] -INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b1010001001000101 -INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b1111000110001111 -INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b1101010110101011 -INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'FDCE' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798] - Parameter INIT bound to: 1'b0 -INFO: [Synth 8-6155] done synthesizing module 'FDCE' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798] -INFO: [Synth 8-6157] synthesizing module 'CARRY4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367] -INFO: [Synth 8-6155] done synthesizing module 'CARRY4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367] -WARNING: [Synth 8-689] width (3) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478] -INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] - Parameter INIT bound to: 4'b0001 -INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] -INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] - Parameter INIT bound to: 8'b00000110 -INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] -INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b0000000001101010 -INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] - Parameter INIT bound to: 4'b1110 -INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] -INFO: [Synth 8-6157] synthesizing module 'LUT5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] - Parameter INIT bound to: 32'b00000000000000000110101010101010 -INFO: [Synth 8-6155] done synthesizing module 'LUT5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] -INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] - Parameter INIT bound to: 4'b0010 -INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] -INFO: [Synth 8-6157] synthesizing module 'VCC' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953] -INFO: [Synth 8-6155] done synthesizing module 'VCC' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953] -INFO: [Synth 8-6155] done synthesizing module 'operativeUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:17] -INFO: [Synth 8-256] done synthesizing module 'firUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:42] -INFO: [Synth 8-256] done synthesizing module 'tb_firUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:36] ---------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 2578.016 ; gain = 500.527 ; free physical = 3483 ; free virtual = 13442 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Handling Custom Attributes ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 2595.828 ; gain = 518.340 ; free physical = 3483 ; free virtual = 13442 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 2595.828 ; gain = 518.340 ; free physical = 3483 ; free virtual = 13442 ---------------------------------------------------------------------------------- -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2601.766 ; gain = 0.000 ; free physical = 3475 ; free virtual = 13434 -INFO: [Netlist 29-17] Analyzing 53 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-570] Preparing netlist for logic optimization - -Processing XDC Constraints -Initializing timing engine -Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc] -WARNING: [Vivado 12-584] No ports matched 'CLK100MHZ'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTNC'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTND'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTNL'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTNR'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTNU'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'rstn'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_adc_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_bclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_dac_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_lrclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_mclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'scl'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sda'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -Finished Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc] -Completed Processing XDC Constraints - -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2668.766 ; gain = 0.000 ; free physical = 3452 ; free virtual = 13420 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2668.766 ; gain = 0.000 ; free physical = 3452 ; free virtual = 13420 ---------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:15 . Memory (MB): peak = 2668.766 ; gain = 591.277 ; free physical = 3385 ; free virtual = 13378 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Loading Part and Timing Information ---------------------------------------------------------------------------------- -Loading part: xc7a200tsbg484-1 ---------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:16 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3385 ; free virtual = 13378 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Applying 'set_property' XDC Constraints ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:16 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3378 ; free virtual = 13374 ---------------------------------------------------------------------------------- -INFO: [Synth 8-802] inferred FSM for state register 'SR_currentState_reg' in module 'controlUnit' ---------------------------------------------------------------------------------------------------- - State | New Encoding | Previous Encoding ---------------------------------------------------------------------------------------------------- - wait_sample | 000001 | 000 - store | 000010 | 001 - processing_loop | 000100 | 010 - output | 001000 | 011 - wait_end_sample | 010000 | 100 - iSTATE | 100000 | 111 ---------------------------------------------------------------------------------------------------- -INFO: [Synth 8-3354] encoded FSM with state register 'SR_currentState_reg' using encoding 'one-hot' in module 'controlUnit' -WARNING: [Synth 8-327] inferring latch for variable 'FSM_onehot_SR_nextState_reg' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:62] ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:10 ; elapsed = 00:00:16 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3354 ; free virtual = 13366 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start RTL Component Statistics ---------------------------------------------------------------------------------- -Detailed RTL Component Info : -+---Muxes : - 5 Input 6 Bit Muxes := 1 - 2 Input 6 Bit Muxes := 1 - 6 Input 3 Bit Muxes := 1 - 6 Input 1 Bit Muxes := 2 - 2 Input 1 Bit Muxes := 6 ---------------------------------------------------------------------------------- -Finished RTL Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Part Resource Summary ---------------------------------------------------------------------------------- -Part Resources: -DSPs: 740 (col length:100) -BRAMs: 730 (col length: RAMB18 100 RAMB36 50) ---------------------------------------------------------------------------------- -Finished Part Resource Summary ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Cross Boundary and Area Optimization ---------------------------------------------------------------------------------- -WARNING: [Synth 8-7080] Parallel synthesis criteria is not met -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[5]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[4]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[3]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[2]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[1]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[0]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[5]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[3]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[2]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[1]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[0]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_incrAddress_IBUF_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_initAddress_IBUF_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_initSum_IBUF_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[0]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[10]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[11]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[12]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[13]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[14]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[15]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[1]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[2]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[3]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[4]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[5]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[6]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[7]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[8]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[9]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_loadOutput_IBUF_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_loadShift_IBUF_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_loadSum_IBUF_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_reset_IBUF_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[0]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[10]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[11]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[12]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[13]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[14]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[15]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[1]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[2]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[3]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[4]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[5]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[6]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[7]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[8]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[9]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_processingDone_OBUF_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[0]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[10]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[12]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[14]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[1]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[2]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[3]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[4]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[5]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[6]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[8]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[9]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[0]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[1]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[2]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[3]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][0]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][10]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][11]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][12]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][13]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][15]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][1]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][2]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][3]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][4]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][5]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][6]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][7]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][8]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][9]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][0]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][10]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][11]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][12]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][13]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][14]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][15]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][1]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][2]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][3]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][4]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][5]) is unused and will be removed from module tb_firUnit. -INFO: [Common 17-14] Message 'Synth 8-3332' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. ---------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:11 ; elapsed = 00:00:18 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3300 ; free virtual = 13357 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Applying XDC Timing Constraints ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:16 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3243 ; free virtual = 13231 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Timing Optimization ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:16 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3243 ; free virtual = 13231 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Technology Mapping ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:16 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3243 ; free virtual = 13232 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Final Netlist Cleanup ---------------------------------------------------------------------------------- -WARNING: synth_design option "-fanout_limit" is deprecated. ---------------------------------------------------------------------------------- -Finished Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3264 ; free virtual = 13252 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Instances ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3264 ; free virtual = 13252 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Rebuilding User Hierarchy ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3265 ; free virtual = 13254 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Ports ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Handling Custom Attributes ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Nets ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Writing Synthesis Report ---------------------------------------------------------------------------------- - -Report BlackBoxes: -+-+--------------+----------+ -| |BlackBox name |Instances | -+-+--------------+----------+ -+-+--------------+----------+ - -Report Cell Usage: -+------+-----+------+ -| |Cell |Count | -+------+-----+------+ -|1 |BUFG | 1| -|2 |LUT1 | 1| -|3 |IBUF | 1| -+------+-----+------+ ---------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255 ---------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 366 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:17 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 526.344 ; free physical = 3266 ; free virtual = 13254 -Synthesis Optimization Complete : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.777 ; gain = 599.281 ; free physical = 3265 ; free virtual = 13254 -INFO: [Project 1-571] Translating synthesized netlist -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2676.777 ; gain = 0.000 ; free physical = 3264 ; free virtual = 13254 -INFO: [Project 1-570] Preparing netlist for logic optimization -WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. firUnit_1/operativeUnit_1/I_clock_IBUF_inst -Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2676.777 ; gain = 0.000 ; free physical = 3561 ; free virtual = 13553 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -Synth Design complete | Checksum: 1fc2f979 -INFO: [Common 17-83] Releasing license: Synthesis -86 Infos, 146 Warnings, 27 Critical Warnings and 0 Errors encountered. -synth_design completed successfully -synth_design: Time (s): cpu = 00:00:26 ; elapsed = 00:00:43 . Memory (MB): peak = 2676.777 ; gain = 992.125 ; free physical = 3563 ; free virtual = 13555 -INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2220.538; main = 1897.222; forked = 375.091 -INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3718.098; main = 2676.773; forked = 1041.324 -INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING -INFO: [Common 17-1381] The checkpoint '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/tb_firUnit.dcp' has been generated. -INFO: [Vivado 12-24828] Executing command : report_utilization -file tb_firUnit_utilization_synth.rpt -pb tb_firUnit_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Fri May 9 16:04:40 2025... diff --git a/proj/AudioProc.runs/synth_1/tb_firUnit.tcl b/proj/AudioProc.runs/synth_1/tb_firUnit.tcl index e97fca8..f36f080 100644 --- a/proj/AudioProc.runs/synth_1/tb_firUnit.tcl +++ b/proj/AudioProc.runs/synth_1/tb_firUnit.tcl @@ -72,9 +72,9 @@ set_property ip_output_repo /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-fil set_property ip_cache_permissions {read write} [current_project] OPTRACE "Creating in-memory project" END { } OPTRACE "Adding files" START { } -read_verilog -library xil_defaultlib /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v read_vhdl -library xil_defaultlib { /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd + /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd } @@ -91,6 +91,8 @@ read_xdc /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/co set_property used_in_implementation false [get_files /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc] set_param ips.enableIPCacheLiteLoad 1 + +read_checkpoint -auto_incremental -incremental /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.srcs/utils_1/imports/synth_1/tb_firUnit.dcp close [open __synthesis_is_running__ w] OPTRACE "synth_design" START { } diff --git a/proj/AudioProc.runs/synth_1/tb_firUnit.vds b/proj/AudioProc.runs/synth_1/tb_firUnit.vds index d3c7a9d..6e6f44c 100644 --- a/proj/AudioProc.runs/synth_1/tb_firUnit.vds +++ b/proj/AudioProc.runs/synth_1/tb_firUnit.vds @@ -3,8 +3,8 @@ # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 # SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 -# Start of session at: Fri May 9 16:03:09 2025 -# Process ID: 111655 +# Start of session at: Mon May 12 16:07:28 2025 +# Process ID: 73726 # Current directory: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1 # Command line: vivado -log tb_firUnit.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source tb_firUnit.tcl # Log file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/tb_firUnit.vds @@ -13,538 +13,26 @@ # Platform :Ubuntu # Operating System :Ubuntu 24.04.2 LTS # Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz -# CPU Frequency :4099.955 MHz +# CPU Frequency :4392.944 MHz # CPU Physical cores:6 # CPU Logical cores :12 # Host memory :16533 MB # Swap memory :4294 MB # Total Virtual :20828 MB -# Available Virtual :15956 MB +# Available Virtual :16177 MB #----------------------------------------------------------- source tb_firUnit.tcl -notrace -create_project: Time (s): cpu = 00:00:15 ; elapsed = 00:00:27 . Memory (MB): peak = 1680.684 ; gain = 327.840 ; free physical = 4724 ; free virtual = 14640 +create_project: Time (s): cpu = 00:00:12 ; elapsed = 00:00:25 . Memory (MB): peak = 1680.613 ; gain = 325.840 ; free physical = 5055 ; free virtual = 14804 INFO: [IP_Flow 19-234] Refreshing IP repositories WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/repo'; Can't find the specified path. If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: read_checkpoint -auto_incremental -incremental /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.srcs/utils_1/imports/synth_1/tb_firUnit.dcp +INFO: [Vivado 12-5825] Read reference checkpoint from /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.srcs/utils_1/imports/synth_1/tb_firUnit.dcp for incremental synthesis +INFO: [Vivado 12-7989] Please ensure there are no constraint changes Command: synth_design -top tb_firUnit -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5 Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t' INFO: [Device 21-403] Loading part xc7a200tsbg484-1 INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. -INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. -INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes -INFO: [Synth 8-7075] Helper process launched with PID 111843 ---------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:05 . Memory (MB): peak = 2498.047 ; gain = 420.559 ; free physical = 3566 ; free virtual = 13535 ---------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'tb_firUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:36] -WARNING: [Synth 8-312] ignoring unsynthesizable construct: extra waveform elements [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:57] -WARNING: [Synth 8-312] ignoring unsynthesizable construct: extra waveform elements [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:63] -INFO: [Synth 8-638] synthesizing module 'firUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:42] -INFO: [Synth 8-638] synthesizing module 'controlUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:45] -INFO: [Synth 8-256] done synthesizing module 'controlUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:45] -INFO: [Synth 8-6157] synthesizing module 'operativeUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:17] -INFO: [Synth 8-6157] synthesizing module 'GND' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993] -INFO: [Synth 8-6155] done synthesizing module 'GND' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993] -INFO: [Synth 8-6157] synthesizing module 'BUFG' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] -INFO: [Synth 8-6155] done synthesizing module 'BUFG' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] -INFO: [Synth 8-6157] synthesizing module 'IBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] -INFO: [Synth 8-6155] done synthesizing module 'IBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] -INFO: [Synth 8-6157] synthesizing module 'OBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458] -INFO: [Synth 8-6155] done synthesizing module 'OBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458] -INFO: [Synth 8-6157] synthesizing module 'LUT3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] - Parameter INIT bound to: 8'b10000000 -INFO: [Synth 8-6155] done synthesizing module 'LUT3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] -INFO: [Synth 8-6157] synthesizing module 'DSP48E1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754] - Parameter ACASCREG bound to: 0 - type: integer - Parameter ADREG bound to: 1 - type: integer - Parameter ALUMODEREG bound to: 0 - type: integer - Parameter AREG bound to: 0 - type: integer - Parameter AUTORESET_PATDET bound to: NO_RESET - type: string - Parameter A_INPUT bound to: DIRECT - type: string - Parameter BCASCREG bound to: 0 - type: integer - Parameter BREG bound to: 0 - type: integer - Parameter B_INPUT bound to: DIRECT - type: string - Parameter CARRYINREG bound to: 0 - type: integer - Parameter CARRYINSELREG bound to: 0 - type: integer - Parameter CREG bound to: 0 - type: integer - Parameter DREG bound to: 1 - type: integer - Parameter INMODEREG bound to: 0 - type: integer - Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 - Parameter MREG bound to: 0 - type: integer - Parameter OPMODEREG bound to: 0 - type: integer - Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 - Parameter PREG bound to: 0 - type: integer - Parameter SEL_MASK bound to: MASK - type: string - Parameter SEL_PATTERN bound to: PATTERN - type: string - Parameter USE_DPORT bound to: FALSE - type: string - Parameter USE_MULT bound to: MULTIPLY - type: string - Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string - Parameter USE_SIMD bound to: ONE48 - type: string -INFO: [Synth 8-6155] done synthesizing module 'DSP48E1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754] -WARNING: [Synth 8-689] width (36) of port connection 'P' does not match port width (48) of module 'DSP48E1' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422] -WARNING: [Synth 8-7071] port 'ACOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7071] port 'BCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7071] port 'CARRYCASCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7071] port 'CARRYOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7071] port 'MULTSIGNOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7071] port 'OVERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7071] port 'PATTERNBDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7071] port 'PATTERNDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7071] port 'PCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7071] port 'UNDERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -WARNING: [Synth 8-7023] instance 'SC_addResult' of module 'DSP48E1' has 49 connections declared, but only 39 given [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] -INFO: [Synth 8-6157] synthesizing module 'LUT2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] - Parameter INIT bound to: 4'b0110 -INFO: [Synth 8-6155] done synthesizing module 'LUT2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] -INFO: [Synth 8-6157] synthesizing module 'LUT4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b1011111111111101 -INFO: [Synth 8-6155] done synthesizing module 'LUT4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'LUT6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] - Parameter INIT bound to: 64'b1010111110100000110011111100111110101111101000001100000011000000 -INFO: [Synth 8-6155] done synthesizing module 'LUT6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] -INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b0101100000011010 -INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b1111011001101111 -INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'MUXF8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674] -INFO: [Synth 8-6155] done synthesizing module 'MUXF8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674] -INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b0001111001111000 -INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'MUXF7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637] -INFO: [Synth 8-6155] done synthesizing module 'MUXF7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637] -INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b1110100110010111 -INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b0110000110000110 -INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] - Parameter INIT bound to: 8'b01000010 -INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] -INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b1010001001000101 -INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b1111000110001111 -INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b1101010110101011 -INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'FDCE' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798] - Parameter INIT bound to: 1'b0 -INFO: [Synth 8-6155] done synthesizing module 'FDCE' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798] -INFO: [Synth 8-6157] synthesizing module 'CARRY4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367] -INFO: [Synth 8-6155] done synthesizing module 'CARRY4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367] -WARNING: [Synth 8-689] width (3) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478] -INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] - Parameter INIT bound to: 4'b0001 -INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] -INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] - Parameter INIT bound to: 8'b00000110 -INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] -INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] - Parameter INIT bound to: 16'b0000000001101010 -INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] -INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] - Parameter INIT bound to: 4'b1110 -INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] -INFO: [Synth 8-6157] synthesizing module 'LUT5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] - Parameter INIT bound to: 32'b00000000000000000110101010101010 -INFO: [Synth 8-6155] done synthesizing module 'LUT5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] -INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] - Parameter INIT bound to: 4'b0010 -INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] -INFO: [Synth 8-6157] synthesizing module 'VCC' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953] -INFO: [Synth 8-6155] done synthesizing module 'VCC' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953] -INFO: [Synth 8-6155] done synthesizing module 'operativeUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:17] -INFO: [Synth 8-256] done synthesizing module 'firUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:42] -INFO: [Synth 8-256] done synthesizing module 'tb_firUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:36] ---------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 2578.016 ; gain = 500.527 ; free physical = 3483 ; free virtual = 13442 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Handling Custom Attributes ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 2595.828 ; gain = 518.340 ; free physical = 3483 ; free virtual = 13442 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 2595.828 ; gain = 518.340 ; free physical = 3483 ; free virtual = 13442 ---------------------------------------------------------------------------------- -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2601.766 ; gain = 0.000 ; free physical = 3475 ; free virtual = 13434 -INFO: [Netlist 29-17] Analyzing 53 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-570] Preparing netlist for logic optimization - -Processing XDC Constraints -Initializing timing engine -Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc] -WARNING: [Vivado 12-584] No ports matched 'CLK100MHZ'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTNC'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTND'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTNL'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTNR'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'BTNU'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'rstn'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sw7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_adc_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_bclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_dac_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_lrclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'ac_mclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'scl'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'sda'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203] -Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. -Finished Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc] -Completed Processing XDC Constraints - -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2668.766 ; gain = 0.000 ; free physical = 3452 ; free virtual = 13420 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2668.766 ; gain = 0.000 ; free physical = 3452 ; free virtual = 13420 ---------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:15 . Memory (MB): peak = 2668.766 ; gain = 591.277 ; free physical = 3385 ; free virtual = 13378 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Loading Part and Timing Information ---------------------------------------------------------------------------------- -Loading part: xc7a200tsbg484-1 ---------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:16 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3385 ; free virtual = 13378 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Applying 'set_property' XDC Constraints ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:16 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3378 ; free virtual = 13374 ---------------------------------------------------------------------------------- -INFO: [Synth 8-802] inferred FSM for state register 'SR_currentState_reg' in module 'controlUnit' ---------------------------------------------------------------------------------------------------- - State | New Encoding | Previous Encoding ---------------------------------------------------------------------------------------------------- - wait_sample | 000001 | 000 - store | 000010 | 001 - processing_loop | 000100 | 010 - output | 001000 | 011 - wait_end_sample | 010000 | 100 - iSTATE | 100000 | 111 ---------------------------------------------------------------------------------------------------- -INFO: [Synth 8-3354] encoded FSM with state register 'SR_currentState_reg' using encoding 'one-hot' in module 'controlUnit' -WARNING: [Synth 8-327] inferring latch for variable 'FSM_onehot_SR_nextState_reg' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:62] ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:10 ; elapsed = 00:00:16 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3354 ; free virtual = 13366 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start RTL Component Statistics ---------------------------------------------------------------------------------- -Detailed RTL Component Info : -+---Muxes : - 5 Input 6 Bit Muxes := 1 - 2 Input 6 Bit Muxes := 1 - 6 Input 3 Bit Muxes := 1 - 6 Input 1 Bit Muxes := 2 - 2 Input 1 Bit Muxes := 6 ---------------------------------------------------------------------------------- -Finished RTL Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Part Resource Summary ---------------------------------------------------------------------------------- -Part Resources: -DSPs: 740 (col length:100) -BRAMs: 730 (col length: RAMB18 100 RAMB36 50) ---------------------------------------------------------------------------------- -Finished Part Resource Summary ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Cross Boundary and Area Optimization ---------------------------------------------------------------------------------- -WARNING: [Synth 8-7080] Parallel synthesis criteria is not met -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[5]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[4]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[3]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[2]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[1]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[0]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[5]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[3]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[2]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[1]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[0]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_incrAddress_IBUF_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_initAddress_IBUF_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_initSum_IBUF_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[0]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[10]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[11]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[12]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[13]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[14]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[15]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[1]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[2]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[3]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[4]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[5]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[6]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[7]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[8]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[9]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_loadOutput_IBUF_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_loadShift_IBUF_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_loadSum_IBUF_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_reset_IBUF_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[0]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[10]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[11]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[12]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[13]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[14]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[15]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[1]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[2]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[3]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[4]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[5]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[6]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[7]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[8]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[9]_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_processingDone_OBUF_inst) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[0]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[10]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[12]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[14]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[1]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[2]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[3]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[4]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[5]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[6]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[8]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[9]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[0]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[1]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[2]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[3]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][0]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][10]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][11]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][12]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][13]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][15]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][1]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][2]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][3]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][4]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][5]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][6]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][7]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][8]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][9]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][0]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][10]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][11]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][12]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][13]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][14]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][15]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][1]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][2]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][3]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][4]) is unused and will be removed from module tb_firUnit. -WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][5]) is unused and will be removed from module tb_firUnit. -INFO: [Common 17-14] Message 'Synth 8-3332' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. ---------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:11 ; elapsed = 00:00:18 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3300 ; free virtual = 13357 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Applying XDC Timing Constraints ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:16 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3243 ; free virtual = 13231 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Timing Optimization ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:16 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3243 ; free virtual = 13231 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Technology Mapping ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:16 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3243 ; free virtual = 13232 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Final Netlist Cleanup ---------------------------------------------------------------------------------- -WARNING: synth_design option "-fanout_limit" is deprecated. ---------------------------------------------------------------------------------- -Finished Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3264 ; free virtual = 13252 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Instances ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3264 ; free virtual = 13252 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Rebuilding User Hierarchy ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3265 ; free virtual = 13254 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Ports ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Handling Custom Attributes ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Nets ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Writing Synthesis Report ---------------------------------------------------------------------------------- - -Report BlackBoxes: -+-+--------------+----------+ -| |BlackBox name |Instances | -+-+--------------+----------+ -+-+--------------+----------+ - -Report Cell Usage: -+------+-----+------+ -| |Cell |Count | -+------+-----+------+ -|1 |BUFG | 1| -|2 |LUT1 | 1| -|3 |IBUF | 1| -+------+-----+------+ ---------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255 ---------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 366 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:17 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 526.344 ; free physical = 3266 ; free virtual = 13254 -Synthesis Optimization Complete : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.777 ; gain = 599.281 ; free physical = 3265 ; free virtual = 13254 -INFO: [Project 1-571] Translating synthesized netlist -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2676.777 ; gain = 0.000 ; free physical = 3264 ; free virtual = 13254 -INFO: [Project 1-570] Preparing netlist for logic optimization -WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. firUnit_1/operativeUnit_1/I_clock_IBUF_inst -Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2676.777 ; gain = 0.000 ; free physical = 3561 ; free virtual = 13553 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -Synth Design complete | Checksum: 1fc2f979 -INFO: [Common 17-83] Releasing license: Synthesis -86 Infos, 146 Warnings, 27 Critical Warnings and 0 Errors encountered. -synth_design completed successfully -synth_design: Time (s): cpu = 00:00:26 ; elapsed = 00:00:43 . Memory (MB): peak = 2676.777 ; gain = 992.125 ; free physical = 3563 ; free virtual = 13555 -INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2220.538; main = 1897.222; forked = 375.091 -INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3718.098; main = 2676.773; forked = 1041.324 -INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING -INFO: [Common 17-1381] The checkpoint '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/tb_firUnit.dcp' has been generated. -INFO: [Vivado 12-24828] Executing command : report_utilization -file tb_firUnit_utilization_synth.rpt -pb tb_firUnit_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Fri May 9 16:04:40 2025... diff --git a/proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.pb b/proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.pb deleted file mode 100644 index 5025e353ba537f34af2cf8001ac91e37695c0681..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 276 zcmd;LGcqtT(KDRHtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z<zZl8V3^CxP}J=4lo!N)E5yLiV82(Gp@`X|RfOxhvQ4ifNc^rOLxqX^QYjF7tvrbQ zE6>o-=X_KF#6GJEBIl|xG;DKzss>{B8iB~WMhpy=E=!F;?6npklmA*UFfceBwPaxM zaF}Gp;S}oT?i>>2bJh-|WUf5}gM#Bzdl37r3y9q73KD5`1F?I(LF8R;28ITQr9L3` gCm&w-kN{VscxMksKR;KWwSgefzkwi&js}6)06ObLN&o-= diff --git a/proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.rpt b/proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.rpt deleted file mode 100644 index c69e47c..0000000 --- a/proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.rpt +++ /dev/null @@ -1,175 +0,0 @@ -Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 -| Date : Fri May 9 16:04:40 2025 -| Host : fl-tp-br-515 running 64-bit Ubuntu 24.04.2 LTS -| Command : report_utilization -file tb_firUnit_utilization_synth.rpt -pb tb_firUnit_utilization_synth.pb -| Design : tb_firUnit -| Device : xc7a200tsbg484-1 -| Speed File : -1 -| Design State : Synthesized ---------------------------------------------------------------------------------------------------------------------------------------------- - -Utilization Design Information - -Table of Contents ------------------ -1. Slice Logic -1.1 Summary of Registers by Type -2. Memory -3. DSP -4. IO and GT Specific -5. Clocking -6. Specific Feature -7. Primitives -8. Black Boxes -9. Instantiated Netlists - -1. Slice Logic --------------- - -+-------------------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+-------------------------+------+-------+------------+-----------+-------+ -| Slice LUTs* | 1 | 0 | 0 | 134600 | <0.01 | -| LUT as Logic | 1 | 0 | 0 | 134600 | <0.01 | -| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | -| Slice Registers | 0 | 0 | 0 | 269200 | 0.00 | -| Register as Flip Flop | 0 | 0 | 0 | 269200 | 0.00 | -| Register as Latch | 0 | 0 | 0 | 269200 | 0.00 | -| F7 Muxes | 0 | 0 | 0 | 67300 | 0.00 | -| F8 Muxes | 0 | 0 | 0 | 33650 | 0.00 | -+-------------------------+------+-------+------------+-----------+-------+ -* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. -Warning! LUT value is adjusted to account for LUT combining. -Warning! For any ECO changes, please run place_design if there are unplaced instances - - -1.1 Summary of Registers by Type --------------------------------- - -+-------+--------------+-------------+--------------+ -| Total | Clock Enable | Synchronous | Asynchronous | -+-------+--------------+-------------+--------------+ -| 0 | _ | - | - | -| 0 | _ | - | Set | -| 0 | _ | - | Reset | -| 0 | _ | Set | - | -| 0 | _ | Reset | - | -| 0 | Yes | - | - | -| 0 | Yes | - | Set | -| 0 | Yes | - | Reset | -| 0 | Yes | Set | - | -| 0 | Yes | Reset | - | -+-------+--------------+-------------+--------------+ - - -2. Memory ---------- - -+----------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+----------------+------+-------+------------+-----------+-------+ -| Block RAM Tile | 0 | 0 | 0 | 365 | 0.00 | -| RAMB36/FIFO* | 0 | 0 | 0 | 365 | 0.00 | -| RAMB18 | 0 | 0 | 0 | 730 | 0.00 | -+----------------+------+-------+------------+-----------+-------+ -* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 - - -3. DSP ------- - -+-----------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+-----------+------+-------+------------+-----------+-------+ -| DSPs | 0 | 0 | 0 | 740 | 0.00 | -+-----------+------+-------+------------+-----------+-------+ - - -4. IO and GT Specific ---------------------- - -+-----------------------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+-----------------------------+------+-------+------------+-----------+-------+ -| Bonded IOB | 0 | 0 | 0 | 285 | 0.00 | -| Bonded IPADs | 0 | 0 | 0 | 14 | 0.00 | -| Bonded OPADs | 0 | 0 | 0 | 8 | 0.00 | -| PHY_CONTROL | 0 | 0 | 0 | 10 | 0.00 | -| PHASER_REF | 0 | 0 | 0 | 10 | 0.00 | -| OUT_FIFO | 0 | 0 | 0 | 40 | 0.00 | -| IN_FIFO | 0 | 0 | 0 | 40 | 0.00 | -| IDELAYCTRL | 0 | 0 | 0 | 10 | 0.00 | -| IBUFDS | 0 | 0 | 0 | 274 | 0.00 | -| GTPE2_CHANNEL | 0 | 0 | 0 | 4 | 0.00 | -| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 40 | 0.00 | -| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 40 | 0.00 | -| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 500 | 0.00 | -| IBUFDS_GTE2 | 0 | 0 | 0 | 2 | 0.00 | -| ILOGIC | 0 | 0 | 0 | 285 | 0.00 | -| OLOGIC | 0 | 0 | 0 | 285 | 0.00 | -+-----------------------------+------+-------+------------+-----------+-------+ - - -5. Clocking ------------ - -+------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+------------+------+-------+------------+-----------+-------+ -| BUFGCTRL | 1 | 0 | 0 | 32 | 3.13 | -| BUFIO | 0 | 0 | 0 | 40 | 0.00 | -| MMCME2_ADV | 0 | 0 | 0 | 10 | 0.00 | -| PLLE2_ADV | 0 | 0 | 0 | 10 | 0.00 | -| BUFMRCE | 0 | 0 | 0 | 20 | 0.00 | -| BUFHCE | 0 | 0 | 0 | 120 | 0.00 | -| BUFR | 0 | 0 | 0 | 40 | 0.00 | -+------------+------+-------+------------+-----------+-------+ - - -6. Specific Feature -------------------- - -+-------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+-------------+------+-------+------------+-----------+-------+ -| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | -| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | -| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | -| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | -| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | -| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | -| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | -| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | -| XADC | 0 | 0 | 0 | 1 | 0.00 | -+-------------+------+-------+------------+-----------+-------+ - - -7. Primitives -------------- - -+----------+------+---------------------+ -| Ref Name | Used | Functional Category | -+----------+------+---------------------+ -| LUT1 | 1 | LUT | -| BUFG | 1 | Clock | -+----------+------+---------------------+ - - -8. Black Boxes --------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - -9. Instantiated Netlists ------------------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - diff --git a/proj/AudioProc.runs/synth_1/vivado.jou b/proj/AudioProc.runs/synth_1/vivado.jou index 8e623c0..3b07396 100644 --- a/proj/AudioProc.runs/synth_1/vivado.jou +++ b/proj/AudioProc.runs/synth_1/vivado.jou @@ -3,8 +3,8 @@ # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 # SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 -# Start of session at: Fri May 9 16:03:09 2025 -# Process ID: 111655 +# Start of session at: Mon May 12 16:07:28 2025 +# Process ID: 73726 # Current directory: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1 # Command line: vivado -log tb_firUnit.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source tb_firUnit.tcl # Log file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/tb_firUnit.vds @@ -13,12 +13,12 @@ # Platform :Ubuntu # Operating System :Ubuntu 24.04.2 LTS # Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz -# CPU Frequency :4099.955 MHz +# CPU Frequency :4392.944 MHz # CPU Physical cores:6 # CPU Logical cores :12 # Host memory :16533 MB # Swap memory :4294 MB # Total Virtual :20828 MB -# Available Virtual :15956 MB +# Available Virtual :16177 MB #----------------------------------------------------------- source tb_firUnit.tcl -notrace diff --git a/proj/AudioProc.runs/synth_1/vivado.pb b/proj/AudioProc.runs/synth_1/vivado.pb index 1245da19d09b8e54f456c55ff6ce4c76f24d9dd4..9c590e3d969321290bf425379c8a127a5f72019c 100644 GIT binary patch delta 475 zcmdnFo8=t;grz1%MqFGR1_o9J23AI<Ahw~Ig@K-#p|KGs7rU{Msh)+2fe{-Qi>ZOB zsS!IDtD%X7!E}C6M$wJ$*q9<7Gjj1b=jY}o=A~FEs1|bxut>2OT9_MXaTeqx<~e4h zCZ-szW#n4LSd^NW5}%xrnw(vbpP5&ppqp4)k{_R$mt2&Zo0?aWn4_SJ%$dAV(Q$gJ z3?qMiaZz%yerZW&PI0`Uer9e#eo;xWesN`9Nk+V(eo0b%T4qsbUS^42N^(IIyP=V; zk%gIA215Z$1<M3R1_36nhm2g-L8*x;3Pq`DsYR)I$*BrR&PXfD&s9(@R!GY)Qb4vJ zY(Z*qX0f;cs}zf|p{WV7k7~K9$#<Zsg^_6nLjh|AE5v~zT)F`{sfop@3aNR;rA4U< zB^jwjsS1fjsS0`d3d#9-#U(|Fpdd@mNX$!5Ee1Qt+{hH_AYM=ygMDspX<@nf1=9g0 E00G02nE(I) literal 73145 zcmd;j!N^slS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5 zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4Shkp(%oGWDa5*npqg=nOT?^adNR6 z8=31_m>3wbaj}?~8<`lfbFmtln3)(vu^F1{nj0BqFck1q@JwK25Mbi6<B|?aO)E+* z&dAJ5SMUr_C`v8JFU~B<FUm|U<`Q6)Vm2~1(PB@|FG@{M&5L3)wA3{+HpyTpV69+< zn6QzFYXPHMVrEWiib6@gLQZ~Sib82|Y7vTIl?v*r#p>1ys>NKMX$mD7nZ*hznMJ9| zC7|#t&d4v#Nm0lHY0gVeEmBBIRmjX!sLU@dQpm|HE>Xx&gP4fyHXViZe2{%58L1$f zf>TRMGV{_w#wO<Erz<4pr6?4o=H{2BDrA=EaS5<Vu^1W~TEKl`<j&=)pOK%NTCAUA zWRjPeoL{0}kXVwTU!GZ<p<hy<Tb7ZMqnn$WsvqjAo0piI3KC1p%qb~K)lDraP038m zE71qJ$4G%o&N(qpy+k1`GcQE}<ecJy)a1;x%+wSGkcoOx?4Uq1GO@^DC}68#gM?Zq zBbSm-equ^$ib7asSz<~)$P*y*z+n#wE<I5Avltp18zG$Sz-6ajT3iHnx_)Y2aY>S) zzOSpRene(YW?qGU8Q3f%10xeXLw$(B`cPg<Vo9QYW&y-C#)ihoad?N3i^n-XH#ada z#R?Qh0xVKYrp8*F5aUXcbBtCoaxG^puFNaRh)+o^&P>l!&@IU?P$)@?Ps=O{&C4uN z&@D(TDp9CNHcvD%FeoWbN;k1E(KS@iO-sznFD;4B$;{0xQ7|zuP|!^)&W%s4C@D%z zF3HT#Q^?OtjnBw0QP9m!O)ZE|EiBC}OUy~lD~T^kP0uVYNi8Z?&@D<W&Mz%WPK__l zNGt-S)cmwG1>L;-_?%<~-QtX*)b#k=%)I#G%&Jrc(<oLGT|=-3St?i{!EMDQ7F?28 z1WF<b$iCnbV3A@rG_geTDoD4nkzocy0bF-AmxE(TNosBZSbs^rLV9Y6LZU)WW^!s? zajHUEevv|2YGO%gQ7Sl@gA$*9evv{-YFTD7L<nq;v4I6VdvkMf27^K~wK%gFlz(C2 z2F|0#Cg#Z2XLA|2=a-OX9jg?xu_2-~Az+;)a$<1h;s>QnP$+|=RJ9mX3>cc4Yq3Fm z1S*?g?uEs36q}Kuu89Fks*2`P2}mp|0i`H~l>F3Ug}nR{g^a|qR0U^OM_+}U%%q~k zqDnoG>6T_@cui+FGSsy+G6Dq)yx_^_^6)LqDakC!C`wIC0Xa7{FEI&J41pp9IhGYl zi$T(f3b~0DnYpF8paMm;SfQXOKRLCyIJFq$1QrtuBMU9o{KVqQVk2fQMw2Ku3te*q zbCjS-<g)ikEX_*>7nT{RIR&XjFjK&(ptvBhJP%}5az<uON>OT_0wf2)6s3ZK5@eG( z)F!AUprQfpFMlp&4^*Rbz;>plD3oWGWGDo9x+s9-1Z0p2)F2}cE;d6$LkkmQsF9|~ zQF@q>i%GRufJciJ94wIB49^fjAwCMOIf+U6MTy`HX{CT(`9W$O17nmb5GrV@fW0C# zGO@JKGcYk%fLDhmMh1GOrj`oU3g~sBv8kCEOc+rs8XB7#n{px7R_htLB)~pMEzYb0 zg>!CxN@)%xi-D4nnK3*HdAPU`g_BV<S2(!Hq@=VjE=tzVNXgMh7^_#7ks`oo#Ky&B zY$m{D!RWvk#cH8zW{eV@rx>}^GSl<&!Npc-9^Cn$q^gjdpI2N`R0^uyRf`4KK$+GE z7Ne3};^0zJp**oHH7&m=S0ObgH8(Y{q?qR3F*Qec$Jh|mV1QR#L(w~C#yGvRlK2$G z$;A$>>x?3}La3IUpk^Y{l8F(*wP<N+Bk``~<>F4x&nqd)&jHoWMloCwRC6(sxk#=y z#p&u3j9jWI`FW|3niosfRnSl^R#q+61Z80(Q!`iwK=T4K7o!2FWHzL)XN*kEkc-mY zj9gOiJd9QZK}%&5OIY!4#LvZ>UyuqaSIbht@xa8zKvn0Xn66g_DUA$a*_X{i*UZoq zrPka)lKWY?nBDzcj6kjirFrsNp2f*UnFS@q`b8!B;6`X^US@G-ZhUfnZUNZEoLsCX zhL)DFOp3+P#~HcQ@uncvVx%<0Vq#)x3QI&tK0qWOI{O8sE+#Dk*tl4nLfzbH90MF& zEQXe*hR_^L-3UPT0X<>>n_o~OU?WL6fQ^gA(<#)A#u32D#cFPBW`ZXRoFG37AbWux zF@V)GltqC*U82C!%*50JcP5}L3gBL#Qxsr&hO#K|2@Nr(eJ-#tv^2HE9R-fyehe3^ zAEU{o&KZzcl$e`Z0vd4g^9)f)$}a^C!{l2jSg0o%8bE*os29Oi!37x%pe!EY;XtQ& z#0(LXc-%r#X~xOL?h+heV&Q5?`=~UwG&eQD9hKgwQEAL&h!mBM&W^#(L9Xsd(P^Nd zt58x|kZPrnnOBku8YV=ER1Z|+47l`=jdMXY%Mia=zNluIav39=<rC`b@8XJTAOXj@ zqZ+8srHgDJNk&DY8s*4kk8D(Eh<}i4uxm(sfMbY@D{^k|^N$D1z<pj^0`8Hb1fn0R z;bvSW$cD#z`UQj{o9W^i<mw!P*F?Or>_l=b;|)kBk^&O1U!BPDE8b+|>=+aj>FGz3 z69Z7A!-C5UDLSAA2D|!@WG3DaBrVI~HHx$x=Y#4~6D}hppL+U{oZ+%i%?siRKr+wQ zG1wcaU@@^!PckqtBnhBaD0l<Hm!#;y8xZ~hBnLz;YCwc?1tSGSfMZCAYmgsCSU@UG zVo4IhB7mf@z?+|fU47!wA`;AnRT9{Ww_w!3u;#Kv3Jj2em;nM4!Dp;Ls<Gx=rbxzy z2D`?)1o#Ibmz!>mKEbYdi_Jh(BQ3ei(TwyB^+7ff#PtmDiNtGY4630nTux|)LOmPr z;u-=f*AY!AKYv(-f-fBKmZ+d`2=?@KK}u}?ey%1KcwKy&{7w&AONUO4HOw{-N@MLi zBUeCqW=cs0XtY8TG!0RZUsM7b1<p%_OooA`NfDDJxrrso846%EsM>Oo#=Y63n9VFK zVdEVvpuuR+Fj;^R3m21#1!zJT*5sq+ARy+z6FV2Pi4pSHr3Gm21U_*5ijm6_>=UpT z^V1ZN{GgCotWcVloSz2@hSU`BTuNqMaY<qxbp8x9e`{i42p`>N=VEnq_74p~_8lJ= zPq1@*VoFL-YH?{!35|!@*twXEEs>hH<_6}VIYXLx*J*Hj_ail9mye4F+`0iZ4+nK5 zzon+HxwtsMz8=&*{y<G1^Kx;6Dz0EpcfUdHX=+Eaf0%2Kn~#6^;4QsAQ!|<cxcHzg z3nxg+V(|L=6E*$K&&3P%_h9!nwd>}9!ChIsqh>5~b8&|HxeWFU{hyJ`8>K}BYJ4KM zz%mkxL2DeLZLrMzykdov)a0DRqSO=}g{0CFh5WpnN|1{5%(B!xaC^+$6h6<1-u6Oj zeS=z|mY}w%u_fJ`WJaL%Nbn{Z$rC?5p&>@JA1Adiv^2sq^=6COYg6S?M(VYBq7HeR zs3#d18XBPX$SEG~hJ*o~dQh05fzpE_Ck{>M5Qjzv26*Do3pEanxD0T|p`jUQ-U4|v z%D|Ay5ef+qdPOKk&`=zqW^{>AOFXM6s!$^|i7NqjgqoRvBNQ^iXaJd#GBkvZI6`Ry zLkxM)_!~$ah^BG^fCM1@5&&9Iq9lNWBu!w6af$eZhM2_17r>_dGOJQk4Cs;|sF}mT z@ePVuQ2ZO97uh$+ANRr+K=g}nw4kDTgwtXA(gLI0LRm*ea*=Lm2+HD+=r%M&E7GYR z;gA3t91*^Wq#Vx9#p)Xx;buXHENx+Cjx>U2hPG1fB>9;T#Sip~1PtF$J=Z}Rf;3$` zN99~+0LpKmN)*(dGB7}E8B;yiK>~<g5spznp+q=Yxz3y}xy~3*%Zl<`2k`^FBEiB8 z%{M5KK#j%?Jyu;|Bqd7gI#4?oobN!*TGZAn)pH#rfan$B7(qq#2#0jfhEy>NifT|% z3u@6~3_wsl!XW`PbR*n&0J<+!T~`Up-3HX}wO|hydc`wF2vI#_o6@0n#VDRAE6Kqz z4H~QfwWQDoqNtv+Apu0M2*(I2sz*3voMkAL<j{69xI723X<T(f0%-U|IHc`4v?3fd z6a|_!Fa$AZJuU$WpurL0B+n(dxj4Jhz6dulFt^0B3&jd`=vj$N0r$|ep?VTpmzT21 zAb0@KDFQKr0;Py1t&7XS#Rgtq18F_dE{}3>u^1Vf(WZ-w>IZtn0yf{EM8Y@PuW4lF zVl)QLAUpem7B-rI&N_g`ByCqTg0>Qxn8WfSXlp;}l16fR_eKNK_NV3qvjNqcf!M=@ z9;us?i<Q8HGdXdL-j9I{#?!9Ef%t-o`{qF1cu<po%B?@_;X=Q7MhhX7VvL-4MxTbH z$D9R5r-h>a9XO&v1ZZ-K`W<@g0Yt9|#|SElBOJY;qEE)AV&M&%grst&#vUg0ierqm zQz&svatq%lGz2mRLEFOG$iM_o`!EW%h3~}WfV+inYy{f82Fk%m7@C>E9XgCmO<4~B z9zgVpV2q$biC}U{ZS({SnY5#AgkvOAlv112C4LxjO7TD(_AsGW9AktIN*t4xiCMXr z!<?OI+)L-;Vly-`ur#I3c^ybzphpZ~_Y6uDTq1ud1lALf5Z<C~2ab)43GFN-6mK0M z^I$5ZlfV!@0Tmz_6douFJ7Ny+nP6xKQXM1H6Q~E|A^btlqw>(ag7ug}x6HiE;*8W3 z1)`5BG{JUEp*gN&3Qf%|^b8C^#}7hJ?KL$p&@(jxom+@;OrePd=m<oxF!C{lCMHIp zV-(<r>gJ$U;2~T=y3__B```zfSfkpf%%uoE+fl(IF)t+tbViwTX>mz@u7YDpNl|7} zX-R4^igtQCm)`cBLp%L)D<jt?MtHKq9Y_i|55godg;|>FSr}O$4#G4ww9qp)F@T+T ziF7!$@gPlM^p3JKXi>JAk!u4Y%0MN7e?duRZe|ta#L|F_#Nt#1!_f$X7YQE*#UbW0 zTvC3iCEzo>i}N9;FN03~%SkOs)k8YY+`s^I1TyqISuoqk%)n63+{_GgcDaF`fdTk1 zb7K>8Q;>k6v5Bz>_@HF8!^}gtbRF{&b1K0{!>Sf5gn|zmgddv<-d0|eT9A{N3_eE{ zbi6i`p}7`&9>k5HA!bwKC{`m&T|<=ic?6dc)Tof6#JpnAS*(zB2lGq{=)7{(Vg=`b zP=(^u<ovu8@Y(51MivOeK{YJcY$KH8VZFID1By}$Ag5YG9RhY}PJVi3vO+#mG6%Vr z+1SKViye9-d=#spuBo{J^6`{*Tryk%kaLYe1%HH#vw}1DjM>D@ypm$@G1NvTCMYHt znSl;?g&%fp%O&lZmsygTm;*Ut7nIHN(iKwk(lhf?!3G$cSfChSY6jZq4>w>nBbQh} zViEYrWsv=DnK`Kn(W=F<V9kc+mRj79Bg9KfGINTJvbfTyaxy98C|$5O_5D&SDvQH1 zQ&RKeeG@@Pi0f6PBnvQFFh;Q%8R!~<4|RsS_W&c8xL-c_l!ami@QDklDd2Ow!N<lk zn_8G?aTeqx<~e4hCZ-s1b8$NRcpDlR_<BUq)9psAT#T@p2sT3_T~iAa*m?4d0!&;d z8M&0e2P>pj6r?7X6e}c_DCDFjf)3BmOI65E0^J~>$0fin#bjy<KZKl*i>ElX1a!eb zL26M+<v@9d)zDnm6x1;1sNk5u2=dJylA?r-izO#D#fYxX2lXuAYsWF8<J3@#4rKpO zCq9hn9v`q1h_PgkAsHVq|4=7BOz0jTurtwzOMJlmL!J0ArF(q9hn$B=c?I(ib>hQ} z?(u=AIv<kd70f@>i4SwS#|Qk}*<q4DVE&;(d^m;pInz5njNqf|!y`W6{-I8MxX?X5 zhFN>p2<9K^#D@>v;{(<{94756Bba}v6CXizj}KV;aJa+=%s<qLk5IbD2dsTKT;c=f zA1cI0QE^Eg-Qxq+J{&Ib0rL-LeC#4={ECH(skofpv0)55sdbpd2HZcGv9X(^*kI*i zE-t70V4^XsF*01D1Lhy9L<il+5{+SPk>L^@F#k{`I_N%>2)|x&xI_o;rWF~572QV? z5hq>@kDfW)KUB#bbRS4GhBZWnOYVUAhbqxQ_i;pH*tpwpi4K^5Fr(uLNi8crE}q2X z_{5at_+rpKko0cxTEY+L93G_>+&`G{vY(`Q;pAdZOpZ@V&dH{Glnk>@h$YNFm{CGb zR!K=5sH`%~hTh?K%VNe0X{&#@xHuA%<8z7zBCo)DRKuiNfnT1287JfvU%3MjC9q!A zaETI_e=wtjv=v#PF_h#Sy4EJ_T+BuWu;Ld>e4HL?E3!~LM2!eZNu+;-;HgK3W`w{z zgc%`Q7`f!(n+ou)f3+C+^{=26$nf>A9$ae9`MCw4McF9|c-9Vsm+u;xn4+xxH8KNT zu>dz~$S*rLv%s?K+|&rV?A*uz+p_Z<E=BCi!-Gq6a}$dyt+-T+1z4q+4Gj(9VP~Yy zrRtZDu|mB(wJ24g1h&XLHARmLw8q=e5OlQ|YXvLh{^Fm5Vr{<?7t+ddg|Nh&%oNC? z_@L6flFZyx1uN7Q{=8h=uoeD#21cL-|3+ryg*KCFu>kxEXqs=XI*GOwWdkGETEtcq z#NvA7Ai%Y8$Pl#WCp9Orpg1)}!Bznh9)_m4wx&VD2WjJwrJ<gYIo7Rd#ulcq{Y1!H z(~Qk6KzqFgY-<|5Hx9X=ZiUm~(t>P-^T|(40d0r~NGvK*NX$!72+7O^@jdh4yE#DN z1m8bHZ+Bawx?7P;9_HeL#G(=_g^FbJL?Z)(lH#Ov6AKev!vS^db+jz8kCAIPB1^y= zjwh&bZq&k_LCkPw5OXs<b8}Fpg6-Y3wA3@QFofj<<ehb+8HABRfQbw9o^(hCaV#jv zsRZQ+b>uA}>I$G8JcvSWWNjS1jh4<2F>>ukr1M1LLTo_Nx;bdKDaJl!V{;QwxiMgs zK_!=QW?ouqQBi7&f?KdJc$-^sNn%N=LQ!gZCTO1)c;6LhGab^^WT2*#g@F<5f?H88 z;ozY7<kF&|)Vz{lknZ@R)N~_WF7D*~ypp2)oY1_?k|<UST?+%yzBYKPsSdS-P2)<T z_MU7k=7EA6UZ-GQ8l->;z&=u_!IV%?Q1DAFS8&Zs&Ii|I7)k<)Qp+;)ON$XoP;4J~ z|5u{MKq6N>iZ9C(GfU!&6G1%@9R7zW2Oo4}h*yb%f`Wm80gCqtSPn6|xFo+Q6|c!4 z%MA_iDgj%LT0!Hnya2vgGd?FjzW}ev;4_#BTW(0Y&+|)53Q9`|SPr_n3a=87&kc$8 zIXJ#k^HPY4bCBHxeQroboM#4yIEJ|5^%mG}!j_}?eBkrjEJiN(R8VmNEpE#*OEMI& zmA9qfy}Dqf;1+;tu{vfM%wlY8YD}OI=Hz0}&r8+K$S*-JkJ&7Ajg3t~TUOx(@+n3x zMM!l3vNQ*L)p=TekwRHwQD$ONPAa%A5MYyHHa3FaGbzg@?H24CpP!eSkzW!Y92B3I zT7gsvQ1dhyq}oKUEF(pL(TI(U$qY8a$ZDZ$Yy{dQ4!>QJ-aQ7gjz};Xkk*JP=)4Tf z)`*!IsDvJ{)(E{L4D;Y-NXr{^ngwV8E<Z0duS5Y<|7RALWF{A*p3_Hf*J5@^T~bRD zGjpH^@gN)w>Om`5p*mI_wWjCi;?>sG)%7i{NG(QJ>V~RRk4uMBK|#S(!7~q3At@** zm?=1AmO!d!1qG-PwhD$|5m5SpH?OdpWJEQS%n&9SQ|2ZllMJz%WJHGVu$yFtX%f9t z66Wc4@L}@7ne^!$KxU{}K#ofWQZRrAP=Zp6^Gl18Qx%|t$tX#l-YzXhb!jwLB#Il0 zt+-r*1B$H_%uNgwG?Mdk6mnAY(n~U|3=Ir4xtxL=eL-@@sB#KHj=oNY77B(224JSK znS!Z-=74$=v+RSXSQP&bia4Qn8gNBT1G-$=kksm2lwVw|;FMpQmy%di2_9p0EJ{sO zKt8BxKx5T|OD!O=C^07|HAkViGOr{fwK%g_A-O2CB(*3rQ6aNfAuqo~Avd)Ie7U)W zktMXT8^va!Yi?ixI%Wsn*xSU&<r<t?Selv#Iw%Kr!jOW7YOyBBpwhfj&<H<hoVh$R zCr2R(G{TXaUzVDpkXDqRs{kF{P%YL2*=1~O3?JRF=CXty{ughkkJJQ>H`GUM_@WPh zM4QGMp&aQ4ayH0h*eNlf!M{Q1Y?GnsY~!KnY@?y+Y{Q}HYy%>ky`EMji3OJ#aU}^# z7n-PIWU#rJm@;IrxtW*(WU#rJn9^ggxtW-vW3aiIm~vwkt;!8!E<;4QkzbHnlvt8k zmI|6wi8s{ujL*zVE^<ssDM~Faj`ws5b&Jo;D=s12@)$VhW|olQ+-0;1U41TH{Gpp! z5?q=~O31FIl~c{QOz=CkptK|yJY55JZnQxxk!fKatz2x*WlEBZ4TqqU4ToMR8x1`t z8xK7vn+!cCn-c5fK~u&No%;rji;2#BgT}=~=e<GWVxqI&pmFig%Xwx)uB<R0axS(Q zaxS(c*2ODn)#fzfGQij7%*jto@dq!i0XLF~Y$30pm1_;T^a;5(I3qKygcQdPR9^`c zv?TVGme49(b-A?hg=<kNXi+T*-Q^9ma;PPjIc|sg$ERiHl%y7=ra=33{!XE8#P{nq z(#p|RTo$A{n)t5WpmH_Q?aM*sYNFeigUZ!Jw=)NotBG!F4k}j@-QFBb1s~CwaWFZW z=)5?X98Gjq988WTIwuY$M-R!2NOa$CFoiVH-M_))Xrg<7gUQiEcLE1y_{AS|!BTQ+ zF=&y!OMYHzJg8MoWJho{t(w#(Tt>K?)WJdM9f6|M^k`ycCD+i(y{25oq`KE|$T^sp zx#~d`!o(~H7*q}>X4S=@axgJVTLzVbiCKm?m`XKb^4wr@FELqeFu9kQ95<NUOH76v zOzs_O`OS=oHIaiUe9ecLdo6~Tdo77@@4!rl1P8?zr6#7pR*w<e1RgYwC8lN@G>#>v zS{pQuC8karn7(FkP<%0H{w@f5o(AY>jA(;cqWW$Fvn&sni;3#J4KgPalj8=NlZnZ9 zgUrdq<i0`XWMUeXgUrdqv?~W!8AnX+8(c0XCi4w07Za2B2A7M8$$Ep!#X~RW5!0s| zTwzR1uWoR;n3#Uu;BqlBJ-b0v=@}B)Os3CfG4zdBSewZpCll399&BzVrm7xnZYHL( z9&BzVrn(+%ZYHL}9&BzVrpg{<MIA9&aF98fm>f9BoJ>px9Ar)=CjSjGClizXb~17` zGWw<#7bm7eP8L*1EGS4#EGkyW1)cd>l98CFpjxZ|I=Q|We0WVpVzEL}YHFTBN@g+W zIKmV?h0x;EB8B9{JcZ<p#Ju!Wg_4ZSVuf6&nK_xcnI#G(`3l9UsSq<^GN2vOiFwJX z#d=%<tWr#dCR%LC`MJ6Ic}9F(Ji(xoXcR!FBN`hSv2rmR8W==@E|)MwIV+Lghy2_? zJNbA&BiA0p$;ZST^@IDMLD1>k7-!&HQ00hk1JF_6m<J7-nu87=8ZieAI-s7=q`{>I zIiU%D#5d?nZ|M2=BlT$S%V-IF7bDkpL;@!eQn=H$nS!+fdfGNJrbgN}GBJjoYL9%s zgOM@#yxkF#woOpewhWgPByB^J^Po9wjNS$IakTWjj*)9MB0XaXozXN5KRA@$ab=8} zhNZbAp=mfZIU_GWCqKPX!8fs>ATuu=RBa8IGwB^H$Iz1ST1Ku_NJ$tYa0XQhHUdS} zh$)42QByGJN`?~9#X6q;3Z8kzsYNB3`FWrK88K<s9yLJJxl|!p+ASxsq$D*Dbilb& zY8t4cF=B(r2{nkcxHRC0s*Z*dJfq+`3)n3)FEK~KFSR5mv$#aTIVUwSue4x5vsf5v zeA;o@ggXZLdHT6qK~7hVPf0D#OwUuuF94MW3QD?ZiFx^@CGp^XvJ&W&)RfeMqSWNX z63{hw84LyRFratEgXg&4!58fG4x9sMweoUCt|f?C8M!pWT_0Ow>lIPuQZFMjtXB&d znU1Xb81smANc9txnwJQ^=FUAe4|I@UY6_@+E&&fl4QSy(?^JvNEfsHP<l2Hr#rT40 zG*u5+xs3C|jMSvk%pC9~Sl|&^kIdAf#G>Sk$`O^M&!Q#iEsR_nk&`rzFdCTjjP-6( zBh!Jsj*i}i5N10AXDJ<!UsN)(?ph>poe^e51`eWuNzI^9UW{1=BU4jQ`7>h10IX5l z|H@p7kkssvn3s|R>hL<37MJAbDma#u6lErrmZXlX^n4Djc;3p$wFyx?;|`?J6g^<= z4GYv1t-vJ*NzquEhkmIetav_+mYg>+a;--sXB;6knwAGFE#qoygcoI&fC^{uvIFo1 zI6<ie`9&q5s%yX;tB;!T#JNPdAg)qy%1KPlcFM0vEk?Ux4l}Q6>w@l>L%M?(iK~s` zJxskd3N<jB6!H>tQx$4F^NJzeWQ7_6dMUA&c)gGy;?ZIS-&|yb6hzLcIXMcUpk*zP zAc8kQ48WlZ*CN3s1`QDi1tE38L4!vR^qS!sur)Q#`K5U!kRZXM2WB)lGGH7~Fyhfu z1G&+!#wpaz9eNw9Avoyq>4DsnSK|{J0=o~GupVRZjd(Sn!x)LR2j&Ib{-JkQ0)J~6 zS0*1VkKsjJ5;${k!Sa(Amj|LmOv}v6EY3(xQ2<|<YoL%?RFq#-tfOE6zAP*=IWb3} zJh2EgsRLOlW^85#7tjMGbGR)hA+;vlnhlIxYZ(z1A+J_Y2rA73Ek45?N9Nc@QAt=^ zVq~UgYyuxHEy$=W27AR;!Pv+QM~?>FKZpC~6q;`~GIFiM<r~obpgE}}skpsE&Y&<T z2+|WX^Av0qOf4<-j4VKF$-q4^RPW#$Zh(8oiAy1*C^4@%ClOpDf(zEv;>@bl6oov< zJPT;K9<#Bjffjo~QGQlxa!C}cp{}X9VFp71{1)R6j9f*k#UYuwsR|m!npO(Q1*HnM zpp}Hk3xQONaV!B+Emp8rfcgl`M)wRt5V7h<wU|qQM~d0R!T`RIP=-qiHaAq9Uj+6~ zaz5xL^^#OQ1tTsl4g&)#FhXY=nVFmEnVXv%v2(E+=ouIo7_o7&7#o?HfCLPUjZ96V z*bL2e&5evQ7z*G;u{W1yKv8NzViBk*0Szn#(A~{B`RSR-;3=Qn%&J7t#1AA4O)SyE z5OkX!JPc<sa`^?N=H{1yj4Mh_0bL=OSE2weqKh;0l2a8jOF$Dwp!@lfQbCGRiZaVm z^AwUQ6%rLn@(XlxQp-|v6hI9bJy6(l39v~q85?Oa=NFV15jdMSIVV3k8*$!o6sxhJ zt})2B@XP)JxikYx!3Bb9u|j5ES!z*9YLP~<CTOvzYOw<79Abrn%sh=^O~?XIOACm_ z%v_8HMj#@J&Dc=a(AZ+wryEl<LrA(YH8sYTZgRL3L-R6=Gjkz1FD<_)7o1377in5? zsTK>cN--N68p6|ykvf;EU%moVUusTjZfaghu|j!jQK~`-DF1>?0Zk!-GN+*-O6CmX zQU#Z`3NDbjNLZdws8MjvNKMWzF3q)40A(i@DP~hMBQ4Id%(BFk{F3AxP(CqCOEyZg zG`EamGto6PF$N`BmI{^$jG&toow>w<Qgc!hL5I32<YXqN<`t(xjAE5yvM`2cOl~et zunRy<ZScBY3uEL|(8$Q;u3D@Bx<k2G2b6Ndp%n|5;|yx7gQ^>tD0pGAYO#VVxJFU{ z-Pm552R^V_k4u0>ipj_V*$XUOOcrL~HN_@oMl4)RM&^*z$YP>v0tzO$XMDJ%RErg0 zfs>+8T$&6zuP&`LC#Mo(t|hX$d|W)>!V)^e8wD~ClwsiJJsT8dq5u~kvNNra%0VMD zQ2c@mL@N_x5F1_&a&oa-S{msY8kvGiLQ^wiSc*2qmZBFha!INdD-@(AW`m;7H#IlE zs8S(XwK!G*oRnD&jf_mp;K>0k=s-3HKr3QUL<Ix~8`*MMYxp{8S}Ek0rGjoNRj^es zGBPsIGc~rbR>*}^eufs7=6Xg(M%JK0H#;>&!B)Z8+*HrN(lCnM&|KHZ!q@~kl~L$O zPA>Ma%%YOg#2k<t!-9j2?6_>u-DqrXXrX6diEtw{M2(T$XlP(!sAp_sg4L19;1(IH z6r-6ITTy8qC=a@GxxzD#LQ!gQX--M8LSj)W_@dUtl$6vIP!U{`k*biKn4FQSkW!io z5^xUk4Dob!^ofTqNB}j-;C0ObMlOYrj8sr$sjC*NE5Mier^BX5!G$=pnUNtp)_AzM zl0n5qL4IaliBT?Bwthx_Zfdc9j*&@TW^#UsenDbMhJJZwafW_Lfo@qwN{()BYN~#y zt1hTB2@(UHt6r3<n_5zul9`xSq7Q0M=sT9CWabAH<tOVEmF5-eLlUc@eo0b1^r%C< zl;i?%SQ#2yfHExH6AKx+#9b>=lS^SWeJ<##Sy=hQYHDU|Y@o$ikdv6_n30;8VieC6 zTLkXr$Cs95=0Iy^1>LmFoKyvbb;y$OVAtps6_hCG79`<URFD+KVQ8dlWMW~2QpLq^ ziMUokh8t9i6%tDnREzcWAfanyfXEXZTx?<BV%JEDOTw)vQ^7Z}QbEB|!O+agz{JYL gK*7ks2$J`V49t*|H6It3YhH>%P-=31QHlT~0DV?5KL7v# diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh index 2042196..3c7ba34 100755 --- a/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh +++ b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh @@ -6,7 +6,7 @@ # Simulator : AMD Vivado Simulator # Description : Script for compiling the simulation design source files # -# Generated by Vivado on Fri May 09 15:49:39 CEST 2025 +# Generated by Vivado on Mon May 12 16:03:52 CEST 2025 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. @@ -16,13 +16,9 @@ # # **************************************************************************** set -Eeuo pipefail -# compile Verilog/System Verilog design sources -echo "xvlog --incr --relax -prj tb_firUnit_vlog.prj" -xvlog --incr --relax -prj tb_firUnit_vlog.prj 2>&1 | tee compile.log - # compile VHDL design sources echo "xvhdl --incr --relax -prj tb_firUnit_vhdl.prj" -xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 2>&1 | tee -a compile.log +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 2>&1 | tee compile.log echo "Waiting for jobs to finish..." echo "No pending jobs, compilation finished." diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log index d82ee6e..ca74b1c 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log @@ -1,11 +1,19 @@ Vivado Simulator v2024.1 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. -Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log Using 8 slave threads. Starting static elaboration -Pass Through NonSizing Optimizer -WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422] -WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478] Completed static elaboration -INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh index bf50b73..c5493a9 100755 --- a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh @@ -6,7 +6,7 @@ # Simulator : AMD Vivado Simulator # Description : Script for elaborating the compiled design # -# Generated by Vivado on Fri May 09 15:49:42 CEST 2025 +# Generated by Vivado on Mon May 12 16:03:54 CEST 2025 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. @@ -17,6 +17,6 @@ # **************************************************************************** set -Eeuo pipefail # elaborate design -echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log" -xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log" +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log index e69de29..0ce3bab 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log +++ b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log @@ -0,0 +1,21 @@ +Time resolution is 1 ps +Stopped at time : 0 fs : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 19 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 125 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 135 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 145 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 155 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 165 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 175 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 185 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 195 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 205 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 215 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 225 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 235 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 245 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 255 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 265 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 315 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 325 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 +Stopped at time : 335 ns : File "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" Line 151 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh index 60b6436..c17c31b 100755 --- a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh +++ b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh @@ -6,7 +6,7 @@ # Simulator : AMD Vivado Simulator # Description : Script for simulating the design by launching the simulator # -# Generated by Vivado on Fri May 09 15:42:20 CEST 2025 +# Generated by Vivado on Mon May 12 16:02:26 CEST 2025 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb index beb3da55cedbbaafab58db3fc1d325340832c9d5..f8d76e2b03fa99df299f7f0d4710f19479cc3761 100644 GIT binary patch literal 18220 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C&1ZUoiv91XBr& zV2a^2GlUM-htTAb1`G@gFpSR(sC5j@Q2U%sAgY-yAvBCUYzt!%N6(O91uJ~X%)r0} zVsU^71_l>@2z>%V!AXc}28L!QsE`H&Lka@}L%55Ro@-fZUI|nfMnQv%K?2I~fJ#8a z-7`2dS0N-az*WJ`)5lf8z`&4!J^So`#SD-$q?s5Pycif5m>C!r1c$i9`}n(iIx{da zFn}>AL^v537}P`68Pp@x8PpBb8PpBc8PucH8Pvno8Pt8$8Pq-08Ps*v89<hMBbgLR znNbQ13=FIc3=8}mLqdZbeZbBE`Ro7x|MeiN92poG1dtsaALi;D;vWQ7$jGn&>>iLp zkY7MFNHYlk`~Uy{-2eao$3op25?@@BSdt3X2T}_PeGm?J^bCm)cJvMKab*Y&@eguk z2nh0bb`1{p^mC8*@%Im4@DB|M2n}HXD|Gd9fhh)AW)5?mr@No43)pO8+ywKqr(cMx zyDP*m3=9knFi(3zjV($|&nzxUEpp4ufjAswILOf;yV;<T2l6aG0|Pi9Ks1Q|AL@Ax z5Ql+*0hSl{Liu;0v>eDF1_p*|D7^_vUxL!mq!knr0&)^m6VxscZvs?ZG$=$F7+^&J zI4Qa~xhohLm@$Bo;FtgZ|L<U6V7S1-z_37`f#C%Q0|SQ;14D-}Cgu=jV3;7z!0<p8 zf*s@-7#7GeFien#U|9wR1`sx7U|;}Y0gyw`FevG?FflOrg93?xfq@5#ZJ_Ev&Sqp} zU;trA$T2X0!VDx0mSaHhL1G{b3U?3<!XP%(%iu^?goX)>2B`tbvokO-fSdqghd|>C zl%hd)fYg96$X*Zzsj+}0R&Z9ur3O?=u`n<&1VilvnE}EeGe8(*4#=M%H^$&mV-Iy( zD%4#dGeCs^2n#bXFo0-~n?Zh<jHHH}fq_AYfq?;(h(T(S7#J8pMGUBT0jUL<1HvG; zfH0^i1i2Zc_6P$511>c#43J{;EFLw<3=9k)`>)|q11ds6@%;dg8jzoP85kH|;Zc*u zz`(%Az`*bYkD7D_1_n@``-evjsLlhWQ4U7je$QlJU=Rd_D<dQ<LE#6&pzs4>ke@-} z3<`H?ENVbC7)VVi0|Nsn?i8`8ftl0Fz`!8Fz`&q~N6i!l1_n_E1_lc}YNj(VFo-cQ zFgW2+GlPMFL7ahs!3U3;*$fN}5)2FsVR+QcV_;yAWME(bH5ou@4HPyY4Du5QgZ#dj zfq_Aafq@|dk2xC|7#O4(7#K?NsM*iJz#s!M99jl|%m;-jNa(<$YbQZ8G6v-{Q2c_@ zHz==z(gP?DszCD$s7%miU|=wY<|$CR`|$AENsxLis2Hd`1K9(@AbF7a4Uev!1epUW z6F}l1F%S*HFf|TPHK6<j633?oR3;Eo11b|>YKj>c80w+!1KaoP+DTAe)`jL%P#A&2 z92BM?zkt#d$X}qi0M&~iS`{e_!DfJJxknIl2)P+#7pP2txd%jpFw7i~-$80XWdcYX zm)}8ZKxG0UHJ~zqkQz{#Ku8U!OdzBNR3;Eo11b~nsR4yAs7%1722^)|!Uv=VR3>0k z0}3yg8c>-)NDZh=AfyIVCJ<5sDia8)0hI}a)PTwaLTW(ilaLxv`X!_WR3^aGfWi%i zLHQHZivWc;ObnzBRBwRF1qKaX0Z`rm$$`{@x+nP5g35Q8T0=-95Y%J`x9vdUaC2Qg z@`K71kQm5ZBP{hiT&)JL0H~b=Qwu7GK;;#v(GPM92*cHCedKosDTJ0?pn3%)4;2Ep zk>F}Icm?915+FZ<+5_0sg2gm=1weTRq#UFc<aV$)NB~+!fXoGpY48f<LB&C8mC(Wg z<X^a2myi54NNSaFgagdnek8S^@)<cCK;Z#07i2zz%SZkNNNPdl7}yM`7%Yy#YBhKT zwn4=~?gh1ju&ITm2Us@{q!!eX!l(8slDR%O{01}k36ff00%|`asr4hE7Sy%@DF?Y1 z)RV>MUQVbaNNoTCb75%*R6fh&PzyE=DeZvN5=v*V^Z-&zD4mIeEr7H;LFo+21$h^q z*1=*LyaF0v21G5cbOuWgCP->Q=?uz6F&Cy5)P4d<fZR(codrM*0;yHQ5oR!Rqmb09 z6HuFiq!!d?gmOX7f`<dl+(M`%$PJnV%xy<f3o6e*Tu|QyWH2lb!P4(^kR-Hi0V~gN zsfDE-Q2mC>y<p>z(hf*1F89LJ!pa?xT2R{zxqJY*1ynwO)n;o7ECDkh{Si?64$1|2 z4IXA-F%4dU4PXXDtqzVb11r(s71#%6K-7ZTT2L-lbI(8}LGINfVD2>}wYcgmuo4Yk zfd^m)#0|LWEU*#{UV*n@21G3^j=_#aD%W9Y2Uh-q+zX0h<nRTh15o&a)&4gS_=jXJ zsI3U91VMdAkUXfJ4N?oz!|>lgfDa@H?HhvHxyWik{RL#T@<?hyBYYq?fXo3|2TId$ zwTIOO^pVusLF*xyT96;$YI_X@?2*)h+R>nL3Z@p+ABC$utS;b-q!u(h3MwODYC-K= zxY}MrfhZ)kps@pFwIFpM4ARSRSY03yNiAqp5!r8`ehFM{uc1IOl3Hg51_n_228Amq z?$GmQ6O!6$ENVghBd{AlnxTDSC=*P9%mcXv<OZ++l%SV5)H-lq9mEF3H9q%%)POL| zy@b@m)PeMXFd=_|>N}7+7zU{ar58}W0uuw#AaPK9;}XYZZ+uC90Ygbrd|GBvXkKOs zLt;^KMrJ&c08}F0kO9hqDuMBGGV@a7<4w&NpbT>;!^{B2FlI>3&nqd)&jDEwZ-~r; znw4LWT9jClS(XY?!GOYxH$>%wb;P46f!SjLWmsB58HNThHy9ci!o`f>EMqv!1kN&r zvMenaiZe3PN*FTpl8fRKQ&Ngji;JOhh9)qp3{BzYn!?RBg_&V!YQa!knj4>(oXk*M zl3$b>UzA#0no|NZ#?p|1A=o)SIVV3k8^kC|Elw=~F*5TCN=t$ha|?1((YRrWIhiRS zv9!#blGLKq6oe{N5r{%hsNtR<n^Q{|JmZm#M&Us;_{XCf!+<UTQ5NhRUr>~voLXF* znV0U8p9gkkPJUuaFgVPDo#Qj}GD{qxp$6tA7ol)7OM**tK^_6?LNNVHOA1Os4n;HH zKOU?QY`i~;=^!4$a1ak-HdIGxE(3%GHQ7Jj4JGKH9tw(2E-flb%_|88kNpP+#pk6~ zKslaBc7wtU;WH2qVK;~eu^XzRG?xLwg4>PetKgvc<owjMAn52lLvT<$IMpEpoa1v# zb4vU{86+>o5ENiYd?OIww=}0D2$HUXok1xT#tDiq28C-7dXxqS#TTU}rof^DG<v|m zpr4VSn_8@&V`P$-nVesuUyxXmp<kX^oS|P*pj(!alB1iOnyMe_s+*UXn+g&GrIVsm z-PDrOl+47u68++$Wc`ek9DPL5p;wlX!k}MTTm&{-KQ*toB*{?U*VR=YGFhNsmRXjV zl5b>SWTI!N9|q>=LwPBQC5ieVYr*Cgm!!lS85mgT7ndaFr6d-mK#VRZ%FoiTNGi?D zNh#L1w6wI;Gl=)}3yC){F))ocurM*u&&(?>NzBP9)=$jIi7&`WEJ@2R$}NW31<|5U zlwDNyMoDT#NoGDhJb`QzHN23SnwkoWij?@A{PfJ^ctb-o6OdnW81!ipBLwWErMGmG z@>41Y!f(hHQ`duerMam^naT0RCE#2{`$)sIkY*l24mBDlMI>J#+e)oc6xzB1B|YjD z%}C8K>KcsF90MB-?$?6aq%aI>qk`~e(A+Nr149KP1A{yx1A{9Q1H&Um1_niD28NwX z3=F@R85m|UL*{?8Sr{1HSRr^eD+2=ve_>@{0AY~1AoE}}hz;tO!1$!nAibb@EYRE$ zs0|I8O9AyIL48C}AI+M9fdRw@^#eiuJ2wUf22TdaQVGzwSRexfLl9_gih+S4l7WFC zih+Rvw4eYq=Kz{h0L>YI#x+4>{-7~^P^}9ZlP?E_1p@;^B?ALP6=;5)fq?-uE>*|C zz|hXXz|g_Kz|hISz|h6Oz|hUWz|h0Mz|hOUzyKO!2aTbF#>hcq;Gi+?r3?%V%NQ6K zmNPIgtYBbZSjoV^u!@0!VKoB-11Q|LFfcG|Wnf?cjX`c_U|;~vv+rbJU;vF-gTfCP zbAUR~pnfcr2K8%@<#DksK%Hm?1_lQxjjj)!4>QLBG!V$Zzz_hX(bYk_l`!RSIsnuW z2c;<}jjj(gRtr~*#E1ZO%0Y90P#RqyC_Nx4hci7u9dwX8p)|Ta<i0Ij6KLKW8jb=` z8r?sz{xq^45F0%_(e*)%11Uwp=;4X34;novdO#fX@I==KjUJFv6pS98==z}1gQ5q- zK@U%KebDFuDMi5spurE&yfc(W*9VOr6g?o01ZZFbv?c*cqw9kj2U3cPp&e)rD2=WU zY8<K_1_p)~Q1u_6G`c>hFowPhpg{))28J6@8eJb$7(?F<(Bc3F28IJr8eJb$7(?Fz z=!DV=D2=WUDvY5Iy_`T#kD&1$3{9YTgC;;&If1SZG)Ih0AA0&k*9R)YvFSrkFX;L} z>l3i)Lr*X0`ao+Iu<3&hAi>fLx<1f425kD!(+j#jQ2m5WA9{L0*9ThffK4BIdO_C* zS_6SiA9{L0*9V&O$EFWGzoY8|t#81l548RZBt8L}pwRWnfh4iu0%$_2fYRvt(8t$c zMxdu_XmW)~gV!{{IY<oj@)}(qXr2j4Ih=`JUZd*+%{jppBQem^C%QgRTLwuvf(b2n zVCfTGA80HQp%}qJPoL=eK=V=v)d&`P`b5_UnxjIfMzGM+C%Qh+d=)}9f`y(w(e;7m zf)J_^Ec9{$T_0#(2%#FmLa+bO^?~My5ULTZ2xx&70WE;g^?~M#5ULR@3uwV(0WC<- z^?~M&5ULR@4QN4N0j1IPf##7Asu3&+XhEO>rP1|)=9Cbs5iAa9L7)Mp(e;7mmk_EE zEDmTvU;w4j^?~M^5ULR@0cb&B0j1IPf##hMsu3&+XhEO>rP1|)=AaO&5iAbSU_7Xu z45iWaf!0tUR3lg`tPpGv3tFs!nBaAyp!F1B4jKU&I#Y0Qf-Sy)%EQ{B($I#h1zN(n z44UMDsvV_9Ltr!nP($D~ECZnmkMag61VGX84{2Y^KF|Ws0dmWzwWA?0*hApWV0YjM zb02#7waRsb1;D6xM?-*w5SUAX+EJyn4*^j5^%trA<qn$gqP?}FHjIYAkPLyRL(<j5 z*U_Nz3%UOYo0o+S3o^iH*myOJhK&ou#(81mcQCqw@$I#Z-d7kUj(wc}KDk8bp=-DE z2|>p`B|-Pe-YEhPGdxmeH@65iJ{DYP&Lt>w@xe5~MT=P`U3{d{-eKY8BIBnwQPAmS z<%7fD^*QcIzbpU#(AxI>C+)6p%M0HgdfEST^MCdJOGg*I`1QT#*VS!h4h^4t^_ed0 zc-+b`wLOj{;pIwkCZ2sClo+y0^;sn9p1U%bh3^qa__A7@@y6}1P7R&R`b-zBzBx6# z)K+J=Dfc>XkyoE(Md)4*hnJ1wOgc6nl^ANz?-fWWJlD;@v*)W*!_`!MrhvbXS{bCR z<5&`wJZ)t-Yahpwu*UpN5!0La?@A1lx9=5r@L``g<BZxjtqezd#2HuY|K!wAbhw+r z#I8!w;pt{^#)w<roEi?w$FU^5-7e0=6F)6hk72f`K8wWOr>+d&-c>3dII=^WF~jz= zQ^U*YaV!sB^olcGv8xblxU@^0NylU_hr|7HeU^wnPhA<<^h$3(Wr=wEU5VkUqCU%w z9j{#(+~g`19g0tNGxS{DBarZat2pC~n)j^??eq6=9C$NLoJr*V9u9}U%f*?dRNq>! zKi7N;LrGkLRV%~t<>%MOD2Sh6EovlzdQczpK!2@4|K$qCw-+~ZG8u{-`}ku~L`T9( z>4>h-Q`$#QT?(9YfHmg8CDWJ#f=3P=GVHP2Um$)V?g#r9J^4z{d+(h-Y~20jzW(O( zf4+MByq<m5d2;&XvVgt$XShEdK5q6;FXE}QwYp7P=mv=z&Qp@N7PH)1%(81+sPp!a z`O~h+X?Ad3ao^fFcimkk>vwx)J&%-pbC}+B)?)UPg`#GAs~7k^u6Z)wNp+dS+-ag( z8@GHBTD#%)LFTzaUu(Cnod0tBb9qJ<0S5*mi3k5#<}nM3{;yzsdttZWAp-%{1CyWd za25JI5z1)f(`Xc0aZpgQ^a6wFM?v#uCaHw5&f5HWs+G#WtnM!Ve)^m7e!1sjf%~5A zxFYX3_j=qJ|5HWM%~tup7;k1sK3SG~v$t2XIp@mX#GE4^t=LrSmHjK@ZYVi4FfcN) za0n<6V*FSCz~FS6F9GDZ)x3uSB-$Pp?H5^bKtaGmErC^nvDtm0HNztA2_-B-Vh0`s za)>Z1>|)@w__u%hg!2XmZ!dXinSZO;Z@z-Yx{_O`*dG_Y>tA>GY|*|`SwB}~*+sjT zX4>7>+hV%rsC4eWv`yEa?Ov{)o11-n_qM*RpWSEAe`)o3Ze9Ajn?E*Qxwd`U+bylv z?y=`G$3I_`o00qJirFSJe(r^*R5yv;-xA3+X}a0>)n``ccklnSeJAmu4~nH9`X5-= Iz7sVE0Qk5rvH$=8 literal 67691 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C;*BE|&pif~f~m zV2Ytr7D8*TgV5xX1`G@gFpSR(W*m}{Q2Qk}<4`avJQ@O{Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd!lDl9_?Q3N*>X z0kPiWtR$Fm0!-o|G#D6C7#JABU7Yk>%Tn`7(3CPl`7@yNRvu73bl%G|I5SrvBr?EN z!OhdhRl&f(kRgBO6gIgGP6h@BLna0WEd~Y#W(I}@Ir-_C$qcMe-5^t0z%y5d3{eab zU{PfT29W%M;^f4f#G?4jyb=(Xfsuis;s5{t^&l;V3=9kc3=9iEf(*<I3&8q75-<!F zU|?YQ_y7O@x&QzFw}5KM%qvMvPb~r)h)th7RO5fBJ};=NgF{^6ef-@$of()I7{C}5 z#2~+^hpIEEN2oKX8>lm=8>%y?N2xQYhpRKF`=~Rhd#E$0>#BoY>5XJkC}l<|Km*9n zF(fp|(Fg1tkh@`_;|TRE+|lu2uFfI;L12Z93=6=%2Za<H0|VG?Ak82Q3$0kFTSMZD zOA<>`!TLb{2E`f(hdX+P#0NY22Kcx#1c&$sxiSO<`8&G?2YdRt$NTvE2Qc`Dh6IF$ zFn|@h`nkXqgDlkm*~GxW5Co-5q4Y8+eGf`&fD|(@Fcd@S$x!+Vl!hj}ppXzxs167P zm1Yo-hVUyvE@xnXP0)f9s*97mf`Ne<11N!h`Tzg_4h9B>6)X%477+{#GdLI+PM9z- zI9Oof3ziHF3cd^s1)&fe5yrsa5YE7$5COq53=9k)%*e>V0K%#eC!mmBj0_C^!VC-n z&<qNi{{>-C5(Oy)ITxfCgkjMGN{(<j1_lNgA0!6CphyAHAPi!I5+{faGD{H}$sige z2Ew3(3$h-R0C*V~7(g^gtr}D<NDYWD$iTp$15NNDkVL`YhNK3h4&)XP2B`=60hFEn z@u;zcq+W1lhs71TIW|x?CE_v1o`HdZoq>TN3+g_Q86XUD3kZY!Wy`?80P<%U9yP8E z3=E*~Xu_iglzF)r7#RBSsL^9!VBlt8V3>tR4Jdqg7#J8<<52?&ACTMk;8A16z`(%A zz`$@8j~Z(R1_pix1_n@m0>up|Y(N+kHXsbLLj+P%FuaGxEl3^|7Qze+3?O%a*r0d^ zr2|<;Z~+cd0>&USKxqM_CW(Q8L5P8Y0Tk~bwO|F%bP7@j3OkT_Aaku585nS>abaL! z0J+HpkD5#d1_n_E1_nPoYCvg143y{bsL5tvU=U|uU`WEFCWnE6L4tvSAqS6|Tm}XP zP!(H-M@=3B0|ThuY`~)?pMik^RAhm|3xq*o1q#On!Ye^R3}V7DD31$3(=90Pg5ntz z$12eD0Ltqi3^E6#36!r5gjRBb;vA$NMuQfNfYKz$0+84Rp_QB<HmI@&(V%h(WCjR> z<UwY^>;mZniG##IGzi1gC<w3Q1i1$k=OA%>YCw6NkQz{4M^^*N`yg{cc7f~#<#j@8 zKzW^z8c<#*qz07N38}#rKIm@77Cz`|KzW^zU7);9NDU}o!PNW(r5#2{xd#fn2VyHZ zL3vb{fq?-;gX%C{MnpZcn}LA=lpaB47bx$-Xpngz3^D^07a%rBKQ@2C)PUj(qz07N zLF!@pKx}k1pu7$e$EOCA*9oZs<#j@8KzW^z8c<#*qz07N38?|)b(k8E-#{3YU-Y5% z9jFckiGkFB%6U+JXDHe01j>^jagbV2-UZd4xYUBmW0+cKdl_6`g7kpI;pPf2a0HbV zATf}+Mg-ho3Q`CyXN;ls2Pn;g%mrb%xx5P;-I3Iq5HL3msuq+6KxTl<f(n7#JD@fV zI2<O&c7y60kQ_)Ys64`_HVveZfq?;}7F1^9R4cg35tNod%0X&D=^Urp$+6v_IE1MM zg*8646(EIBH-PdHx>`_v0Q;?JiDM&@T2P)vR|_h?!D^+JId&td1=V-xYT;oPVc|3l zNiC?}!>M+<ozp@jwV?VBr`l>Ar!`1wLG>UgJ%Z8^NDqjHyFo+UX$z8CP<@E37Nib@ z!G3dJ!2oLifRuyc0#qJ>%meX37?dwSG+6EA*zO}B4m5m0brwFgXOPs&LDM8u2%OG9 zY?!%MK^&;Lpspc4a~~n8Rl;H}+;0LaIX@t&1$B4P&4sH?)N}fWq!v`a<5bIG?8F0= z1ce!>?*Zk4O#p=%+}t~6PEt@wkXlgt0LsNw+h^{i36%t?1@+&cT)0{g0~}@!7EYk{ z9f%K7tBEBXKyqNU0xLPap$37}g4!HVE=UP#TnMb>jD|{r)M^tj7t~$@83IxZ>c2p> zf`l;4t%FK})Pm}DC>K-h<k;?Bs3b@&tgHqrgtm1-Y_Q)Z$9B&_QVT1qLGmCBiVF}8 zQ@a{TEvT%9azRQ^-8(t9dlys^<OWdr3*}-}dk!iIQVT18!3wds_Ysm>P#F*9f|aAY z_Zw6aWUd*$xR@N<&BX-i#e&p=`eLBb3sAWLG6IzUK{PlV=EZi4AgKk_b;xQ#YT#<; z#&*jgsRfmv$ZA1lB(hpfB(<PE8nRkg-32nAVQy@<3CMAv_7MXE14tdn9FRLf0RajJ zc->U8*U1J+EvOE~r`82YEvS3|)kQG7LE!>7_y1+bASAW0@&T6{Qjyex$_Hd~L173o z7p&y}Wydlw1Jdq;l@I9Vf|Zo)b?N~#AZkIwVA%Wy4zrTIPV<n|g4)mc)UHNS>jO<Y z=x%_Sy9-IJF9EeDk<|JTP<sVQEvV0m&%O7N)CLeR7nXKF=@C|6p!*GE7pN@<OFJO7 zgwh!-J%H2_N@s7O?ggm@r8AIyxZLm$NiD8)23AtC*NF>Mc|iLIpmc`KT$ox3B(;Rn znHrK>SUrU9UYNNCNNUw_#05+(cn}=wURa%l%UpLPb76HBF14{pYGGwHDE}gt53ux` zi=-CTuEwRd3aS>=x58Ezf~3Lby0kd9f;bEe3?Q}G>Ozn-SnbjUjuSu}s9J1wAxIjm z_QFC(So#H-i>+-0QVWWAhS>`pr-3+7bFtN%AZf6<3=9m5K^&-BZ1pBc8m!iCf#X&X z2dWm9CP85f!l3dOBn@_h#sbH~AP!V5EG|ImKp3VLY%T)>!!;zeuzUeh2f{G5U~}DE zoSq=5#a73Hq+#ZM2XUZofaMF2nIMc}uDXj8BQ#Ng!WUZ|3o;63F08%)sRfk}pmH6Q zwn1(L<!z8!5Sw9gY_|ZCx#rM58B8sxz5}TRn>#tSTNz0$EWd%=3c@Jvedg?BfTR}G zrw7{yQV4B7z|Cb~U~ocG3oE0bO2KUykXo?*<IYZgNNPc27hrLyVwfAi?qy(Lh(}Tj zD@&kCQO(`q?39V57Bn7&&s<pf3kqLYS&h})InGWMNaljZqVSp9ili2_`3pJTKz4z` z0qnQQvE8t`8zc@Yqmb2t+Djm{pm+quaXXT^PH1rqs^dXwVdl<7QVT05(ftNC*UZ^z z9g<qmm<&F@9YInH8cV~eR>|4vHj-M<I5AGOyv|Oakko?43~;Lb>Ey%-_9Ue215HBW zRD0XWNdZYMXqE`4+8s_#=16K`?H%+m11nkK<m3ltK->Up?_gCs#mOlZNiD3sgH>&l zlT!_nT3CArtJ*Xtr^!faVeK8PYVDnzRw1c{wRf<p)pl|^h@=+Q-odI?!pZ3dlG;FM zeT-EtlateXB(<QqKb&##(b0(w)PRSUsloWnz2oR4i=-AbSAo;q3yw}ENNPjznS0pL z$s0*+7(TUI9i5Vp)Q00zyV%jG5=kv+!W*Z1iyfUNAgPVSXKuWs({d!WQTWulIy&t` zQX7p=t(BwGRV1}B_|$4RI=w+s3z|g6={FHaCuUIR2O7tqu|J$@KR7r^BB_nX=Z32e zPWnh{6Y#0s=iuauq!!y;BfLyq<lq#Iq!u*(h||4=4o-zgYLoG~A<e<59Z4-{0R~QU zy&Rn8BB@QqXRiAShRsN7L1Ume%{6v#I*Ft<9iO?X4o>%x)Pi<2<1|;w!RafKTF_WN zPPJ?fPTbIjF{myCjl<$p`_0}-5lJm*Y#*oE=k`wKNNPc2{5aKKw0H7FQVSaE$EkLQ zy;CxhTF{t3PPNPJohp&kg2s(;s_nIR>PJ#rfG;k}?46b(sV&5(HpJd(H<DV=?sS}P zaIkl}h@`d{pSe2rPS26lf))+oG*{Z*=`WI6(7F$tYPsy4gh7KB(DDH^e~(k`PCF+} zB(>%E+}mmAWRIj4G%k<R+yXnNP$adL_{{aUbIL|iTZK=pg`HC)lG<u~YX90gO-E8& zgHP=pTc@>1YHRVS-DB%?7)fm%KDFJpPB)R%*5gw<N!#f?lG+A*YOA%KSV0{bXdHv) zJ#fZFwziWrl3LJO4V-FIY@7^{)HdUDgQty?JCfQKd}@ttoMMsGw&GLEXX8|iq_z#8 z+Go~Iok(ii@u{6}?KB@rZ3jNJ1=dbmk<@nLQ|o5!bQ(!*7e2KX)=m$R)Pm-qaK;6L zwbOSbwLSREeQo8$3+k*w(?c&lwKJ@ol#$ee*5%-ILz|V8C6d~HeCAeJIr$^0oq$hm zs+ChJlG=&*)P`C)RU@gLgio!MmD5BdwUhCwePijg97*jId}>cwI_*VLI~AYW8J13$ zk<^0b4snKWou$)DB(>A=nX6>!^dCv>418*ZES*F_jR9zSn2AsA0}Cf@B(<P5SUBB# z-onWdN$qTW=5Dlb3P(~q2cO!R7EZZHYUkopTV&zXjHGrRKD8bePBW3z&c~-#%))6s zl3LKhSe)U&Y~geiNiAs37pK~D=1#Yf)Gos3h7IOUACc59#;3N++=(4D&;gC(CHT~4 zm^;ZLsa=Xst*yC}0g_tK+ESc;`(WnejHGrsK66i)IfWsqU4c(+ubEROlG>H{)E1aI z)gY-|g-@-qnNvTKTF_cToPIlC>a-9^EoiPDr`m<4PMeX`uEpnuW>cr5NNPc62jMg~ z&D7~SlG^q7%ndSidWocV13tB1O`LussojWA?L!kMUeF*JG@Wh2r?%9@Nghcp=sYBx ze#<m*GDK1fTHk<EZHS4JGm_e^_}n04;uMOcb{jsmp?jUuk<@O-r*@99QzepG(7I=w z?#(fF>PAw#6B>4)aYK+E(7GFV|9En2_iQA!yYRWe&Dd!dl3LK(GLU&#$Bkr+o$ev2 z1+59eskTYai3#K=s5|%Kb3>}0lNyrRefZRx>p6KLsojrH?RQ<LJS4RT@TtA3>of^T z?LmBM59&H?LsEMPpV~%Ur<+J>593oCr0ettNiAp{4bJd&(RGps4NybF_b5JdWptgK zkklT-r<POKDHTcWaeQh&={R*DsReCn!Rg-TI!>#R)Skp=?j0Scb4Y4WF)%QIhNNL> z9VCUE*6)G@py6;DpSjy~oPHvy1+6{8>E3BNPNJYiXHav`;xji+$H@>$?KymES#_Lz zk<^~Yr}lxiQznwy3uyBUASvW<s0Rr^-Fp#DEoe;~vf7zQYA>Ow1+9rgR=WjB?PWBz zpfz#GYR@C7y@I9|v`!pZ?JFd;ptW6~sDZ^XX#E_rT2_z;pnkiCW-e&`9I{#kB(>Mk z)PmN}A*;1UQhNhUEol85vf5B2wKvh!g4WL=tIbDJdkal1Xk9t7+D;_3x6#ys*4iPf zU5upm4w_ofT03O5dy&-MMN<o!PexXI9ZBsyG_|1lIApaSk<{KtQwy3KMOMoVN=(pr zdw`}EG%ty)RuxI@Lk0#0(EKedJ%Hwjk=5!TsRga|!<mo!)SVoW)ILUY187bg*<24K zwNKF80CEqqT2LJcEv`XKFb2(+g3>WAagctnLMQ=J4=USmiG#u(suWCt)Pus9TH?6e zNiA_uIs-WzW**4>_`(OK4x|T!Vd|mXRFDuDgTz7I8eHLq%bZd1|NqGee`<vrD8GRt z5K4gJ5tOfRnU70-d`W%*LrGG6T4qsbUS<hHVo`ENW;~KWdQMUf15`HN5XOS4gNifc zWag#D$D5kL80JuhnE{Mp%;1@qS(2HUV`dm{0B2jq8^YM;rtwBFwuxE1F@s-fNl<Ef zVsUY1dY-9SyeX=HdAu2_fJMAHs(@v@1&V-?LA)hHa(-S(QGO1{tMP`&JgA5A3sQ>` zOESw+K`Izfc=3j)e3;KHpbSF;Q#cD25QYY@kT5hrsI!ErGlT_?p&@9U4=5^wo#T^p z@{_X}f}P`wQj1edK#a`1g3^-U#N2|MR5Wf_VoqiXNGvTgrzEu~H3gvxRRp5YJvfNL zJtUaHJsh;T)yIXwJ;)WZ)wLkLv>?7Dw}2tQ$0y#$-`U$8wENZFDc;@3$%nz&*~i-- z!gO;Ec7ifpV6-Ph$~`y;ysz0kBp6Hw1o^mt88BPG9Ip^Z_xKQ)UI@?G8)5>4=K?hk z!t)JAmJ13FLE<_)284tLxgv=Kxdyu;s|fb+bVKHc2DmteplAs73vop;G}zV05Xr<~ zS05u(t}!at1c@6O>>32}9I^p0F=SI<V#r3p#GvK{`8&sld%A>pFoeKapn!x5f|<b~ zjzJ*|p3o%Y2~9Dc@yH1Vg$GFn{_&`Zg8^LtqAb`szMv>SIkmVrGcVmGKM$Pva`F>X zf-^GHpb0ax#4#nMD7Cm4ln68Pl8aEdnI*xcxgZ&^E(FuRw4|W4guxTteE)c`La_1v z@tJuD(?L9h;UFHwY^aXXTm}dWYO;U48%l<NdMGG9xwNP#HLoNXyaPNqC_XQ>0?LW8 zNzTtJE-|oEV1P0W?GzZ?{airh5+sD<J)J_`V8IRM#5;w$xif%*7~wb+9#|Dv0m3gJ z1#lj+0#IN<B!f$H5fTNk%nuU+1rpSwp5PFJaFC@TQ3R0%vr!~Va~Ysg2z$V#4Mdd> zMuLd<2l?C|Grmw02t--1b9`b-N>FNXX--LeX1t++L401k0Vo-u3L9b<Ho`7!j9u6S zyRa#CVKeN)=GcWTunSva6*k1~OGE6wG{o*pL+rjZ#O_N&?7lR_?n^`LzBI({OGE6w zG{WvnBkaC3!tP5W?7lR@>PsW64l%~+4r8p&FvjW%W2}xa#_9%RtO0F|HJpvH2D35N zP&UTuHxsOWGr{UN6RdtS!Rj{?tbQ}W>NgXtelx-9HxsOWGr{UNQ>=b7#p*XxtbQ}a z>NiuYelx}DH&d*BGsWsRQ>=b7#p*XRtbQ}Y>Nhj2elx@BH#4k$GsEgPGpv3y!|FFP ztbQ}Y>Nj(&ely4FH*>6hGso&TbF6+d$Lcq8tbQ}c>Nj(&ely4FHw&zOv%u;%3#@*# z!0I;(tbVh=>Ng9lezU;pHw&zOv%u;%ORRpg#OgOotbVh^>NiWQezU~tH%qL3v&8B* zORRpg#NxL+P{RVXQ2`P%#1t~Z6f(vXGQkuwMHezhYsKWnn`78#j$xfShHd5;mRVw$ zV~JsoC5AbcnC4)(+0qQ%7nbH2A{H1TmLQSb(wq{1P?tC_#fTv$I0&uZ5N#YApBWEM zH40dy<BL+$qYVu~YQX7@2sMU8s4*f!jWKRDrZD&5u?wySk6myzxa|T54}P!1mEd*_ zTnTQ+z?I;3i#g2O1~`KTt^~JR;7V}21+K(cfgw03z9=;@1=c47r644Jv;mr%kmb;m z4YHgO9)0kjfcg_zA6O14xfX*unn9`QnZ+fkMbQSakdQ$U1*c)$GKP4h3~`!gXoOqJ z7`K!OZYfjTQaELBI|8>Ga619F3(Ro3z#ONH1x^{uSa7l`F3km(IK`#8(Z=wsXrRDQ z5N{Blna5BNZwR6o^fU5vQ;YR;j7;(}lk-dT3ld8*^vg4gGxSRebjvbQa&&W3Q}sh# zb@LK)Q$b>&k&&WQ-PDrOl+47u68++$Wc`ek9DT%)s9sq{3WI(@QGS+wMN(;IPD-)9 zrKP2%o<Y2)Ur4-xiGgXnfrW{Ier8^ANn%b;v3_DsPJBU5Vo6$lQEst*SY}ybN<LJJ zeoA6VqCUtSV2g@NQsRva3@r4EOA_-^5{pvkVOL3NMM-8p4Q<LyO-+S)D<vL$RARiL zp_vKD${ZSnBrZF3lk!t4>0@zTX>Mv!W^#OS2{;;Q?^7fTk-bT+8~`2c2L&GWvK7*R zJj7r~zDmx|NGUE!OiMG=FG(!OPpK@`k0{Q}jY!T9PRvV5%C7)tm(+?9{fgqu+_Kc7 z%(Tp8a6XDJNKDR7OiwM=&&{kzO^MG*%uA0i%PFZWNQGEHt>8eJ9MCJHJV?MkcPvfG z%nvBaPu45W%+)W>%#AnHPfE>5ECYK~A2b^SvJ0%XC?yk8emMIS>zf*wo0yszKm<Sm zl9Qhf4y4k&%;L=4Vtsc%7czB%#yH8;3K}paQ!8l1kxZ>Vp&`a(YIO+?FtKnoBvZFf zXowN{T208;YDT73-_Qs*3kvj_ld0Fu#o3iit<H`?L6H;$l_{C_hB-Uym4WwWgXUUc z7&K=G!k|5mAPib-2Euxb3=AN=h>?K-gr%7n7(f_w{s;&&F*7iLa5ysq0|;+mW?%qe zK^6uE5YA#@U;yE3EDQ`FEWpaZ0K(p^3=AOL#>&6|!k~SvApD1wfdPcA*ccc<xRi~7 z0faZOF))DeYc>W35LRbrU;yD{b_NCzp2yC>0K&J~85lrVjDvvzghBoT`3pva*r4?l zFg~d?NH1v35KJFvJq~D13TRyeXw45yoZd9(JVVeK0HE_4L3`jq`}RR>&^~)R1_toC zu$~MI44}Q!pncAuy~CiryP!R}p#8I;J*l8Qp`g8<pnaL3eUYF&fuOy9pgnw`y?LNL zcc8s=pgnTS7#SFju|m!R1)VPeIyVAzjuL487jz~A=sY9P8495DhhT04os|f37sx#z zH-h%xgHA(sg1Qg1w;Z%*9OORG{%X*^Y0!RXkXu3V2E)i}JPM%eb1I-T^12_GdTjIp z&_XrPxx!EyT^~9hX2uH8qBRBvh8<8ET^%Sbz|<nsJ3tHA7#J81KxuS+$bLfBcL21Q zje&vT0+dGA2TG5~>S62#(Bdx!28IqOjjj*6-5aJHP76Tygi1hZbpJrN5X05O7#E=G zZ$N2ueNbVTayZ=q-E%krN~7z83d7aI7#`4lYynUjT_02!rW{UlK=(TdKxuS+P+_=w z7~=#~;sTUL*N46y38t?DDlq{{qw52$S%RrWrX8RX9#9%xA9QIwvKBD=2UI=yKt<>| zkYEWY0bQ;Q<sqmIPy=^BX>|M0*W<u+W<U)rfYRvtKx>O&YLRILsDT<#8eJcBDJZfQ zFuS7&lHw;oX>@(irHEj)$b>^NM4tzgM%M=|K9IG5**~D_8A>4f(e*)#53pKfVgpqD z4k(ST4_bU6YXP%wK*Qq!lt$MFEk3|%k%<Y=fSv)R(e*)#4`eN1wg)tz1E4gzK2Ti; zR)|hO1DXR$qw52$l||PA;_rZ}KLDlC^?~Y8kUDgnQ3?tF0w|5H5446BT@Q$_PzKSb z0j1IPf$DFNI&^#ks{R3#M%M=|R?)RFOelxgHv>we>jSkDFf=hRFnB-@u?c|E==#vt zcf!r6gxJRcrP1|))`r5>A~AMA)gOS;==wlwagmh6nFUo4`zoL`x<1glT)1K+hDJ3+ zp8=Fc*N48Y52p12RQ(Gmjjj*0RuHBZnVwMtv2OvCM%M>As|Z;=j2%!5(H8-w(e;7O zWP+(hra9^$`UIdfx<1f3KgjA~>=y^16$(@USs#cEI(G;vg+hHGpbvCT8j1!e=LZ3O zptH@ON>QnU_}vLQ=L}T?gooZfLvQDR&OC#tL?zMNXXyGsXJMo2fbh`UXXyGsZB>X$ zR1&>?hOQ6PzD3mm;i0$B(Di}Z#1NIJBzpS{T_30&jj98}%YYUj1yCAYALtxAh)Ptl z09t@lKxuS+pf)?I4hSy-T7V=#X>@&{c0NQUD(L_%Ks=x{x;{|f096NsrvWWM44^c+ zK2U!Gq7s!9fEFMUP#Rqy=-f3_9T46RsCw|`0;GEuAhHY$puP)?jZCAbYiRWeR|4vb z!8u3_^mL7`57b9OQVwULr%!Z!pnerxF%knky`bv@^%0Sj!<p#m1zjJgUkO)?#6V9k z==wl?KP2UFCVF~7*9Yn^!WAPi(9;XLK2RSMNjaQ}o?g)Pf%>Iz#YhbF^n$Jr)R#q4 z4rik0cXWNuaFwWx2ha-c1(ZhD2RaiDRR@H30$RacfYRvtK<C3jRHBj_pcUK&C=G3< z!4-kdii2~I7!A-0t^-P=>jU-ek(9%k7SIaL0ZOCm1C0m36(cbO^dR+-1e8YB2kPsB z#yXIc!<iqT_WXd-$ofEvK;t7I8Xd2IR;U}GG`c>}IdkZGK>Q9Ph&v}hX=HsMcY?+` zK<d!@;|b7;I0H%}>jS9=jgNq6bi4w3xXK17jjRu3A81SkqzBSk0kI%h0$PD9Kxt%s zAQhl-7!Zw)GmIhrD}d6-`at%<#%|EZ4K6_KxdEk-^?~dFomU5<(eVp?h<zWRG_pRB zeW0`JKzcxJClC$89nglx1SpNH52OY(76zixv4$zcJ_9I?tPf-#X#5PM2YsBv0op+E zfYQkNK<Yu~+kt3we8K=?-vua*tPf-#XsiyT2fcp#0kww#+Q3292T~6@_YOp(V-FLE zeF0D!Ss%zg&{!}?5Bm7c2B<wdpfs{Rkb2O0cpw@be}LxKA5a=uAILt?I3!39hz+7) zI0M=MD}d6-`ao(x=j4HCbgTevfN4N!bbX*R^U(Ex_#Ebta1nsg$ofET1C5n})In5% zSP*;zYR>~GjjRu(0yMq~qR}yj8N?(3D2=QSWFP2UJ&+#sajgl^2GI;CjjRu(9yFE< zqS3Jjv_TXArP1|)&f7!R1Li{;L>y2WT_5P|J+L}7Vh2?H0Vs{G4>aD4rUlF`fHtHm zpftKZ(3yN-g=mBZv>{~xrP1|)&gVnZ0_Hw|s(%5c(e;7O>H{l8BW6GwU<;r$x<1gk zeP~+1+yH0;ECNcS>jRzP2Udtia6lVi0#F)VA870zO$(TN0IL23lt$MF8t(@yL?bGo z4Yvj;jjj(gM}VdU%r$^E+$^9px<1gn0$3p$@dB#;1C&PB2bzmO(*ou$fHwG6KxuS+ zp!o~1LNp=*+Tcro(&+j?a~^0~z+3@ngHHlVqw52m5eQa@Mx21EzW}At^?}X{MAHK1 zHb5JS9Z(uwAL#5rutGG#0@_e?fYRvtK<5aeX#sOTK-K?%(&+j?X9|K9q7f^g4a^Nt z8eJdgd_goVU~U4mftdlN(e;7O8U!muBP5^=Oa&;7t`BtXAet61_X1S?4JeJS4|E10 zSRoqG0d1I0fYRvtK<5#nX#sN`pbb+GD2=WUbT%PaAsX=msvbOn2ffb^SqbQzLS#OS zy#d;w-2tW1?E{@z2vdtpXFwaY1yCAYAL#r-Wc4t%0<=M^0j1IPfzC37sYRx5K-E8h z(&+j?=Nclbhp{I>8^SZ7G`c>}8HX^n$g~HvAshgu(e;7OJ499wXG0sp98elvAL#5u zxLPE}4ygJAP#Rqy=o~~O<#1*Jv;kcKrP1|)&P0SOMq+3{8_)(&8eJdgd_*MWaOMN3 z`WH|dT_5PIM7Uxk#tdk~djXV2*9ST`5lK0m831i~M?h(GeV{WG;fj$M9MFch0F*}8 z2Rcs?NjaQ(0IL23lt$MFI$II07>Q8<ZLl{$X>@&{a~6@5!<h!q2D=56M%M>Aa}ll> ziSYue{sWXo*9SU(5lK0mxd7VGUje1j^?}Y}geyj3L_iz*2~ZkcALv|0B;|0X0JNbm z0j1IPfzD`zD@J0RfU3U$rP1|)&TB+c4rew%I|v<68eJdg>_)g^B!&gFgWv$A(e;7O zaYRxMXMTXH{{f}Z^?}ZGgeyj3tblebHb7}~eW3Flk(9%k3DAy329!qE2RiE!t{90S z0qs~QKxuS+pmQIQl*5@9pz3cxX>@&{Ga%uLkr*A&4#@;4jjj)L9wd@-IMV^zA@P9H z==wlsL&6m!F@8YRGeA2g==wnCL?S7NGdDoh?|{<i`aow!!WAPiGN2uq0w|5H4|IMc zl5#jx0osw#fYRvtKxaw96(ccjK-E8h(&+j?=Sm_ehchQYJ3up_G`c>}8Iy3uNDL2X z2Pgnaqw52mH;JSi!Gv~zIG{ATzC{R?D6Ab&^#`Cdx<1f3lqedYoC0XasRBx)>jRxh z2~~<hX+S$p22dJZALx8a6b(?$1E~5JP#Rqy=&VYpQWR<iw8OOkN~7xoom+{b0m=!0 zcDN#-G`c>}8J19`C=>^@!zBQv(e;7OvqaGV<s5*jKLMrD^?}Z|gepa$Dxe*;1}KfL z4|L8YiUugh0NPQrfYRvtKxbY;m7-8Dpz1$BX>@&{^Dj{}KsgJb9lRA#8eJdgEKI0U z6e<GR!ApSB==wnCVxnk(as;3qJP9a`t`BrZCR8a3bpopX0+dGA2RbhkMFW)60PPrd zKxuS+ptCcfN>L~aXvfe2N~7xooui4O0m}IRRsRD@qw52msR>nzLal&yC^tZ9bbX-n zHBmG`ISJ4XWd@W+*9SUl6RH%2l7Mz76`(Y_KG3<FC>o%g3sChppftKZ&>5Uir6^Pf zv?DqJN~7xooyUoy0m^ZJc0@g(G`c>}*_=?NDAW(AdIo5R6kQ+aoK6%CP|gOZ`W;Xj zT_5PoPN-58Dg)YqEr8PK`atJ*qG*6}6rdeg4JeJS4|J9%R4EE|1FHT3lt$MFI@c3L z1C%oX+VPzMrP1|)&iI5XMWH;P9p3;bjjj)L-Y1F%7zf(%<$%)Y`aoxY!jvM@JD}<h zKxuS+pmRWx)x+2Y&<=A2lt$MFTB8k9i%e@kJIn@98eJdgd{AWdF!lqe`WH|dT_5PI zP?%a|dIq$ky#Pw1>jRw|imV>S4uE#FBcL?8KF}GWFtx}u2ehLt0Hx9OfzA^}Ru5wz zfT}+MrP1|)&K8BKMW!pD9rOk$jjj)L&M2~a7~25aLAQX?==wlsj>6O;(=VXvKR{`8 zeW3G4k=4W43!okQ6;K*oALuMnm|A2y0@|@pfYRvtK<APotB0`#pdEV&D2=WUbVeym zEi!!qs{R6$M%M>AuM}B5jNJeo5a@u?==wlsm%`K{(-zPH0S73Jt`BsMDYAMP`vX+{ z4=9bU4|Jv}Of53K0y>be0ZOCm1D$V*tRBWrfDR;NKxuS+ptDY4YLRIP=s<!3lt$MF zI`<S=J&dgY9Z1lC(&+j?XQ0B=BGVesfdm66jjj)L9xAeW7~23kkYE9&(e;7OMun+G zrY)cY2@X&iT_5P2RAluq_6Ml?A5a=yALz_fm|A3d1#|#n1C&PB2Rc6$Sv`!M03CqH zfYRvtKxe7K)FRUv&;f`7D2=WUbgnA0dKkL^Isj1trP1|)&RB)1MW!pD0}u^R8eJdg zyj5iNFm?lU0HOm*qw52my$Vx{Om{#BASOU*bbX+6SdrDk*b|@w5Hp}Ox<1gEtT466 z^bF_#!~!UduJ0+bVkGtg=m5kDD2=Y~8IoFL<_zdS!U8CbuJ1XrVkC9|bRZ!DN~7z0 zfut6h$pIZm5P;I?`d%U{Mq(d;sy_jx(e=GTQj5&2fDS-3KxuS+uaOlau??UD5Ef7x zUEdodwaCmDQ1u_6G`haG$cmBJ3!okJ6;K*o-#a9=$jk_6M>GLSqw9N*tQd(c0PS!| zKxuS+ACS}{GfzM}Bp0AGy1tLdijmk2(1v#hlt$P02}vz7(*oMSbb!+6`aUBoMq+<} zHo$&BX>@&GkkleGS3nyY8=y40zOTrNk=O~)3N-^tqwD*Iq!yVe0j)R`pftL^@5qXg z*cYG$>kTN4uI~quT4ZJiv;dg^rP1~ML{^N%c7SFS4=9bU?-!C<WabZ8LV_j?bbY^( z6(g}XKm%+Clt$P02T3h5GXpA70j1IP{Y6%c#LnOVtyW=RD1g%F`u-uQMP@2+LiA}s zX>@)6krgAcZ$Q<*fYRvt7$C+X>3}dJGIKKXDimCtp!2>EQ3km3ozM*)1<-Z_On#Ie z4S~@R7!84;6arn)F}$JV=25qfhQMeDjD`Rx1VH6i1!L+h{y*8pvd2GG?q8oBQ{Q%4 zR!>*+vaYVGbEc-`!l@}Gms|c!GI{xI`jH7yLX)pt(w%&1(yqyuf;PQ=AG6L{b?u+u zs+kjYJSQ)?U;6I(>wV|b`;V8tfBdra+_|4~?5+3To$cYW{?lUa_#cNABbYbu51r|; z#`t&jf_HZ$zFhNG3DVbl@b5~T`LF*Sdv$WocCIbB`i3if|I=6T`g`*F4*M4FFyu2o zl2|DdmcMP!>A8gwlI_-y3aVwojy&9E@#S$rwoIO(Mb+7Nw`~#<K64O_9BMyjD3o~_ zZC%VXt6IZge?3cCpJDL?u?+c@2kr>5mb~WD(Ft{^pULEHu9Z;H&vcvLB|*CF)Z(aw zFLRhy&vp}Ud26R;t+8QRxWgv~Rx`T=4PQLDa%%OMcAsHx*?u-oCVI(dXVxdvwKtS+ zXX;XB<(n7kP&xTUUvmEGnQui6zOu3QeGfkH<+tbzi$KP&=d}#3hB$m?=Q<O!zTw+? z(HYe)jCa#TB5q`STW9rqlj7k6-^Bj<gh*`oQpL*iJv8BIEtkaH1qWWu<eCw)<iM@( zq7(M`HTE_#UE<f?@HL+`<@wor57Xll<E?IMD(vrOs{XC9pkf9S`*H0BF~JYcv<lvs zz<i@D;Z^lU*JIbU5`Ouys>ua8{Eg@GS!@5ha{IM=i*^Py&YZ(^D?c>hT>xuIe{jM> z6V@;K0SPa6b4iqmM(lKET+A*S@pn0sT5-Dl-|M$5uWjo)(8kj&-o7U`q~$onEA5@d zIa7PLYbexqFhvJ*otU@kz`37XC*qeKxM#|xu`b}jgF4nJ{}&#ZX}MYcYPK(Pa3*V? zxMsqyvs^whYZ`vFi_EZcWjw2|X;7TWQYOIE(tP2cXU@bsug>4yYQDF6JrlRT#)AGO zI)^Sz<C1u{<iM%fA_iKT8>+T2si|vk_~y#0q92&>_&Arx{Y3|^y%(KO8`C)V$gfSs zC(ef_<R9nAIbl#yc%ple(wvtY+3!7?w=tBDFUa9%GuNERMGY(UH465GFkY5rRm%%< z_*>59W4o;3*?*B4H*=U}{eM5@I`ej2!#hT<n2H3JZx+%A=4mtEC{AUlUdNMgek$Xe zl@nXL_UZmo*WB=>l9fk4Ea9oU;EjcR0(@UHDsv{j$`>_|)ZFkbo>e9IbIP)uJwA*t z?~2^G70-B6S>%RgAmh)?qBpX&7E~-{V!y7v;Ey!xIh#cdEB|X0?77&ssdz@+N+#jm zZVAq9juJ(CC8AZfMlhc3*EA@e#&jz_G~pc=YsvcHgok>pU*y9QG8Z*G+|!$qA6ePS z<hEO5!>=+{AHLuRj}9wuc+JXI_KAIi{HjAr-<(CW<*!avs%&GDjt_L$wf4X@eWeY| zq6#uA-mJQzG`C%3LVZ-@-mm7<Iv4I(%fxQUI_3Vd12dgP4ZaGp_W1`N_%dB|#*P@q zuk*DHwgxetm1Iqu7vxaA*Ei+)jN5LEcjt;m+^}YnHsU!n;dlEHG0oZt#>2s)5t$kZ zB{P{;+Xo*=X=Tdh*HHMmgh~3f+x>qny1w~K4_sR<GC@~kL-7WtPsg<iUP^6Pt=KU4 z&xS+$^b_8T#Ikoi*DNSl$#lv-^ns5(leHe>p?iLJ#iLXHv@k8(xpE#~Co9*A{XvZ@ z_ljJona*T<Tw{Ul+5>lXa=nOKbl}ict{3+g9k?VfVo(#)IJMMl^4!B2`<PZovif`r zNq9R~@WzBhfwnm|TH8DxPv-KNzxcp4bI}QVy&C7v7MUQgwc+~=rmpka1sfv2EDgDF z>65mI#pc~i%lJYb>{!(B(qH4lKGTHwKdc+nRU;DT^Df-b-xP3TvXD^rK7a1n?-u;) zy-{Z2p?jH$Z<cw6Bm2ziMTh$Acn`H%X8bqZ7R0{u`Id&}ysUk5c9aC%aOAdl@qQVr z?38;8S!H<oFEsIK$zNyUb4$;5WWTyTn~{C8_SOZ>QU2T(9{1L=%9{LJ#4597{?#VF zDCvAfcRs#Nx3eAD=f-YrX#P_db-^*eFyuxjcXPobD~+&Z?NS2^Z*B{XdS7m<89SZ0 zEoS_hDpq2!&zsv~#=p&CB@-&#xGh%X?^r71W#y5&rJ?!R>n#hK&+Lmj;P|^h_k}`v zlUTt8TW4;oh>En38^%05Z}!O@_~+Cfb|d~6%Le&bhn33W`CDz-7Zyy+o6%ku&26Re zH;CIpV%?=CKCSvLuHA7N0_()t7cWoNE)BSw&^X7jz~E*Wx7CWhVcZrT?-sMlnw*L{ z;P|UT_r-$JU19|j_6BfUy@*-ID)VIh)h50w?X3;XkL0&5Xg(Mmb->Yb<}_t@cH`R_ zjO@YdFE;TVtIlR*zx@7c6Q9s=*Vef^3^>%+HUCOa(k`8_%YoY>qt2b%>O{%bhURZ? zqb@kUbJTsX;P(=-l7Jbzr^<L)yvSe5Dmx`^EvpO<chm*Pr?ycC4!(%ceX-zIrtX7; zqIqH^8-Dy*u|e9F_l=wUfxO3zZ#wN7YQJ+vx7$8q-(YQ@b^jXg=Lvh<xUDkoEMt{f z^8R`gU)19j8(z1vJ*+C6Fn9CTIgTY4A{VgAJQ2@yWZ%1YOGERc-5|dhMjdec860)t z;F+CK2M&gKb6ZKouVt0-xxb24R^{DQCcano*PHl)Zn*Wy$e3)u(8OnCoyo}l);!CR zedi=lgm`gVY0QxkEqioGVa^V|U(b((y;|@^SNB0e#Z<A9fSr-t781N$7c{@Rzonu1 z<madhj=7&-#CNn-T6nx$!76L=?E(|uCH725_O;4e8=Bt;>AqMHe4UB!llRsI%}cYn zs%_dEYqdR@tEJNOXRZ_B>jGKaT)m}%`S<dgvIQ?5w04{QI{%-sY-b}!1!L-s+CQry z?TVvICQmf6Y@ho$;dr63%<+IIuQDZF)yd6MQ@oa6^_;e3XZ$3!xlvZBlV<Jq@$yb7 zGXK7K^0Yo5Pwf=XTQ60f#_T`;wPMfu^Y8nM<@%pL{<?SD`?ICF`|rP4dUIoV-6j9r zz3=`jC2hReQ)=FE^xE(Jmlhmi2)I{rZr81b$v?SPq=`ycM=*X(7MT&TuHl&_mrv}P zhHs`^XYQ<Oc&Eks>=@UJ^`QxglM^&^iX_ejCuDA9I_51BVd=$qxr-?~oi(AGr};zb z<Fh9w91G?0xVPxQwZmK+a<_JG+N>6olAgOb`lh$0!hVm&W@ga<o1n(UsazWK7almt z#Tv3c;K3`&iiE>6gEI>5^Bj2aPUFjB=XXXOch{_M`sL8rsV;iq&vd3>>E+kf&UhQc zvF$`gzN`q_nK$6-<&5mYhJT%0I#)yt_INZdmDfn9S<Ix(uaWSpg(>@gh(pcdog2gJ z4(&8a(^i-nnD9E6%R_$MfphMn6Ks7O_i{6DaBXYc%l|~bpGUGpG+>W^<Kp9@0sq>W z)TPf%zPad^8|$&MK!?9;xqM`nHKav0t_&8v@UM%>c)I3->Sm_m?-~pK&tT%N4?j@w zH#fCNN0oVlE1zP_laGf^OgI%SVqhE6xV2wHp{|QbIzG(dQxNO6x-|_Y@r`ruYbyMn z!&E&(f3s^HH!Gi*#)2PdtbJTu8uby4lP8M?{GG&<Je>s;rUesU+jsGJ-dWl3EMIg+ zRT$&j8)Zh(X=dRL<?O7_zJ)sc7hn~$Thj2*lS`*Ikn!<Ut~Dhh277%Qz4Q4G$bB)` zz+M}1quGw7`a-+&8^``z`Cmc>>Z2Na|7$7iy!mZc%i6b08|E%{+)?~;ud=fhyS74f zP(uDrrcckc3JNwdb=ikJcoD`rrGD*!lM#$p|7#dbyWyDD{c9VS&zq$U&#XmfSVb|u z_17{e-o~`cm-X2?z5`;CY!8*b=XdgG{_$cwyj&#W*LJ33n=ctfhdt9u*yYi<bq~`c zZ_yh+T9_uKYi;;i%DQBINW$|p))e`m2d5-A+*W4UEuC<5uJpMY+xNCBuRGMOI&kn9 zcZzMm9k<5CcbPZTaw^`L{>fU&S<PKz!LLHrV}9Wdf3vxKwuoN%vy&;9Uu(f%cGk4G z5Qp-`vZ>q7oDFoaUwq)uZBYZ8n8v03nh7<tn6%?V4}2+Ny;djIplif)$hGgjyyPVb zO@*ILOwrPMQ*J8loyugrTSH;@ex~YnO@;a<Cidl83vL8FcwxjkrGC|cleSzd{>3$} zPJiHNeT%K!<>5b#8_U^qPV6;yt+-gdC}F-_kL~*R%wNObs$`!!GL0+a-I4<#dJMO3 z3mn>;yWiYMrFak1uH_mA<&8|grfY5ZAk511n@eYH*nuCGtYJGgpAoyPD-v-#kn!VR zksB5LOp}glZU_x^_*KJNrWfe&t%~*AJ03_dKbP<2k+fOe@Th9{i5$yYF^o^QFsc96 zTJS56^;lo1!(U@ApE=7Lo^2PMvCEI~?QPK+l3ELXZ(&Ne7jC%gpm>8<<j~tP=9>b) z4>UI3O?oJ>JO0oiyT%#Sk@wku&3?P8JLX0l<Kexc5mn&~+qNsVR9ox0wuRWOZFsqp zYfY^;<Hu~#8$XsZP3qU!@Kv03NqkU(`oxJhm8|0%_wE&$VDHh`yjV2g&lD#2bnOLy zd|Cb0g*j|pe&EI`hMb9s0(wP%&rekB+{%@)ugrX=Zt!Q33-^K>XR30&&<jmix2WOY zOfH=oXU4<Yq7lDZnU3*mZuq3m>a(0HW|tbn?)Zd+`TB{8^6H6+`{%Z_-ql~TH?2I< z@JKLM$%Pxv+!h{kD_CW>*a?ViyJ^B<e%rAs^2s7TtL52@>^DWDE;z1V%PR9E|56hl z8-Erf`%(KWNA|_yTN|1`t^Ph??&9W?GP(~I?3yiBB4F*nZLvap>w@MZolyrIKZEMI zS4z4M7W}Q%eW6giSghc}-gs`S6SjgPWd(@>axv_G#g)WM13L64t!-(37#4NGF*98E zLBgK>VkH5U`^5?jY#q6+R@BCETXe*OYLb1+S!GmqM_q7y9jE(1;qMNyk_(k_+!iPD zHY|T5BfDmf=9_GOo;TCeq;7<Zec5)UyXwUIHLS8cMNtPFKh{QFIQZhnf(@Jfnm??u z)~MGj3S^(my``b~Rr%Hh%{O?X4mkeJ(|w`vafVpIg*h3H>?^;DpMH1P@i%YO1;@07 zVg(oO`g2>IkX^+p^QHfC6Cc<7t4w@t&$AubmrfHaFxVHzZMEXgQdSus`KwKQS@qmp z);kP1_}4XCe4Dg(N%OJSTN|36FzLQn@KsIsfkNzsCO)g<S&ZyACvRQQe6KR<fa5>w zs0#-lSm{1kP|+?{Vjz{p$o@2a%Yx>Ur=t!yel3l>8_m8tovYg8@_~Jx?Fs(55sC3@ z1#dgXA9b#k*L|T-*dbPM;m-oGk_lFt8gD*aR<QZQckAm3SJ{-XEJpUb*;^Mh-^q<S z;P_uq_r-z_piurXNvtH`R!P1kUxC5A^{lcXo1zXJ{Gy=yVnJDtSb;$NI#yYea~GNT zCW&V|vaikF($M^6ZPW$F^r>P66J)a;+4pXBOk@{ldw8&P!u=Han_gBDXD&1Gb#-rD z(0oxy_rZdiDPkoCD>E3`uWsJ5pgAI#+hRrhQdU`&yo*eHv-q<e*;g*#($M@(O813= zad!MoON$rFwk&AAS=@YR-YtU-_WW%L^@^Ma|1rro*}ibzuz!NWc8~k*?f)91E;v5) z(0!1w!-v~SW3He`S@i{lJ+?AE;Vycw78JFL6&URG<hEMDyQQJ|$K<GjyVu<R{gju_ z{bUPjJn-(BoGN?lV`VIdvC;IIzAmw8R?1m|PkCBDsyU0Waq*}|&$8;tNquFqY3j_= zHIfr|_T;!cF*-d{Uw6}-$ZZ}8X*Z2J15>n(-S@3M^QB;3vHkPnxBK~Je$@QDd*1T< z>CK;InszT|{kG3(cfZ8HVne3J2OUo(INlg9(b@aLl}|vf{!qc&hN*`|1?Da~a4UxO zNVvv^jZuszo0y_si%i%T+Bo+t*NME~g#77DpU!I=Y`EWfc=GE0ugmz^^R{XDec@u~ zIVut`Z|#8-MXVwE0SRxoSg%~yGT7+Jcy<?4Fu(SKy}^w$(?u`HTE}iTGIwPBdy-4% zOo&6lN+$0})|TrU8;Y9Q^Cs37WOuzd)~L9(T2$cvq65eHSXI7jY%oo0J0tdT1C#Y+ zkqL7b9=IpO`s93Y!h<4Kk@dj|8O=<m%C!wHg*bfe=jyRt++ZbSWU0pY?SQV4Opo3t zmh~&%S$`2TFp>Dzec;0SXB+SB-_7VVcSS>)SK~~6%>~sfnTq2>9R7>3iq$P>c;L*X z^VgB_;d0Rk&NDo3YIo|(UThYLuy$kon8}r+6XNhGhjp7?n8Pn_t~YDGAINRu|0h0e zEicbY+Z7Fe-iu09crhORuWeBBKxb~<&$V1VGV2>&X>#?XiUjQUXzW}qdSOpw<I4Bi z3;s4R8Sf8v*uMI}o&O>i>=U;6^tEoUcpxUp=O+GBV!eU-+<Tt<a^?jmFP-G#n7{PE zspBF7d%YUBZen`0U3){}ex^zNS{uGDVY>7`G$H-J<tC{U`yw0nuH-tQE-DeRvf+`t zsKl-y#;5Bw42ov6=Ly$*Fkkp<a--r#caebmYY(`@GoJleoVv|Nc45Oe5!N)nAcyi+ zregU(hy4o<9GWgFutsacmt@wK?b;hYrL(%k2PEX~pPW+EA;0W^+ZpEVCkhO9oe180 zXl}`_>-WsREWCfxl6iWLZn(p*!(4A-7B;+@E^_1cl7>J1A`&-NH6*z=E_^N$AZP9$ z);!T!G@!PfDS5L<K>Vr$ou_SX*yTNWX|~ae+vK{2L1>Ue^<pMt`!5IHcJcpPSF)Xl z=j2~c#=o{)I&WrAd8u5{!Q`DE?qIR-z^P2Gj(O`3+<MB@5x@SxF*a6}_kjtkS2lbR z=6YkZxIyRn=_fa=BN%^f*EBG=3w5yZ-a|6ANiTBe>FCMrNO=*%I^{E0Pt59uSLPxT zyS*8|wu{WDh+#atTy(~*=P8lATlj+#)K)dTGhuxurn#Vg8&mka$Bov%3O3~4Ik7ij zhfBLcMK6<ge7M68Ki06ica68z<p1P$ZDVh{GB3#Ck0`4dU(5pTDK=4!YafeFunTRR z+rzYJen7(eEY>IcmmN4D%cb$pr*YwNk$``@nUwXl7f4yTsvW-($hAU#`GF%${CAWN zAAF&t|B0(y|A+s#!}nQEJd0$W?o%7X_;$6(jGwERc5T--xE|o}Kaf@I-?D}W-ds9+ z--Sf-w)BT2JhkQOI2WFfyOimXCF?qQ#&Z1)g-gXe?kzcREtkvVe@Nq8cbkIUkDB*u z-`vl~^YW|cg!;EmGwqlKSw-%zJ#fK>b;|jGgeOj{A@++8-00<65iUAohd<-lw_HAd zT^Zksi_EAzt29$)SG%UccRkiG&owqofMqtX^#$(tZ5iIy{=4~`Yn|MVl$V#eIMxRz zJiX1;kr$SbyPE0IZjl=mevBs*yuzB-I*U%ITh3&?S!6=&vIF<DSfAK0J)rJ(K(^MR z;67W;jaU2hS+2b@4fr#S$+;BVa6RG2e`nv%2k)c5-e-1BN)|uOw6Ly&NqDm81s@HA z(p^lqo@*O?_GB$tAHJaRj``Mx#(%a^7aSgjM;&k|XcsdHc$LY>{Ls)lg)?)(CpFy! z1uIW(sTIE0nb;nAZ&}cIY-ilVbL=udo*#B7ooasM?u&bGZNC&g>GO{>`{2pDW!+UK zwo9VA84HT1iy2J#yHw2NLUjzc#EJjj+)^A5Om1dLXzUB*mg10I&B`;y|3VX+lBRCL zf~r0-6M@|!+!8C|7qjw$Y8bXz<ynr*E8{a5ncr>`Gnw#ndH9ZIU-o|o%`ViYK3K$7 zly4Dhe%s-HhHl1!4|ci<3OfS0r8H^-xFtB^*Rb-2bVVI-DA_A!60qBuTcX2$1uL(K z++`-VN&hc2u|?@;Gcv!tu0M6|;>L4<Q3o8%*0J(_5zAm?{>h)=$lTby=a6iS#RvX% zjqg<N34HIf`Srr|%l3u;YqaX>9!!?vxVwgxXUhKNth_FA7ns;q?Z4W@mK3X-u;AY$ zF_Q@v2{Us{c})7RG_eJ}zskgR>+{wHjc0yuZD`E%<CZ#6>&Gqe;{Fm=-ZeVat<|4W z9`3ecVQc!H?Z~|Jeg-4+L-nl-8c)^lIW)J#>VyBT#uxuir{-ra5WC34_9%Gkg2rPu zx(N!E%fw7B><ZwP@Td>tmU?k#1uIX={>7}kU*272V*8}4o1w5Fnp;9+-wIaVmSf9P zpC>G+*&${kFmn+rPssBuN9K*9Q3o7qw~Coe(5Ps&-cj&CK8E>hDYLlggk7QB5*hi| zn%InPZ)s?Jr>C2t@O_V%!3F+x6V@(iJmjLApdfL%iOp;C)`rFpMY<UaiW<cX1ok>{ zORZRYm5J@q>@5o#zbdy@bGI>nKWs0%L;l~z6|Y@&RphTVu|-*LZD{<mIqHH#`Wwl^ zv)`VxlKqi>*P*P*{D`Q@h1qLZd7h+aIWjjcjXL09xSEx><yr<K^Hb?93mQ+jfs)92 zF%yB?i&%NClxH|HciKiBa40@Av+BN-N9)#x#&0UR84AYE+!8PJE;g|hJ<nuh{(E}M zg2qFoaS!?QWOgKHD(*;pu!zm8Je!gE;W9CqxT3!wwD!N3*#>G<)ZYkBl|BCP@blyy zM#X{`eR@*=NzB@MrpV=r(dn6Pr*+a5{8%KrEF4p2ygb$OQ#pF(&W?<<YetJ#MxNGb z=kQ~hInzqCIPK=5j>s(SVDEFq^I!j(^Zqk?%=On*_n$xdckg@gJli8yoA-q8yY*3X z_rG%oKPM%JEqUJid;g|?GvqBDl9n^gp0PK(GGK|C<_6nP#+i~_Z_-33%wB%rUlFT_ zUigE8UM4Sd?Sk79Id^Mwiuuf19<DQ3!+&^gVuW~GnZ&l1#A1neyoZ+=+!1c`lX%B> z*p2<3+gx_7ehq_N&Wxt-Q!Jy8ssFklWpC!he(<F^AD6DDU${f|GN#MLq8B2U9eA^p z>&4ozgncU;4py;>$%h@t*v)iWUsJ&-u`h3bRRdGfWswMbKgP~IOtZa3Cq%70@J&np z&V+{w5=Cjb8J^{HnTq(eHrNL^JlxME^RBe(jo8xdnis5{7^n7Y8d$kAZY>s(*zdyF zJBw+uxz>Wxb|&@hwFUPBJ?s~-TKQ`m{FZ0^^6kv&yqWc@`SV^evINV^$VCO6(MT}y zZhX2~RA6UB<JEjEg|cR**Zad99@Vj${a?{=#iQ}9t>4$UpIqN2&0KWgT_V?s+K|S- zlSKk7yc-X1V)D8lu;BWKgS?V_P4y8^!xySvT+6lMuVdrK=b{%XzQ)|to%~yC!PJn1 zUmC0>ejy3pd|1Eeg(UoY%_R}D{=g%BQG;7+4&02cDX?Gs;K1Fl3kvLQ1Kzzh7N`ts zyn5Gva;3tyex}#&KOCIv&2Vt1N*|AIW?dBH%2uwN_yr9<p^bN6i%j_4%Jh4-NWhQ1 zOzr127JOM%xhcA;T6@8#GFG?CTq|n58b7jfWz1QB;K@|3jM&u&zO1#|p?KKQ;EA95 z7M0z;jC<#Dohjb;My%PBOGj=^!$ls}Y4$4{PSmi5d2@BdE<Et7Ty(+?*T%EGTpqTo z4qQzxxPQZ4%%Whr{9D;wEHew2pZ<1p?uv#Or^df8?RF${C|I-|Qg`xhD;5o~@^8GC z%C(}#z478~k$~OajUVSRN!5oYd`e~AqNa1<?Q;GQhxA!Yo8`kD^w%Hwvy)3=O-RDW z+guWBLlb`bK_mXj!V8mL#fu132R44qa4hRS@{cP=Zehcfja)hB10B*jnRZXtQ24IP z`Yk`iVd2^X51F|%{zf+5K6YU53+96NUm5P?vwn!XkiOv4u2v&{x9`CYZ*94H<R3R% zPiJT<&OF1X>nSFBVOK=s%WRPgI~^Nu-q%=AzMtuHeb9k~B?lg5pPz6y$;7qsX}PvS z(H5rF^FtkSHZr}A4{&&t#cC$a<#Bi2fp1|@r#@SGVbZ(LTqpKE^SP<}`?uDD4|=S8 z{Xq_z%N_D3GHHox8dObX%CZkg_+!RuvQ5L_=Mtu<^I8R;itTos=4XF*R$k=YZpN1< zlxDJ9{njw}?Z^71FC^igvE7bhP6dnU2M=AC^ys;$!78l{e`;A>qPW)FTitL&m}|{{ zXU5Kt=_m7U-ic;h=`4D~Pg|k5iD@^t$b{c>n0|+AE%;!|%9kJN@KTPos{i+cHXina z6SNOsnDipAJ9Ybty`GI9okcGEXkeOrTzi3OeB(<|t`{*259Gu$?u{0iu|Jxz*<Cc^ z&u%8S$LFS<Ei|hsm~PKdex11>{~yDh|LhC@xYcSX1&c=1?q^DhWWDD9`JikF!$C*Y zY3<WY^n)F;dYNvUYbtDZY`i;<si;0U;eRTt$i09of_(fT4lirDzNBd_C|bp|__RpC zY7K*ZQH;IwwG4K~F|KVFnQ`x3v$T;6i}SlZ5>ZJCzkd~{{5H67JDywW#PTf-jR!YI zU2ym)qMMMg#f4i+qdt;bqGQdaCbm`f7n#_SUT;~@`0M?ahQ=cf+)^3)7O?Ux`4*+5 z?#{gWIM?@Pe!e^FZAu>8<I8xzoPX<t%JpI<7i`_QB~Hv;!^-=myYAsSGg+4K+YS%C z7q&}D#4Tp!nNqigmA9figOPda{VYf3i_5n*G~U|3bwT5gdEQUIBrXuV-o!SkJ)4nv z?c%Kq8o%i1CM?(-!7X*7E|6Q|MeJHu-Y4}-Sb2Ezt~arD#p)&`e3>F<67X+&{ElvJ z_Jc=F1#Ui$vGkH!vCoxTqC<8WEAJKiMXWq3ewUiqW_53AXbg$umdc1<%F2^cw}O?o zB>yrKThaGSN9MokTN@e=6-Qk-V6e%@kB`l3^40~7xyh~7o|hY|)!84|Z9M4x%2_wW zZVfB1i~kiSwyg9FN9K>*e-GU)wc>cUtMS-%Cw|ijd*ZkyGPZ76(D)@yH(|l&7BQ0v zf0v6HT#&AxH^+|m$^A8~JUo0?o7lSKFEO#Xsb@GcKW!2-5ZLL!Ew!R9lv|=Bb~!8W zmHKt8JSt|Hj?8C|Z*6G2VjOkBp?dq%-G1j{V=OrI);0Q^iGRc;^+IkDE6)?V6|B5p z`mZywaV^hwWIhaPD7@5;y3kO*R?Hya=S(pZfwviq%v+CdS<v{yPd8yf<D@!aDG$F( zOl(o0=E+I+->tv5O7Y!^wr2Q#QR=1SuK@13Ys5?@%w5jP^JQALBlFMGU_Ta^OR}+L zJMo(a?1|)-kjTBz#CEDbgOPcnY}5sZtI>+f`I$F%MqP0DtDu{pU>M6Sm2vJ06I)R6 z)&-5<jC2zeidTx6T!>xE%JXDa)CGt7y<!Fd6%pK05_#8|*u3;J9hvX7eEzEXR$<2u zHZAra-Z75M7c-*{IJ~vc%}|(korz7#d+UP6CtkV<3$}W3OL?rn%EV>`N}F$*qYgOa zFJR?;W3}{x{C{Ti>iWkOjH%aZLBkHmKYsixb*5uR>Nce$J2T@_!G~woxGo9aJT0?F zA!?fCq4vy~!Gh`?Jg#$W{9K|XH+JNtU8|Wl(^N3k<)+c8p8~q4=VWg2xcubVuK4xu z|6142`CPU4XUuoY>+g4+t39!|rtrnrejm+kzq7>_2ITdNN^tf5*uTjB+oZeyUo^Bb ziyF*Xb6}=><%ZNL-+AQacn>|ZZMf9=i>D=Bb3@TQrbXPM5v!{%$n1Q6+p56c>X9_F zx72q{g`K{QYh}4S-UlV5pNWwa*z{X_gSjW;&n-;s#v%bW;f)Jfxir=XC8RVnt@^HE zuq~AFYr4n`39SW|zk+Vc1Pj{~IJYs^pLpBZs5<kp$c4G<4&1rP^<uh6M8v{|hgGc8 z-UT^SbTeuDYbxvtZrr-}`N=#%`Sl0foET58<citNe&=aBbNSDUvzB>Jn78=AIUClL z>6#n1pJOiPvHNj+!hYoqDH|dgkIrLq*4JEM^U7!@yYgd^09&WVjhb95<}W*NB!|`H zxt2j_pu_Jp*0j214e!)gpZ#0X@Q<5IXU{^F*@q2Q9k}!x>c)p537$_kb9KyHdEnMj zt`7asgvYnJJnpSK5Ejk&@-5dJoAnJk`uuzUJ*+GF_AS`q-(D^mnbi%C(nWUE^4mPR z_nPlc^<8~AKC#(NA13?`7O-;O&wa|3)oq%_f?v9<$MQZOm^+6*@Ar!TMjKQ<?qHgA zUemxdX<v`P+gi~Xo!SekdzgynhdKN&VHMlAvf*J8>$JQOhaX|AVRZ`|GQu0T?$=cK zw~9$RKXSotU%5pGu3ePdp?J8_{>hh<jjD6!Fm1XYkdQx->65ueK|wcDmwkA`3q#f^ z|5qJ2q0P0zZQX>sMr9M2Ub$-;6i#BAwOM3_RXpR{R`9xzcW$iDqU3fc{(iu}bHDC} zB_G6DdCE06e0kQoDY}I}AmM2<SI4{s2X6VW9^vN7(Ft%U+R79yEiz$Wc;no)TqpiT zHtt=>^eKN=klFErEUY5-3l3cP2zA@1=gi(p&ovfQ?PN;U77bXhZBV$KX;!qzjH)Qc zw{yA9>?xf1M(plKt}}b%8UL>2(n%3Dn6vo6%=F3!|M(v_SASkyGE>j7VkeXK{a}YL zeyrEzJ|2*5=Kp7SWIm5h=AI=DDLZsNCuGlIy5z34!Pu4Y=6THx=FyBly+tinXe{^< z%i1=JOT&K6ffK@9EB*yHZk*ViTD)Svb7SXW(F;DB2BoXm^G*~z;NMxBmf-nJh_z(8 zmce&f)-U<t2@e%ndA4hBFbQy|Sid@D`<gwmj291!MEvexdbC_)Ltz`!Byr6RTU{7m z&SJ9OuBq@_oAsOA_XE5)+3QdItY?|V;})a4+x25E7mw_!hEMIH5+^kl{7Pj#rWfMy zSBn+2{Nr;N>oU0zhuy0WoVhQ0p*FN}=XSk|cfaumCOmZJl9;#Vz@_Q1RLRZct(D5^ za$Re~H)Yl%@&O5t4Ovy@hbFuhWnJ=pr(4<SbB<gm)I@Jsdoupi*D5I3$J7<BWw6DK z@u|F)LD7DuRr>=I*1T_&-o*cJ!t4LMI-WhTTDx68Gq5gG(_T>9#AF;F;IMtcfjgpH zFV=@8JY?sRxVQSirS+l$_ZA*FRWBkSTf8=>Z|e-EN0UWvSi~`&l;p~p`?yisNalyL z-+6wWmuo^CzWwHUb9Ze+jeBGBa?yZ4+nJmfYj294a)0fC6E3VF_6rZ(C}F*FJ}6<) zl7?qRtjn%xFQ{G4WZbW{;P(<H-Y5T_isrp4dEkH7!M<khnPxVw_m`O1+T61onV0s1 zI$N1h7aX2ugSzAMK;3aaZi$Zdmz&t6e038Pc7p0p+l8z=OX9CIu~{ikKY8+?!?(Fn z7aZOf=q4!qH`L8YC|D(C5O5~jk$K_HxQBXrGC#zxJN&$Lz?s)2?-~=^Dtl1xd-j%w z#$WPV7c?H>jym8_y#8s?6q5;ES&YnY4{uq}c*cEeL*qNms0$A7R!1Fh_^+g!v7n$= z%)nqxrX%yx`Jit1HZhZcpUq+h0=046QY#XVzMEVuKArDRcecX5`P)JLQ;QI82@ko| zth`%{q7FFx(vG^|@Z3o^L7{r9n8}6Ro}dv9Q2k@Gf|W<4emyI1%e>1>Y^N4Z{pFpZ zuxY)RK|pQ4n2CV38@I%YdQgosXB{h#iT;HqwxI64hhk$a3a(#rs?L3|kj;o!H(|l= z1~HQfzvqe>Tmbd(HU9W>OGv!i?8SfE;bnquf<ny}kaL%a83>%sbY$MTKAVyGqifU! zhvZ%{g9&?Fxur7hu4m<0Qoov&x8zsU0f%p?x)}@1%eL+-lm&&}KZpEI?TiG2NNx#@ zd7wdpv`j|kr=LMRZ71D?1yP_7mEPLW_^USRf<w}5F@p<t7P9g#Da&MJerp)|SJh;~ z&3JB!7ob*9QKoLf0()m}DUCU+S$TM#gT^sJ;~wrWl--fd$^4MFv0ZA#oyDv?F59yl znYX5IX=wZrz%7;0voEesh;5SamIaO1K!XakbHq$8%v{IHlXCuA6I+$4Zi2#oCvGW; zHP@Ngy2>*hnHTCt9dP)mshgp&DVSSg#k;+3etm37k3m6a^+A7KW0lf}0Orn>pva#k zW-?*cVpg6l&odpFXQoCSa44TEW-<XZ4)g*vw83Son~?Bhk(fz<RB5w}Do@MtOh@La z%3B&5Ux?^tEGU~LW*{(sEi13dvn)pD-P@&pe37c}*pXbpn0lr5$7|5ag}K+IbyXK% z&TQ>j@>I<`N&Vy`6VGSMd=`~jr3OW*n>%M}-duS(Q}yOcnVx3j<ArZ5`i$F6w(ELM zzA|aXlxKR@n!2Sy=YG|#f4%ejzaM|sy)Lx1zn}g7`}fbkmu7b>>(^%8ez)OcdE7*g zU;o24d88csf5pmMJ+OK+r$)nqfU>tsI1W^m3s0$^k<k2=MbtcY(?{)=^AblnwcfjJ z@Mv4`Y9dSbT!(<aK254(LRa=oNmyyhvUNAhX&aY-?~zSU-zf1c|EJR=S~k;R!xMp~ z+Ke6duS~r3kfryz(3&7Mja>~1TfI5D)~iK4)@z!?FSO<>kK;>8@#Lu2XH+z1t3>3- zH+^as%rR(R@F<f-`mFkj8o`4~tJMT_A{kq}*WEKLRk^0V;-APtA$_4McYX==&A&Bs z!MB{IR6f-e(FzgoM4Em*7hJPp#)5}lO;hufGyX6f)bbY)x$CeYYE9!qk^7wqy|ror zI+iU3Cr>&r>)X<&u3_7sFt?cVl%7&VKC9zTzJqMvg+u-rA9T|f2&ucebmDZogW=mx z^s$8AcieE}Aj?&Iw+$|x3!Yu)n6_)ef^S7lsd+OUHl(l$)C8BlpKUeix3J@1!-HJw z_wZcK+F^UpD_=dMM&O{9ysAc((ZQ^ERgF5vgHpatlgx$JeC2Ms)GxT^vyJ1;v(u9- zUgx?8{L5vLma%9|IH}m;t@mtsUyq;Cia(-FuIJQORH+_Jdd;!w|D1$Qch0PTy$LH{ zJe?8tHkV`C&E^H~7+Fr&wk-J9$)a5|b3tNn!qU^6QtMSCo~8?POk2ZnNY!0_rd7~y zZO4yi*B#h$twPrE<Xz5drv#?hbtTLd<~TLab;CWUrccuab2hXsc;wG%R@JfKQ%Ax? z-*ra{R_&XXurZc%)&AKDoyyR#JL~T&>p9mkpqlZZ5pUD3)tu9Qb2|QI=M4M7?RZ%7 zw87e`an2VkJU5)$&C&IYBkZ?|<HyUK*M6uup6uql7Udf7%de^Q7u0F#^XFMzs-C#u z&+6GS^&5;GkCqGNlxR3U^%u}7(sI12buh`BV^zHOh9hrTOzV9&T$#+W)m%Vl^VLuZ zzq@lerg;lrv2RIe?e|xRDB^fHsr~b^zA5`0FH{H~)VeMpvUh62)_6e?d7(8Q^_wO| z>mA>6?bgHvU+%HImhlPrX3_N3i{<HlzYPalSwz>X-FP9^bZWm!#FLMlEZ$)Z4=1hu zKgUYw_M<GH)m2RioqIX5_RUXNnar6r-)F-aOO{f7g@|`rO~1^A*IZD}_#tu7>%T(A zo}XMi=~K-GMD9*b*!o>i#J(+|_q-p(ZIh?2R9^d;(@S4DB3;(;W;N%v>M0BU+~+jw zh-=Oay)!Lgu`P$z{^<!57jv$vYfIR;nR8YB^n^}rj;w8*({{Bl_@>Nq+Qz&w;Ut$r zOi}sfsWYw>tyf>M-})fed&P_jk%L~YO~=v&*L;#~TI9_cw!3k`kGm|{f95TCQq{E8 zY^T^^O<DDb^zf!lzlGP7vpD|r7tSd-#?qwPE}*yb$J>?4i`g8XzUCBrDHQTo_F&R^ zp^$Ly%TY;Uj%T+E=xmjDd@FO%sF?HBJiiThwsX9S_t|i$l!f=bO2o_UEWPv9B2+hJ zJuWynNz`G-lNV<^1sks3^9iVlZ!)!W2`J(_7`0qz$~^xK=Y*Oz={9}MQ`h(}-DD|Y zbJB^g>wjV*pIdZn`)dXEr{)_C*eBl9d9vV_qu4WrumnZ+ulkz|*gHR~xqkSj+wdUu zwBzUYVE)nxQ74`FZf)OWz&?{b;)G-Qi9<3^{+)H=`xU+J*lH8@R`X30njhZNIpg?} zS?5VYjSP2jz)vP_%Z_=c56N74rSn8#UsJ1P#-ACjGAi%W6ZxY0H&1B(!mV@0F}?fs z&wTBM509))yfd&A5_z^@yEwPyiS)=B%?CH?JX!FgQtVkm2_Ltm#{Y&^%MP7%MfR)m z8x7bu{*E}|__tr@jAPOnC%#$hBM#d;v9Ej^dBU;UU+kGeu>iN_3%SFGWS-a^cjEgc zD)wx_hrgbJ|Bmx2?3h+$5M%Z=fPLxgh!Y2Y#ECsy@QGclNT7CJtL2Klf7teTS$5<f zKO|!kr1M0fQh~epg4L{6nI-;7iF{V+n<q5C`5rN&`JSlG6NP$Z?qY)-?X5B*>^f%- zzOWQ43aGmowa2np;AUD7i+DI=i}-cNuWN((O9iYOT4k=-pE)F>;+LYxepY{@0sBfR zZp#e))I>g`?8p<2-}A(tDSS8JwtTTZJ&})V_O_2&PZK246ZyP$Z=BHl@t@8a$EO}* zMFO^st(Gh1o^;}S#2q=K`PkCQN;`IlIaCzNs$BQ6z2dm~v=iSY{*4CgbJHVFI9|&; zvf6}wZ=}u>h5g*z#RfO}TV+J}(-ZmJiX%@r?mTly#zkzygyvVOI%gbnOt~#r+&_9q zMnw+f!t{t4%~yI#D~gLQ%sY8VCS?-HA})nJJNS0}_Ord>_|8DA=)(NNhh#+jk`>tx z{{%(7l30;J-bMrVsn!uE9Jic5By(k6k|O&@agal=nSV^R_IP*Zkj$1eP~0!nIpete zfD_-Q<r@sx_kNBz;aF$LU2G6xsoB(>4Gy@E+g7$)N!T86;ydNP!GL`tug;SNQoAMB zUy?aemZZr3wKif#^ASazCkrZVxQi#uI_|`G>p3WWy6QYxu)C?%^2UyJE9L*Q`YdC9 zTEUolsrtsPQpw|m-*#qS{M3-z)~>2;d@84+$7u33qcb{2#x|leRnw$qs%{Ip;&aAm zlaG$^r;aqYnVx3qR?E-$rk-OyxAI2Rq{v4LT~A+<`B!)S>$AFlH`cu_-MfGP^PTVC zn_rKVsC>D6+u1q1|DTI?K8f2l$yLet-}+bU=eRINJS=Q#d(9bk>&Y?R``mpQHth*h z@2Xvx_w!Rer-F^p-Sk%;Zv&bhsWzGVscTf)9gGSWoD%1<;T%`frfkk@(!x{jX9zCa zdT<+yXu0BzjQplk?7})lI*wO^ImN8|7gSA7=xi0{m?q=2<H@tT!R|rX99ip?Bhs$< z&Ir4EljZbVmw<Y^gItwOZSKNrO1K;!7juT~oU`BsFU#t?o&kRhn@r~^Ye>FLu*lB! z4XDyPX!RLd`Ja=sC|y!6;`nnihuI3jkUdip7Qg1y(pQdn64<orynv3`=VvFp?H|TR z-Jg`uDa)Ca#xc#RVZpbFET?r8R#fvHED{&KvcE5(bt%|!KbG@{`^#$fa!B3QopDVo zUqNFR^TDk5${PFZ4@%8f*QiuF7<FG&<E}!)^Qfjx+lAL`?^^K3p2KX$Mv22Ke^fQ~ zPGXsQ-+O~c9uuU@d-Z%--w{8B75`+LRP~fr{FH85I!)lpJ7JyADvo!>Ii^+LT`RMH zzb&|^-XVX`Yrbkmjm<%=(56M=LTkQdH$Cd+ytbpB>EI-1j_Gr1l3sbd-p{hsJh~}! z?PgiWn<fXVehW?c&vTINxM0X1vx9Ep0wMoI4=R}phwPo6uu;+R>wf{AjW2U0{LY#S z>TI=kd|S&g%`yk-w!F+&9`B-AzMfZ)csQMfS6pyS3BTjxtt_km%wF)~*!JY8)v6p_ z@4Yr0Yv=HibJ}oiBg;~M<%s7tO`F1n)@+}!pr${e*%TaVJDxn+zpSq(t<-SsseF}) zl=P-m@+uK;6q;VeD@81F3i#a4;vMT4@SCkE^_|j+-x3Fl{;RBzkL`N6W&^L|;hikn zwG9hCHnOa)DTF%h#mQjzEPDlwI?01lxlNN!b6%^Ovf#^Rme*(YFkjZ%EqbuZT4+kW z{XsT%fe;;`oGlFtK7HpD+u5?<)q75{-OUSr9cJ;iv4u34^7bW3F54P1XTdi<meX%s z1Ip{0p6+u9`0vsry3hT>2FDGT-g8LZ_uFvFnZ<R!QiShm!#R(l1=f5tYnoIov}UTZ zMlH)hD|H`*h)ql!)92V$zw&sm)bwe;>Wu_W$D{0=W>u3G6g~0t$)0$YbJf172^(!W zR@F~Q=se7sRo9!aax+I(yvGKg?gj6Xnx4ujtoYAzkn8_!P#gG=D!=*e?#I*bIbE>u z-EhjCqf3k<?6;QVM`O-wKR6vvW^-KIHFLq2T9(&5oTu#kH{4rRo^0{-zR!k(?^s0b zeKuT7WSP3(X~PLgXoD&Ib+CI<xNyk+&V<gXET;dRHe9J{x+VSHU~TF%fh%{XChXL4 z{OimS_QS~W@MVs$Uxtp4wK>CfPF(PUtLf@LpMV;l4ac&>awPP?4W`Mr{kj;|Y&CX# zxtH^rWygXyhAgi`IZplWNNCpP(E2wqVexJbt^b_~6H8e_<7@fX8=1{sP}P;t`IE)e z-gU#3i!59H)gqqV0k@bA`d!_d^UC8LKg-wY!fOl^GJfbB^jaU)nfWwfk0^I>K&3jj zWyiVXM82%~DT?eL7e~x!K4u<y!g15FLo!?R5*68BzK)#Hd`?#9iNbFV?&1s8vsz`I z#8>L)os;2tG+C)4NX+5K5*d;EhF=5O7w?TY;rLTn=ZxbM53!<vziiya0@m%VGFR#k z9+FX6m#oNsR*$=QLeyC&zFYnq4cH}j^M`A)-_?zn(R}B2<O#=me^4b{C{|=()6{CI zF*WkU!5=xG%J;LUpiK;`fL#px#q8yFuNIU^b6a+-PfFyIs!vyBKPmbBvDR6~>|(K^ z34WUl*yq|toN)Y>taHZkeSuifg#T9D#ReALtui9@#}3K#MCm+PP@~OVEbwykgyvWG zBW5(;;MRGv;NLCwitT(txBa^wJd9#^c)0XJ&G#$rvP-@tE3&_3;x3+GIj>cw<a|mZ zUy*&PBKzO$h#Ad?bakF2RLFA|2mI3Jwv@0xe@G@IsWxV*jLUXVfW8M+ZMC4PZF%Gg z$4?ew&lZ%jaa(%CA2=javTmNp!NZ{-izdAFslDQuugYzC!v5SL8J=sKCp14&<A0=e z_Fx96RrCJXAsLr%iHhu3og-&7M|8HzT=7p&<P&<nc|!BEP@OZ5S%Tb_8S4|E&4ltZ zhh(0ZMYYbJ-288w&KbwV>7c;lR;by**H-Ocd&Ti(f>@D(U0bW=iaDp8_!d3iXu!Tz zjoY$AKP8b*s(kZ=<|k5O&lYU$Yn54&ZrifjgnjN!ohJ&rg}I9_%s%hL_X*V8Dlp-; z)R=eZkWA0HR7Liurz2-HpWvOWRI!5#WY4N{zuGH~Zw$qX0_yu(Ej?VqA8S2bu+tP& z37>Z2yY)F@M)R3QohJ*bMYxM6Sa-I{e96{1<M=R0tjJ)`yjDvM-DE}f$L<j`nok+) zJW;UvoOoxB<%(QTlg4_J0ekP6B^+|=z{%kx^D?_v3rbbEEj{!T68Ws2Z<x^h<|ruU zt;C8Z#Gg7O!xOf7LNo7nldtEy)kK3{qSo^hGY?PmTGpB7HmftW+h)?t#M3IqhtC{I zo)Ni4Cvw`vnU55ePp4$MP3x>YG_5nWJIdS0*k*EN!Yh&IB^7DK#ZO;+-@VTK`{(0j zvFo4z{Tq9}wtoN7i+?AE*JVZL?EUwDkJ7|P|JSBWJkj%iW$&BDulso%o}T3pyV<zl z)jXDNS&x9f5>2Ylgs<4NC#+<1eA~)$`i@ECw=*Z_uVXl5zqk7Rm5FzPS-z&*bY!mG z!0C8c<)GJYVH3NCgsF=;y5_lTxE0BAR9`LPaa7YJZ;orPgr~$gZa8Pvv}rT@oNe1> z9e>7hn%#g_UokdGuTH#hX*w0!<f^B%qKfxmQa9(S`@S2F6gADt=A3q`BJ;`A`5*Li z^Sw9t%v$g+rs*l4`U?C0gx1TPQhEvzFSVME$u@<)n*;7w|9g4y;gyNEyjhN>+qPt` z-N@^B(vl_nt$V;PgQik1mQ;V`i1$oQpTY%lHgqg_^p-_hS9!&ru7ruba>oi*sd0$i zZe8%JopYK+38Zel^XJi(iC0##Y(1|Y@vNw6m${J6b>$WR4GwbY3z^(`An235bi07b zy_pG9`2|I6TN1YF3y7>2So6`jY0`ATHD9ZnE^QZDvl(O<sHgMhbFh2W#nc&LKXp0G zZgehq#Lj7EHDkf2=bU1etqWf9bBOJpvY={qLT5BbmYv6jE1OxirrUKr)Y)w5cvqHl z+HVHOzs($B8qoe>ySl%uX6^h1A5~da|LI%s;w;PRyZ!-xGMh}}zDg_$HS*hVjgMvN zccqBu4o#bm3#~Dqzu?bz4zmn_kUzQy-OL3;{_!7F(pHC#m1K2f>Tc6?{8}!cvyt8L ztjxh6ci}5`oe48HbH0l6*>ESH<CVT*#KZe6yx{_CL@H$tXWGn8n7Un9<Zf@m)_y?| z`$-AC>!B{2obD%^8S4_T%XP!Kq^3>Z1=ehzv*6EZ7U`Tla~_M|ciRv+Yr!XXPBBe^ zkiT39lhOr3{&OA_3Kzce$M|4Sx!@H!A)U=>&?^7k8g+xUPw#mJ)bkzWl2^>AkT~cS zua;3Gbx^CcX;HY)ns4e&kIDtseAI9}d6gwQcMH>D&Ap}vt#%7cIV-fLT*L9FzF<zl zD_F<%@k-^zr#ZF$PfVD2n`4!o(}o)}7W{fW@5q*EH@X%)+s!%c*7OD66j@HsaR}J% zwBb%a$E$d^4Tt18r0#ibxa7>zJ6}EG=~n->@_OKEU*>L65KF>V5yy|U9M^t`IG%jW zdCjVI!Iw&w*D+oJ-`JYI<|%5}E8KVx+0?Z@^5klnT)%*yu`Jzhd;`8tX6cr75BMw1 z;(Y}?Op>rX>tE6<k5^Sqx6FlgJ~KGpUCueJ`YXrfRr2Z)59hM*epiZkDa_K_uNv|6 zHH+(c)rhyxS&pt(j#%s+@X4-eYnafKZOaYkl$y;2X9PXV-G8r4yeG%<)ZTBy!HX=S zr&*-u`Ud<=XX(~aS@Fxf>F7Jv75`KY3K`EkxFu`f#DtY9j&JXBOtWlQ@NOc@>Dt}} z|7Nmi{{bakXO8JMIli)*f2S_^Xv?zN#xbBo_Fz_i#k9xAw<||H{>!5JT|Hv8L%=VW zrqXi?8r6yitHOn+{O3N%RxTJ)GbdrODa+L4^NAMSIo8n8h_AK5?lMQ7ZJN;h3)ELW zVk1^`;Sad}J>bN5D;(4j;^Ve_aqr+EnJ03|itK-{g392p=N~V6lCZ;+yEvdqpW9Lb zr1exfsJC|Hkj#~Rhn@HyiEl7q?~V8GdN4PN;h}Zugcy^rf$VE<N1Sl1lH@MFAPMRL zx9LC7I_sFPEmkz4o*&e&oZc!UVt@9KOpjlJBKy<)%?9ifKS!Q$+<Nkm%#pklMfR`t z8x7by+apgner6SWrm*#3_YO<TjC@eXvJ75TUbvh7YB66?rC8Agdr&=5)7>h=b3Qqd z&uf2@BKu=$P>o?9dBU+ole;*ey02B{$l~OfrIs0cX12<xlqV(fMOA}(mIrmtI6k)! zE1K|Ejl1~5>Wvec|LB886TX6KFfoT81+pUl!R;B)K*mo=v1bZK#iHwi_*Ug7CGsU% zZ<^3t)z)h1A#=ouZ`S<eM7|*P%@dlx?bJEr_)JKw=)&H<R?8EA=eEjxd7qrf$E7S* zWU%Gx4$I<zTRp8ZJ=@b0`LwG2x*j~#YWVQbszc7=Yasj9<cJfFHFn&^7cAOaWmKef z&NyZ(a$9=bKXOQ><Xx&F`_1K`A%&jl1yhSA+;3^Mlu(I0;aFG>8u?)0w$zY6dPv5_ zFG-R8>Sj=b=BUn-1$DaI#S<coG@IJ98C&?TJ8riB8pys<ROiV8u=~!$9X?%l;V#Ij zZ%;Y#{rbJxfW1{Y;>5uZE@ICXgrq96KL!mSoHEsUqOfa5tL2KjXPx*SfhziAQDQ|C z?o{aJos-$JEme{Ir3rWOg_+ixP3@~04z<5lsQz)qUG~c}P^iAtIdd?9k=s%u?&u+z z9xG7GOP#w|KyuTB=2zaJ5ru1~cX(N@$Ul5YM&;Rt3C+*ybj~=w0*xE!Z8Tt?c{$>Q z<98;pXA0%4+?Fr&lM?y3w!_<Jpb?rt_NAdZPZIV#w2E138S(%1%KCrYje8cq2OVWl zdj;B?-`4p#@yyF3mQzzDv$7-7rrb1`uVI`Cs_Qqm_D`Idm^LXgY1yOTq-htkcu)77 zVc(KEWoAa&l$lSIvuCEH&6t^T?bO5f<>zK+*Wa;zUV88T{hjMy|Ni@Vor;-D+#hj& z-FrXk<0g7MRn?AEF?#%e)r)zPH5gXQx&+j)9+XlSoMO|Ru=X^ESG@0rb5Tv3Y@5Db z6P{Avm(Xk}?cTw%e^-~aP|g;1$EVC3VwOovZ*S_qRc`pO_^R--z9U*JSM?Pml6W1@ zMstA28m*dA=c%rcp8N4pYwP8xJVD35OIftvxL^2C&@{DAIirT{pq99xNUZOMsM+9g zMjI<gFU-%*xO9nW^o+2Vtt_u~6g771AFOH@oT4w3Q()zIl$+Bm$~EApTa)WMr4_#n z4kq~vhs@8EI44xtG;6o8&ek6Akb0OOq!V_>HusgsyPBq7yiKC()H5pB4|?$nnbdV9 zh@L&NrAtpCA~(G0(Qkn@6O}b8c@9R+SJ0@HJ7^UyIAw2N!rt4Qr~c1NXjWH;4EG;B zq2rUi_$SNMc+U+d?m>q0FS1;<_uFt}CyVKRrwt+93%=#DoWA29@SU^i=|As)`g=Kk z(G{$YhfN^E_#y|j<Yz;R;;M63Cf=IP*%j}-;g~;%*FE<Q*Cs6Z!p-vf%w3ktTDy%8 zR#gj5sh2*;<}MWSN8_Mdx^T!kVVxpg$E)(fI%WEfU+o2TKK_B$VLM$#E=Oe<I=;2# zoOV*^O046CJMJ8>=DTh<G@U~#&UeG5eh#Vc9AQ6|9WNRl%$l9s^$<Lfaa~}F&4h%t z${b$vJvR8ILaWy|OM~63vN=xupODa8&7t+LF=25!r&hid`{k$<_NG;uO-H|}ulOg| zq}r#x;%8>lQa!a5duJugoXz>_p6`Y`4GaDmvuNjRx_Rs&cwo44M?nxv!qRYIlY7$> zrj`qf*iK8>>Mtm=UU1Dv-lj?Bf@?~}9bdY0T&tY5;LVz|l~eaxIQ|S5%-PVh;L&al zvnbDipOaa-V+_F6#Eq4!;^$il?QUF9H9euTog?dCZ-VA+rpv4LHYCiv%kk=+^M*Ut zoUi1aH#p5)@UW6ayS8`1$5NKnf95QB!OXJy@6-i9dUOrvm~NW~=~k;x4t9^K7M@}^ zC1LJj&QtTeHr(6E@^rt~2FLCNkIr+L?V7*fQ#^;*O^1Ne)jMVEw{bguH5bq+<ZwL8 zbTDYSz!kgpgqg7B(z`h8QkCz)O~1YiuKAGO)TS@6Mx;A2s<&J%;^|iwSAMmKw|`lV z`m04eE@n|(uMqKCs_Bw!Q|UWZjo%grtIi8gxnCsLw)x;AP8M%I#h9XxrNQoQkxi%G zD@QDG3HZ91r90Ly;O}h~?>k-rpRcoc+qwl*`)oM#EIKi&v|K6T-By;b{3;O-_p<Q% zt3<psX6e;ejaX_44z-w~lDAhT-dfMmwO&2q@q8B5=Vw5(7>x_Q$g#YB;~!w=zv13T zmZ#@cZahe8>bkFV<3$>H79&O0@oK$*j@VtP!z=gM9u)G1W`wibePlgl{Q`bRG^L(X zSP`up@oqND*L<ajhvF=}@`@2J-?Q|l=Qd}q-6ZRHQI%zNDo2;R+lFK9oL=+%4A|Fl zgQ{Lp?&1qO6N6a3FJd@UT{vNH@vFsrRs5h*xTDol;s%pWBwyF<O$O|X?}9q%XLZgv zrdV=YuBhv1wd|OC%!%(+d`cpp5HF~az{p)ZVOMjj%ocf2r_z7pgywfWxrN2g6t?qn zTfR__oY8z}wwmjQ0$orACLw3_HIRKN|E3AeA6J6Lpt*IPC{%%l7Jl1tTXx((cSy$M zm(CM~pDNtN7j7MJ;=A<u@tz#^xv`*5dM~J}CIITBGk`kjt*tU5t3d<hUSdT7|Kzxf z1$O2JvG9k3E3mKS!Sba7)lIE3SN?-5jNJCsQ<|TFs-%!vtukBq(-QfN+(C{#sdL8h z-DjOA3+h?9ivxb>aa&51Ma*cvln)wEC<F~C<ck#v%-t<up3ax0pRCCKaW81tBU&Bi zCl5JGP?JW8+tOqH*+ViVdg+SnH{XMXp`#;DIQ}!&Idkv<sCWBEoV(cIR!w!xQkf~B zVUHAlv7!K5P>8Mt&67+9jXy_%#-AlYAqsNjbx^bG9;pAy#38?~`Q5LT{Z=PzK@$+g zVh^>>Iwrb{6&c)VZne~qJ>bN5tWxaRf+El?Nm#^;=3A<uS(3I^%ZxjRocJbv-(bML zwp8bd!e1Tk;tQSc8fH&!exoGzY{B*^tujx(Cnxf;wZm)60Gqs5i}~ErH%w^$<O+&= z&{+Pq$QjKy?t%tCG`Nc=RJ66qn54h&SZ%_-vK7=!Xlb=P@#eG>->&wJ2JAbPxGi7I zKX*t*L@z~={V-_U;ia2ck-@&nt(GfnY~Z#3uP;~JWv`^YYgs*|xnfSMWyYIxPJEM2 zN6cuxRwPz5A@1ZMnG(=^z|GH*Gn(U?TV<YrW;58zH%w@L)C`JxO|hbYFedYKJ|$4H zE)5rZrm&5l+j7P8h#Aej^$*OyKCYMByWljaim(1L3p8N==T7Dm#mLi2(Y==2AKEND z*ZKL#DY42UMu(?)rnTJ^IzJ;a#oKW637?M_lr|p;>kd<!#(rg5MA{Xji)S?aK{foA zGg+5D$6TNN`}_HIueW~x{`2j={rBysTi9*%d{S?}HL}?3pWsPtA<sYeZ_Rd9lKy{* zN#iEV6`SlLEe7vcmw;-~gGSk$uhuC?ykl(o<uABKVCLsb_GUk}N}W@Q$YgYUtje-l z#x3BBMbp)D3L15a2c^P=r{oB(DOGoT`J3}vWf8cVu!#9~bfxlM$=ij0{@66}USbjD zSG@5eqUqFfL7gH-$E(_$V$se4f6JOw-zl%KREc=S+H@=W{LzAGGra@K4G$Kv3tq8r zOK1%S_j3wP9-bQP?p4}!Y&B=t&fWzts+z9KscY<OOz2&^?f4e2bBYn!f{rhB4qBb& zJT=c}!@WwDr~1k_9#l1TZ5PP7qP*gd#zCdWoX{!P=rf16h|Ts5s4Z_&ohEq2W>&&V zQI@URET?0<0=`={J*{&I_#f9K`p@IS2g|0Zafb4TGi|yPriwUTWabR37I*wOnd91z zACQ{Wvhw7WiPx@kdfj)~aL$$`m7V3at#`n`r`!f>dAF&q*uj0!&6`te|Kx;;!knw> zdJ;BDIeuL)s8guycy_*!&Q}A+x9J?yZkqhO1fAH-s|jLB*g2QumAu1-L)IKp^Bgu@ za_5wa_t|hNoU`km!-lBo3w}7WWdE7EV9DD9t8@0YE%@TpRQgR>qnhPl)p6k|`ocK{ z7r}0O6LNIDkF4Zc)fIn)n_T5oR{RogI%*c#kr`TVdQix-Y1VH6ol*<Ow~sldSx#Q? zu9f9<?aT#r-3hJo!X|s>CoHuWHmRGKFg5(`iRm(+Dc3)sDc5gm8g&{6rC6ILEf-!> z%INrVHOI9`=YVf~O<&(BYy4Ml;*C>SA#t0tJbUp@mZ{&BBAz5Pt$ME-@g}6{)qJ&x zM_rsP`j!m|%d_gTUwN!@4yd+0Xyh+&<*vhqJKE*Pw!Av87?J4T)E3z^^`7&EA1O_t zcCG<mikhzO^9=YC)?`}e8Su%lY3nhTrQ7eY9*#`^#?qv!-B57yrtPx6FVh64)SDk< z)8^2so0PEFn^Vi2Q*7tV1+Vlu#Hw2s{IchmcB3ZTXZ15_j%l}g7kq1EIsJt5)jXFC zchosv#XD^{WDZMfvzPTvsq?!~;jrP<ea<eo;2GDl)~jpm(>^GbucT2aaxh9=Xo}tR zgt=0VH?ujf?Vh;c&v_2BiWv(Y-RCg7RbtMw{L^a=v743Pe6Zt5R@y6%Hy%x|<W(Xb z$u!NHuN?78s_B-wfKIWw<K1?SY0`pMw%;{eD;np0p+NDV*Kc7HyQYMx`~o7jvlF(S z7ZS-ASo3i&w9@~yZl&^Cc1|yQ-wo%~suQA8w<|{Idj{0SH}UeRulP~d)O${4#UIHg z*D%45zk1;19N#&oRdg+QR?jhQ*3OR0+nx*SY)*l??A^j(_oDa8E9$Kda)mavg$u6v zBHMJVTwu*7>83^gLTkR|H$5``c4W)78}k;V%t~0>%;_cXz2V$Smelj=5%0O0KHXQl zvA`J8DA)T}_3Fe6&ZblUl_FAJADt0)^(}{3v`@g_To!K`=YY*F8?JaY-I^|}vw4;R z``zfs8O?XrN1Sl{ZwMMA_XpJyMfy2sWTpt|JW1HY4jRdSy@W$Anz3d2TZLUUuNL!V z$tNnZe*}%S9-AI<!tqlTX#Clj+tLFxJAF<WG@x(8U3}rTP4DU{&3~jp9pF5jCkuXv zi9Ji0lCH@9lpoYd=K{46Cbn93#2$Czdu0!5BYX#SULj-Te-4Aj$mfB^$Y0#s;Z;0g z=20iUUAs3Ku<tbAJfZntAZSRz88k|+2O1@3;<l8CKYd6h<QAxnz|UPA@Y|N#vcvxL zAsG|1=%(3|o1cJ&th3WWHN~kzG9`U;;G^WapfTn5GN87C4QNum4K$#C;E+tuyEH}i zr}sgt6hOliUsHdBMjmv|Iq|&$4HkDYN1Sl{{1()&WDzU6U^lzf@<hxTC%#?0pxN|V zv7!t6yIU<a{*(o=@Lvbl$qz3F%a;aR+PA~YQUcU74Jk`dWWV?~Vn%ZmXhfkuJ&{i; zedC1YC!l&XTa??<V|{8OpVezndxlZ$*@EvP+?FTYza2h1v-zNpSW!R>$dS`P6>lPF zW@HCwI37HBebsoG-7AGMMQ+O#@n;XosDNfBD%C+Ph54;ATjr-E@)_xG0=2#VR^H?* z0!@H}22e!!5*67GCr8d`zLW`CNMXla9I$gztBlL_4F>F6LDMLI?8KfeFa(9%R&a;> z=b<a^vRk&<F@$TfzXXj^q#JTuo{&3oNajmlq9Xgx;D{N`2SF{aHJc6C7heVS(xthJ z1tQNo@vZ9LY{0$|G_Srdl+8SyPpCNJgk!NFckzT%n<g}WD*~;f_=IT9R0hkJPT1Yk zD)R+YsdH`LFrhiIztvL1_LLLfvGbb^*r)1Fd!+SL!D@D^<%+lGKm!V(hSx=%Cki`3 Xt3N^`P8>Y;|GxR(&+)IfKG^{Pj1QTK diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj index f516430..0107b4e 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj +++ b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj @@ -1,6 +1,7 @@ # compile vhdl design source files vhdl xil_defaultlib \ "../../../../../src/hdl/controlUnit.vhd" \ +"../../../../../src/hdl/operativeUnit.vhd" \ "../../../../../src/hdl/firUnit.vhd" \ "../../../../../src/hdl/tb_firUnit.vhd" \ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj deleted file mode 100644 index 4918d41..0000000 --- a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj +++ /dev/null @@ -1,9 +0,0 @@ -# compile verilog/system verilog design source files -verilog xil_defaultlib \ -"../../../../../src/hdl/operativeUnit.v" \ - -# compile glbl module -verilog xil_defaultlib "glbl.v" - -# Do not sort compile order -nosort diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb index 69071c5714dc58b42ac3a2f73ee62acdd9979c04..f17fb2dfa33c0317a5b8bf49cd8cf2f51e64bf31 100644 GIT binary patch literal 1988 zcmd<`=3-MVR!}YG5@3;HHZe5N;w;Y0EzL<R$uBYz;t~kUEK5wuR|tlSadNSj85tOv z=ov<_n;7dFTbi3^Fch#<uuNcN5Mbh(#mL1BHVoOETCQs6{DR7&%=C;B1w%^<GhHJC zBO`@~%$&@;3LOQ{yktEE$DAC6AdrG$g`m{p)S|M~6g@74E|3P0E)xaEl(NLU<kS=e z-^}Eqd<B=(vdrYvVmziGJ8wP{7f(=WUS4Kix)sdR#>U3Tj(x?*^_)?^w75t=BR@A) zKQ*toB*{?U*VR=Y;$HnSaF9U4Kpzr5`cPg{W}bd&UU^YsK|yMYeokJ6nTcM$eno0d zVv>TcZf0I`k%F#nN@`MRx<W~1L1uDdj)JakQEE<Ng@UebZi#}0g07E3MP^QXN@`kS zX--K_W)euGI5oMnC^fSH#I7jFRnXNf&PyyP&d4uOC`pP>%Pb1b%PfgcO3g?tL({E? zkXO*n$xl}RIVHa+u_RRw#DhekiHRk07&&o?hZbk%r7NfwD-`D>mZd6`WE7<)ra+R2 zvAH>NXfbm!T0l*)G)6T=Cb%TAs03tCaY<rHW-{FF%=|oXYBM%5LNW?!im3&%Db8H7 z&iT0oIjJS7DGE4@F|t5Tv=C#AEzOXP@#RuSI0llGGxPHlQW8rN71DC@%M}vy5_2kx zGofBIF~s67lw|J5rGexwf+iZ7TVj}KY-x(@#2_x!kj&gvP~y+eDJ=mxFD<`F0h$>> z+)Q{jH8wFpGR}yVi`lTC7~*jgGXrE(y}5Wn?gV8tP<%py-2&M$Mq*qd1&PVoiRq~d z#U&|v#U+V(DTzfX5aUb@P#oz=*f<d`A!Or9QY%U_^RXHhMA$G{E@_xynW?F%dc`Fv z@j3bFnaS~nhGr&MP4y>isuY(5im7>}xv52&$??S{*nK^Tk+7MuT+xX|$r+g?smUd! zMX3s4PJDhrYEfcIW?5=!US^3xewspRUP)$2C3+@J&d)0;%FhAiVuffZ2c!d548&sf z=~N<|mB1ATb_uexh;<d*@ep^xxrANTLWIlwxqLBPmI*4$K*r+^DrjM+5S^A;1X77V zh$;w2oHLgr7I#33O^^k+oq|w<HG0CiWSvSgb4rl2Fud3XSCLZ8M&=gC8Ci%+0AeU8 f#3AK5#Astn6XaaY$HnEEm!c4qnw(#hBESd$K*m^n delta 745 zcmX@Ye~5d+d&Wx>KPa)zW#XE}G+CUnPr5WOvp6%iIKC{kNI};}p)@ZuH!-;=AH<)$ zkkL!HA~PpGB{eOvG^ZpdGf6K!Cn;z0E5@z$?p%riiN(bVAsI#arRf<8e))O9nN^v2 z=?eY@C7HRIRjEZ>0xVL@W)`MeoW+^Br8$Wu`9)FeCdRrZrpD$O3<WF|EE5<R1emy9 zGIF^jCYO{Z<|rg(mMG+;=B1ZpD5w@Iq-3V0r4|({q!s1oDx~EX<swwnLzSfE7bz6v z7nLZes}`#Zut~8PnOPWWaaR;)=9Z-vWu|2&8?kUP8JmGf6AL3|F2(?(7_JEYjQrfx zV*MN=lf2C2{1W|w#F7mC^3384{gMLRvW%1*-Q3hv{ZLokyu{p8kXTw~PDxR!ZfZ$s zN@ikSiGF=?QL=tUN{)VhL26NANoHAUXkKQCUYP)+5jz*NiII^2lLey#V-&lgfv&NE zrC|m`0b2zd`Tj-sZar@QGIKE+gFI>i^0Tu)RlJMgTUEGsIk;F1P0TH@`gih7Cg0qA zE>FLF1?P;!y!2Fs%sd4T7au(ZpUk{$P+}=4N-fLGFD=fgR7g+F0|jMjib8%;mO@%) vPHM42NxnjIQEFmIszP>ZQC@0}0IL+Usig%*iZV7b&R{5DtzezJo?R3Gl(XtA diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt index 2965ab3..8a25a91 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt @@ -1 +1 @@ ---incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" "xil_defaultlib.glbl" -log "elaborate.log" +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" -log "elaborate.log" diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt index fdbc612..f327302 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt @@ -1 +1,2 @@ Breakpoint File Version 1.0 +151,true,"/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o index 5ee797baee77bb08bbabc6d1f4508370d065912b..37f104a61d48f09312550ed9b3ce64d79b7c0aa3 100644 GIT binary patch literal 19952 zcmb<-^>JfjWMqH=Mg}_u1P><4z);|gU^{@B4h&ojj0}$9j$w|Wjv>JwotKX}MX)qi z1u&M{`E*x1bXR+H7yEPvhcy5C-}!^z<yiACM#s)?F8nUvEx)_)`+acf{P+T7l8bh+ zhYSDu;t*fPe=qwP7#Mtdiz7UGojp9dIXt=@I6OMN6FfSdGrFA<I-O&>y>s~2ALL)} zonm>SKE<>1zh~p|8(s_y6Ci+pn{$LuugU?R?rek$y1iq-Hg$uvr*t0D4))+*9~|Py zzb!<R!I$xm6aO|5rH<eT%M&F+-N8AG7do9|_}3reUw_K-MBQ4)F1BdL7{^%0ILG+I z$DEuP__sMZc=WOy;NRxu=)w52tA$b1n}MOj$?5Qf3E1VU2*{sCl6M4~-_>Fv;{!6( z@i4?=P%;!A-~k?;mpwcGbUP)u8h&d%uoo1R{GKn4J7s|4uhS`~GppdZQwf;!9_;;2 z7ZnzdP6*qx^XNX1lt<@rkIq+MX_xNm1lQL8uKb?geLBB`g*-Z)D?B=n`*gnZ>HGv1 z@$9_o+5EQQcyj{-1300$bnb3pU|?|R+}y#yz;L{|2P*E-4OZgO**t}Tfx)A@86@q| z**u4VfuS2L*4aD(#O_|ez`y{G((V}y3=Ebh>Xcv3Vt_?x_hgVX;{}LfSftd~cywn6 zbXR9|7w2>bmvnc7Oai+P!t6Yu?VQ2C-noQ-n+q$0EC04VEUG>rS7cXo?*_TNdo#$< z-IGDCh3Er2MjPyA{`FvAxOCL8GIWE*yP;0wZ_WAt|G!Una)oEND}!gZFNa5`vx7&c zw}(gaY={T%gN^g(JPHoCXC9rmzyjShDlA}z3p{9Fcr+j3fTyfjNIav)Z+BLLtKr+$ zw~qWCZ=n(A*m(>QhsO=SAw^(!c0%i0$338g;KJ|u^#56(&gWn|JvyBWz|Q&S)A<N2 z(wSXx+_?s<vU4#gK#n(q0_6Yy|NoDHV~U~MIic6%f9nAke$N-3-Jozf4h{;4p4Fg8 zJ>Cq8FpwUPZcsXD21OMj-dBKP7c2&i_3kzBm|g;oY5xA7;OuS-G8B@)!ST8qWL@`W zP^5NG2HDZw4N5j(mqVDHC$zzF#J?Ww25>a9{Ig*#&*$HEz%!Yp-G}kV{|6r3*#+Iz z72U-(-IGDygBSo#g4)g%{OiGfKIX#8!1UT3V*Lc7wJ^UH0cnAR79)rW#@%4kySpJC zERpG+4DvK6{epY|OTYYmBA|5Cn_bbc8<cDq_*>>NFfbqzRr3~5rs?bkMP@gc)7iWM z6rSA&;9<Cffq~(*GT2rG(LEWY0AwFXIn2I#XLNsccZ1}i0Rmzo0)&5?cSeT~DCvX5 zySqX5Se__d>eF3afN&u^*@IG#M<;5s{|8I<u+;7XPl;GlJ;MFRoE#ZJ<!e`qg&xlG zFW95;4JcWFOTd3Vy;Tl|Zw<fg0+nYzo&Uj}vSBO@_UMdJ3GnE44(Rrd=wjjMXi)*B zD39I{l>k@)XTw~o1yaUg_zkABi=o3s#RH^@1Fnk6fq%-;*Gz_QJ70J-9syY$4XO=d z4^KekSC7Uw6^slF5I1#aCG@)f?`#64Iz$d`0>$<5CQ#}IrTETOAom<^0_7!8F6wLo znS8tnlu{t_pbT}q36z$=@{pn%wHQBwQUthkgG*z_UUx><*8e*}rto{7aBTkn&xPOr zMJJDnNAgQp@!`?g49cS5g5epg67c8+Ck&U)-Jr-n4o-R?Q(QV%gVMqAW>AU*Ii?F- zRCY9jlH2j-6CgF9^a;*Voy}*!b-@*AUC{jFpGT*U3I~7RQziz6UJu63?h9ZAN1)nT z5Ab)~V*=IuDJlX!y*VlouoMA`oCpvhz`qS_SBDEIPO}5L!5OD}Gbk{-Cxe2pyBlO2 zIQF}nK}>CMNyfh(T-$()hz=K(K%dU<uu`z2MFkY%9^Kg)-Mc}o?#-aE@16__aV*Ax zl<==_2FXH<Yf+gAD!@P{Lh4Y*&f^~4t3koq4GzHW&09dBG8q(VSPTS(G5>mSn1X8? zkVhfD_2`_VV!+720P}T=N&zTwfoeUnJl>*G$jHFp)A`+_cM8~Ga5#YjvvZCLh=Z_6 z17Z`|VWNq!31lz`gYp!%knfzM0!rhMQXiB%x^YA(IBr3uK1dNtl(wimU;tO`SlkP? z8kQKa#NI<l?191r<P5O#4va{JByxx`-QA$1ZF!=^sCzOvd0*%Rr!`nf%irhq_y7Ob zBFCFSDIAo%kAvkwET8Vppp@a!?W4ls(aq@5?TOrc0A(-qa`YRl9ECR@x^2Lb#oz%h zi9iJoY6}8U9)a42&|=6b$M9t9TYit1olc-8A;>MASrx~fYCsto+O9w=RrWD~0u{M& zSe)b9o5$$d`pt1a$N*P<&%ch%|NeoT&EUfC_rf#zJyOX6sTGd<bRv~3lR<HZ(oh5$ z<kGnsTnvJ9Dab+H-Z|Rd88s!{)dk(fC61kc95v5)c3$fauJK_`4sbO*;J6c{3>;aI zQoyC#IiuS<r}MAoS>*KN!Mqye3}ofbIoi${y#b7^2f*&;_qgEE`QE4V8Q3tN&QCtw z;MR~wH<L%V7jo=?oQNJf*cx|`+6NRnSQ>W|CLq-p0j{0L9Xro?bbj{e{Oj0x*0*<w zEeAU&k3M(lJOp-7>jD0jxuAIH-D{x1zyKEW=-s=+0KrbML9iL@5Nr!41e?Jb%J%8h zQSs?ro52RM<-JGeJ(tc;E}cIi=5`+T=w?yz=-s2C0CvSQpUz)k8J|uxr9Pd{Jv#5f zq&*ssf(-OPQia;EeF=(XSb6Rk798Nw`Pp-yH3I_!7Xt$WsN#ioHo&o1&|t&CTq@@I z{}@8%Sn~pAP-Dl*v$u}P@Eh1w9=+8XhTjY?8J+}lTMm>sdNv<pMriWrZoa_8z|h@& zgNcCwRQ-bu@#x(>fr){^qw#PDGpMq@2XSj0*pW~+q$Lh<G2FdwSlrt@18l(pCQv!e z!r{^UgN48SDmcP;L7w(VW@+?bJn{d5XKx)d%-!AKCUJK+s3-vWmA`d1sOi^yj0NHL zW6d5c3=E7fH-lt)>$nkmZ-A<kZcvE_(p#GB*?f!#T_5x7I?v`~0_egluhTty>x2<z zfr>o1JD5tvJ)4h-plf1yZ3GJy&*o#|U}>lTILJJkkAd4xkSK(>o5`bh_X$WK8?aym zG9+AKWH2cG?@xvYE;uqhx{*S*!G?pel=E2g2Nnhf=GW{H2FMByP&L(f_y)v^Xs~l8 zK+H=a!n_%*Q1d_xka-Re^BP#ePDU{=6~B2*Aon@2LCpg(K<0r8XOG6i8f=*6rQtUZ z?B5qK^FR!cc^e?^yMV>Kbo}Ol-8X?9>OK$yWZnyic@^xK?#sY$9y7?l793FXKn#$1 z3XmvQ;J`F5lL+%3z{~?NK;}h2%sYW%9xOk0{`1(M#K6G74K6~!sm8N+n>nbH-@E$& z3j@P0(C7qM+@p7Q0mP^kFmaDglzb0Mj40)^NAnvAP)XJK-*aC%+$LC;zyqnU@<1u9 zJR6Uj`!X<i^zKezgF3^f^B>G5D<CfMU}In~ytEVKMpwfF9-a4I_A@dt;49wvTN)rL zkO~q|tGgTAlm-<B{H<{i6)dnUz5~=F;s=#Soy`I)pwSr#76t}T<>|2lBn-kHoy{C9 zpqBSykaInHo53#ZbW!2(=xqi$)1#9`#k1Fq)uYozMPP#AH;?Wb6#=k!x>tjA{y%H@ ze;>#saR00GC0Od<Qx=!*)jOCN7+kt{gH(Aiuin7Kz<{h2R`WO>e9q$8?V`)z+3lmt z;R)&}cy_X=>=a;NU;qV9cMYhyWTN5%t&h6F4({#-`N;A_i3oEu$X5_=Sf1eTjr{-r zzi0C?c5qn*c8v#end{Ll!r{^F!olIuIT`Hb&Sp^kWq1k0LXYMn5{T9nxO_nsIy_+l zEIsaP$D2f0J^vqj?Semk!6N`D6$L1Hba#WwIZ*tTT6v;W633evI6$=wIIUd()n<){ zU$8SU>|z1OI7$ll?5$&krHbxm3r0}A2rBQu=4yC0A7euatsBfB1rI<Z3&;NhonV_@ z&wv*E$n_(nyajc?kaD6&cQe9-W*ZJZP<gQemcKy^Q2rKxWQ7SB`P;Meo5%j?@bCmj zp=Wm+$VkWTKCr7~IFM6P=S{GhgO6DpyW2pC&Xai_D7ATX^GJAfyGU?&cJ>8uGB9{{ zwnc!b-hB_47#KVok6CasFzf=QGoQ|HP|ty*8BrjB;uq{5Q11cBi^RL90b~{Pyb6$S zk=z4n{CIY@ad3gM{XPz6uzOw*bWf;b7-;lE091B&e)a?vgP`UElFPcAKY*-aZhirx zI$cx}JdV4lWPoz0#}1HR!TG<_MWvv-TLP47n7ai)RHu(hg~#z06;P8Ithlp91=L^% zjf4nz_HNsu3Tib%>Uq!3W8FC_5gxrIDghqHSybdfDQ<@X1GI1fmmZzZ!36?1W<0yu zJiGlkJUW{<aDi%v?j0a+ce@FAbT%J=FeN-Xn@@lwdv_avoYQ!C1{VXvE|8fXo%g_| zKzk}4jYmM<k9LfMkH3U~!UWtw2Dhd?_Ji^gQkeMk?gcr&yV(I`G;^~Bi0X7v$p8gS z0jBFKpy8kb@>g$&iiAh!dsrdv(p{pG;L+Kl0?L;j-7YE-pblMvM`w?U1UOb&R6x$_ zZk_<Lgt-~g&TLUp07)KiQ33U?l|k*gJs{ITk>S(11l%PDbwfej5+ut!I*+<^?@<92 z1RlLxRDOWmbKFIR17v_tXNrn|M{kV^2O^+7x?LGOz{Aqb1>E3}t^iTpuAq=^fG|NJ z-NDVk(Cw<w**pOx*1J0b<krT+5!?(6yFku@1vz5;4%)G4JOT=4P^lQ_7$19h0%$q{ zR0e=1V)iYC=UHskq$f%>>Db*K?#IB;*&M+G8u)DY_X9b%IRL_QhwwZgJaa#gl74$X z28NfdprFIk4d8D9#S3bK8|38fZcuv+T;3I<mZOf{?bXOGDTde$bxAga2X#p_#3jjK z!EOg0u$wJFRPXKvNEj;cAi@wlN(e4dPz&G{-~t%bpaVN75~Bc402RI686XqE)l~_& zx&m9&xfyJ2cL;}oN9SaayF9u>I3zqeCxcwv?ZTk|3DcLE|Ns97I{>-7MvM=5G`<06 zZ*VE%xgXTkfaDn1Kqzt(C)D%*aZn8bNd=zWZS~-Q=xc`r1P3oTAQ(VY@9q^KXEz>x z0SX9Efdgu7H68(321+;Jd9+w)pw#2+&hfXTgIhE8NbQ{m;9vu_TS39b-|7lc0c-E< z2jz-=pek_}C|m86V_;x#1UGb$h5$Uen~?)Igo72-7~u8joD6n-XEVqzKD~=Up77~i z4fljk=X0OVci?i!v$r0pGDVoyP{Se0%-^~mltP=2gKJbscy%}L00jwi^9B&ryBcB> ztoQ*Hwx0Vz0R?ikPiKt^toQ*n-$2E$1X}Uy(RmnB3iXz#M1YDg4v-ljotWhTvq!f# zhexL?gGZ+?2eht(`vjJ~J<y{Eo@79I+hady#0Qc%zyaXV4faWQH`rU?1PW<SZ2%>J z&gLB;s&}^sr~+y{JcAcn$$E6&gZ8RW>Q|5EHy+@I_CJsP77PpwpphqdTgBO++uH)v zdv{TB@aTL5D_1(2e}D!Vdy6$Z8V`e#h2f>0pwM#M(IE`3reFowE)ahwhyaa!f}8yw z(T<SrKFAfY^2=ktC92iOninuJFfjS_7AN@h?(P7!T_IMu8Xf=-Aw!z<5M|78>n*&% z*25|bh%^&idc{4MG&pC1a{<U1a15&6k;20U)lnYZ;E{Gnn;%=Kcrb&S@|!_}@*a(c zK@}<7>IH3#;PGE*Wx5N*-w7hH2Mp9jpzv`FfsEOJ8XNYg4)W}7>xYyC(;+3n4^Rs4 zZ2kat2Y5`~r*|=^<%Vcq`*i+?jktMsx6OxWUyh+2s=;wbh8!CMs-E=_J=<~XS)t8| zre{Ay&vD#(G^8BR^qhz2xsF>;hPpGFp8F6z&vENnVd93S=RHKvciehjIC`S#`47>< z?hh(?yODw&9&Q@`K4^OQ{Xz9;pEzzk88QB7dgLK`)N$)skr{-hM<1fc9JihqWuZ_# zkY;xbXgUp?8$iW330MTGma!^`fw4k>QJROHV*(=s1E?U8fy#XV(N25<PJ9whd<sr{ z8jgGh&1^lay)1pq{Y*^v_%y)65@02u-UtH&Lj*_<0|UctaH)c%*NM--k<Y@B&!L&U zhpm^jkENfvjfwdvBS_4^3CSo&K2Yz>gMonoG+zWV?jxvVb0lciZ6+LMf&2va3j+fK zXq^lL+$={v4R^i+jLlHzFja&6r~vk_0Ky$QAipp$F!X{th;Y4NH-r4-&bNUX#Z!eW z*c}4$6R0r-^3x2EnV4pwhffo(@Nr>aU^oIYgMono)Vf9Z3GOa5vzYE-bCm%D0|O5z zd>I%RK+RAFCq4m3J_$!Yg=XeHCZ>rXrhpS4hby0g3ycj~$K(Lj69jStiXLWWMwmiJ zJ_TPG+m%lt3&v((V31&7U}%Aw;SUNBM?QgOCMHi%<b&iul?X@}o7^5e`apx$xb%I& zqYu=T!=+CLG>Fc?z<}-+aDyKz7Yfph5)MqBFz+TXFfbHA)#-uUfEn*Dd;us)hzV<2 zcI69j!4`=O3=Ad=3=CJGc6`Nj%WRliT=^83ykHz?Jn(?hH4gu2K;_yn&8&hM0}6)# zBGiG>S_M=cKPcTm!oiVG!JTgdQ!`5+a~l)We?A3AP<aE*f1tFs2CAnXp$A;>fXX9x zz6H#nG6`Cqq%(qw8AQ1R&cvXw0gZx#ys#8x2Drcir*Uve#w^7Mwh@xdnJQo$P`qid zf^;!3Xkd>waOr_mpfTlQiyv3M1B}cQux4dYTJM3{0Zv1ZeBckt2cU3W0hI%<IfA4w z7rq2=-pqxCzXk&X!v(0iW{`8y{Kr%Z^AQ6B$jm=bwV*B`G{3{lEQ6V8!@$6x!Ui&o zfuRuOcZiuzpx6P0doybfB<y0DkjhLakh3A0aF9NX3=Av`AOB;MW(GH5F=dz;pa}p; zDu|hZL5P8g!2#4+VPIeYhYte-gEUn908|_t9t;c&PnaO;1LPrwfc?(Ez_1o7{s1Zt z_A3Je11}3iy#XIYJ-BRSU|_fgHU9xr99;e}FfgowiaUV%Be1;0z`#%k6`z16?hX}y zfF=$qhd}-^5QNwZE^`<d7;Zw{*?=a#2r7O7P5cVf{R%>;<}g9U3!viQG{?Zea2o2K z3s7-zImN)hFdr(;APO-DT(&SUFcibY#USD^ciKS31EAvIa)W_^ffXwL08RWH)cpnG z5Ocug0s{lXbf|a(D1$ICFo5$u0|UcJsQVeDAnL*SnSp^J9V)&-8X^wPuM7+f-(mL3 zLd0SIy$@9n8pi?2fa_xh28Kqcy$tLiQD~jdz`(E>svaWBzyNDc1VY7Od}y*`cnGx@ zG^7R60~6m06$h<RK^E_XiU)woCk6%vVUQ#P14ArS95fUI5(2lY7#JATpyC10G9TPV zVqjo+4|RV4H1ER90gdj0(p3Re9NZ3KU|?`!WMF_ML6Grq4Dt^)@x?gQhu{!*VZv@c zXwnOt`5^aV6JLwN{9Xp^=0C?FJ`;yIpkT*l?;9NIFW?XdEzHDbjuZ~{jX1;?;c$Nm z4)H@c#JO>Ve-R7za05*VVRJvI4UJ9Q8i)INaJVNIhxk%xJ~99=0D!h>85kHq{bo?2 z1l0hh3=9l^Kw~}s|NmbJ5@Z0?B@7J6;-C>ikQ7M0I+FS=pa_PlH$oCWjwJ4gBz_A? z+z?3|lpbK_gW4uA_w<13NT~V9?m2=(To{^fLGIK<GG7Hr9NBzbBymtX3TCe<4)I(h zapZ8QKoUm|2heCc%wNdiun<W-ayV>85=VBY8^}Y@u?~<Dm^*W!;vfn+eAa;ku!P$~ z9OC<-Z5NO<vN>GPat$Po9G=oh;>hk)MiNI3Pi-9HQ;@_#c>(6H-ALl9Nbz_MN!$ua z{2`J!vif{zeFJhoa(q=Ii6h5XH<CDVd~HV(M~<&ENaD!hFbUMz#uENJk;D~|-H#-W z9RA##pwt7&kI3qcki?PIcjFM>g(MDYlEK3HE0Q>}IVzyFP*C?Ht2agx2hD}S%t=NP zM>c0Z4)JqH;>hMdKoUnbKL|A33v~~2I!s0qM-KlSByr?)Sb{_RD3UmGI=P4>j+{=O zAc-TV6G2b`4Rt4SI#ELsM|Nj5lDHXCdRT=+`~;FXvN<1+#F4{?5nAqp(m%3#E+lc} z@Daix9*HClsykruo{J=|kL3PJ9OA7w#3$kqpNm6$B@XeUNaE&5?zxX7jvNjjki@l+ z)c->gM^>)_?Vo_c6WM%sBynRTa}sfgm*Nm_K@tZoNrZ*-G$e6k^G_m)gSM@})PF}3 zM^-NhnwdeWM_}WXx=?YDzmU}j;SkTnA>M^Ud?60;tvJN5;Sm3WL!1lRh(dS29+Eh6 z_yi(}Bm1`-NgP@ILL_ly^|z74k=1`h5=U0A4ed{Y+=;B-5lI|beKnFevigZg;>hYx zBZ(uce~2WGtX>-0FGY8cA(A+<`fMa|Wb@mR#F5p{M-oTQM+cF_L1QAYbo&KK9N8Q` zPzMHDt|E(TB8elLAA%%~tUeh@965iLA&DcapMgXC2M%#zXodoXCvrV#k3&2kN!$*p z+*pVtj_jV-NaD!q#i8Q}AbXM3o8S<4MiNI3hcF~@WOK@Kh%ZJGM~=sJNaD!moW~(9 z2pzvbcfU81IC6SRK@vwczXM4eIs7jni6gu73z9gpITFxu6Oen5%}GKMM>eMqNgUan zPe|grNb#!#9e)9t4;n{>rT+{hab)xJk;Fmck}&m)ki?PgeTO8jhh%TGB%(d3jU?WU zB#!K!+eqTb?s<$Pj_jV-IK-u)<4Pd^BD>QRhj<MR@opq><a9d^NgUby{W!!`pyOE} z_aOUAA4we9oB$l+*Kvq{#3618odiU;*9A!&+1_9zab*9dA&Dca@4z9x7D*hro%sPt z9620*BZ(uMuLvFQ1GyhrybwuT2Ps|6MiNI(SG$nJk?lQ%B#xY}J|c-D+iM6N00g-Q z*_<dOab)$yNa9LJ?wo@pj$E$pKoSS7$bjX$t4QL==KnzwM>a<q)X9O?U&!M2IK;D% z#F5SKL=s1K|5hY%Wb-c~i6guJH<CEA`BtD#7Z!Ks;SgVhL;M;JaV}6N7K{0IIK+!^ zh%dt-ehY^<A1JV}*z1c!98yX`7XN^Tn&yHM7*sz<4kQM`p!on0AKHBf&$NKVIiTYy z&~6!AoChinZ63nK*Fw#QR_}1}Cs1){aSj((gSLaA*%U6$0yP*K{BZFssCv*m70A6H zH-hkDs5mIEA&Y}o&@(VFpqm3y1Hv&N0@{xNnFAX~2kjyPNrA*b7&ean1tf^99y(qF z8?Ohc1!36yKn--95F`e|u=#`&XyUMOP0-?PkQE@cAPk#d0*#X+i^JxZKntjl#bNVH zOF){D+zFdsI)Ek)n_s$vCJvil`hg}6n_m(E4c;M{51U^CEsa8U4{UzP2TeU}eyIda z95%lMTH1tc4s3o2v^fDq9NO;Dfu=8zb3qt3zZ8Nd4x3-9K@*3~FKs{*hs`g2K@*3~ zFX=$@0mx1ehRrWcKofreE%(=;iNoe6ub_#;<|i4T=?i2w2*c(lLA#%j!vQuw$&i_y z8DCsbTAWeEkeiyDTu=!X$xKa6jn6C1O)bhyjxR1ri7(Df&r3~-&n?X<$t=i$C@RZH z$%!vc&PYuu%}I?fDN4*MPE0Pz%+CX>PRxlf&dD!l&?`#KNz^MzttbImP@Gx<wg9X* zGcUEMBr`E5z9=;-H5noSH?N>5KRLCy7@<0~EH$qLW^_SfQDSatNoo;7J}0rbB)%*$ zr!*D2qT+)50<h{77z6Bx<c!qh?D(R@y!6!g{IvMYyp+_6c&JKj3W{?wlT$$oK*5y@ zF)=YGGd+)?B)=dhrz{s@M`d1OZe}t{sDMmk(96p&N!4@r3)L+yNleZLD+Wo#L%f%q zn3IzP69>l&SVambhQKUbF;kRUoLQ9$RsuFIv8X7qGCny!w;-_y6d+K!;*#8w`24(_ z%)C@+%%o<-r-8x}p|vQpI5RIjJ~bsBVjeClGxJK~6H`*a8gZ!s$?FxD6qO_<G3XUn z=7MQ(iYUrYNi0cZ0BbCWH%T-|OG{0*j4v)tinnA)tw>ESElG_xK`@OGOe0H}Hp@iw z)HK87B(OFMgf;}z7{N5Mz^ct0p$)+_Mlg-cv1&6zXhSfK5lka9tlCTw+7L`*Q><!D z5NZ)jV-u`ujS*@QOk-oLYK`D(O%Y5J1k>0E9H-!P1kaCH@^>02#}%iRfD)`hJShDc zVzti@VV@xxCNm&gZGhDV1B49-rU_gvO6oEMjr)SiLP~H769WUZDnc=)0<=Gwfq~&0 zwETxjLaT2WmjSd>6eI>MuHoXKu^bR55ZXQiu|XKxya6%67_{dS%?hx1252xE)P8}E z(}1QqK=lBuod#=9RWdPvHnV`+NnnjoVhYq=P+tZr1g6mKDq(`m&44A5hz=(R2ekPE z!~)q5(u;0C=oAc)|3PYy@d2p)$YLNix>`nv`#~E@LDFyx(+}EF2p42vfbGzO3;hAF v8-jD;j0w&VCTP<Zhy`*#XsQd{{W+jT=^zDYSOGK%0ook|jaZPovH2eWFR)Y0 literal 383088 zcmb<-^>JfjWMqH=Mg}_u1P><4z_8&eE1cs1W;rl$GcYnZhC7BihB}4>dvsnt<`luw zTou4rYUk5k?a*EA(OvA*9URj9>wo7DewSm-zZe}mzq#<ce7F4W!teLNrSszpkV!7u z#U3vF>x)Bt8UMZPXJBCP=`D`%=ymq+=;rX~cHr>n^iJ^Tbk68@PUv)w>GsayUw@E) zy?2V`iTV`J&i|f`#~+-QWS9T}{M(!(e0o(5_;hC@T+r<u1GcFfq&=nckan;K|N7t% zNB(Ugq71%_f1LQYi70ghM_8UH5$X=kVZ6}k9K*l<5dZp9mM7}gI(D%|JH|N1I>tH1 zA3o;f$iTnN$)T&o!tjhFLx+>&VTi?0@|cq&BUIiBn|vrJz=Ay*-^^fOVDRX??AiIx zr?<+%@U7vuU7&FH>HH6Nstsdlut#T%N`ObVb3nIuL>CK3M~lh?1_lO?-Vl`lpU&?P zWz3~oAY~ke-(Whs7&=^3JV2^A;HsD$_@^9w&1Cqt^Myy_5s=l<Am79uo&a*rfXAQn z8M?)v$MLoRE(UNC_vqc{z{tSh(Rj>)i-E!LlHtjhpyUXRNGKKT(fPSy|8-E}FA?<E zZ^6L8z{|kEVE7Fj{65{y7nm3r9J?7hn;E!43<s9ZW)^NxELCfGG#-8dHQA%{UNqQr zH1jMm%;R7N8N<-oya8_B7KnKX9*u`*a6?>*%RDO#^BiF2CGda@2DvYV2jsrp7eI-r z@vsLEnvqbSLnt)&T4R`B0W)8K7iPW)FW7tzaNr*Pf!BO+`U?pT@aX*P+4+ATC<}OW z-iHKk@8%us3=AI4yC1MKFfcfFwS%Ieq^RY9=N>z#J4$#wduvpfq0!;dyZZza$Zk_m zL>gWK8{-IzK0eRpBP^hN@*FJg(RkQ`8A&nR+RpDD%||#uSw7A&9vo>XHgX{uf!98; z(->h+!(khW>zEKmf8rMar8x$lURIv}{~-YbEg-)8bbds4AtbUUOn~HPM0hfR;usO0 z798O4OyEEYPnVVhC88et-Jl`r(R`c<;bsOBLI`F;333QE9>!_}+}pgK|BoPi?bFMe z_y7NY{_q3flt6@s(b5kys(*KY{M+6907SJODDnCK0B*6U$9^A#mst>AE+Ep&o_k>W z;bx+G9IHWawWuCPif&Mpy^hCXIygNc#SMP*L8+Y?5wb`Y{QO5kdP2+pBG4d%2A5BF zvj-z6+cR`FAAsloBar-G0m=U>c=44lp!fsX0jkLN=|N53$AT1%@DKxsE~MJ?=x)Bj z%D~X=5zt)2$iYxz<<Y(S0V^moi-L3hx1FGZ1f0!V50p51bngZ!_vnR|3kccQ>>j<~ zeAdm;{E*S3doswBPOfeTmgWbH9^Ktwt|JTF4cwl+b?_|R-7LU{<_HNke2xGqC&m#V zQ;-}1=At<Qo({U3J<uHyfY%Wq<-|AwWD1faz+5y(z{}n4<_2^}bl`OaNI5Z%0GWd1 z2rw7T5v<U(=+WK01Kkk^@HzsdoES%dOhIx4n2Y8JHiRQSpgZCRUPpkG6XOVwDM*e0 zbI}~Zj&OtqJ6ggt0M(?uxN-+bIWdj^nS$g9Fc--Ye4fq6z%5aDE=@ppM+RPZfRq#C z4v;BG?f`QgSwJ;9C#Y3@AKbbHSKZ+DHn@Qs;M#fIvGbfq=Vy=3zmA<}eS4SK76>yi z_;fyZ={y82EBITQKt)gQ-ii}k3}7*j-n|SL5$p>>APa0%JUZ{WbbfN_{0ULidDyde zk8TIpxMx0{zrdnC-8w2ho$pbV`gA_`=)4D4(%agg%f*19%A@fp#3qDpSd|a%hs1(C zH(|mAi2p)@kv-RW!l!o$`vMU(zm+5*`=xpB22f#MA^{Q<0eK3|L#PggscJl$pvT1k z_mXGl3ur?L;vyIa-YLTEz7?YA?h9+)djOO|!EWLZCB{u)RgFg@^oey7ZucAz$KswF z;tUK7VD~796XPDRs>Y)gW|X@pLk`_Np!iz>ON-5WE978qJ0VMq+rX+Ck5-rx>o!oM z5_fnN$fLV2ta)#PJj_iO<cM(-SXJXu3j<=^gxft43Rv8ep#XEw4tZkS16I{|RKk#Q z_c$nGaZi9E%smSfh;a{CRpZegRB(@m5*GJZD8by*p-7B-z^WRL-Y}v(d<2xSxJN-5 z=AHs2V%!5()p&G=G3D<0fz3S}Dlqp%C==rzu&TzRGfYTzk4xua$If$}o!>n=|N8VU z7trHkXx^`&$Hl-<BJa_=7gR}rTdgjd9~p1@bpCVc`~<FJJUfrOXny{G7+i~e^XdH6 zc@r$&{DZMX4yw`cq^sdcmrjtZW9JR9G`LmVyO)8B8&b;|o`f3!Q{vHh6lANb;Q^1% z_YmEkH(}*FxcY%{;DtR>eT3$p4`BZ==)?R2szk{04@e_!|Lm~9>mQJ2vit)Y;0$o- zJlc8Mv-3N6$Zq)yeNYVj0Q*SRvv(h;3Ih8`^Csg<pU!`sx4;4I32Jn_b=17;`2VO+ z=W}q)@6!1aEC-4sMW|M=pTM%NoiD($tq1s9YMB`rT5p%Cx*8sE1xFFsV5s)i1EA55 zeF{QQKR5qoEZ1*+TcYi_1JwI*1iQwk^Ennh5ejfUOyvg6f0>H39e02_o{l>~Bz8>+ zN^nigAWh65O`s09<4zEXT~me%ToVgO6AMTasC(zQ6GURyRG<de#0t{H3ep7XraA5e zk=Qj=XuvhGfi$s!G_ipOI(C9c?3x<1;F{P$n%F^_z@tq&K_qrf9XfDL93V{`AWh&Q zm7O3GyQT?xa7~;bO`IT2oM7jHNbH(s7{E1gfi!V}G;x77fk^C{G(_N<xIvn@L7KS1 znm{CWO$K6cO*|k?JRnUxU`-$ryCw?>xF%kZCSH&x(D10^P7sM*lY<mo6CX$uA4n4) z*d`E(U6Y3lToXS?6F*23KUfop#I7ko4yp;9bx<;wXX7zYhIKVO;M4gXEaTGo5=#pk zRp>CHy^U7BoiG5E1~&{~<r}C$1I~Y>me(MS;IaTx;=&4JXnDQD1ldQZN<1LVD6-18 zkYF_btS|)mXNMuoKcEH?S^fcO#O)seKD_<`X{L^UI*dU6nPCL;52!&!mVZDRar=jZ z2d{rXnyKTT3}cXgDvV+N0X4|T@()NOZvRLK;PnqkGj;sqVFL0`gbB<)pavaT{sC#k z?Vk;1c>M#?OdbDdn1cLcVG8pPs6j}Ue?S^>`)7d>UjKkJQ^!9XW+4Aan8Ex5>O_*| zACN}u{xJoY(imkLNCOFefb|d2$}<jgu=~y7?l<FNAkY0~TnwbS-;9fa1ou0JLFU5t zfo9r3bv$SYA2yukoYC!F&|t&CTq@@I{}@8%Sn~o<3+%O%XKx*RV7Rwh!|)quRukNs zY&lTk=-GS>JR<-z4m@|+-F<_JfdMqV3^v50clQL)6k6lq4$wSE=RHUb7zb|fL)pmf zeYkr;vp?wWZJxozz|h^jfQf;De;W&jNAnLB{`Pg?0X9(kfWae~rO|`&#Qz7Ly>&=q z$qN`jx>hhSFo68Z-#VRvfx)x+7<ldu;`U?B9xMzDj4uy>WP0m(5d)t$z<M7*^_G@- zHXq|d4k(a5=9e8Hea**2UKe`y)`=r@qu9(;>hIZnOyae?XY(;xuo+PQgTunJ`54!0 zY0u_kY+xyHAVZwR@LI>S`IrE@Fw<*M&*o!N=)x?pNz2B=1}xw%CbBIPKyxD=`!g9B z7$AWQw#TCzDP$XLI2cPgk2QZ_VPIf>%?@EOdGzjv&cEJ(m<pMGhMJc}gn2Vqq2_@Y zAoC!T<%b(U^DMCGY^ZtJ_|0PixzB+OY95FIG7maGqyZWpM>8)6zj<K)zJQqrVt~xs z0GV&PfW^FA{N{n(H-R1MJ`e+B-V2C%6`&DoH23A<H;)<QU(j4DI6goOka-G_e5C-I zj6^dpp9u3nlbB%hKn#$15fJlEpqK|K|DgTV!<}a#oz)F$pj9>d1=JWATsmD;STs+A zMhyOUo`Q}Rcy@w2r|&#E4?#tme=wG2c=XzWrqlL;2GPI?&$IE^4v<lu-#g#=bUx~Q z32UE%%Wja^E0~B6WM0j2C#3uE->36k=c{fX6&6VHhOVc9j2fc~Ar~*u@olhwTsx0B zf;{xyqw}{<@A3w928QPS6VyQiGzuQQyC0}Az>4&jE{q?+V<fL2uI@bU()raz^DVdw z?gDb=FK8bc<V^>#;~~P1(9Sp1Jdei158^??^Z#5rU%7OC^z6KW>Q-=3fGULId_3;A z&;YsLLj&gi8S2z^Kgc|f#={KZ)N;Q|CpbMp$3GMdK+ZQX068Bt{?VWhb-w0H@BmdO zJnS7i?>cI}g^qu`^XdGBH2zVV-ukw*7G-$j6*L959w<qHNvNnmdw4KO$Ic_J9m|rO z`4}9(9VsX=@a)}p!-)?vmgU;9%(`EU!LxVYhCVTf&}%WYID)G2=~hu`{>xY%+IqVr z5X-O$%(~VCB}RCp9Xr}SPZwiYQ2-v0$(RncwfQeod06vrrlLSBgDa>;gGOCY#$I63 zjvZ~Q7r>2HSO7Pg8Dumw$Y@a2k1_-U(+hDmPHD%Ew&ay?qi?K$8_mw&K97-sq4_rp zf74XZ^!^UeP>mz<hzP<8{+1#}22fxxI0+5^=D#fEfsRl;Af0G&2XPii0%Z&cW~5_B zoAf5QvnFhWJBt<MV^)xlL4!ajLqRaT5TkKQJ9e~nABG#va2Reh8^~xjkkO!_B1hx_ zBUGbtN;`J6IiG<Wz2h{@=-=%8O|zL97#u-MnHX?{At-TyhMTZTJ9f1Fz5+M7;0ny- zzud5B;($dHX!OZ(C(<Af!twBEdJzYYCJs<ELG*w~tkDFLa6}%~f*I-9(YE<6+*ulT z;m+a&g(W8_EJ5R1jypjlR--`@Sfw31+OnU)jehVHZZsFjXfBY^pvI8nP7sOJXpjU} zX~&K><@a!-XS{<M{hOP==?gfigF+8S7=oe+r?g{7+wJdglLNlPO#aKy-#!bRn|Sz} zCW5U1)rgKek;biHVG0`Z&4c8o2QBbu;sHeyL=T9>>S2%stf~bM_`-~I>}Z?JAPy^< zI2gns1!D7GUQk%_g2EEi6h|4bgXx9jCY;ia9c|v6aH9`!z>Veu8O;YW8Z@BixD!NT zbu~x=tF&WB8?zAH=n6rY(ZBgYrh-BaNBDuF2&c4TM_aKn+|(CJP*V*-b06SF0c_~q zvv(h;Is*+7mVs-}&R3l`eLFv(RwCfKAJN|OXnrH%(s>lAiL%|G1yn~mw1DbJc~H-) z8CplWXubqb61X60$<7=V9%vKgJEScFZK61K9s#+qL`A@-6Dk94)i^f)VJy{i>^#=d zob1d8ieUqf-rew8(4}K@bDJ20NAK<*t<baxt_3APvo?@51c#w&3{QG=*Qf|UY(;7T zO=t$Kjy?RL1RMq~;N}|-qzQy%3OE=*Q^jD62#)}?_S%XrP+;un0tE)By~fdn2n=ep z*FffZG#(akhq;!@?X`q%koya|VeUUbW%q;3^JqLA0ZDPxZ*NNUfZVUq19N{xH<{t@ z<I%hOLKC!j0wvAo7%2y2HYhIgNsbHL=|`a#<bH!*nEM-g$aFuB_CClw^4ttg_)r43 z`!)JN?ziZJxxb^Ay6y*=M@#n`^n={*0NS8Y0?NM=`l#!Eka@Ipf5Ie?`wJ$)!vDZT zGTjeK{}YbmP5&UXJsJ;Z6jL$%XG{jUzhW}X{U;`o>3$sPA7mbRZiXg(Foiq)7fb=U zzhMf@{TC)v*Zm;#XzBinsUY`vOoh4s#uVzhA7maa-QO?`<o*fMVD5h~l}z`8(*KPZ zyy+igwnyXPgmNmT|BmS(_s;+g27}9=7t_deKaTVdGLJkrgA+cKz@7dl%mBH60ci6T z*!>@-Q`h|<^JwY*88bodUojJwetyiLuKPje(bD|}3qbC701fej!+*kj>bf6fo=4;1 z3+5!Jd1%rBQ@GPl#vhRT8~(t;|HN<Vx*ud7DE$4Y;C|?Of-|u7Y}*6485x@QXK*t@ z*RyTlVuTIWJ_HXJx^zAQ_iDiF*&c&Nr@y;&f>u&PM*~3vwOSsHM>80>89X}QgVloC zo={beoi{-JnmEUJ$cQqGa~KkyQ1_e%FRt63V8O-U(YqfMQ=oxxq*3rA;4aob)M0QZ zkKVlw51|vSASZydgO<eo^8v2_hmCkco%7G9^AS$xK*xho-E+Ve>>dMKE(T)V^8v{{ zCqUY%>7Ea+VD}`r67QY`j}YPW1Eigr?pfdtb`Pj$M{M{6JVv-@1xPzJ-E+VT>>dLz z;=_mG3Bo-mK-#J4o)7+D_ayie@16}v?qLW3EmnQ+(n+oKtPlov&xBCo-E-m@B78JJ z+Nl{n6T-pn0nLOEn|>rdBHS|rq@9}XX@~>6=R+(B;e+I!4v=<gx~Cuk>>kie7qQ`^ z@fi_56(H@@bkBn%uzLcMh!39|NbdOn(oT_kf&*Z4T|T|r3$#I1SA#aF>XP+nJbpn7 zT6JMebZNc@*IVGBM5Jm<23#?KMIB-DhwzFDGNcINLgo#@^%aW!4SFE^C+NZKf1pcW z`zIKJ>|bCAv;TtueeG|s0ogyn24?>QYx>$>;106C!5wD*1vmQIpAZDHzaR)^|A9dI z+8+=LvOggfX8(p5`r7Z10kS_J17`n%bo$zFPz<u)qZnrYgd+OduTclG-=Yp?e@87X z?GNboEAi=e%ji6H@R$6-SJI59I<Fu6&wB7Vv&V53&}JA0*KQt*#z&wPR+<-GI!|4G z)hVLl*j;16()r`^3m4Aw&5sy6MN}@oZ+^fCT58hS<^r1idd}DhIc=l2PQ#<|m<DK; ztMdVTd0GUd^qVk&2KN7f+5ZEypa)|A16=ll)?4iZO(4ULg8<E`FfcGcmrqUbINk<Y zcLQ1$<I%eh)S35aJm$az+2W2m6$0+h1$#8V5%B2z-0fH5ff}sW4*p|3_zWJb-8KfW zP`&)V(?+G+#en7Vi{|Hyoi-}ap!DdT4C=jl^wy|wcyvAk_klcmHw!R>YzMDq^yoYe z7VUOX;pl7zNp!oY@N_ndfY$Q$R)bC?`2lsSN9R4TQjg{%0@1M0p8#IZwGTAQjpTpO z!Y`QreY)E~OYA@=p0phR&1-i%uynQ^0h<pCjul`BVLeV|0wO$Ye7fBXkdhfRJRG}O z3>>>l3|L^H;c@we$K`j;4;Y)DF?t+)rr^PO%%ihJg~OwFvj^DP=>b)s_Ck#c2iQX` z2TBY<{s#qGGw5^@P!NDQHDKl7P)L9}5hE19@ei7dNAf>-Asy`O0I(e%y}Lny;?cYL z2MajxK{{YE9IS}sGNHTg2q@Kcw}JNLAo&)WsbHS$7P07dv0%CU>hg=`M~ooXP6Mrr z>ul2jt!C@p2U#n340M11D9<1gT6dobXc<^{n+6jD14-uJU}IqDY`X(7AF|f%7-(xd zy7@~W=7W}Ul4O1dJIMSV(CWF~eV{z!(Ri$Y9TZx~DWkg&oHo1LK<D0&WIkwFU1ysO zX!Tp~KJc+GjmJQ1>(Jfb0*dwSHc(0+$@~`_Aost4m=8WFrt#PX4ovrdftde-nZ)p) z!3i>d4rs%A?><nL@n}5Oz=>&o3TXXicUuGtiROE7fz0>e0v$-PPXc5}<1x_w6!h@9 z0+Q-(J3*@XKfvbyftcR`GNkd?1L$!_i1Z0=&%*ZKgZIyU^X&ZZ(Yv0Zk%hsd^LyvD z&QmU(2R)(d?wWrv^0$D7czt`9FKA?8aBSZHp^=4wp+pK?zG>ccVSMS)`QE4V8+?D= zF_+G_ns>oV`#>9cK0&9=K?6pC&A%nebzBV(IPR1Hoht^~3-_(_7Hs`l>wyw}&&J~m zM0prshi8GB>flX!pBy`1K-%W`S1Ka;54`03jA!RJ@F>&zA1EI5MR>3zt$FW@CKk|& z%)K+3SQtvA!G3em1doJ){Dv3|JLaMZ9t`^r9t``0)T>YgITb1l?^fV<E2u)k?cRhI z<Oo4?Z$K-=y$r1b?B4U9o!>k=|9bSUKhcKlUZez6Qs&#cG@~7qc=qmS2PGa@0RLpX z2}wLI&=VRwJCC_&{s#5ho<oK|kOJ7iv+-zv7$|8VMjN53s1n`>+L7Il7Ty~`8Oo=3 zZ$ifahc_ZUYjojpuS7Q_eVphTVD}=@$Alha_adba{+0#?1_s~Wr3Ss=G}6#Zb{esA zY}o5@oST8Ml;5-Q=!s+8pp!hn?Ep~jBt5mj_7{TJJA=y;gnvBx2>ItlAJ{(*{S^7< z!%3Kb3QkhrKZx|iF#*{>Xz8C}A|ySnm@vTU3E|!o6Y;qBz$A!!GbRlh_a2yv$Gsb- zLEM`#ZP2(^V+J1gO3Z|~_r#1r<K7)}@VIxyT!?!k<_;S7-k6Way(bnx+*`3=(6~2Z zIUe_Vtbn-p$MOMoFQR;|ScU9fr1}Qj9+6lLE}Jt}lU+80+9L`|)NYR;++VO7pZf*Y zfZd<4W{|lbQ9fs^L3Te{eG;)2l3qC04sd!wxHn-f9`^>UgSeMr-2l56kv<~UA-fkT zeZ$*RKh}ZMh{t-e(+H?N6>$RA{<v|R^7e<v@iq>B&}bdF8w~27dNdyU;D_GL26c_V zIKZR3O+ti$p_>DA2INEVPz;X>Xdu(0ci#zP&<4$ipl!9_Mqb3>2`K6>zHENT*m>yU ztL8_Htq1sBE_Cv!bcU#~c=YaLFu~9YwhuNQ=FvS(0(@*{jS9y;(7|3{3qa#ooy{i# z7#Ki<Se?yh0zlpXk6>*G0Qq63ECT~%dokA0JkWR^Wc<;id)f^?kY<qiLJSO$Z2%DS zD*|EW*93x;RD(_$P6z~>FG#?AkM1@FNl<Wg_Gtt$Fn~t`b%GcenjbK7p6F}?i6O>G z1%g0kg0@c|fQ=9!`Tv9@$a0YTKzoCb{C@*vFnApEP7p{5?BwDDVB^4t&wzJWV+#V0 z?zRP@5chQiL*3UC40j($%%gW7c!aO<SV1s``#iea0;D1OEkdCBZ9?GsL1Kt=q!mIi z^dtF?LlBe%p6>%~nS=O_MWwU(1;|tv6_(ECHz5oR{M%hrIMO<sKS0?$X`RhqLcpGe z3;`a#0JdYNK8|=HXy1ZRaAdP|HZKW9*tY`82HCeJ6l@=KyJ|-$$WJ)!^XP7yAr1+j zh%jjQ#Du}a2PEdvyAL|N=nw`n3nl(Ny4x;5^>c(n_49<o^@GGbdiO!L_8$9yReuLG zes;k0??LDXiFv?>9~XpU*zeQb20Eh~Jf58q0XoXogQc@CCxU^Y`5`0c!OlLAphxdM z4bbsljmH8aASS?)?}Px@w1`J{-wAaF22g(BW?<-MFlOvzQSs=W1`+I2010}saC@<I z`lzs!uyUU0WKrp6QR!@3QNqB`*|(+y6zKabK&R9-9-C0Yz~Bj*9swtAaAJYZkRaQ~ zpaHfo0%SDYK8Ro+SP-jy3KbyxR4Tysfe!KWXgtPI0kzNYB(h}_CZPDwLmO=01Qh!q zf_-2?to9W&f$S@30^7Gk3ACl;SVR*s_U(Y!cLK#eh+rRB5UYI`nnCtm0qtGs-IoA~ zwjIq-`@n}zA$t)yJVASjJ-XW%c#z`{BG?BO#A=^GE66^RR<QpVAn_;B3bPM1m4a*^ z=)7ackl+BwIb!=j+v{LskDkr@J<J&x82DSPIT#omkF_rV@jScR4U|ELxw3q}=-a#e zhY$lpD+hmz4m<etJh0K=OA=ZSl&~LnC;(M;5W&vF9>+mE1`pV=uZAZfdstz!M4);M zJWk=+2{{$cw|BV%KY8YYc9|l~-NghtBOkP{3d!gIA@Ym{&8;9A4GK|oS9?ItI)!)~ zbY%pQp#iFBkc<X-0^R5ZJmk3=REHxO4XUTnjlMxUqZ9bZb2X@CfaGdW?T_y28MHI{ zgaB?=?-zhIT0B50+X0kw7_g;qQ1y!B1yDta?ga}$`q&de4gY9^&P##L>l%LBrG+JD zWk})n4<t{3f{gUSU;&NHy+I>$1*B;c4i6+~V{QWE2xLeUkx*=bj(0>PanOXGPv>)_ zlJ*6eg}5YYQUlGIp=X^08S;XH2%{T#$uk<VS_881!SLHIP??V6>X&aIbARAq_Uzpc zs^Va5P@M#0gDMdi8&vAU*q~w>#s-zEFgB<lgt0*-7mN)mU0`fb2>@e*aw&`r%2_Zr zC^x{^pcD*agHj!g4N566HYj#sY*2K-*r4!(u)EzfJX#Nw2zYcmIDi=J#~ma<H8QkK z!RXP;I`{kk|DK)gpautQniF)r5%~TPSRY~^Xoo&*9fVKs<`w4P+e0pZnk6Mk9^K79 zK<9>oCojP%Qklb}`6pv3uSa+D2N4E_=86=C5-pF$!ywLa78Ov54L{r+)D{74)OIyI z3AX`!@rnTA>~@dd-8)1W7@%5xAcxU_QoG|0s8*lOe_$VbG#+k1s6<iX(Rm-H>J#El z6?^P=svubo?wwDV06X8R^WQ$up#m_sdNl720Nn*tl5wng1&9GUl1UZXOl##R5%=ib z4T=w_+o9fmt?JR;9H4|yrUg~z(Y-lC8SYcVOW<ZI+?QZ4dg6*yhyy@zTKNC}e~->) zki#Hx8h04k{h*@@klcR*<m{4|W6cJjONczWcL#vRAG#-l9Afwle9$V`;?@J;81>+V z$7t_v5Qj21gDeHPxfbGPkYnI(h6X*DLJEJ-83#!2PXIX^<o+EXhDY~q3(&Pj-IE(+ zLDw_w1hv1A-EY8y<bDvxqkA(*DKv%*FM)?rhz@g*rAY1vIfjt?K?f-yx&H;o*&z2j zfG%zF=$;I5|Ly|NeM#Ri+@FE$eh|l_doxG}neGQ!isXKfV+gq)bXo(F`wKwM2D$$P zh~d#a8RT$}?%kkaEJIB9gRX~ymI%GOK^%|n%^)3Qx*udIlKVl9!Rr1{P+_J4y7ZyD z4V1va<9eN^F23qKdhu=ZQ}8g|i_Uk=-xyo3mGF5ScL5hu3@@KBfX5&@4>f;cY&})N z2`=Rf54>jTJlJ`P^H7NjNGa%CEC!G6$t!pm820`D|DUnjO7XjL5ld%{ib7|Jic0H& zQkK?}r7<A2pvn}a7UX9%wGy2rDl)AHN=!g2`vgEYw<-Aax~NF(167(kL4+fige2#; zrK%p?E-C^Zoh~XIukBk;mav2P3a?o~lmtjvp!IFZZD_X-WF`m5I03B2K}P_4!Gl6P zoy|49pnmM`9iXzH@o+*fXqAeK3Wwn(@Cq8F<#HO)v7l9hNcj^~CL^VfAE0CbN*@8B zqYOQ|cmDvH***CKB!7Z>8W0ab%9jtw<qL@8(Y+a@6p=naoe`q*C&*Hy^Z{}V*7Sko zeozMk$^8u=XM@~-1H|y?o(ysSZUa7W`GW3#4L+pu1;p{_-VD+~ru#vbBDo*r7((s` zg%6VZIcz{X1!ImiCx93p-IGBM2i=5(-TfDk-4Eh;bZ-XfAk+OIOOf0Uatv1YgR&=R zeJp64l@~OQ*d4%f@Fh#<g@Z3ZS1`3+;&(X&%Y<Sc-OU+%AVr-%DxmTCP8SuP*E*ns z!hE`$L5}t4o(xg|PV@UfF|-pzID$!VVuK`i&`}JK0d<`|aB{yO0~(e(EYOEY?vT(# z9#Zyz*zvjnTroijr1S%_3Mu?2fPx*=K6n9QfZ9YVh>9Q7J^-~DkF%(NlO1GrDY$)L zp@>ihY9D}<d30|E-IM`UZFmVh97}Xw0672@7tr<r$YJofKytq@xb+XYd(WeJw*=_y zKT!HF05Lqech3+24gXCBImGbWPEa=+9Hh|p!2|)M^bg`t=4OzkAU8wX2O!75-3$$S zFoooP&~-LQ;lBXnY*6_B05Lqen-kF5XP|a8G<74l&sLy?KS&uUFDoIp&p@R)(cuqr z0LU-U@CP{z?iVEYgRZ_oa=!-XjzduRH-H!(-Mc}JUXSj{ANaxL52#u|4*w1ONZ}9S zfXYvPvfT`_6y#=T_=6k+cQZKPp+u--7$^%HpwzISEX)kb!pzMN7*Vn?m#g8oy`av* zYi`50tp^<Vryh0eeCN{nf%64_%P~*_gzhr%=$;I^P|l<CIilJEdl%G#MidlGAX}Kw zZ2=V&pwQ~(==4#M0L@9csK~rFZ#__=<<UJEBm+I25>!xNuV!C!A}a0UE-Ii?8X3BM zRAhF5s(Ef76_%YKMk!yni;4s@i;60UXLz#HMMb02M@6UgZ3(Aq>o@)uR`BBQW@yU; z6fUSPhRq(pia3+wEGnSj0XH{1dYeIRZLU#aVJJ0kJ;~o91U8NV>@NX`zeHX;f{qRQ z017+M8gt0irl7e8h;EN=0VL02Zv=q63T?}DgF?MKL`4MR(Lk16AfJ`;f?6ERj1X^L z>U2?2=nPR&ac%upq6P{>4p5^-1Jue;KtvZ(qvf?|>sv@OMFY}IQ2;elI3T6*jXqf8 z;|`=W{vZu5jSnD|#-RL$)c7!nc8rTX+|8r%^2Wda|3S_Nm7)wUjsO4u4^5a*3Mqey zfr1En7p6z^?hT-91u7pn>_HNk<pZd1jir1jKr0_W%0T6VG}(C#<N#1!gO(2<hr#n2 zlKVkdQ6ZHN79eMX%7+Oc2B_u(Rbt({7sy~OA7;oPl@A~esQ)HIwwpngg4_%(A3%<Q zyBQkvU<$F`*rWN4hiB&(kIujQK(Xo53Au*Vvw4ro0gw;)TRwoU(mmFqA_2N!+OxYw zML`Hu*@M#gspd!goyVG=@i%{B<^06oa+C=)LC4(ufVuf2W9Omoms}0swqA7PpK`4A z0RPm(E()Iw542w7-*%h76?Bd?D20HA9~eBlw}4Ic0qvmy&r(1yJb~Q03`&sQJ}NxT z512u%>*j~dogm%^#?DjUFSTAkbOSgI544`-pL(G4pliohxap8we6dup^+1UT$O;}% ze*%=B9gnkvsO}II4v_hs7hDuyaGoyV<-Eap(M9p4;%UVbubB*QgN{o5eifYg_y7O@ z|G#7FQT|p_P^Rz9QPF@0i9ojpOY<Y<=7-G9pBOt&e7^uLQe6%Iw;tL78s!Dwn_3EY z<Dt%j%^w+CPnEJdb{+?vQ1{N2^B;f9d{DCQtx*vGxgVT=V4;Hu7!Oca23+of3V?1O z6%kO7KV)u(1quI@L*Rf=xBxfB;83Yp%YhPEk6srQ5l}u?IPRh%0P;SlgQ9R8TtapG zs0ehssE8<@b>uu=!tcWQm-B>+;s-~?<BCULGrJo82m9Zp^T+oKiZ50$mT-doWO(2; zm*D}|*8iZOKjhJ!qoUDyk@IHfG0uA>f}9^+IA1G%{(gz`g5r(u7dnr({^W0&1M=i? z7Zp&FV}J)BsPWkRkiYo>Kd6G}bWxE3m0}jK0ira1jT2x`?*pYoaA?4WTR-u~fyNsd zKJg2>s2F(k#;6$h^oFQNc=Uq0_YC_%-rNU{0}$c312m-sjuYR`hglB6Sw&eajzL*v zVADOCj~IA#yW$$FgJc9yCVTz|G+5UH&L<wtM?65MTR@NSgXFIeP#v5Ase=>bpqZxm zA$#*jrsfCip#0H#k$=iTNQLX5aMr=#Wr?guH`phj7!p8YbG!r{{tAjsaF~Hwy)q08 z;OeehK=G8a2TNy&ibSW6icB}h!Iu)C6)!$28lX&i5W)qmd^rKC1wESIa6rQalo=d+ zdVN$3z|C@SWI`q*K{v4c&tilHhyXY=eR@k&IQD^NK6Zf!a2|!U;=y6*)A`-E^GBAX zV-Q>$=tfu>kjD?c6ofcQ0qP_W7v>}tG$&broTLG+vhX@7MI`{kHJ}C<)HTmRt`Yjb z`GyR1T@7ec9VkUPfOYKz`N0uP`gDHs?R)@swL{BWP~{0~^)Z0dLi`I>1ySqSc>t{N zwV+2g2Y8OR6Wr|w^?ktm`z$8FDo&dT3=BIMe*FL6-R&@efkE-$uK%EmH%n9m6t8if z>)Z?yRBm>ez`&p!q9Ve1sB<!i4H{pCRJ95d5FH=T1QSwKn*d5|agOoe-ag8BC@27s z>LU+OUIEpo3qTBy?&b?<?QPIlIJ5_Y+}`d$8xI93^XT4u08*cV$|b`~J3)>jy1fl@ z04Uo)>r;@!;B^yHeJTYi8W8P)3m|8gI3H`)a0E$oHw*BC(i3<Y7&Ie!^zK#=U|`@p zQNjszA?W^K*rmcCH-aM!&rmJMY>?BYL54j+cEX(ot}mhM13a4FfCjBRJOAyI2Dt{| z%m|R{_*<fx7#NN<Zvb&Tx|^XDWdKX(HO_OOYT*&6;^OEGQQ>j;c8r<7Wj_N0L+82X zZ;YJR_*;HJIZPnVcF^wFkIbAG`CHjRJN-a47O3a~50rt7dH^xXMTG~HN+n1wBf%M3 zz^69`v}2P%8R^-1Gt0p-D61%o$uStIkbId7ssg)rSAbSf!B#V%^gsnYx|<6GAuSyK z7CVsg-rXPpNQMVT(MwK{aCftY0H}k_aquM<q@WP!cHrqe2;ssC3K57tuzNvemjI}s zkN|HCCQwjFfY*2J0(Fm}#TKZPMJ_0`|8E940i0C81qH-o&_1;f^dKZ?w;JRJ@UUsF z2eOAsR1{v@dUQ_)uPH;(4>lHEGw5VkkVziho54Do-*D^#MKbC*LY4qnGssPNk}xRE zfs%0DU+`=LC_Q>KAJKpo6QD6ca6*O>knW*`N9SiyT9!dg%MU=wm%k;F5tNoKoIsMG zrUJ-i9^IQki5isT1i%$AI55HP>kff59Xc;U+Ghfshal}Wk#C2XODZ}~ff_|2DgvD@ zprWoM9VEci2^ZjR0Ubfu{1KF_A!-itw_XF;-8~sH#IbvWAh-z)ibJrCtp`d$32X&C zfh`9q@7)a&@aWzQ()GI9qZ_ObI_wIKO_+AjoES(oI4W~IAX?E1LkE}%-IF8qFdTql z0%%+aWSmF$W{^^-L8OigfkGS<lMSFgRA)0NHawb-NJKlvAz~3x9(h3H5VVp7so2i| zMH_#MFt|KA0OBAu9l&u2%0Cj|X<6`UHrR3}P|q3EqXsolTvQ~QAF_km6yUC<kBSO3 z7(wx`un*LT0_z0_CunD<r$_hh7kEp92k^)OFP!Y%4HAF`6twzz=?N+jAms@zi=1E< zbx)olhY=~DQ~@#<6s`O%{9xn27J*WQ90S8k&@l=4y~5wp3{Fh&b^tc-+Izs$Cdk)l zi3`;gonYhOt`J~gcpXc?39X<*T@j@T7Aruh59ZMlQ0fD5prr{kP)SXFptJ%?ea9f> z2q+!F+P0vXX#7qtHAPNkpqSYO8nE37x_%gzz#39ir0W|}ROIVHle2OR46noS8_M76 z3#yi3y#=WE2p9}1PrE%h4!-1v)Fv9x+62Uf)h0U7Q5|?=I0e*e@KMp&2XZu8g#zgo z`*hl<fU07!hk9#NEHJ7SkXCTx8P=eLrc`L+fZZ?-ExSPR1xclupxlm@9ZFOzUfTQv zjh%q<JE$`O8j}Z=*q~`tq}=`il)?F1PBVZ?Y!7EpQtNKkKx*g(fR08$3_HRn?ZL%2 zyaflFk@x7`4O)Q#9c3}R1ip9yS=H-!kM3p(q!wZb)O?Tb&7h7BLMM2A18BI6!4<lQ z6>2yr8s(A4IY0{t;dX#a6g;&Z$d8~@$_Gjroy{QsgX%}{A_Y(>iPWA1MHNy|Re(YV zG(K|y#PI0e4Qfw%bWa93+wdErID^*J9LVFhAPx~e#N%#|r66}h$7eu}L2YP4>t}HP z5ftID<|b$y5Xlz|F5nfZ-&sHr9s%NbU~3t3p5Sl24BE2N-Mm1Vfx+<`17iuh<2MHu z#!_9723b&(iv=`_qAc+35Mv29c#OmF0O!HiBJiOU&V#Snq2h>f(eIZ))34AB^jfI( zKnb4*$~b4Yh>8p7UZK`YC4#Q4Crf#nA2Axf?Y!_3JiY;K8(VZ|urxofZ~pGl{ItIF z-S=y*hL>8ugSy0T`KKOGIAQp<^*jHzA0@1=hL>KOJ9eA``CuogAyUHb2pVm9$avgE z1+)Tz0W{#`qr%~+c&hb(36~@1FU9kYil<&P8U6<iR)F2_(t4qkrSos+&(0H^7dqd& zaGoy_=KS&fk|XCuN5!AtFLIuBQ9S#a$?$FG%kLKz4}u2`96<xE|Gyn%<Zs;uUfX}W z^{pfS)Ps(o4#)#WkM3?zz;<(fzX<BiE1m*{A}3fUcvkwjW9NU+NQ$#ZclQQ)28M3U z?n0L4XN=#kc7FPPN%0lfUEdBdI)YoGppl>togWp?yKo*T;p05+!g<g|@u%Vm#q+P3 z3=g<=obEjM{bK7+#CR8I+WWi<=l#w@E}XYYBsj0Tbl&@Z&4u%x;<e_FjGQMFKYYL7 z*m>ytbr;3!4&RP5LiPlKDpk@u43MUG4(gZ+Xst5j79Fq$z&(Y~tRiss^=Li<I*^=0 z7BrU!Zt5Fef=ED8BPcPlfzx6zqOat@0vP~m{>Tan(AJA3ocln7H-?v9a~fU(jUpcE zyw-WW`8(qVM$q8L|JHw{(uUt!FY!-3P$J~eag5`@_iLRWU$aA{O&IyN9cVpRl0}B6 zS)k(*IjDUkf__H#9w@7Mkeby%z6bl<5j5ogzx7mU9W3{CZ?-T6UBzc(%D~Y4jj{9m z_v@|yO4vZT?X^VfNob}ld4JqRg#{FL;6&DW?)x=m6BUPV#~4evz#^`OCtvfp8lG%D z2};l|ohLibaUOH&JkNQ&M40m*=OM*k%^w+EI8Q2G{C?4~^ThXSE{exM+9^mx9I!+r z0IhQ&Ipv$-B?5`a0V5INDP%$AA*hgj^b6b-0+p4Jt`OGo5=BsLiRj38fC^Jk{qO+9 z@aWzR?(cL@=8y+BGeN7~!HF1JKS&5cdbi-#7l=byy#}%r<aB8L0CEi0`T?naQ$lsW zfGcQyLB_G>3=qSkyV(P6ejBu&5?Vh)8{FXe?FkCty5Rf8Rt~UVK*~J2H#?xz(V!MT z(RDP)0U*CX$9q5ygZl-^{mQ8Bp8;|<DEvQw7@&nqpw*1slR*wK{03fuhaCO_h!u^! zyFnbv+zhf5<Ys92gB$~QGqh?3Q{eg;e148c^BW6r7wrE&&^c`II=Z`A!Ht2z12hSk z$Iig;|G~>>b_Rx*VIU$9MEHORcM#zOB5Xl~1&A;P5qcm(6GW(h2zd}81tLU2gaA9J z-md^%?g=W_G%!Ygx(ir3OH>4oyQqMs-x+rO`~UwxIMZ_%@RW)-S8y<t@-$j3Xk%pH zZ<FL;U;x*0t*7`~4zYoT95?@PWne&V%7gY^f^3q&?DR`?yQs*(I{gx`PQT2zL(Kdw zpoSx;pU;Ts=a&eh_3;BhM_qMygR)sSW2cLXM7JksGnNc!Jr{oqD5yFQHGhJP6!@r! zaGv6CIS)F+>QM71X0WkP!B<d00c61d&}mVJnm-943vPu93L^`G&X59G1e!q==!BYS z2s#YvQ1d4xWR(k`g38E(yP<*x$byQXvzQJwe=<TAY=a6KBMUBs3I-qxvU4*qfSeSB zELaE?3`Q30feI!d3%-R4CLs%k^FYi@MiwlB3W7!^;eNjh734xz>BI}s&W$V>3l)?= z7TgCFltLC%<%4LKMi#V%3Thw=?uH6#Aq%SUL$qrn3r>X!S|AI8R$739&I(yjOaP+Y z8d<ObDj0z*I1MTog)I0TDj1C{m?j7@GXq($1}d0^EcggC^6?=XG+6*nUMKil{DnYE zcbYpu8=E~?Kt(Tqiz72Q1A^8~gC+t%JE(e9K`maxOFq!U1wpLuFb*hJV&;DWGh_lD zy*Vl<buiY+F<5ghi~T=%$Ow7tcP0}9!^;j3(F`K$Ktv^oC;<`qAR-Gyq=JY95CIw+ ze;Ed11%e145aA9YoIr#vh_C<=#vnouL}-Et6%Zj0BBVfsD2NaM5!@hx4MZ@42+(<w zFTXP~FueQ(BHn@s&<59+k3p<^AmS#7xB?>1gNRcg;wXqX03vpSh;1NZBZyc7B9?=Q zMId4>h?oH)CWDAR5YY)DT0lfSh^PV)r68gJL}Y`AG!T&pB4R*9IEVn98T--~#PR?U z&L9FbHvZBQ#4-U9`XE9JM5uxY1rQ+(BE&$1Ac)`r5uoYomrNkmUj_z-m!K(xm!Cnb zcOU|^9TvP~_T_yL_ZEn_3L-9m2+(N3%VQwcK@hPAL~I8Un?S@`5CNK`f4LaMng=34 zmrT3_4b#2^jZD1+-5K_>6(j*Vx#@M?>zdb9uPa`cy)JoO^t#}6-s_y#S+6r*r@c;j zo%A~4b=>Qi*HNz{UWdI7c^&jR;I-dtpVwZmJzl%Lc6sge+Tpd`Yn#_ruPt7iy*7Dm z^xELH-fNxLTCX);tG!lvt@K*qwcKl&*HW(~UW>gJc`fu>;5FZCp4VKjIbO59W_iu@ zn!%%cw}%^aqR+G29sBg{v1U-E398}u{s1=)!IgCL5ev{bIdty!_14#$UvGT9-Urf2 z_32GfQ2_5U*ag~Z02wg>U%CnI^7wQ<&tm?+88nIjZkK?DA;1&rpb1<Kq>(KSuo>XX z5y1*U<E7djy_+RAFfi<w*ucfG3v_KZY>=cII>*5aTHEu|0el=Gd@K^1QCWnIV)*y} z|I4r7lM@Nq8u#b_|Cgs=4FkiIt*7`~pML-U|K%d^Fcm(R@VA2QVgnrm3i4RQdR!j! z`uqR?K9KawbcmBY@T-6M4W`~4qMks&nS*@G4pxfKxuwyBox1lA%<4Vhb2ABrp7ihk z|6f9m*u-Zwe`_14?MpBTyaO2rY9A7IY27cFONEGX>FHnp|G#_$YJnlf+p(oCP#_Tq z?<*izP6r#cnLt!J!^1NNtR8<LO@IUv0W<S{!+b6QHj|JWq~LCN0lGQ8myr5raPxP7 z)#Gz>X&B+mm<I~^46spzl2ailWNpDp@!3jjata37{0?+7C_zt$e})C>VTgJHX@Kv? z|Nk#1gO%cQDKU9Y<|oW*53qTJ0_i-cbON8JiZ>+_TRLgNtv?AmNS0toeS?=yGa>2; zgj6`lxtU<41Vf5|QM*A#$$^a`l!)VgzykF%Xi))iAw|IYOpx{S!Nw7?-V<&;sF6sx zG~4_g=3E!B`2<6XKpEi*HxG2FFyTC!4e}cJplAXiMO-=l>g)giFG1}?!ajfT9_Di| z@a!d_)ISqsv=mq=-asOvU^)mg>O7>(B@p$MU;h7pxdf~fpRN3@kn_<AC6C=-pq_pm zK{$liVHxf<5sj!l@BaVa2Wn&abbj~fJmlGVBa0yma@G>4z4r3g*Z=<sG}U4WJ828t z{WAzF{{9x~*_RohIV`*htTchJdBrfxUrK<@BNW(E;r73vT9Zy1?uIE~GYPrD5w1Q3 zqMkr{oBi?s|Cc6UrTEetF~KDRui0LLCb|ih@1<{G(X|t-9-saEt&QM3K`7I1{Ph3- z%T%y&gp_iElv)v`^b<%aKUgU~M}q5M0^w~7GU~`5P~IREl~tc$;WHDg9-r03*1=ak z!pw99n@K2;=D+&?|D`BIDS?_`7rcCZ^&8|pe9k2%q$)oA|Nn9p*eF6F#qkQ}t9-C} zyjByD@qfU*A`do?ko_euVfMcV&5z(Ocnk;^JQg2d=FO*yc?&^hHMn2sPuM&n%Ki!O z|Nnpa|0ls%Cc-FpkWpKxV$_9q|Np<tq>51%Afx1{Vw4TYsLP;{5&X%5h_GUM`~UyT z4yqV6<<0;9FKww}ls3qyPu~e91|rh^)7P+cznm)O6~WB|^$iHLMu>3VJa~=8PS|}! zM5qlY=(c|&7<5D!rS$s$|Cc#bG0F>Mlp<A(N(32ojc`kl2oGkx{Qv)DH&u+<05Zyf zDn=!N>c_8N2*w~0i7OmliLIiFc`YwsnJJ1Y=JmnN<D!arX>jv)eWphEnLda4H;b@& zL?pP&FaH03DMb~dsz64a|3uK2M7V0nv;Y5Jwh%Uohy-^99$=PKF|QA9-ph{!y-I}p zPCSK0=uE1Zcj+0-JWr~a*A92z&kxk_uNmBZE2(0hB;0+GR58yHZXPF9%wvF?xAQ$U z!Y}m+EPgYoV&1*SF!Lm-Vjef#eJ9^h!@sSMVD77=ig{Du=4n#Jyjk$@yZM$H{=N1P z=HE`LnD^`f%sfk~nD-xU-pe=C@UIO#K4wzIydUuJ^Q4M->)_`7d`%7i-n|ctkCjw0 zuN@vAkyJ77=slSGIH_V@8Qgt4Ur{6cnBeZqq>6c3aPuSyn@2>`rQqKG|1VFzB<NKl zj1mJGRY%w;B3c=n@4#Z!m@4ME!p(d9f}mH4a32>u7A8~0ynT0J{&l8`dCTDDeSS{R zzeGfB?d|{nUoNJKQL1<U|9=@l*eD`m;RZZbc?p|GgfE?L{r~@R?=xxySQ99~il}1L z+nfLYzf`A+Q4Ang-FZsTgG7XV*lk#fok$h)p4@<$2Wrt$J}PwN7R<blPpIMFZ8u@& zEhKCn5ot{al-2^NV$@ks0%4<yQB@$Lc0Z;@fQ4L#1y})L^N0wr+c*CIf2ly&C?e8s z4?L}1eMHc!L^w_N+W-GAK@EQ@B-^X7P_rWJG$Oppe+_2dtA_-=N`!fH;O?76*gPVF zZugb{|6h7h#i&!~LGvFE2>Ox;R|SJ2bPH9CdUX{v|3MX_R6$`SM-`(^U;h99<>mX- z2rE{Q2Ro=@)FqGyZ3!DiL^`d$3`_T)?h*7Q5$1uy9<qpY5mn5)2zOr)Ve^Pcu?sH# z|Nj!y*C0>?5n)v1rT_n5Zof+~)QB+Z$OX{+2UUzZdHVnVmx@#|svhLQYj>#O!6s0! zcM~>>h!nQ@A}qx^P{q8}7hvXnxJ}TjM7U2B?!E<7G4JttnEL{#VqPNLJWwl_@@x=% z^8f#r8*UK{IwG=x49KWdsu=YdRLMyZHj0P{odFN9^EV0lk_hu|pM^zeJyp!Ra1Lgk zK2^*MJp(iE{tasQH~u)xynd>f*93Q;Jyp!R0*{aP*Qw#(Jh*xDsbU@j+&q7(nD^%t zEI$5UqlSMK;PJ7ZD(3w;4Rc>SRm^(;HxJavCs5!Kk$+o{z}&b0DmB7y`$?F2`BX8F z1@2#Ys+hM2?!NO^sNvtD6EOeQQ^mXw@bX2UD&`%ByYK#GYWOz@9)A5)G4JCsSoqn4 z%<D$oE-G~L|9_v}%_!SNbz$2@-+?!p8D8?~{O;2U;lMYwm8b}SH>Ba%)b<^7Qyc66 zrXBy889;|_3n(6J{>Y^4q9Ou1tD^N{sdVcBkQ&gD6D9n|T~uU1EbyT)uUSBw#6cU% zK?g@NfQ~Uy_EC}ec95}z3v{#`Na1VY)&nK{$cM<ds0e_LkP&bJ9enNDdBK(Q1b<5p z1L)`r@BtW;!3SV`WbC~5{aWis{wW7Qr+^$(I0&)_bY2dF!HH7AmXjr-pe=l$W1B$R zC>b1~=VNr&s3>%YsHk*a<NR0R?9x5^jtK*UOXuD1R}Bv+ufAczz`#G{fNSe*{;3BQ z4)Sk1sd$|8REe_Tf!4SDQx0%mS3IWpN%8w@5y$3-jE>EZ7++g7KW7BdOoo>_FMPiM zKD)#4Wa|&m8IKI5ES=XouQ@7ybmaV1BIwF_k@KI6;xR|XPmYQoT{=MrY_y&%vGwQ$ z+Y31)1H1tcd_D&9-dxamO5lBmpuM>Yp;_hND25!hQlmn~MqtEYuo!lMuIz%_<=_~W zRRl{ES!I}8f&*T*9RnRz1wJ1Ec3u_e0D91QRiGWr@S_o$cdr1QT)^ML!Ua0UfWaNK zTDrR#bjCn8XdARL2Y>hheofFlt<B)GCA%ksj{fTwQWoeGQSs=W3=wPw3p%iHJF$E_ z2s$}{g}-Gp3j;&zff7G%78TH0>)qWTrQLxn+=9v>Dxec`SwIKz2r@8q7qW00Dqia> zQ2~j-RVf|<+W;}zgN2(z@nR>Bibprp9o=9nJvo#;Ku6efctFhe=!U3w;Nf=U0bPDk z62=WW3D!jgrlLELhdYo%IYfmAboM9Q2|V0|9AG!_Kuv<EQ#{le0@4aG)q{uI1LO=J zkkDk%A#k8A-vZ!+#}J2Yf<w$jMFHj(24xrUQHyW^2NrHnPIXaHDRJQj9Te}Pq5wKL znj3WDbBKzH;wjM4@gCiq!CtIm;kHw}-dUrfqIj^=2Vrag3%3ARCBj?|Wfv6*&<Xo6 zs~vc_K_^1EsOXfqaf43YcTv#*ohZl+I`lt8MF*^32W}G&cO3`VDjl#@8Zcu5c(?;N zz-l!>85(?!1*lK~6>i-=Dhk~$Dk|JODxE$m0^FdBnq5>xN)$O=R0O(NRK8yT34w|x zkjn2DxqYA>5$OgUitM7I!|kI2ib4r)&;`~mDl#QXoS>s8TvRx~dU=#xRAfME!FnMs zlkw<=1b-*wvS@694@qw#D%~zBEXsnNA)t^0i*Y-$bb`X(5*GIG7>A@y70~HD+&u7T z0H^WpA{K5V#e<3;AyEJ}s5?Z319VA>av<om1`Vh&kc6jGVgn0ss5m4+DTk=&fX+7u zc^Vv|I?zniUBts(!~wMd9L%6R&j3493lw<ZVD?cF=;Tp>CLop)MNSrw4+X$MC8F%2 z!U9qW4k}1`0r54uA;AnflbQpXB6z^T4068&Sg(w-iwX}~F!Oly?mnOgx~TU#^z1_T zv2>8|N2Gk%k%G{~F96M_-3-Popu6GWd~n(ag}+D%3n+!a)H!e%L-MHvTm+PML3vmP ztWpAOGPocDXA1^nP_9Do!3K+fuAwhs=0p+(nG4Dj9I(U;&l5agb2&VEcmL1>-8=s5 z<>z5tWPt+^bUrqujP&U429;pl49cJa8x%pHaD|CFut2hjNJ$VkD4@amAR3@WxJYM+ ziU_>?gcac+5wNi!Rp8PSW^y;Q4EIriiNNjRP<G@19XA7wBDgrT5SIZL;-E;BfJwm$ zahc8#6&biA;DtCy2;vG*VGni&C|!X(*o`Q}B|wE4=%gS}F4KV)X5cgTA%&R&sN@0{ z6`;aQ0l6>(l@S8qTqXi8DnWek={ul&-RYyE0WHjQz_|>R{}6>4DE~`<^~!+t>VP8# zoMb_jAIyuK${gVO4qViDbT@<j1B(_G0`)3bG5&fLtOOb-$n`2%980|l7AK)z1*<QF zM+uI46|4#oB^;nAL9JhSK;;_?w~vYfw0;4VC$Rd(MMVKzdZ~ab7#<aHu(E)I6;!`~ ziZ>o^AMlkkp!!7zbddo#N<fjN0oJMmtzS66dU?QlWuOJ0M{kLW0JP`>x9+e<73^wx zSknaTDMVC3%Om8-b>J`tCwWk*gdz@2^3bvgY#li3K^h=Pr71Wqg9|;>(i9>ND)o@F zR5!TPLn=*Sr5?HmJ#k;632GdIj_bGzS^?kL3~D%n?q`4>^a*ZlPM82XDid@zsz-Ml zxEaX-zOM}RQXkNHK;TOQ!5(B$d2Q2rz^A+UgdPJ!i6U&A0J&~=MAY!F1w6YMJi9$O zJV1Axd33U<bhD^*Hh+K|_0Q7T{AB_I1OIjx6^^vd<{wZtPg-a5p9!GT4|f~rgYV9{ zF#%MsJ%C0o(sBL*(V$aHp`Mw5%RkJZYn3oAZ<6$YcmnA<0uGN(9+lTxtp`fVJ-R1@ zeCX5N%m8u&4*!9DvtlCn;sTb=<~0)$zS#g}gM71PBG@+>Ad?yoPnd|?Hy#lCUZz8? z{(+u1?%Daxqr2^bG3eN%eH<nX4Bg`0<t)kq-4QIVh6g%7a^5WA<2=PJ!ELU1Pw}JT zr`Jq|CqWmfC|&@c#=C;Cl*bixE~z8`)B`S^hdM8S4$3qDWnXZ=(zCm*!+?PSe8U9f z*k2LP?mn;(=l6@82O(ktuB``3G<+dyL2YRc&^1W{;0_!3j5J3u3C?=2Ra!5V@PN*9 zfnMw=0<#0udIa590&4Gr?x$&f!06c7mM{q%ggg*l$|ME`&)$6t^cfgD8;^NR0-fvx zzO@l_5%M>%1sErLL(%}<!YjiNBfP*u*uo1WK~8vq^nvfj!VE8g$;ja)G8s9%e!#*D z9QXKxjBerOVT2K0U?FVb1(F~qyg>SJgx3L-@H&DLUMnU;(--)RzCjXR8pasm1s1{< zULXl_!V9DiM|c%XK~7&KQ;^eF#1vR~fsVDrA6}629z%jX8sC7<x`JHk1FvZ=zU;hk z@df&IcU-Q9|G_svAz!NZ-lg*g=u$n<(OjT%%LCK`^yuymFkoQV@gH=xiJ;=4Zck8a zB18pL+4z7|f$l(I@aXO~0OeLB1rD7)DlQ-epi84c3IssO6G?$Zr;myaNCD{51dxIc zPzB14pwSS6P8Ssu(A7R3pqoG(K;vW<pi6!VKzGI&fIC`{D>FddLdTt;gO<SqLOz|} zvv?eXvUnVWvv?dsU?rIWDE1P-+Q3z(Pv<AF6y)B$|Bx$AK&}Db+lR~7;H&yTs=#A- zSbPm?lYuY#h2DhJjqYnums1900=QQ2=<Y`KHTZf7kgqjBzE%KvSOVno0FbXC11ng4 zjpXGpu)jbp5!crLB`O}B&p~xa0?2>>g#VEn5X!A@Ar(o2N4Jkk#%nH6r4j&QM}VT4 z1Ky@km<mp^ES=3NQxUbW29yn|g>|NaYhevhaGQc-D%M)K@dzlPf{ryqoO%nXTR<ed zy>y%f+!yia-Ud2=)1&h_;zkIt7rP-XVbC=YJSvboAy}G!vXqLo9w-&^fShy;&wH=6 zJ<#uQ;Zf-h-~lyTxZv3XG*|-~eF1g-LEZ1>j%i>Y^K>@%OauGu0>ozp)1Vm?baOmv zV+l6CsNmW8-J`n=<aX%IIH23Z;D<+pP7()QJg0El;AROIxE^^4I>`={HlP>JZ3pFI zP!iw(7n|VY-F!N~Lp<*Sxfc#{j|m6lUK1YBap|B-=fK7p9(awo(2alFX}HOq$3T}? ze=3DuI#;5Cbm<%$XuP|-1Tv7*`HAxs=fM&#&Qss7aee?_IClUvK(2TZG(0Zo0UkgH zX#gE4C-6GZv%7zVJ_AFy;K5hS&5uAQtAbBVJq6*mp5%AA*m?leLoLaJHEKb($$^rl z1lBeV=n6S-d!^lCIyfRkAUvDtpwe>x4RGt_xWaTuWC-knm6rd&VFVg_hP1{(30mT1 z7`VX)y?<1}qw_1#(F1OEy_^Ob42Gx5t)STP?0kkLZeR(Mxf7HyK^FtEK;|J}m(rbl z&1rZNbYl3y&Qtu`4#Q5*2H&^T`l(c)^<;@KDB@Y569SIMA@L%j(jBA1(s_~dAm@z| zF3yABFL7R0JPf)Au=B?E3yLRJFqVj*#tO&F_Y4dSyNnEjLXD@c0G-X<yT%lBv(xu$ zh6h?df$#JI58{{V@A7wJU@)G#0i<Z}7LcNU->;!4;W50#d7gjjA#hxQPdWz&OzXc= zmd*>E7eUA3mZ*DlPX#9eql535nx8RtmZ(T{2eEWsgK$B2yMBV)Ue4bFI<yh_s!>ou zg*_p(-sW%V1qDCS=6_JK!QM26HhMc-UqDg~sLk8i`er&P2k!+X8jr@K7odp-lD7;` zdO&BPpou2-FzlLiiPv1HK`PLCo4>Ufbdn7ydA^(mz6AqRazQW|>5IqkHaH+(P6v6B z!W3qB32YXQ6b71)I#(*tdWm`otecU6p?fbVZMk$q64wt?6C?j{7tp!xpj#6@fUiXW z*UqH|-L0Sm=F;5?N@2|(LR`Q(;{uWrUc-~1>9nZ_!Nm*sjAqEif2|k5BBeEr-$Cga zWb}8isgQXrgB{=m3^u=eE68}zMFy=Gkd*Km9&q72$3OJ|ctQ!>>jtOg*6X1A4Gwi4 z>-@lZ!lm;%=lK#5&L5!Cl=GD0ukY6!IX^inUIN`Rc?movrg+fsz_){prQD#aB|+!= zJ9Zv$={(4Jute3PyBD1N1uworE&{<ka0v)1|3F7?la~1VKq-T?#6M#OxX6Sg{y8&{ z6Mw@DNQub-sVFcLKd2wd4lXsZrv4&$>i_u{KCuQ#{u9VZ|ByrfhiLiwh=GA&Cn!A{ z_k!|3_f&BCdJSB@egr3LX!*JmoIHC$$-f&>z9K8(F+9n6oqy^<aGLBq-~62s96#Xl z^+e|>P`O_s1xsLtu)@`o1u}Rb14>n(I*Rxr6?9B4QccW3M3L$-6Dd{s%tTIA1~Z|l z3eqTo%<3b`(@T*1oUxauW$+ZW46QsxI*(rslnD^`AsB#WXG>C#HFtm*9^K75(C!oX zAq2n02l+mM2T1oZtAhsqz-L`=u0Xy|!0;r|mkEGu2aN-kfV|Mz4009n!Td<>S4VaK z4v@1;;*K>7fVx_sp)#;Tx<L-{=;rh==0K`=S`U_juGBgJyVL>Xa1h6%n{0=JtOYr| z8scz}bC4Yl&Ck&B3-Cn(|Mr2pM@X~f4j`BFw{U~+<C_8EKw4g?ap4HMYf+ei!2?_~ zfg6mi9N-J`GK3*_RPeW)0EJEOZjb=#6%a4M7xH#DBi;JR-!coNy1Ur`e1Ua0=oVG} zZ2`=n(Y0d--$^t-V+38K^`V=w+mq$s3&GCw2j2@eKW7A$zdsExe80f?z4-wn=MV6K z=QW^No8|(L^FUV&V-0nXtC}lP7)n$<(3}V!4<{k9fWinA=I201#dS7=!Vhhn4K=(q zK^{dU`4gZZ=5JXDzD-NP6C_E^P_V!o3I@=~0*3;K1CJ<DLjmM+P$*bHQXa@x@K8YY zKj?~7r1Ta5@-lx*9{BFS6(EjBcQ@#OYj9M98laHd@xWC(=st$M43I<cnLwO-P!2PQ zBf$ufVF7VK=c9x4u!1;ip)zbB&Kl5drytls*H!%h9b(ztjDCL`=r&ML(aX=oz;N&d zfAa&zm!PBHL08CuN0YlxfU`Abeg@sbH^CT|0cL?r@7)a&@aWzQ((^J8B-Gsux~#6- zgQMGl=ip0z&}~i!U-36T0w?hcph?H(hm4#TL6bqR^AVPUu5`jyh@e^uI>OxpZYfC5 z%b$=$1+^4@OC89UpgT{IZ>bZ3TL+rNL@%yTZQ2bo7Ge`}vUxcXB;MUT0}@mUlA!T- z%%B1leV~v5XToBHi$UsNdwD=2<>hryrh=OVNz4Sy0u`emgFU)8gVaNv2CBe_F8@Kv z5R{m+K?fUlHiMEVEHN7%@aXkX5$R-nc?n*tp{8%pMbAj-`vxdU^S79S%Tog{NZ5cn zn%$rq+(CmC%$?^BzGDWJa3}d)K6ZX@{?6EX9MP`~H$2e#jeqKagRhuCr5+Qk)a(4$ z{EZRPj5a*kdJWPf;Wqr%`rm<n>M_U84=$aDI1hDx=R95_!ubo-$>%(%_|x(G1sBd^ zisw2%LZ&)aFqUwGh7rJnSFfE~PnKx;K*Jl+HpSj2dksr0;2{|SP*DxK?7h2r1>Q1e z0dkoG;y_~vIul7k$qNciP&6$8C6dl&P)Ng~30l)Zr~p`R+~auL4^Sf=6bZfiR!D$` zw2nQP2_4dco`xTB7&hJmn&ShlCj(8KBgJR}C=&TwPJm-{2Z#f@cwCu*0dx<}$L|-F zA#HHTRa&4vMX2Hb)^85{Q;&OePu?KGz|if)(s}IrHDx2v1y?0pkQpGuZ?8d-cK{N3 zA6+_6asKN3!1<#@obym8=)Sn0iU%FPUv%L-r+DuBHBj`n9)k6tcLzwqn?;D*7C}QN z@FWjk*3!HCfdpJJO0Gask|0V@iG?6o2`Jq_TVgLkbr@(GA9VE`XlY$52Y<^Va9D#K z=+V9Tfj(FhXf(|568QQ<xC0@r42bJMEg&3@gee2L(4%|v4IB=IDFeCEqkFRfL>b7j z(CJWAd-2Q(gCZD|$OIvY3>5hu%|`?vqfn6ah14Ddt%gNPDlb5(19ZQXgEuG~x_3_i zmxhx;;eh3SsSZg<)d9W}3&bHJ$nZEFWGTq$(EFu8j=|CzM7hsh59CZl<D>xO>=M0W z%_l$%kKWx9VE1pXz!y7sTm&)(<f7G(RszU)EG|L{PkmJP`~W!v)V>bz0ZDW>F8~eR zc6Wo40k*0URLRT`g$639VgPXnB^x~M2U!bpKeT-fat?m?gJwdI(nABt*(LGEns0y@ z9^Jb^Ef0_G$)NNJy|WdZa-sLVF@SFI0X0%}J$iS8ICzpWq!a<`#^V8yy&w-%K_UR; z9!w7ecyzac5^6Wc!I#XSo+ytBsBk|79rQiG?*eM1uyppVn8m=*Ic?1>P?vGv0Z`It zJT_q#xHyFl+=AT`fx6sa0;qg-3<1pwfbInM=>=cc2)ZF!U>|73F?jeDe5<Wb=SSbp z4`4Guvqc=>OQ69fgGbIC!6bMv$fxtUZ|9FJMo0Ku322oQ2WSqVIbt@ff6Lz495Wl_ z*xeAv9(I_`z+iX@Y>Y?eJ@_TM;0v&096?tQgL1e>cbkJLX#HFp#~jckNt=f$14CM8 zn*fC81L29x0riz3Dtq@afGU^XZ66>OfRZt25{?06+ytcbZ3s%ni2TOk3%XCw@K|#K zh~d$@+X9l&Km`}HlqI$N0+|Gg@fDC52ibrg<DsCa0A20v(cN|cbUhSDcLq!IQ^xNX zJ6}M@ppll!zYJhxU;wXbaZxb<wbMX8?d}F8;ciZ2&`JdZkM3>`uxK+_6t+&t1iTy( zU5_V+F?fNq1-dTiLLnQlE(_3{nF44y88TA{p7H~y46swcYs(x!bt?mS%`1WrUO)s| zP{mTB30X;sSWg97R|Hy-4b~|5{StQ|3wR|Ri$`}eSj+*mmQ<q?ytW!D0$Q^PT4cpj zqRk1Kv2jsBEV2TvJ_4-*1M3as02#;wHjoG8dIyirN8oWFgxg!+mV(!NIDn>GBwni_ zjoe6pMs6G+>OuW$r2GxKHNCU>z#MS0mg#IhG6$Tde}FUK;T7O?=%OM4s@#z#vOJ<= zq4^LT-=KR0iHmP@SbT#66%w(C=mssU!y4NxuvMzaI$)6vTB{Bbhb9qdWMi%h1BWF8 zXo)>!l^B>0j#N<WiIix9*4QF1Tm-F<1+CEpD@2M^P-_(|298wN(lLk#C{jU@Cj*XD z3D9f<c;y^;Wj1I<okw>!SS50#%77x(0F)%5?PE}34^EP>Xf*&us|HH6YJj5E09UkX zbT${v1xKq+XLHG1aI_joftsm@Bj$pN7Z()`v}m<}M=Q8&0TH0`6_ll6?N=kzLS_P} zY~gP?2X4Q<0C5Jc{W=-swBFqrQt);my#0#Q5kP90E<mUR1q8eqhos~NVI>06aQo0r zdASkfr0(Vy=&e{Eh%-HUcZ1yE(Y?6<hdtmV;L*LA0f#(nA-PZQZje(wdUu1I18VBw z&;T>g0*5@zKu_E&8$r<wss*?qtyoYJK(u0gy4xH~7#JM889Lh@EC4YaSUTIDEC40H zeGj-m$?w>S1)!SJ@Fb!Z1En+w_ULZ=VFIonCoBXdQV6eip9D9^gl!cIL0ZABeTZ(4 z?luNUed@3XtRBqk-4_5+udxWE9?2???lumH`VSEGU|#RO4v6|2SkwzZ)Gt^JHXqFE z-FE<@zGE@SeDLM2aQ8?+)CVj9s|WLX_x*sVw^#yJ54tiQq8>UQVgOoTc-%z=)SF>| zt!e13QQ<&e)c~p{VpMoQjS&|Wj^@Ygogm%^7SQ%D#iJ#n9^I_qg*lJ~;$Zgc0^jaF z&}HAy>0;;&#-I{M0lee`JdFVD{T~1)kk;EJI<0R@HPGh@AnL(&4)PKa5zt(a0%%Ey z253o$1gNM2wV4DQJKGqRg3BNg$IdpErJxp%i;6^=V`m!&lp_P;@GJ$**zXGf?Ve~n z_5vJoF7UO)KArF-hX&D(aj>cfoM1rhOHjiXHUh>483E%$8UX`MfN+9#Lv{A;SPE)n z?pX>-OZz%N`xqLJEdbfw`2du6!G1?=VS>s&1<<M~&~86)$ac3`=rb_v{Ljw7&@G^N zO4$Rn9tnK;C@4h1RjmN%4pD|(pjAiU_MqcVZUzPhaN{zI@&9Jfm<HG?P`2^t?k)hW zieTIYT12HB$O0Nc0Vji<|K%ANxI<KAc7YhBLaHDI%q}Vtsvv&nLBmU+CB2}1S)JV( z%NQ6sC+92!d2F|b0Vtat4p;_CfZ%1D-~~`f^|u0i)h;OfK>qRQ?gOpla_I&wLUla& zg1hth!S~#t@u36!E<d^%y8~GczToM+c<?1p^Fv0^JpCyb#si>n@}J=318T>Mfc7-8 zbWW34&cM*wC$k*nn0*ak#~fo=4sOb0gfhr}kj0?>0m$S|c>jP0ISM=bPJj%Zb_QbT z4v3)}FbxHn2l5ZdJZ_Z!1~;mC6)QkVp=Jfxksl!DC9J@3Bw{=c6fdB*Gbnq4Yyhpm z5P-xnD0X2>ZyHln1VCw{F-1iLM0<2T$DJB{J3oLD#18}L^^f2LfhYzxgHi@4RdGP< z0VODK!r2K*3ZM;D;7w5+%04PQojxi&J3tA8+ed{3oOJeqc%{6|A}WoKK+MjIhTq_$ zSKYf07%(t!m$N_;7Y{Rk<3o@l!%LkfI$2aYL7NVnHCBRBmCi~~812?D1g9#2mC!Ik zPg4@nj&X-4z{207yAQPH51yXHLFq}Hy!3Pf<cz*MU}x-006XK@0hlx3BW>W~0Bk?V zVo?0!O-~)GK=I$R3gowaGa!Z*tb+OtVJOT$AoIjg(vvu9da_szGS6l;*t`o6^AuKN zm`5l*fmQ~BOAAWU(+xv{=?QEPRnyY~LxSn)1t^RrzX6BQZVn@Gdb$7$BmC*frP~2C z#o>7H1#>6z;DJYX8+Z|=MYjjb!S_6!Cl0>g0WH`&$?tN|h4Favb4Jb!;CV%Um-C%G z;J#aD-;6a344rLr)_~*60ql-r4Qnvs3Y4Ni@ej6u15)zgET25qg5uw2E!fNoh?xd! zG0X&+2P!?fJ&*@Pcu@QV&U}48zy|(-7`Opq-~$W;38g2{B1v$_qNFE&1_p5X#1Cp| zJ>SO%S_B5#whgVVK<NTpTS3dG9YzGx6WkvB<&!-F1EhSiXJFX(-=2X1RzBG?FrbxB z4Mx!P1X2YlpX@=6C#3Re#X3;=v}PSB%y+*42hrgP>!4x26XYSJIuB7kffv;hiqDAk zp!keg4>Eh70%-oJ@tDJUsM)CH1I#>Ll=6WWwS3^%05Xqf1K7L>h<P6{&4Z5T>@x=S z9AJYvy}LhvuhRw(Zh=lkfVPJqV>+mVIpFa3fQ)^kC<P6-q6mNn`k^D|@D^6@ZVp*c zAR`GlpbLEPWnh2~`#{>TpnL+u;Qpd#=QU81sdwKFu(NGJ?Iw`b(1n-1yFsTuAOswb zwSi_*7!3~~kAy=^1epgN-vgQ2-G*}KIQR;`&d)x*`#>goz$^r9+GhZn{|p=&Al82$ z=pE$X>hu%80Jv$K#;<V#tOW11<18wkosXccX7JK5@cbBfairr8(98%luYAmMa16>S z!aQNX0O3Q(q!MC05Y)Z|^{u=8Y<#-i3_4F8{3U<zl{Dk2&T9w%u^xQJ?AXm>;MiSa zz|#2W0Rsbr=0%UoFFY>4Ykt7k{EX4#;4=je&SM^(B`O>qy_*fdmrYK;P|L*t_9obC zEeA>rL4y#@4;VX}BbdOgaZnN2*&G8_UajHLc-Vmn6ziaMj`zTtKn)Ra8!_0U^YcCv z)Wit7gd3jdAj*4pbAV=}dp9#MgA4+9&|oqG%nS_Cu$0o>*8@`6-PQn_Mo0Me`oaIK z2cI*;JlQQ`(d}Zva{1Ne7tN0tK~A4$zyd0?O<2Gg$N^+X<1x^+sh}n$%=8Hpy8A%a zse5#{eIV8R2VnD`K+N9&G5-V$$Tvvc#|hniIiOJMZUfy6j}%*k-9Ld9<o+q(+i>?O zfDCCoR>6vC{vD81ciROf1_qMMcVGjV?*hI<c;5t&A&tj0*wD>~><0-2WvRwD8H}Lv z?3+jD?|q=61y)6PHiO;Az~3Sbx{JAUjtXc+zT>gB3eb?cYqwvCXLnhKOXrD$KjaU- zkal4_(RmyaeV{fnXblQOw}@Tyg9nb92VFW(UVhaXqT&HcqXizFH7XG<-7a=4j+b9_ zUI4|bi;9O!C#WOQ>7wG}*xk2=fq}uZdmrfHO_&9YCmcHuIUfAQ>Ui)GGsp_?{tBmV z6Y=H;4>S*6e%D!|q5v{F!KvFtoTbx8CFAmo&X1td7_<)-x_eH=wR_zYu;J@&FfcH< zbiO?JQ~uyfX&1(qj-6*55B_0wJop4`Jg9BN;M85C%Fz7qfurVGm(G`$-*?uiNOb;e ze#q$2xkjaffq}uPJ4Y2{mjp=qZRbmfbuzBq^FYVPxOCox8GqBU^E}v~(}vd_5B_I$ zJoud1vwNNZD2c&(l}_Cv)-Ihl!9gWpc)j@%qetf)l^<YhVywYEOc&0hAQi7U?>0YX z?2J(nG5pSXwD~z>XN?L+^Havo92K4tHcpT`!P|l%(Eu7Fc)-Z{qLkyfi;4$m?ANDr ziwdY9frsNakc3BfjY>obND=s`8IN9f7LU#r6;KxT>~#XOKY+rnH%EoVvp1f_rQ1bC z!KJ%IMa8xCK#90(>$g%N$L0r&E}-#k$L42@FAqY!2M$co_&`Co2|Fk?fMQF+qq_%e zcsC29OJ|SD8E}N0M8ujzCp6ZOcf*9JB=~erQ2{N@aqPAc0_{ct$BO_ctUz(<(LF~6 z<jigv;mfbVkp)`!(s>gSH6kD{gL~FK-96wa^yqx$+^xd{iNG8c4v)?$Dxhd_>K5U; z{0bJO9Gw>-2JnCk04;H2@adicjvV)H9&wk>n~s-Xx`4K^8-4}(3*-`~ZWBQl!?U0> zcEB>6hd^=nj`LG9c+I<x;a|>MkVrFttOGVFVdK2onWF;U6ABKq)=Q;=-7YEy%?}vC z5d-oz1IPv7PUtrm6$wU{Zb;C9V-MsekQz`RfSlmby$0+Vux602z(*f-gU(Vp=fZgm zRAqD?a^d_08bBzK<^-K6!{PY-f-C1SN5yO3FLIu6R6OR=S)#%NI<({ag;p084*nJw zXsiZ+s&tR;5S4;%7I9FlzUXvO2>|&Xlw`U^1R+^F03-!n=^O%bdj(8if@3!eBnCk` zD?GZlKw?fr45G8*GE`d)NE>t;*%WZBId-#%fT9ef(V;s;lmVi{0j2|aUl}Bt9Knvf zc^Ra`qFY25qQL^D!N#K(<ap4zJH0h32B1Yb7NDVZ2cKRam5P0!Eo9(fI77(bSaXev z34>2JBpa3Tg5&51KYyD%C~UxM&_VeOGzO*tiVINL>DjvvT%+`ssA#+dH6cKr0+(Vi zb3D7JfojBVF6e@ewAPO${2+HJz|43p*?IuRE)fPv!B2)d&H%Czbd(7wM|OUI_|ND2 z#m?j3uPdJCgcgM!AX~v{%i-H`#u9dr84|BS`+UI0$-vIQf*U6RI{XT>+obhCDQIOE zD2;;_upI}r2Vg~4cM(gs73X)uOO5~k|7Tz*^=bVEk^;G?#OAn*iU^1W9wT~f*m>yt zt=0qlt`|Tq0p}#o?rk7{gPJQE;C>n?{V=>d{O|vN(BWAib>J-9dF}f(<q{PE@G=*6 z&_oHxYeCRH1rQUyL+LmZ$g=Jn6^?Gukwxz~&vlllXmnoZJl<KOqEjNq`47YgC2qwZ z->-7MQoPXVqM`#j?**K|HA?b4AuW?`4oH?a=yqU%gr*5n92yv20z1>A+gZb-^+1V$ zN4J9mh{1l`K>}1qLhDM<DEZzW|Nnb-wm~ZVBcK`=w6!f3G$9;&*td5bXxX4oXO2pO zZ|}Yc76yj>CsuGV>{`LVzyP0y=xhO}dlVU;&Ki{hup>M>kAOl6oSNXS2RZv8Xh#|7 z03Ohx9FE{x+bsjBNdawOf;5ARlx`b?Mra!oQml5n7_eM^0jXjHAfX@vuc6z(H8i3D zhh!Q!!q83QKsJp7Vj7P}Z;pxtD8E71mV?3$I%V(C`5xlE-hD4XSHySLsAPbabtyo* zEHFt0P=gKBtbp!pf$h|R?~?&vQwz$@8m^$NvhRI5pZRot1ZxL*9W>F#;MqM5)Q|_I zLIcBZpxGi&Is&Wl>3j~RQ9>Uq;?a2&WB|A*geGIq%7OrJSpl9Bfaz^cQ3>F0PEiTr z#~%&gVh%mv9FPOf0TOU7#6$ylYc0BI7RaVqKuog%t(T|(MFXVRLt8lk_AE5ieY$g0 zGJHBgfd~@@g%qR$>ih>5gND3MZ;MI<BLf2{)VotaRrhm`&UYT&kobVdK#K~f=K!h} z;E}KgEQA&hu=Ie42aoO&cosPBalAzZ)GvV;1nymQdoXr8ur@=oK@V8Avqj|!187nT z)P%*J8Cq11fXo0L)`yZG_JG9^p$FlE0<c8|v^t$|jI^li!C^8;9NA<LA7b(WP)Xan zMg_D^!lSnbYyv1?L2g8jCRpfr^lkxr6c%03Oa#pvpxBG>>3oltM?eOG+J>N*>U{3g z`42vcbO@1CT2#Q545$$Z%Pf1qLTK>>n?S@9Pg|hD0L?TWy?azZLXa_TP;CoNM$JpW zqWsNER6zY}yjiD31=MW-8H<|U_khKbgBGL?60{q@2?5&Qz*(BLsDQ>8u$c@JM>ZM6 zhnNg1ygho?sDL}Ey**$PKw%8B8(XBoBC9(El((LP;t&)b;81|Y9M(v)fMu^7Q1*I{ zGv>f;dgPc}qXH5_#2hU7z#<RSGB5yb>;{z#9>^^qkUu~P!Kd@TN9RkQ-X3sX1v}NT zyARU8Y6CStu~jrJDxlpO*a8zIjvSaEJ|r+f)r@0zAE-~|+1&<e$6_~m3dmS2xfUdj zY%+)sF?j|wZyA8vZM{7zAQ_PNKz8GcCU6!3`xqKI;L;CEo=Sj5Pv?73TO8D9#9jbu zfQnE=^!W7dQ2_}dq6Z!=(CiFtih#zo{=F>vj=aYXJYHi4@)=_A5Rwi-J$wdO!=-n3 z1=!b{Uoe732zK%_z(#m`cQ-I0jn|-z7l6Fr+4;?-yAL!B<I~-y!2;??pM>>vPdav< zc0Bl-)$!nCW^j-9q;t28gmZU@1PiDm@c!~E$L61Mb*7HJ&K!>2CJZ}4jTRTplb4@y z{&Vbg=5g$nVYvL5^K$16(8l$f7eRe$&{mxjonK#zcV26L#@u-kBKVEp<y_~#&dZ&D z!D^cygZDe!;5=O_dhwm%*XE~;oUg$rw1O*_*ZE+36(IJqAnbj>c^qOd3&Z6HoQFUo z5^yUH@VlJo{CDvkSP(XrYxob+f#cu=ojM8XaD)3z(D60S3(X%u$HQ@W_C~Y7&LVW| zp7w_sG`bB5CB}=L=MVm8b?H_43rexgH7XnozTN9SFf%alw}7^wfZ7z`_LxiO1IO+X zR)&MmSv7xkUc3zII16|*pI~w9&S7P^{I)YkMWp$cOr4fvuZs$YW48+{#ABKlFF)Y? z0NTCe*nEV?x!Xk*<T+5^N2EDLMF7+g5#a~f0&ajicbhPSA_ru;hEul)GbEBaLsWF? zG_V91$Y2e}=EFSB-7FH9A8^82&>Em9b5YS~PEpZe=5JvJ4M3rEhAdu+g2XywR0@1L zOH>@d-pgSHjj?E+>b!XQEvUy-0rDSc<N?%ca_tUbWpKRws`;0UOXuazcXcXIpOzqe z`i%1(NRY>|JBF3v@?#gy%RZgQn;-Locf5Z9_b3d%t>Aau2MTP^Axz&|Z#(i&J?7H+ zvH2sTYv%{Y?-v}mGrF`MEX{Ro{pQF&^*AU@VK$+J=~1XnF02ffA2@Oza^bw%{FJ}> zFF*g31CHMg@q-WCb>ukSdD?~JbBUbcx8}e64*XM&@oziKzwM+e$9GrG58tnGo>M&L zqIk@wyF|sov-5`!s1xnTzwP+<YpoynTRcJ864ZYJxfe8SQsLBXq5+Tn?iTP^oKrW8 z#^o2yzhpXHR75}tzz387YIxxZ;5p~_&KeZ~NCF5^Ww`u^6LdDK2xw0_=<w9eL(Px) zJ3~~!&H7W#j~ETVH9zF<^ict=c;n!A1#QCT@$7Ef06H<*BcQp4k%NK1C5Z(*HV0`c zhp2EEegg+ExGV(iaXQ5bmIrA9HPty@C!=)uzztGR8`}aTD}d08W>JZ)XZJpsB^KzG zq@r440WE~Vj(DlX2^t=DQL%Wbz{$Yi+^qvn+i#t_ZI~{<2NeRH|2kjSK??#INN|A) zpvRoAAq9a61E??p^)gGjn;$bef;#IArRI*!Kjmwn+EB`mqY!Q2^5Zz?`{w72{H?Cc z3=GZR867+SgK`<THw2AI9Z*byGA@S)JSI&vF24iCWQht7C?+wpGAQSAKw=V-l|fmM zr#VH11C*6{_~AL&xm$z@l6zr3L~-gdq+AOr>YBlWQ5vwq4V34h(;VPf0(Y8_YeH}s zH`l1xFo1_WESi5X^S9}P#)wgJx`1PMAE?Ol?4AZH))2$EoyQ<^8lYeS75xm|Hb#&k zT+pzkLU)c43%FQQY5plwr`qer(JjNY1Ef&%MCZZFk2!yL#;7PXALHp3F}nPS6Ev)> z(ww5A015^ben&{H<k`IsR4XA_di3C5)`L%(!4`F!=y!+cvs`|6`4y-plI?Zn=$2te zw&Py&QJ!ua{maiee?dxqa2O>Zg;5Gp7-fLMC;?j-<v_wH0~|(Xpiz00Faj->@-X&M z5r7;O02)Q|F?LZA`3@QscWM2>-|`>QJ|!5C-~k<!fRum-qzs;blt2kcndTG~2~a@F z&^90gkODFUDIg<20U7WTG@%1(d~&=@U<LKl+CU8r-|jwelpciEpa)T#8JZ`YyJaMu zyF(;dIuBlcefd@M54p~3op<Zx9ecew9J_Tm!R-vqgO{Ij-gWFP=5g#cF}(bY^P0oA zV@##o%}<#e!E0zsZJK|`*FZIyz%@PN{EVdO6S&;wyahh7fxi_rG|~Kt(XsQIZ?7{8 zXh77Vy9*R$KHZbRX&*5_aut#cL1`acBsg}PXf;22pm`Bov2!?f$7r!!ehpsE_fw`$ zy*HEtG|0URocuxcBcw>@Xg&&Zzt-hvocB6QR8Wcm8E%mOox4Riz+nLMKdM(CHMb<Z zxpVO~WI90u>?2TX3$!6a2WjP>1!!bI16vwLDF+^cMrlyexB#fsbLj2>g@;G?1aNpj z=ZqLn(6lUoS`11&9^E^@i3edZW#z%ecaTyu0VTXrkishi6kdeO1n@i`N_Y_{6Fz{G z9)C*-BPeGOE@6jC!2k=<0F)37K?>0bP>2R#OMx+vQZ@oy%Kip*dr(5u0KH{lh}5z$ zKyO)agQi2f_raPk1}_(W2i>dTqGIs!61aPY)*RXf7KFA`z{6VTCV~$5@PwHN?ts1Y z{r>+yN~;Lm)(6$M0-#nA2clK<a_bK?rQkU|kWvqjQUxTX>wcms1rKY2lp27PN+2nH z30k(@3ts*J9_5A(yLEDb#*7~@dUp4LnqHlbEQSX>8jpawd`JV<M7o^$51MmuyPN~{ zh!~Xq<_&O%;j?GwpMB<_xP^6Tn|IFujTG~@RB(cZ$(Mi!;Epwa010+`mw0psXCUf- z$Ij!hSy#{?;RH~_nt_4Aty{(l+$3<k{Mw~+jtWQ|H2()0ym9Mxv0~}$Q2`GTU4G#L znL+FUt8szMOhSeWy1PMRIv(AdL8BB%;}S?^eFDfnmu?X~a9Qto`ISp&h)RM-=N6S4 zj0_Ae-9CCCn?OUC;8{fIRAYim=Sj#6L`ruzXjBEXtpapD0ir&Et~dbIC*UFIZW9Zz z72xV5pz{-W4!K3;1gJyNxkm-$LYM9w3(#D0Kqq7*(ed(I7uYOkNOw1Aj0F*HoyWn! z<6?O4;D6B443F++(C7=uK5%Syi&%iCt33>_fvwu30v=H5Y*9G?I`XLoY?Fs8=h4m{ z@BrTB_l}%@A(II{F5R0$K)&z%09!)v!4b3w;DMv&F~@^HSRD^OVD{*q3>uUK*$3`8 zICZlyxpaPnOyU_HYkt58iZ0N!np1ZO6KDgE#pPFyoL3?9sWvX%vq39OTsm*SthnLW zc?7&D;V^u%zk4!x^69vX3V7(<shdR!G*1g^aXY}R0F@|C-62XKD;$ulaB(rb=E`{% zQXND%Ha}%_>C91yDPecv1a+n(kW*W?i%JA&dYtn`DfjUfa6$qN`gnB4KxTZwspK0} z0yI4hiZ0M>A1F-0Gq|9^BnF?(Jt_}Cr4)32eg!yk*Qi_o(Y>`Sp1p-E9=+KtzP$k~ zzM#2!m+l&sgl-p=6xY`Opfu+J&QW_*K<j-<L|YG(3OY7FXLRYTQ31^byvznkA9qm! zCn%5ZDJr1c0GibWSqhqaUjVYGbB)RjP!#J3c0=+AxX~Wq)44{)f{B5_r+bYG$YGA4 zx!KFFI;W_B0vVRh0${W0;Q3&1N&;B~$_yZjKt6Hl2Ad4Y6K_F*>d`GC4mPO;oN7Sh zLY+P;9x$W8M~#9L3CJi=ig)a`0XYsdkPe>9vgj5OyZj2aB)|fu(FT;dz)|nnc?&cL z4NAH$-6F=_kTeU;t`3Ia5j?P`OH3JD46lPO&H-g)hs*D{A^w9+?Sp5ZBS4uRH0TFP zo{rrn8lZ#=Nfg~ZDxg5@*3krsy*2y}O+TEkAnO5QKu#)v=?A4f$8HlHP^tv!F93xR zD3ConPj%~P!gLpa<ln-KC~+}-&pky26jqS@mI28VIVEhI*TM5>uqbW?tugRX$!LBE zSz`d2W&p1-0LRNW7nJ};^u*}fc@>-<L3xv*yF>-lXz}U%<ih!@GepIr^E`N})dsW} z0pv|k7=VJUL;@o3`2D&I=MTjn->-3gR6Nn?qGHqSqGAJ{e6`?j0iBV8<b7E3YXPVE zZWaMpas%bh0#I~;l36!w$v^>YKEDKHMg}AZr+|xDP;VQQvLI%F5*D}^$pD!F$_j8Z zGGJ!pfO0Qr_624PBvrA%Q`HMlK?kaoy0@r+auw8w78Q^th$&ORQ$`?zK(#2$AW#zP zW)X&_u*)EGK+>Sh0vVSE@n8mkE{y^i02&U1830LBECQhP1JVtWbL<uog6IbEV7gmC z?mO<H0$p`6MFo<aK+7i}iy<n&c@(74MFnZaMX!rW0cbQ%0Mr%B0I@hcdLcdoO$vaT z72qaW1!%Y&WT8(lWI@e7(8i`+AOf*g0?|aX=WpW$l^-BifaVYwKqfnQbjPR|_;f=m zagW~J;F<E?5*3G+lR=6>vL4-&LCg6-_9lS4YCA!B7Cai!?W2;=9iox~>Z>z$wtxrm zzh49$iJ^Fo6I_`>s%=n`0GDc@p&iJ|iU63Upp_LcHe_W*2q=Sq3TXIJh=A6UCF0#K zDj|ra5TLo(m!K9NlJh*!oCmTNTrhRJsQ7@+O;Pqy@c<o<Y6%Kji`UL54JweY7{KA- z(Y+bwV+W+R+tq*n|F<51xDm9r0yF`{0Ci)DN<g=dN=SE(iUlZ7b-n^G?Q!Tl&UwDG zM#ZH>44eZUz&Y>d_p6*Q!1>Rm+eO6%oc|n3@;thmLAHXMg`GYs5s(⪻ADqQoKY! z=MX%*T~x5Iet@*ZK#Ly|zWx92(b)`Yt$8#a0X654+L9i<vkO4=4QK(4NAHRY;KdJh z(8Uk1=@igdFetz7KY=9U2|JAwG6N5;JV9MP1CQ<okO%m;aqw?*04>f-=yXv@0ZVmz zlz?fEZU-ETAi!lF>il7iiU(rus7A#Dl2d%Z26cBp+J4}j>kXj#8mR$@v>E~u{U~$E zHJ~U$tgQf5y`Z8HYAmF=2Qe1cOd7Pog6>+ZYXy9Ib5sIAJtzmz7>fmHQIiMa^aIf0 z2#}Q)VC#K)cO-yTRP>gpBp6=W$qrtJ@*mXh0QnG{<-qDZdUwnKsq2I^`=AFO_&_5D zx�=+28A;65(oi(zEj}Xr&&gRqfGvA3PW4qhbIt^SFx&w9*56d;(~-FDSnkAZEcJ z3ZYYAi1gso`5CmP5!6zFty=f&JO;{m&?*3IAT(WgF!FD6U<IuZ?Q~Je0sDkd!hkHk z0TsTep}hyZC<l=|Abd~?X;A?e+n{t!Tq1!C>SMD4RJbBr0pdff0GI6$D~L-l;7S11 z3pFYg2*YbsDnKT?sMLVwu0gdJD9vCN@t_6o;Bzw!55T64L0!xYL}~#?6f8w_LlTG& zXucS7<|8z5fa(s=B4_ZbgZDn53pT)=Qjg9<pf&|8U9_lxR<(n|1{_-+-O!}52P}@3 zI$$f95vc>V0R)^RT2v;03UyGe2nsoPY2m@dzs-TIvj;2<szksQkBf={;j{soMg=(z zHDLFsfW#4D3*m!;w?(A}Za7f|NQ+7V)XnHtfW(ol0P!JKfJTVGq1D|%OxkEs0WC|z z<_nNGvK1gc#EKk{FM8LgoB);Jy**$PKrsq(1gJFt@;`DRgGee{z@ZFHEubzAs9=Ge zYXeI)o&SA1|HA43qy%$-3A&(Y54dyg)A=8qa700lM@=;vpuqq{Ov6(RNStu0>D~hF z`hZh1H0g9eqX8VSh@`{Jzs-TYvquFa-Pxi7>T`ls5KcPa9vzky3m|diKnL+5ft~^i zbWqAAwpanJzXAmfdeQ-jBU=IDL#&8FSV3IU0e2a&_yQ!3Yz2r9u>#cd2Nf|pph*WL z14=p|M}U$J$iKLf4k(miNe4O_1Wz*9mNjjFrWf4l1k~IF1ra#XkV+SjIKgxR?&^Z8 zNJ!D<*?Aml4y?WbHAHwidsINnLOWYjWI%of#~^{`NDE}OK9+z5b-a)R7Q}}HtPdjY zh-sR%fEOr%{EnVHKnq5YtpM>MR)E)kgWXSL$pUVnV(|q?9N7vGA7X_K$QQk9R91k3 zs<%f4Bm+twAV+|b2gtv;k_RZ1u_q5uK?52~fiyh8`5LKMSpZEY-CLl=%6F8tRH!A3 z0FIIcB#x0{{)4ST)JL!ul4s{pw3Nchzs-TSvqyykwDG4!g$Lw)a7YtODWC;R*rE|6 zjvU4yJ|v7yKw%6voX9!|v>pkY6(DhBD?ogR6*>qjh$~1yE7Gu80TM^H0>p<{p#rj^ zcZ~|Td(qniUeg9jDIiCHQVPhwxKauzl%Xlbr+W{0bQHGA3R<9GPbr{{Rp1s2=wfPU z`xZ5MFyKfYAaRW30cm+7B@bxD18d28bRI@aCS3g69QZnWR6y&-J6lvByWNOts(?0! zU<+W7IC21k_>cew4G4p+C$_!;4G?3q0wj)X1&9x^0<=2-Y6Wr01iYXNOMwCsN45gQ zhgiV_O(q?n90gsl2uda(M}U$E$iKLf2`H3d$)ppKm!Zi6lxjc)3igIAXbl}GnRKs# z7AWsMI`3gkE};G@D9FHpfK>m0#L<!qtdWG2TtKbn7-$~~)J}nP;Q6<CaPx0-;P32F z0j=-rY*Bdw8m|S-j5uTM{2~_jqE`i=aT?@c1@R%l$^tSHI{(oOYQtgc{<eU(NrC)_ zZZK$X8?wP5KE&WZpxDJR=>hLox2S+NdSNpdB#vw_hz~LN3#d8RyG8}HjS;k%8YBZs z2q3FL2?69cTnPabj?jeQ0WB}UB|9uNVC~+3hBrW|0JL8I8#MMkJFk0m-URCf4eWt7 z<br$wI=B(kpYC0w0un;RJgngi>)L?EjlhF*3ZNl5P~QkNiY5W#fSR@-jsS=QYQKOu z;E_8})dU*3^Xc6Jo_p8_T7j?&M1Z>g-@z++TU0<v4jc|l(8ZvTDIw^@5BBH<dju3= zC<7dOAe;N(`$r&rNG!YosqO|h0=t_*l{t2UL3<QI0fU}yK;p>J3*tiz29+P(-H--& zGkD4YF<FL`Za_mS*bD}VBO46jLkzwHO*a|P0t6%jN;e>@LE!}o18nIAExo|XbZC+R zB_mJ)f~A)O+FJ}sH=yxz(D0W>=R2RyU&sk30A9^Z291?~ViqKXNI38W106Ae4&i|o z4S#!S^#;0V7`$E>G(-g}Ts(Srg9ex}_IHCafJg6UaN@kQ(;jKP@DH5pg+Z|kUN1av z4GU;qXx{?xdST=lxdX82KFG|Rd$$O?b9V?k3wT%ME6{4T-?DY~j=c_`1;gy%g*+~r zH!nYP;k@S9>i}9Y%nn;I%-(tP;7caZtm*xWpoMs#wJaz2U4Fb)1Pu<egU&7j%U(y3 zb?Lm;dD*u&fd#aXm>sc@nDck3eCLOQFPIEJTzqGEtoa!u=jRe$*cQ2${-8w|&A(;q z6d`V7LAVXHG!)`C7IxS&8}@^*m|!cPU}x|+ciUKi=55}0+Nd~po7h}_=h*yPwzEWq zrA{5wP|hEnEGkIGf))X?I39e()GY(P@)tBm&ECnQa`AQNG4P5CSHqK#Q^Hsr`CUGO z#>1fNeObV^g62>(oV#soK<grZ%YydDf!6zafEG;g!^8P0=h4m_6%8bNLDwRHcFbvX z`>5!29&dim-dUrf;bM5%@GvO1oNs>42)c6(B<Z3e;mGguv$IA;2C~K|fb$x72VO`C z8|UZF7?l9-5|x1O*B~=s631OsWI!3yv3ptxXfOVSgW&Duj2Ai&9{kDLt8*44*<7O{ z0a`De!2((@4Z2+g<TLQde&-9wa_NVx;Pu3y>9PROa$?8s8de7IEMQ3UFNr$!URP|3 zr31hVt6X#$E<XTY{Sd;x%|#^uw5t`w2<dcD0Z&+h%1j35ZbTSzICqQKK+-8_CoL=t zO%P%D46@nQvH1XK%`_-UfYwZdw$*Y#B8a0oMTLhQI{k$*IpXnB5!4%a=hz)%$^e>< zKh$~g@@vpUE~r=J(fI-7KhO|4sB`4n?E_ja{j&L&giGhS&ii!=V4v0?7E3?pyx;4} z0}drn4m#)2nWGZn)44<i)KUg5%Fb}$-*yZ%c><nuFnsIK^4$@9&IM@Y^rhAVj{H*( zxpZCxubjT(`2C9GHb$4$hovR1t(QQHszJf4>d{@I64C319>#}z-FU!j)Im$954v!k z=HGU@@h51JBmb1cj^7Uc=bw5&;f5o}z0Sif9G6QJ4c|g!9r&jl<==Lif7=09j%%)* zSH556JgRusMe(T*XuFIf|F&b_ueQG6ZvhQWfsz7v)e~sG4-`U9-SD;35+E^9Fu~SN zgKkKd@#xM`iKtWW_2qEv##lZr0ZtMjy0F#LGN8j!yM0t-__u-9$Vq_GJ7n#2<3o@~ zI(<}RI$2a8tEX8!yIWL1E6=*&%cmtlGxyzlR6ymmN9QB-#X|4+K&z+sfHiq^K6|-| z4|D|u(uP^^1O;eN9K8G|031i4x`)9NvJ(SlQ;C&l_dc*Cy&)<d=+?A@tpP8R1&!N! zAg`Z(%?fH>cz`E>Ji8%BZXnhLfsB0V%E!Rq-faRZLmV%^0|hE5@i=wMSX_P$D!=ON zAZ3>cqCkA+!uib+Tv*$%Uw+Pczw-wu-T#E_<N$B8`1)GWqw~SbGrSB8;9|HFY43+i z=eN%LE}dV&x?l&0ah@-ggl+VAU&04F*$@<)uS>zsz*;UJgE#|RE+58b)5UjSQCKzL zV)zYIIGLz$p6-lMVF9O>5?0Q8ohG1bw3|OO8lGIi$nSExlLxdH8?<!|JbMmKgWW7D zE8v@~g*Py^94N)M&DyiKMFmtlf^sovpPO&*78Ou62@(etgP=BC1c(DF@j#r6ZqS{1 zprm$!^8<g28fe+&aTgVE;SP!pNV>NHtuzO%s@4FBLDD^BrMX0BiHZ)kQV>+KYk-qH zq!a|zFgl>Z4|Hg;28aPVt5^q=$uLVn(7FUjLJ+Y8Rcfz5kwAKZ*bO?#?ojh1_RbI$ zj?PmM22b+?X3!OukZF?69u@H1NADW&RtThJf=IJk(1WZ%L$;tmWyoR*1?Lpdxi-x; zDn1OLt&kbuRqP(kKiK)(ba)sTknU3gmHVI`9!N8&XBN@T;n^L^fV4UaX(>B+{t;yb zb^?3_c1rV430zCr6Hr!Qr+`8jG+&tjVx)j3E*-o1J-fxQTMC`dL0Ugw0k^cK`KK%{ zODj+;t?71Asp$4msR3;W0R?&mEYNG<fexCl>+At<#sm%9g1AV5?tp0d5(sn`$XZPY zaCkol9R-V2*R_C$U_faLJPp_l;TyZC1gu~z74L>@!!&kL30c7iKFb6&&%glMI@A1! zvD-&QLJ@SujY4;cicDvTic0IZ5`9ofroj185wt-|Me*Wm8IXVqC_9&^C^SE0ghekn zC3JFhyQrvu=fM^DTR^835R7$DqZxGrg9SVSZ1BXo1xf_ifFj$X(?!Js#IWgfQL(`n z>)^$rC`nBKZm9^KSQkLCR0Me&5Gd9KV6iR&jdd3lgH9h66VU#A19)Wb0k5+pGQRhK z4|QQE73hZSj|Rv3R1VZ!)&ibo!K^7kducskHDxbk9T0jwwx1oTy6lC_*SxG_2d#}} z_w8l_H5x(3`!F7K>^ucKJgE8M1I-ie-8=%$-5~-jod+E+zXUCnm#uSl?DYb*d^x}^ zU(i+o7tXVey+xq4_6DH60-fJME!~fh4o2&L&}oA2U#o*U+MtQ&mqP3e44@5)@LonM zXosRp=h@C{E}iE)KQ}*S2VKf@o8RR#=ebhBgKwD(L0uHif8a{IG^hE8ENIUT$PFfN zH#~FX{D|a+PuMItckmrk=k@02?4aJoe}0$iE{5koY1~8wyhD+tgq8DJr-@4QCq~1Q z-&j-_`CU$RVyUb^OHx3m|A5BAK-o$H)XeET$9avv#gK)8!ME3o1vJVT(aq`G9gBP} z6})2&t$`f7b&Mg)P(j<AG#tBYj9I{KZyivUQ11=naO{>5M(k>M%n91^pwWB`6w=0^ zy;q>>iGQ1q3g|X%4G=@8(?>-IG*$wd{08*_oV!h!Izh)iZ2{K+&fOx6;8^UO0<IbA zbRm&x0}n{h)`>k}wMfBvt#b=ld3O(3rW?ZR1lKuJz(Sy|<oD+1jE0w*pRq$#gO1$g zclp&>qrwAn5@_5D;v^f8liouY+B$ceFkXJw{6n^L3wZZ8%t<<MCq3o-+qni@<~cSW z0`&_7z?(K9<s7v1>xOI{?>q*v9%R?S7fhg5Cn(mNpMg7CP&F<p3H&agU3<to5j;RM z_z9o|A4u0-AXaAiKni3?1^Af{HEV)S!~v~Z#aHox*DIlvMh@`O$ORPNIQDQkpp-@~ zppws_(?!Jr!~mTj<>J`Q4yyRz8-6;^K{k$o^KZ9|5y%$MUKgKUH<rt9L77Lo*N>yy zh6|Q`pL721t>fvovAX=6^Dk)WDX3=;+Irgj6nxL$%g!7X@G?P2DXt^dc@Vsa)xxpc zM&R;$P|Ve+*wiV5OqGF~3Q4Ts0-VJN+D`;6V6^D=QL%y5pcc?LItA(SgEm&OgD$i0 zbWu^@ce&OXqN0LSqJnBuP%J5cVyOU8w!&fwax?;@7zL>X7o$bI=y6p6N{pbA2$UE> zbvnG}bWy2+R-2%O#~>x3MiEFk=+p|3@^6q*n6U(;03--f&|RY<0jf>A*MJLs=s{DR zuM|PcJOw&WgZu9yB^sbgRe<vr=ReMuiXRn0tz;3!i?6{a7l}YtoeF@m5vY0<0avdA z{4J88aWq0{3%r2=C2bjiVjUbyCV0}80ZQ630VOGeP8Sse5W}R?Ma6_hX=@I6KpLLB z)~I{|jdMa07Cceafs-k6g1QTGE;unA2X}A|zGVWf16~6jKt1>#d_x=P1n$l~DxiT= zq?7|1wFZr1gW9#AQOFMr3=G)P4`?_Yl72us!09KJ8#VnvmUd!R!^OxQ*4`=LrLCyd zaET45ng(@qL8n%L77ZbHC!zhwDc~M2VuMK!gb&?e0vaSlS{3NpE$`dShI)J+a{cY7 zdD^*K22z28IvTGbV=w%DmqGg_d!0bFIBZ|<O~{TvCs5tO0ItP9fO?*=S{&T<eE)J2 zXkP{5U@Ay%0A&o&!6cvz1L`JQfGcWHSTnrzLEpjy9)1FK%mYA5k<YQ(@fl?!45U>H zGaFQ(gES-{nf>d_|No#?1t=(A?)mrsKW3%hdAIXg=Y7yAU+mz4KF~Pl2hMY)!Ux|n zU3?E|S9}K>S(*>(g@GCtpkRS-2EKXu0q0@IUQn=r_br1r10z~4;9$9N@C6gt>Wi-- z+p@m#yIeCo3>wFiK{PEccgm32v^c?e!MF1(e@h@Utaag{64A{8YISFLbQ>V`c@7`^ z&3f=L_+UnGAGcda4m1)5DX%rUYvhpH7plF^9NjEzh~~uuPVhKq^I@KD7CC5%jlWN8 z!URfHput1X$Q~mkb-_Ba7{LhYBXc0t;NX!x(AI2r@R%N`n1!`kKqK@ZHYmm+xB7wm zOR!=3<|W`Z2XpfhaI1vbqZf4l8v`g+VfJf5WB;J8DFgU`=1_35gm-O`dbLY2_GSn8 zcD?`wG9s)6kiwd7omw20QmIqhoT5?zihvq+Py~RgO;DBtMF5Bmihv4G7moow0zk5$ z2mqbFgB$^veOx>dfYQzNN89)fDFCo{bA3DCk=4^x0@cCDJza3^s{*NgK|Nj6&aE$K zIvi5_f)YZ8M>mehfHaMecjynD4ld}R6Hp2eVF!gZxGe#?uK~OnQUFWbr>Gb(H>aqW zAYvUyXBRc%Uvhu^|KG8j-M5<$xxa~g2o9Fr>9WM`PG>A-ZGOsFs^9!Wj^Ms@&Rflo z82MZI!M!!mR&>z%eNNDh^bDVFA*4B0_})Yo(3$NF$lK6OR1mw+SwL+pHLSbPZB)Rc zgeI^}=q&u(cvL!hR6w0I(4u?r6(-=pYUgef(4KTqY3c#nmoD2GqT&OZ;R5Z=w}Ef7 z2ldfvR6L+(RDkxUgUV8$ZWrhdBj}(YcnLma&>b?(<O3S2gS4xW&lAu9wWkA++e4n6 zkfXkvYgBX~9Ul#FV<-=!<0Ape7X%AP@E9mc1C;~bK;;1i9*)ir2dKpdKfr<q)Gh^G zAjSb=fbI?B!8iUxpvQ%C)DNx4)$O9<(Cwq*0_(GYwz7bFzTjhEK)n^0PDn`w3fL*& zE-dt{2A^KYBJJK9l>|_$6V!%54rOpv)Lf&I0tw;-a1fiI^;aMRxuBd0DexhDV;2<( z=wKgcfY;bXMFu+92U<+bfLxS+b5W^)^jAPdHn_ji0$ym-4H>5d`HQhLM5Un9N2R3o zB!3G#BLf5HiROolAOTPh+C`<{<tNmJA8gxWZw+X>M2QM=JMQBLly)3!OI2@;N(HDo zLEfWN^a({Nq-_nVe?ZMiP_quC!2sMo0|(a2mM<t8@HxXCbw@ZPj$mekq5@<#a&vOh z&;S3MKY@edxQj{&NNKl=N(po)QUPe#huaanXUWy@|7#vs!~ft(zT>W)|6DphxN!d9 zZ{Y?_Fc4E;fKIAF9S~LMjxmCqX`uqo5IRUFx_|~ja*$4RxdrMRgYtm_xU;;?MFn(W zhYDy01Jv5VHxz+wU=(IKVqg^0a>T$W$a2hqQBYbzE^b6RA;X!Fi~%~g8k#Xcu?y-f zfHDQB6OEiH;6)GQJVHos45S#GJKWKR!d+A>h$?`<wHitRWB?CuL=PF$%ZMH_$jexI z$jISci#+E6ZHj}N-`yti-68TUkdt&|>tsPKZyltQbe?j)1GTzs<Y6c2fCfN2A*Vcp z#wx)#zfJ-7lA$38FIx717ma}el7v334kxxgEvV1{=Q2=d9Fi4{T~sVqFqTSnLr(fM zc2Tie!3f@!4N?d#OF&FV#bd6m|4X=CIsZ8-9&=PY{+ij<@V`svkM9>;T0fK^<r`+C z>;k#~fr#j90q>Rv=SM^f3$j%P8i{kjhb4mYjW*~cB=mS(12zC&m)z={0#?tz4PtU9 zgaUCv=NWcFj$Wi`%z_u@J^~-accF8N3S@OI=n@tNP~OmB1l>l~174(yBX59~3`6n; zNHI8Xw4-%kS|DfF6E2P*Tj?>v7j()4JbXb1KH>>qkXn@R1*r!GC`h6cVgZN?5(aG= z0<9A3ZULWl04k}do^wF!RgrQIc;##_JbXbT_n<5TQiUUYLCbj|;R{j>4&P{u{t0Mt zTX%~Jh%$zR_6kN&;mc4e+})xAS_)^}q5@iK2R^9^C663;R6GXGBaYv%ySD!0Z!smV ztAV`34nCg=*-i&-QLO>*sB8Wy;R6leI&hvqKOJlicuO8U&Ok>GfOg`6A_=sq8hVa4 zhzk-1?bZQ}8DT^g&I5u##T=mn!=S|+Xe}vHWPujTLW?<2W&kZt21OP~6<TDytdjyQ zmD>YON1(1n=R4nSUj|xqFPK2x3#L*-;<^{$VIcn2*x}y6xcCBG=Yzcq8@$Ke$#79Y z>tvh+RUHiIos46noeUKRY$1!MqX8}2z#R=pOBXq-z=9d2qtQX_j)tq@H*jAATmqH| z9f$NXpp693FcI`f3UKEM+~IIhDFGdY2^!VrJP1ljE-EFEen)|0=dqWdH8LoB{=sX7 zK%;yT9-YsSdIc39QF;ZCE)u9d1C<}}aYQ5yYf<|hE-K*h8<5H1<2I2`i<<it&1_J& z1ZFmf4eCZ9cTu)}L(>3S`V7+mVuMEiL5rD@+!K!8R{>QiFg+kPNDrtgMbguZ*<Eop z{N~d6q4@)2tBXoO2{+_GPFKTkubEvtzq@q)Z~-lX5~ie&f^_O6&ZRnNr%qC@j{>tC z(MLhA)M8W+eH74<mzaGNZ25|aehQ=`gPf;4JE5f))_zJ175gbLZzK9CnBGS8Q$XG( zrJq7s1rDB6f%a6uwE$>>1=OMi&FDcZaUVp(3pA?++6_QLU&WRNeU;;`t=~X>mG6#< zuyz<I<AC}qKX7Fm4&t&6q$>f+x=6iEXkP^qk!!$x4|rP|Juc^<_EkXbGEk=k)DQy| z8YK2rs1v)O-U>?WV(qWAVCk>G^9Yvy$`o4kS72cb>S*8zV^CR&()I<Z2Zb?6g2es` zb;20b7eNVQtbLXi@HJI9${{R$mK2KnEJ%3;*02BO`2Ct|>v#SZ%aPb;ffae6{s>Bh zVePlHfbac4i?El+c<I$|VFC49SW1nE>$gCb;qbR64)=}=_H{?dT^INWTaAhaXweZ$ z*TuK<AgoP|GCy(yv@H>7rLK#L1QFv~E-J%negu2iVs>7jAq;OG)TktY*64tS=8^LY zETB<3FEc>3F;YN7n*0>Zk_=quMS;}LOC<4~mkv@pFH6Yiym*n(d8s0^^Ku9j?}SG* zT~x45ut3@dIVy+=7WBp!#*z7htMh_AXJK|<pg9ZF`vuLMfN~Z3Gz+{0gLPkcx*?0X znt!mD<)QBGuy_gTLle~#=lhM;`330&wT3}%0kJ{7W#n_4J<(4;#@Q1msvyNS&jXA0 z!PtK~_>Kv*0v$5d1F3zHdr;7bgcp&J*&a}Q;_pF$A`{ft0!5|>a%4iLbzbWrMJ7ZD z7Dre{G$@-FLTWz}-PcMZE|(9hz7{+sfI3^KDFM{mf~EveHUdrffRX@6A#z2Dl%*lP zEl?@|DaMitK;uxa^-)p*NC=h+Ky1*E6R5|D7;+*a2SR3gK*peSe?h$}`1Ay*t2HFL zX7DHh_03SD1k^c0iW1Nq5hzMP3UNdUq;m#}5|CmnQ34v~LyHoS5GX7`u?}K`q68F_ zh$tat_6aE`V)W$RQN1Te#aSnuGqIHQyy4Lc>dB!-FQ_Yr6uqD+C{Xl*6yk_p(7`zj zrJ(2qDF#Jv7HYW*I++4})p&^|Xhk?^tFTA!6v$naXtzYMUHbnYqz1NX9AwJNqZc9T zp23_W7tv~5kSHwCfY_i!1Der8B$}5c|3RjrZn-Wo2iXI03;2jY)Wz%kE!7u6nxI>* zdm$GzSc6@{3bO7ch&=%XIEDp#G{2GX==|*2`EQ>kXwDaU4xLByZqOcd2L2X)Mh1ps z&7gCh8E_rmkF;$H+D}5gdl&0%x!*6e9xsW8-8q2s%pDgM=wcXDBLxtLo76z={c=$e z@#x(Rid#?%M&NZY`0fPkCj`O!bEt-5btjUc9Pq<}-Ef^13<@XES;413_fm8=gTm0G z`G`ccW8C2h6DFXBx7BEP!_U;IQQ?40FY!<_yb<?r1h{k_cI-Uw+4;@0^RGwm`Un{| z29M6~o!2@~xpW@%?0f;~#QtC`Df8`J`a_0|!LfO-hb$WdLy0svU%F`iWW4DCKKsa} z^A}jPXXh~&&ENkI`*c2c>HO!@`3ZUwQu7bSQUlM%qY0jT3_j5FYCxAYLsdC;-tcHX z!hzT&@)DFgQH4N>Wx|9B9>?1Rz<C#R58OWRp*4-iey}hw7+x|w3D$;^ohN{DvjF7c zQjc!*i%X$bY`|{Cb^#sx(H&#K;&}OmBj~h)$BZ7`(?BzQ-BK=whr5GVTsUuaUjKgG zk$>tz&dZ9wn;$dsPdQ-tzw;*NMF;+=$6XXoxbSZ~;o5o1k>gj1rDO90M#tvQjINxA zT@>%PUh1q-;c)5nQQ;|3Z@pcr?8v|EkPGK|SH+uPK^Mgvia$DCR5)I9!WDw<o$PEo zz{<eT?V`fd*>;2#>}~MbPmRY`u!5G{xTtV|{0<I(&>n$kXh=cA!K1qkblOliM`vFF z8v{eP150OH321<|w@$;O@mK^KB;*`-q%bfrU<p6RaK|vmkl+AlfoP4IK?OjE1@pIb zGJp!i3=ju)#JC5`_lvC@B~d=TyFo_^!Oq_E=yu?MUZf7*2M%^M_=a;(j5B!jP6iz~ zi#X)Sqw_deiQzYpAALZ_#y$WGyq56jZU!BQ^^JkC)Xf883s}ap8)s1jav`WF3iuB` zwjAVoSR#vaj6eL%frYWuic}YaE1s90|Ns97>E~}L`2YVud1kQw|NkGHKS7BWRt4Fh zhB#;tiGjc6HWMhsK{swOcyxDz!lB!drSs7DOUi=ayy>{>KgdCc82MX4y(3q{+u(Jd z2O#y8Pxo$6(+p{2$f1M3SPwp8cI>thR|PesH4i$1&Djf@3cvi+@EiZ)^Pr>f8Nd_e z#g>lBIpQn`ff5am?q+a06X^C}X@1D~{Zi+N?-vv=tY9qR1P^%`o_x*Jd4ltVYscZv zQ{OMO9x7$+Jm=VX(xvmH3+GMHQq&tIlAH%!I&Xcy<idGN@sQ*9i!Pk!6t8{1=Gghk zrS)Qo6f}~Lvw(*lp$AyK1YO`rpvr{h?`{!;?ivG@%dalK?*!lT3YvD_$mnW#sr5ps z*0)29{8J9LegmCTbCBa;>$ehd2mYzYz8zw8<ezeY<DjF$2S<YguKe3B@NYZl(s}Ls zHE;+y?f`{!>oNY;y$lQtioaY9PkuYZ2o9S4pfp`lg6otuw9=<r$D%vLg5~mC@a+yB z-OZqIGuGe^VBx$94P7t~wD04>_X~<IK<7U(^1EK_yxRPg5flszmM=<KIxlu!=R6Mz zeegDj)*q#;j-4kvPjy~&;k@Y5dBTPBLWvmXK}XI{j*5rAUvlKU=&E?p@%u&B&J!-J z2l-nXK`SZ2R|R==PX_f3kWvYB`w%pRbh8+BhZwP3esTF#^8-fE9YKhDO}oL42i=t` zz#Ry>l1QY44SYkX0D3Hzs0e_vw&M=a6~(TGkjXbZc^H)DL3#M!A8;N9<p*eU!ZAMf z@C2XkHqddQj@=BMZ3^JS0zf663TWD{7gk+xutN$xEX5tDJpwB0_Sqt5yWZWP16F(> z4M^|-Yv7W`19HnUiU8<rH57p#pm70k<ras{K5%|_3<VXjX!UW0Jihu^LIGSKXDCoq zA5RFNv_8h|{uxU6+;5=_c7KO5MeaWkPO1Anj<>A<)mxzG?%fC4h~Uw9YyvaR1QO5< zzER$z8~sLk^xQ0B(d}Zv(s}*z`^%sOXZ(v#74fwmDB*&g4)<EO^I-Ew#@3$@Ay7H{ zTB`K`|I~w>ETC2KEG0Y~2l=<1>~v9K>Er<&N7UIC!2)gwuynS?fF^Bv_kmKIN8>RE z(7nB#4?y_{oG>F0O@II*+dkd2a4!d>;lk3{#sg`%K-+5{aJv`O-T+m93Z9+cJ-XXK z#YndY3#iIa7Wj6Ev4k5mUjpjVFuaa5JkWZQf9e6x?tak8mfe9Yph8*M(Ba!L#u83& z>1TNIHK*ap)=QvJJ=J*~e0LE?=Q;3!Z9F9s;7gP_I*)(9&Usw%`}a$n7Zrbk+Gmd4 z{x-hd?pSuIf|tfScAH2zc85qn7st!g$%F4Zw_!lsGy9zLU-Mxe$8H-5(DL}^XY8Pp zj!IMnIzvExvKSQ(&~7ye(0v^O`#{G^?E(?t?TU^&LCFzZ&H8kH&teP)$0(>_2Rfb1 zv9n!*9h?Yc96Q@(Ku4tX?gyP&?Adsn0bHzswfl7b^X>cq)2R^c2x{Jd8-xL{^ykst z2dc0=AmwE@gE3<#i;740G>Bjys1WV;Wa0J#Z}=)<<vh{J0!f4?Ku4kUodK7qbqOAg z$2Ndm0ZM&ftHG8c5@4_oijj`pR*s-{bT_A?;?HhJ7FWXqj-7`cIWL!pxN_cf?3UuZ z%+08H!%^|DqvAo(TI1W_uRC@-v2Y%5e$L<gh#x%A?9tr@Zt-v^{_J*O;k*E9IB;I~ z=$-}^jbh=JQv9uWL-D3#=Yh^sE}W-aIuE#T-Y5~}1T`vLIZrz(9{zsOk@JMB;th}$ z2Rf%!aDeW)Z>s?vUju0vCvbp@0I-juk;`qL?l#b+7U23tgA;TZ4XAzrZ%%+09|D{p zy;!>=6L6Q8J5=$Nml0~<@^Xb5MdhVN2BqaCZuj5Nz~}x7O|bh<Xj0_<h<w_)|A#g{ z_s`G)yZ?m_Megq?qn-OD^zpfWhd$W-90nA*e@89t+;3ro&;2)y!0y*DrpWyYeYA6b zgegAv|1br+-ve}0cnR6#CjnDw=l%){eD0U91iL@OlA`c$m_s}F&#=blehVA0`#WqX za{r4pv~&LsJACesum`(;g*`>?m)Jr(_up{D=l%*Ou=`IqQRIG)-L!N64;OsypWzC2 z{|i@&++T5scJ7z(z~}xQ9$@!#cv9s42bXB)ehY7W?!Vy;cE5%XMeY~4MLqX>G`<1# zPCPrmcy|8XX9vC|=sCFc>)E^qe8@ioe@i|G=mKlVUQWkjEh?b<iWnTbeN;+3yGvA% z?`pV=I0grN-l$7Ak6iPk2bveb*GBt5u9RNE0J>7zMGn*`FK`6iTn)WNIstlZwU0`Q zV|R}V=z1W~)EH=88n&Y$pqod!P4vN5fUlIc@aWtFzLdzL6LRvZOLvSuOJ@({5WCB- zJ3m6UxqwavhOlfvR)Mw(dUme?Zzx2v>cYVvte{JtkGrUV*SGj~K62?UVPyc@=Xm*@ zOJ|9SfeYx=MMjW)pz#&Z;kOmtA*?K&H7W+3J>V^YmtVoI@-=bo-lGDVkap?(dGIIb z<VP3ApN^epnjb!J)I9HMcn)^yvuF1%(6AM#p9nrr$f=t}-KFy<_(E6>M?=WP(tE&1 zVmo!`sDo~O)^OoG1X2ROVOPhsdll#g1DDPhkVDE{7+*Mc9t59Zf7J2dPgci+51D;B zulsg4fu;vQc7gZ#ICryfxOBb*+a&?9%egy;17wH9Ww_}wj)vb{IBz*NKVt+1g92zo zFGNM9gu{{Z8dv~i9yk$nyQnBMKVam%06r5Hba55~D3(BTx*#>+bw}S^R1z3L_XvQN zD*1GWs8oRO?*$zb%mBKM5ybH5b!Gt_Knz-b;n7>l;@KO;;@MlH!s6NM$l}snqGI9F z9iw97+WHNo3bg*hqjL-R&bJbA*VfymLNK>VI5t0Kd?^e%;0+eDpqXw^x&)mN47RKD zl}G2@ZW++Atp%4sr#~8i+zeVl0J;(Z<b-Y;_RFB76hX^<K<6=o#t98T$D@H5CLo`I zV-938D0sU?m_WBGGcYiKFC^FKwqd*c9(3kENVx_~ANb&6a4djt{RN%h4;rC_rX$cX zQ4+qLhd_x96pk+4E~X5QmtR0`d-qY1fM*~Xkp1ALc%VQ9?dO7A(EJmeOB4)mfIJHF zO}C7u;Rn!4s1g+gQ0jT@!VS3&#gX#{=p3yW6%~+Cu!B+rK?wtVQVQszZIIVN27$ub zsar-6W|9X;)oZv3K86<@Ie$Rr0Ubc|N#NrXOW0jF4}cC-1ep)cUap|4zCovcG(Ujc z^bB%0_^fJhNPcrsF<=Cz4bTQ#h~0ZsK%M~In*|CFkY7Ow2%L66j$-KcQPJpjQPJr< z=fe4|vqVLr^AKqID?~-6M4A(H=&l54Nj>LhN5#wEFLIu6R6Oj`8KVL^LtO@Z5TFEq z%Tmw^4cO)DpsTwf>7)gG=NIU%W*?OTa9(i$MHx6{z%F}s0FQ!qhNyt=+lJosIR#uk zb-Rc%z|sRKTEVwGgQk_CS2Vk*px*KfT73c<i3d3VRL(edvj}y=lLch;Pq&CLD3Bo> zh}BcTcMXF=7<$|H6mXg0*bTZ~8B&}?bc=|Cw7IB6K=g#DfUoxkpBLxc&B6$ZOpp@; z5K%3{2+<J$l6wWo`XQhbo*Y2s9|wq)0lLZsw6PC-zGnbvlqdqUn;7JgeW1zDT_6H> zf+Xm)YuJ%s^89VepfCqjOrSynd;_xr=<Z_>4|JOyXmyll?;h~IL7-Iu3NMd>G#rQ4 z2r#9d-BZAqNq0N3fX)(W<$_$PYymR@a-}k)Uc-J}v5$&HcZrG(=omXtHck0{5j4)G zc%BnljU<3V7Myk+z8#02qi*n85O({q38;7h4T>HIxg3-j4Z2-aOj=Kt2qSK229=F3 z&w_?-VF#pl7qN6(aeg<v)cpT{X=v*=kT}Sc64&D{D&Q4n;Bmp%R!GappbKviUf%-t zIw%4Zz*9WnO8w=&fB*k?hk#D1bx{EqGvLw25)}>bcq6+<Z-|P-Yr)n_px_8mk%8SL za-0cde|L<EM0bdaOy@n$bDbqB3ed4gl@c-Vg&7K<9HjW;`(@5silAeWKsSCsPpd8| z0<FLSMJV{R#ZDg;5BRmsKFBfY0bh#YssWl}74YbGZ~!sbk2`?w&0~PIKR~l58Q=f^ z_v~x|H&Z+skARFv%)TE6oly_Ec?fjxE~rrO1YIixy1x0w2I%$8;2;6j6`&jqlFk4P z@OGA{RP1lqh^po&D5AkN70e@u>zhFbW7|NRLoO)IA*2N~;1Ue=nxGm`(S*2QSp$-# zbU^J9NYe+hpBSk<f@B^z^3ctbKwdoqzWKpLMFwOZq<zzZ-o8OH4_sHEo2P(m9w?o5 zx~Qml^v0+dfHr0*fbz5i=m;x~eW2l9_<g(g!2#>ryGI4IrU8^eEugmyfv-Y^Tx17U z1B!YBaG=+y6u_<=>bwV*gWh}yy^#uJmk0Q|q3_^ph8}{|fMNxF+7u|Op8!uM)TlTh zX5Jx+pldY{k?PZ3qEZ37>Bs|eKR38^Mno>^9n6Gc7hJfahh7A7=tV$cHwF|Ngku+6 z_M)2?fNWj>#JmuYd4yt@{A<YOfG^?#t-u2<dj`cp04TmAu*Ekho^jr<T%%Ip1G;?- zC2Bz%QbChHu7)Q;jVe$k1>d&~UX0+=35((u70{)tpvn&s%X`3Lgd@818#D<V1udoq zHK`%yw17)T{%!C}l|fRSEh?bprT8Pe1$^i&$Q0E0f!rR3h$09d6iY4OLvcY7MJVDS zN10$V8?>AO*=!IWVm2u9L1u$!Q1S(@iJ<D8VslhhfNIy?9&qsiiZqbzpt>9sw%C)x z7VvgSSg!Bh0`7moP7#G>bnFRY0VDkKWKe<tD|+YA4Qebfcyt~@P8I>6N{In<!2T2! zNao+80um#bEI_yaRDc}M0M7g^D&V;|uu1TQ0lP98B-PoX0$OHDC}DsWePW9|kT`PW zf%uTfy8=Gi1=iKYUEV`>!DBNUw7mq`Y!Dw}Ht5(d;t~dEaSb-JLE^|}gZL1$kARvh zy>nD%KobT?29z*Bwu2G|$cMNR1}H#b38V8nI2ND@!lS!Jr2uvpI<)u)nF_iO-PQ1< zFK98|f9xd$XwDnt1w;uk2YkCZA}PSHj)T?)pf;)lsEz6aIwcS>e`$CCWF;sWfs@`% zkas}k57?og@C0?=K^YBPVqnc^;CWBb3KX>K20`M;;R)hH!gCKa9e}#)AhSUoMr`#y z<YW(!N6`x(&=G^kW`p<;vq1-dc=XNz-~A0L$w4w8FM@2}2RbQq7l<%C01F^covgqD zn*0M#9Qt(r1A84L44S%!9-WOAP4HT}MFn)JA+)0Io&&x*!=v*aa<&EEiUQ7TQ&d0; zVL(UTg2WJU1uH0!odlY72d_zadHXB$T0rnzFo*!(4+y&L52gXSs0F&b3fiVbzcg^C zJktGupnJaIbEJs*L662ape5Yk`vLoM!1n{TC4lb-JP8}WIqBGme3YuA=1J#n8wqF7 z)VfRO$;<CShco|_t21>3&##-nj{QCfx<<mW*O|w$TZZBCW6sN+H<}+XBi||2`SrCp z=yYr5&Wn&+s6e-MbpGqS-1!%*7Iyy==jl>W*fmqHOSq1^K#H^1`Hsy$<v{0ZJ99X8 z!_U<|dHDh7afrQ$bG6}CfREMwckvx)V1gNTG?XEDomq(r2l%!Qo)R{2&zS?Xt`j`2 z)BFL{IpXl_jb=ISqQV18o{rtqOhDJ;U4(=Z<HgSN2miCW^s4*?$u`%haDeW|11+xS zZ@C95Okk_7Tsj|suYNoDoK^Ez=f%sQu?7Lq1#*tvIjjuegY`w4f63HoIrh4!pkLnx zI_O%!vH1v(bGM5s=#D&4w^amm%r1X(ii!w7sJRGkh&y+iFoPloWV(h^w+J&Nk~%|F zbU=6GVFVb+U=8T0uM(FZaDuuw;QIwZQRbqe(VU{9!_42p3>s1bbwj}2Jy2KJ;-x4^ z40Ndj=;}HLu=jFU89;YLoa(%I`7KCM1;~G(eh8?-bL|cRU6A*x`In4K=jG0Kbt+Jw zmLRUkd&c<=B*^2~9mC3S`LPS<WuMOD&5!vzZ+yR?_yOGAGyJxK-*F!(utB%teQUi9 zy86zg^JDWzM%T^{j^8ghZfA69Jy@FS+WO6rf9i2in8IvwLD=+w^C;9N7gh$)rFe&2 zIIlK8<!}DW&p+jW<F`Zn{8JAq9CYM3-g(-E<8z6e;kV|${0{t6j`43h%)jlVE5~<N z&JW+Oah_8==Aw8E)bMuj?EC>5#VK&)-*)`_wbl>(EuNri1eO9oo1H405O?AUfJV?j zAp^S;Po~pFMFf-pd_V~R<3c=W0tius-H0cGe31};X9#3p0qnd?{!Ski5zvZd$c=bB zp51LPm>C#g*VOU1B!SlXbngR|RG{uL2m1DmQ=Fg{$UcxJP!pKrbuvn~9NcLHb;H32 z90`CU2U4J-T2x}|iLk^1-I7#POF;c8P~!(|&`T}QNiDrDDi$vlI2jn6yLG^6`>k`g z4HM|z%%5_d|2kj83IZ8K0rZ&jHKZUg0o^<B4>SZ&%H8~!5psM{skvkGPx%@JNV$bl zejJ5p1D7AiIYD;>@wd7%GcYuNXLRiR56WfW{UXqq)B)Y{2D)g60}+#uTi#?kOH_D3 zF^QR#K{=NLIV*#*AP?x!dQev8;fLp7=WY=uNbZIC5XGs-ka8{f3IXQkXN;XCDjKlD z4V34h=jDK73Dn8aK&}_TVccA!VgorW*rNFdGk=>tXyp(}P8V?O?gJHhp54<x#aibH z$e26hiB9N(Q&6x#d)e^w18Y<ipu6+Ho1<lL-G_#DvQu-4iUKGYRQMgc!L^cS_dZaq zgk&ks9a?gAvb}_k2ZV-E0#X>IAcau|D2x)Yg;5S9j55Gs1X?A4ycG*_NVbQukBWfd zfo}&H!Q*{C#x5!%;8Pl1T7U4jfNrQHCLp21A4mZy0S`!-=ASqNQUdAhnGhA3<`fkP zP(aGiHXs9#0x|?CAR|Bl8Sqj9JaFNn!tpYJ6|@+u4b;%^?d}6dDg1gN)Mf^j6WirF zuXWz7lXvU|oms8}KePNP=UvC%V$kg(hM?O;9KIc6D&=l|$^<!4xzwilhdjY^+ri~F z=PmH5EBvjXqOSQ9qhseaU(l3HcZiBZcNZw_`*cqNr+q}L7=DgBbZeGlw}}>b_6%IH zb0AJ~=K)2UdT%I)W4DbE;%W@Yf$JQoN4cXE12Ukq+h4=ZZI^@j-vsXeXPlp*WdP{B zc1Rg;@ik;_Lj&w1@OnH@IRM{zSfgSA8sQ>b4m<=cj7BX7K&75TcLyjuJh~@<!-GC$ z0n}np;_>L-0Zu#!izzD)AV=?%s3f3-R|-;iWq`tqaG7ui)EYtwFVKx`c*=wi;H1aj zQUaa|BV57`m4X2lq5&u&8iEv}5ugwaz*fq}KuXyNa4Gv6)Otn<Q3JFlOo<^<%fbM? zWx)-;J_+7@F?hM~`~Ux-&auJEOW;l#T61U{SP<GA0*}<8n+V!A;@J%~5wcy?_dCj7 zS?K0ZP<<-^ZZjcTMK8Ca9%|yE0-kyVDFt6Bj69jK?kAe5;F%bZQt%ZT5=f@L1nt{| z+zJ4mf$;2}25QhDu6ybObD_6Afx3K1GYKf|Iq<eskR2E<XGT9!1GmdL{-ShYQTm&p zzCXCXInM>OHLiP~1uJM>@92H>;F~~3@1w_gA3bP$LMPt)=owf?@1q~Rk3M6N-$#D{ zv_S~tK6>x~KkobJL7GUuj~=86*3k#wM-R57Hw5Q>^dJqO3Jc$T^dK{k>J<=o^genT z+(!?p9YKR;pmA)_TtBFq1YJQ7Dh5HLY7rm~sKf(tM(?8sU)Tq_8XYv0hSbc&ytfZD zumlR!(fjB-4_<!E`MWblW%NFJ(0ZTI`{)I_eN;q1_jV1A`{+T{5v=~=Z-L!M52|rM z#~OiFHKF&-VE563bb`(&0;w6jkACz%dIs3#@1yt8gV(E$-bX)rAH7Nl^vX3*Aqi>} zftHYgR=9v>RY&im|Hpdp88c`e`$V^l5oq$I^Wf#zKD}-%m)|!3kcC_#Z^N|{H07mv z@bYuc-@SD_-8NR2pL70&r>)^}A3dltMO4GE`{-fSFlcQQYBdbGj~=88R0V_OFCoS% zq2rT~C2fd~WDj_WTPJjc5;RDNG(tIgAN}Zk^rQFDGaxR;u2I44*MgS0f%~<i_t9hP z)Q;Xq@1in#AN}Zk^xdQP(K9eGKsWQiFNGexkKP5eGj;Sn`qBI7NAIIY-1P{$oE>F- zEqGuQwDAD8zLp)lz8129W%NG!(fjE4fkskX4gZ6#M*ZgkIy3hNf6M57^auD|K(}{- zZXAIx5Z$8!I>W%Hcl17b&4b-!<{Z#2E%@r&Dd7E`NZ|`QJOUKHAXUiw)!@w@$Z0JM zr2^e8;FBgs@1t)4A8F$_dLR7{IfBck;VY&`@1yU9UzDx^Ui6Nd+aL#KkKRYGg4xNy zc5gQ3m5$Id4SrwQ=za9A7eLcBpv5kr=^D^QP9QdD@fPwec%%2xyQoBTbAYxEWO#H7 zAZ;Kbe%I3Iee{qmVz3JxL2U)llp(mSFnS+7Xnz8v^oN&DklPeNo6teWiXc}7u#o%% z%Cz8C7wDWXa7d2cM-RTg9&}ea==`hE`{+UCJLohqP*NENmmWfP1VIi?LE03Aqt7yW zA3XyD(q7If;9dzRZ-DmhbhfB~C=j=Yfq|iuHhmUYgn>5uqeK`BXgfQk$OE-IKnI?H z$~urb<g(7Q^W^A#^qk*2ZB$UVce3zr<5B74QQ_a_qXIf3mIbtP8oZavx!VM^+Y@wZ zg9mKKr)+14icg(7WY?<=eAg#r<ERJH&QH*p4L;qFO~^j5HJl#UZ+7<SbWsTajaG)J zAaBVVy^o%OLGuKBRkQ<A1`NT{b-^CCn4K5sYIJz>VDvtE;yN#*_tB5k&I|UOHF_WY zLGYqPP<;X33XIe$tWhxltx*IYAY=l%1Y5P&jiXzJ331yIWT%G#N||QDzs*I(pwmUg z0K_oqbWt$@onZo6MMUKRQ23q5pdQh|S4>D_)?YxUvP1SR!;45r4+?ag7f3yFs{*wL z1-cg*+Jh<^y^kIg@7mbneU1vKONA8mQwFZD1y2c}qoh$&0%&#!T3Lb`mY~@oP!a$s zL{0*u_tDcTbAslHP@@ENU?@_QfF_hcQ36tkBT7K$2SP3^2Ptm;!H%9WK__^Q-batE z2S@9&7t}*Tjb2b!4k>y;T{%$nf)wJ2UeH0Ikmv;|21PH9`{+UIzhSG!A@|Y4R*ZvA z&qQ4@&ffyNj~=88v}zorhI;qWgG`0H1$-Yp#4T9Q_ki6;57GoZVG(=>y$7U@0<%G< zG9obId<*pZx(j0Q-IpQ|2fi;QA&#Q^QVO{FDZH;6xBDj~;B&u0BG~;6i4?hife`K7 zzabf)`vX$I?q85Xk^3)5($4)C((t*zARX-f1L+jGpFxp!?*EX9&;1j!!0vyLMUndr zG-&63fn0p<-;fJ-KSLfx?oZICo%;<6@VWm&0oeTtg%r7ef*I}HA5e_X{U3_K?sq7m z$o&UwY3KfeGJNhAC<nVgp`0T3e{i9l`zKW5bH70q*!>Mv6uDo)hj#AYP=n9?0kvTF zFQ}!+{Q<$WbN_{UeC{u30K5M{14Zs{h@zeQKQ!TU|Ac0+`yVt@<o*qbv~#~e8$S1M zXal>Sp`9Z4Kggh+`wcqrx&J~Z*!>D!6uDoZfOhT==)vdy4?SS_JM>cIeur|}xxb(v zpZf(SfZd-kfg<-8)X~oU6DHwvzrkd%`x_=x<o*S%v~&N4srcL<Fb(Yf1=A>U|Aijf zx&OipeC{up33mU1nH0I7VG8Zs|6w*h_fMDucK?Gp6uIAEHtpOmFdv`$H_QjSpJ4$- z?oU`mJNFwb!sq@Ai@@$zSWJ=oC#<BM`vaEZbN`2>VD~#LqsaXSHqg%f1uO8mUtlHJ z{Rt~6a{q_zv~&N2)%e_Rum<e@hBXwqUtvG(+`nNRKKBQ#2fKg4dWzg1aEx~DzpxRX z`wKRK-G5*cMec7nOFQ>}*n-df6Sjig|6nUc?%!~QcJ3G0fzSOLc7WZ_u#+P9Ke$ah z_Z#fS=l%=3!R}YsLy`Lh9@EbK0sHW||HD48`yKXE<bH=&v~z#KL458PI0SZo!Xb*> zU+|H3?w@c3pZg7tg5BS6lp^;p_(41OZ#a(6{Q)Py?q6_%BKKeTPdoQtIEBys1*gI8 zKX95N_cO2y&}{zb!&!XppKuQB{s-qMa=!r|?c6VL5uf`vTm-wH;SxpePY|V@`wgz( zbN_`aVD~FrrO5pgWN7F9fb00&|KU2={SG%Ma{mEk+PS~r7C!e2+y=Wp;WkC?|DZ)X z_fNQs&;17X!0vCjN0Iv#jA-Zn4G-|SKj0zQ{R<va<o*Cl+PVM2V|?x}cmj6+fhQEX zzrlfa?*H%%pZg~~2fP2lbBf%*!JT&Q7kGuw{Tp6^-OuovBKJS=qn-N=-r{rrg|}e$ zE4-t~{Q_aMbAP}GeD4470qlN<j}*DzA(nRTFZhhl{Q_UW?oaqak^2i$Xy^V3-|)HL z;5*p;4c{qp|AK7Vxqrh?eC`kU1$O^}Ulh6jLJ{rUf8h^4_ZR#HyZ^vnirmjoMLYL@ z_>a&16ByVT9Gmw(U|?rpD3Jyq5$mG)lkuiU=X;;dZ!Vp`z>B>-JCC_&{{Da1r}McB z=!)!5oj1Ya%|94R4Llo<8Z^?*{Q}JF3?7}|JFj(~a_Kzi+4%xyb4i(R@6rv-VD~ey zP~`rE4%)fjfDNDfFR+2#ufR@``zQ3%&iw(L_}u@26YPEmE{fcLU>fb*U%-RU{Q|sT z_b2dD<o*wHY3Ke4{P^5&AOLoMg8)VDS6E6r_iqrw=l%d;u=^JXQ{?`DHMDd81yOwN zFAxK}|9}`p?r+#kJNJK(z~}x6l3@2gkfg}{8+Oso{Q@%h+`mBv?0yDWiroL;Ann|5 zAdk=e7v#b2S5TnH{Q@Ux=l%dCeD43C1a`lJGDYrpxIjDi7pUTMzknLp{RwImxxe5# z?c6^>1E2d1G{Np~(4@%y3+~a*{TsCLxj#S$?EVEh6uJMxQ`))zf*wBi7wCiCe*kn_ zJNfNrhPSkH{|7^S?w?=;cK-t-io)OE3+>!5V2aQE8%)9OXE3A4{RzKm=Y9hVeD1$s z0d~KFB}ML^z$8es`J(`9eD42X4R*hS4Mpxhz)3sz7uex*zkog1{R#FIx&MOz?c6`X z5uf`FoWSmHaH7cl3KFz){{|O)?hkMUyMKWzMeYxfr=9yRxZ`twfd|<A2RtZpe}fwB z-2cG~pZh0xgWdnYn<Dpb(50RG1^n>2e}f;`{S5vTx&MI)?c8q=h|m2O0>SQA2%^aS z0yeaBe?SO6_kRchyWb&{BKJEu)6V?`;rQGy5CL|7LIg$bFYuzB`zJ)<bH70}*!>OB z6uEyvAnn}0Ar_ze1LDB$Ul2!;`!7V$&ixk>@VUPr5$yg0i4?h?A%S-8|B#H&{S#8a z?thR%k^2qOY3F`{bbRjLkPdb~Lk30ePspR4`wg=2x&J~I*!>FG6uEywDec@Jkc-d# zA9BI&cgUm2{Re7j=l+5MeC`)01iL?>kRtbgXr`U}Clupzzd;Gu{S74)xnH51cJAL$ zhR^*0<zV+OD5uE%0h4Iw{tK1(++R=ycK?AYirn8YlXmX^P=n9?6KcWke^5)2`!_70 zo%;nE@VS3O1K9lxjTE{6!E)NU-=G<v`!6(u-LKF>k^2SK)6V??ZTQ^(p$+VQhjxnG z@34(_?l0)X=YD}Mu=^9bC~|+nUfQ{TLJvOo8}x$R-_T2u`xhLco%=WR<8yz&1hD%T zOrXg97f#d8{TC+TbAQ2Pu=@{8rpWyamuctz4^#2Ef5J4d`yWiB$o&SlXy<-`nfTnl zVJ6u946`V5f5JoBx!+(8KKEak19rc{T#DR3;RWs7A21)E`#;PFyWe2}MeaZFo_6jp zScK300*k@!PgqQm`#*f6o%<&&#piy5WnlL=EThQ%3jb*5{tYYexj$ef*!>GuQsn*s zRw0_re_dFO&;12!!0tb=h9dVj@X*fvAJ*Y>|Ah5m_di%qk^46Y)6V?@oA9}R!zQr% z88%bo{s&UDbHBk>eD1%n73_Y6Z4|j*K#6wl57>dv{U3IK-S4oIBKJFJ($4(_yYabS zU=P^+3417Ve}Mt*+&^I-KKC2!2fM#vKSl0eU`{*tZ#an0{Q-x-?q6_-BKKdgqn-ON z9Kq-Qf}>#fA2>>p`x)G5=l&1J@wtD(39$PgoS?}42EMd&zrbmH?%!}4?0$wb6uCbk zgm&&XIET;u7tVp*uW+6s_fLqXo%;hW;&cCpi(vOVT%ySR2a;&#{(>v`+%Iqy?EZwS z6uJLHChgom;W|F|8{7c9zu^W&?pG+Jo%=W3!sq^g+hF%ExJ{A!11f0e{tI{Uxxe5Z z*!>6YQRM!HdfK`F!vlQopYRau{s#{!a{q=l+PPog2|o94cmj4m!&8df|Dcz4?l*Xj z&;1vkgWa$2f+F_|Or@Rs176{C|A$v#_dC3%$o&p;Xy^WdxA@#I@DA+$gm)CVzhE)# z+&|$1KKC1Z1iQcCBSr3Cu!?r>-|!iq`vbm!-M`=qMee__k#_FC@C~2)3%-Nhf8aYs z?q}FZJNJM1iO>BLeu3Tp;1@;iH#k5$_Y3^R=l%_U!R}}HN0Ivzj?>Ql1`Hf{_Ag&x z-~jEvR$%0yWdHSqbF_1R05d-Ke_#f?-+_f9_aC@QJNFl`;d8$LJJ|gR>=e2G!yVeW ze*z~y_Zx75-QU1Pk^2>%(9ZoEc<{MDfEVok1-ulwKj1a(+<$=|pZg00!0taFK#}_! zKGDwoAB6C^e}XXB{SSmGa{q>(v~$0J7(VxJ5CglPL7XD@KVT51+5C}#BtG|FkOaG5 zL5d>x3vkfR{Q)xg-2Xua?0yGXirnwOPdoP)$m4UrfCAY42?`Xszd($3?w_E9&;16< zVD~pDQ{?^yvb1ym2336S4^RWUe}NiB?!TZyJNIAEz~}w~O|bh9Xj0^U1|8bD|ARI@ z_fOCPyZ?a>Mea8+rk(o*^zpfWgFe{(3<earKf#K2?l&;P=l%;uVD~E+Q{?^$j<j=s zfGIxre=r5R-@%L`_aE?}o%;(c@VQ^W672p2ON!k8!Jl^SpJ0v8{RTE*_cz#3<bH*4 z+PQy&9X|I5*n{1_z@8%a2gK3N{TCeZxxc^(?EV8z6uG}4m3Hp`;DXQn6I{XWf8a`y z`#0p!&iw)&_}st21MGeVPm0|CpqO^<H}J;i{tMn<_bd2N<bHu_+POc#51;!#_<`N; z;7^hJ9hzw8{(?Y!?iUCGyFVd_BKH?`($4)8Lh!lYAQbHWhER&!zhDCG+`l0lpZfzM z!0ulVL6Q3}OsAduFGS&Ue?c_Z{Rg5cazDd-+PVKjEI#*7hy%O-K^#TyH&{kH_X{NA zbN_}!u=^R3C~|+oTH3kaAO)ZMFQkCouaHWS`zLIno%;jQ@wxv)I@tXV85Ftyz;4>P zzaR^r`vtPW?oY_3$o(G<(a!x7a`Cy}AP?;RhCGVguW*WX?%z;=&;0>~VD~R5q{#gN z7is7I3&r@{Ur+*e|A7*U+~076cJBXBhR^*I%E9h`P)?EiH{7S4`vt1-xqm|y*!>LE z6uJMwGupY|pcbF|FVuqFuTV#k`vu<7&iw%m_}u@Y0qlN<MvC0;@RfG%FKEW+et{OS z`x9Cya(}@e+PQy18$S0Nw1eH>&`y#27ch&^Z2oIQCqDNFbb;N!po=2+U*Mvh`!Dq1 zbALfE*!>53DRMu95bfOmp&y_7Crkjl|G@-`+;1RBJNFAr#^?SGlfmw1m_m{J6BKCY zeuHWF+<##j*!>FADRTb=b=tW<U?x8If0zk&zr!qw+<!oicJ42jgU|f}bHVOUm`joS zKbX?a{S)TnbHBj?u=^VpP~?6ETiUsQ!y<g{4_FL#|ANI7xj(>#cJ9Bh6rcMGmVw=W zU>Qa3Z}6s_`#-F}=l%&R!R~*sk|OtS2%??)1=iqm|AsYS_cN@e$o&r@Y3F`}_4wR> zVLjOW3L7YLzd$1G+#j$BpZh;-0=wT~Gez!q$e^A33%25OzrZ%I`xCZN<o<$u+PQzi z4t(x6*a>!j!%m9azo3kE?%%K*pZf#$fZe}f4@K_3P)j@aU)YDw{RR8M?mw`fBKI@2 z($4)K4&rnFghOEWKR85@`we<%=YD~s_}stYDA@fB$0%}t!erXH-{1s3_g^>xcE7?& zirhb87VX?0a2lWcKb!`;-{A~J?mw`QcJ41YhtK^2=fUnzI8TxLKdhjg`zKt)=YE4r zVD~p%qR9OU8))bL4Oj5FKj13Z{R^&A<o<x|v~&N3>-gMXa0BfA12-sgf5Sf7x&Om0 zeD0rc8|?lEw<&V}hNHA|zra0w?%!|^?0$y(6uJMw8QQtu;2}QuUw8<1zrrJm+%Is2 zcJ2>&g3tXQo`Bu&@RTC=JKUz7`wO1qbHBg~u=^8UP~`rCN3?VQgje|7Z}1xI{)X2S zxqrb++PQzjTYT;hcn5a>f_D_T|H22_x&OikeC{v!2zLL0j}*C|;Ro&9|KT$}_fPl& zcK?Gf6uIBvKkeKv@ExD~H+%=XpWz2Z?oVJ7rP=(K!7qI7zwis}eudu@xqkvL?c5*m z7oYn-`~|z;;U7irKOjOo_ZKj5;@N*Kz{m;Of1SX{Ny+}}57M-A{{&`y?l)ioyT5^j zBKIpO)6V@H*zmbOfF11q1?&{LKR}Ci?!Ul^&;12lVD}&3qR9OXhO~442OfOxpTG-t z{{vo%+`qwscJ3Dtz~}xA0$}$u2vX$!2lljczkx75_g@eOyI(<sBKHfp)6V??V))$u zK@9AE2XTtr@8Cx}_ZLXwbH9KT*!>Ao6uG}3ly>f)AcN2S2C`uHH^@@t{sl3#bN>c; zeC`iW0K0#I0!8k>kW4%GUr@s5{sLvN`wu8n<bH;1+PVLODn9p5Py@UFff_~bHz=Z= z`vo-dxqpKu*!>Jz6uCd4l6LMl(81^a3p!x;E9g?>{s|4VbANz7KKFmn2fN?FfFk!F zXs4a~3yko&U%(jb{sd!+-2b7EcJ7~GiqHK9W?=U>m{H_@g=w^N{{{<u?hmj8yMKWt zMeYxnOFQ>pu*T>90voXV57<!T{)Q#AbN>fBeD0rM4|e|pdy3q@VKwdCFW`jF{TrOX z?q_hO$o&sC(a!w_uK3)4!4>R&1viS^FR+Vt?ho+5=l%~KVD~$CQsjPzgS2yhfj2() z3;2NDpWs80`wLFc&ixbo@VVc>AME}He~R3{;5_ZzzabEx`vZc&?q3i@k^3)Pqn-ON zgy3_3K`7Y$2SO=wKf^uRx&K2rKKD<E0K5M|1V!#QcuG6>3q<2{|AuI=`x#;=a(}`b z+PU8#4xjrk#DU$f5Koc&Cw!)z`vVg3x&K2V*!>Pk6uJMvFWR}kAO)ZM1yaH8Pe`T6 z{T~>`Xf}T|AswIl4Kl#)Z^)p?{R*74bN_}ceC`j(2D^VjHbw3a5TKp=FXZBLe?cDD z{Ri?Wa({z3?cD#N0H6CO6oTFVppYW>Z;+#%`vpqyxqm|m*!>Kp6uJL_D(&2FP>#?2 z7s|ozSE!)K{Q|nQbALb;KKFm90=wU#nj-f*n9$Ds1-1CxFHi?|e?lEa?k}*Wo%<&= z;B&u0BiQ{7jTE_mffMcAzo8kQ`vY3Q?qASCk^3)r($4)C+VHu*pdIY~1ML*KpCOQT z?pN54&;1AXgWWH1fFk#wm?ciL_K(9MeC~g62<(1?!xXvy$3oh<KjA1o_cI&=yFcI< zMef&FNjvv9oWSRPg_B_S7o4QX{Sg~z=l%t!@wwmO4A}h>&QRq3j_tH_|ABM(+@EkB z?EVesDRTdgeYA7`gNyjw-*5@+{tK5Va{r5?v~xehRebJWa24$S4_7I2zr<PExnJQ1 zKKCEE0d~K@O^V#_afNp7cestu{SR(~-EVM*BKKF^rk(o}?%{Jk!+o&(1MXAg{uPgC z=l+I=_}s7X2<-lXM-;jL#!K3{f58)c?ss?!cK?K@6uF<{BkkOO;5k0`C%gc=f5Quk z+;8!NcJ6=h3ZMHMUW46#;Wb6>&-hO}_cOf1=l%un!0!L>jw1KZV3VL(`&r>5KKCE^ z2zI}~CyLyEf|qvgcld(O{SUr?-EZ)fBKQ9gp`H5^zT<O0!w<0g1Ab8CehnGgxxe8T zKKCp92D`uDH%0D`P^O*x7yQNNeusZx_fPmok^4KeXy^U|3|x5jFC;K>f%Y$KVC14? z|H2MK+PVJ$Gd}k>uz=lvfrTRXzp$X4`x)5rxqksW*!>^aDRRGr1MS?ez=hBK2e`oQ z7vQGI{T}YLbH4*GKKDQ11-svXk0SS1_|eY&2?F@s&mahPe}EuG?q3l~JNGvT<8!}) z2-y7vA{4p*MhxxTzd#J1`yIr=?w=q|k^4DPXy^U|lK9-8AO&{+1}Tc%Z;?$q_dk%q z=l%v+u=_8_Qsn-OBHFp1K>?rp7bt+;|3QHw_s^)Lo%<D(@wxwiGT8kBDipc@L<8;I z@1Tay{SVZ@?l(}U$o)UsY3Kd~O?>WW&;q+ZK#L;xYxL93{S7+!+^?Vuc7K5`MedK7 zMydOQJvu)(?7z;+z`#%<=&^qpBLf2u0|SHMw-*cy3=G}P4!R5s%@6+hbT<cRGB7xH zb2L9><UH8f4HEBec7ez`c6+cu#X%w-y}LDJ7#KWyH*4rJFc@AkJP9_;qj$H2E(3!{ z_hyh$yBHZ57<PgPnB)g(upS0o1_r2g$XY+>Aha@}Yuy3SdP4`R))PotnbEa2K(uba zu5|&DRu*)v9uTb^*tJ$5X$1u@ieCjFS`)Bq4M5V$hHk5cJUEyvuxr&o(#npml>wqv z0E^b{W(FP9nD$_VMkz?5yO{+d?bz+X3Ka*5c=YZ*AqRHP3vJY>zM+kXFHUraOn~S) zpp7-YHXv!`Lf0Ar(K-XW)(#}C+~`^rAX*EsYfV7X3d#Z~@%2I$>`4#oS}l;Y@}k?i z0ism_yH)`tt$gTOD<E2bXkiVe7g~rA<_BpNU|?W?Wu_l2U<Y47*18j<3Z~!yl5TmB zZse@!0I_%lvTkTD?rxr;g_clYWdcZ|yLk>o+OgY%2bxeoA|AcFIUt5MXrU&Q0wjkh zqC4aQq#TLB;t-GC-5y9<mC&`$fM_+qu2lg^t1^mKXxZb@yE_450teK=(Jw(o;sjI> zj9PvkV?`-HeY%?^z{Ms*^8?1tW(Pe`5$eDK=D6sAVraL4B8b|op@$SI$l91Xn<c>7 zJXkKifUqyVY<|et*)0Px;D-X(00vwJuyi(m&;{A+!E*2g6NGc{B@@_)FQD?cclQC1 z5sim$Kuc?n&U<jbI)(*%G{5oi==|*2`Ojm&1p@;EsPu)_4<6ml2HoBkT`U|OE-DTl zosWDvzk?H4NAnLx1_qzrVhxYR!w(oiego$x#~mHQ3=BS<-#t3-ffeoo@ppm<h@eOF z5szrcICxMyhJ#$t`PpN?C92iOninuJFfjS_7AN@h?(SfMTj6SWz^C)OPv<|d`4DBy zhL@n$TX=!3N0nxRORu;Gla6+bbBu>O10Lxh*C2(54XUF&x|>gcs?F{T3=9m|LdAm_ z6e^n!FfcH9G#)l!hP&Ev$AUISlz;*8cY+A)0RwdrD1014f&*X?WRL0~&+fJ<XCxUs zyZh#xk!0}b?Eb;bz~Ir@`~mEa-7^?K&RKi|;to*x0S>+YKAq3Nq37A%wgjSm4Tg5Z zOFKat9Cu{Mu`&2`BJ0@#(X$7)o)y}hXnKx7^qj%1M?=a1P0tmGo;$epWT-o%>3IUt z^9Hw`6((+IdcHvP{K2i~g`+2$9+tD<VCOlD9_;XN)A08}(<1`WBZFH{MvOn29u<fl z9o%|WWCo$>F@fl@!L8>-StwMGNAnSmXvY|MZUB{mFr4+n0nF?;45k;r_zWLF$&i7; zp&u;HKrtU|3!DJeRB#TI0Tau33aa)Q7$lxS=!)kMTH^(Tz5%5>UPAa5uORdbC_Upf zgzxbNLjQo$E8ar*5$_;0$9o9914?Infbc6mLFfrzAhg3*2>k&{FZc%G2YiRn3_l?B z1}L5I6T%nx1)&c>>5f=X>wtlwp&3HYSO}p#7C~r-6A;?K7Sv*5U`TL<&;srd+Q17! zPY8w3A7UZ&gLnuXkOZM;6hLT(A_)DV1VX>4fY8w3hEuR`hY5gMx*)cHfUm!ctAb}< zL1~GCYfzAXkb;#$NJgpxL?YVQ${<!jHA$f)U%@3fz{JAUP$4s~xFj(zIaNV5S0S@l zAv3QmF()%cA+bb3RnIt0A+K0Z0aE#Z%>NGq><kS5*%=swK!OYm43bb9<_=JMA0z~^ z7V4hx#G<^+ymV9-84~TH@{H6xh0<b>35g{oMVU#ZC8@;<p~0^4zM(!L3bqO$$}_+x z62<KSIjM=osS3rZB?zS@`3k9-B^jwj3V!~6t_t}@3NDd;j=rAGdLTE0IvgNc5JWIA zFo4?gpzwxammp__a=2HV9fN`*ogIUnJ^d7t6Y~`E^KvQ`l2R2)i&Ik+GV>HlGEx<i zON)w9^GdJ>c^X(rK~ZX1W`1cgLQSzkL26N2eo-#i!wMzk`3jlfXmxaP0XaE1)F~v$ z(K$pRzaX_Ju_QA;PX{E7)xKhd<ovv}%=FSCgrj^NofT3mN>cMu6!Hsl^HWk4%+-?& z4Gato3=EJ%kO7N-J^g}ReL$%N6s#rr3I>J-3gww48PH?~4(*bBh1}AdlFWjf%w(|h z6f`O-Dhvz_3^nx>LNY)}tym#3Pa!iWCpA4WM*-}F#Jm)R+{8+S;*9)qg_P8?Oo+~; z)ROYl)I5da%-qr(h)_{#acNEo$b=MyjKrdp^2DN4g{0Js#Inr%B0WSfBBgGmBu$2= zf?VBU{xX1iAUUzLIJH=zG%q_ZzdTQ&EHS4vwOAoBtt7Qbp(qs;Hu=fPrA5Vh3Z5n4 z&?-$&Pc1G<O#$V<(qdSy1et8BV5k62;K_-_$%!eTIL}EeN>5ctOi4)vnSd?3B72B| zVHGn2LjyAd1E_fbO1iMTDFNazFfd3#X&ET30i~gp1w#Oo9|WZ%pmY?Jj)Bq%P&x@p zr$FffC|v}lOQCc*l&*l%RZzMHN;g312~c_}l%5WyL9Hbab0&yjU|^UHrB^`dl~8&$ zlwJp=L9IOy6VxaK(N{qP0|Uc#D18G;--Ob)q4WbN{SZn&hSE=<^am*Y6-s}H(jTGp zXDIyxO8<n?zo9e(s2~Bg7ooHOloo>05>Q$SO3OlNc_^&`rFEgSK9qKV(oRr107^$d z=~yTo52X{JbP|+Kh0^Izx&TU-Lg{iST>+(Qp>#czZh+EFP`U+5Pk_>sp!8HIJsnEV zfYP&|^c*O?0!pug(g&dQAt-$WN?(A|SE2NED18G;gZe?BpnnAAe}K}Ut`bPx3DmP< zU|?u~(i5QcR46?iO3#4OGokcsD7^wouY}U8q4YW^eE>>dfYMi?^mQnG14`e7(zl`X z11S9vN<W6uAE5MCDE%Eue}vMXq4W<Z{S!+6hSChs%2@zPOF(H^C@l}AHK4REl-7sR z4p2G(N=HEHSSTG2r4yiZDwIx#(gjeu6iSyv=?W-a3#IF!G-~|=YRtf^e+6*u3$Beo zg=l^r)^b0y7*=qrrof8gqSV6DOi*oDlCO}FSeA-rQWB)aP?E1;2r8>{6HAg|m0OUj zyAG&g&o4?zEdoiV<`tI~r7DzUB$j}ya->QMRHx-AlxODTC_sz=)y^2T709&2oE!yE zjgXvJoLa2MK(O6}zYzy*-N4#%`9)xdAp#4eI}I$FSfWr|keZyCmYEEzyg@ZHmPR1B z%7(RjKy`FdszOR>DkuaJ6>>9+As&Rbcp&Zv#R;fJM_3FNfi>Phu~L$mmkzHVi&B&G zb8}PkQb08%C^+#NlT)5pSqy4wfhyXPe6Sab6LV7`^{}1-rGW?wJy1aT_`7>LD}dV8 z!6<DbP;(emhW!5zA{iJAAQVMbBWiU8XeupMK&1EpP!K|WYzS_zuF8U1%D})N0%bB* z1u-yI2rx?XuycUQU(f&&tlkILUru}iPJ9whd<sr{8jgGh&1^lay)1pq{Y*^v_%y)6 z5@0193=AN>u(m)y$b3hbUPnF+cfJFR&8&Sa{mgAlOw}N>6u{;RIP!5YK=e)l>0w}C zAk|(_I|f#FgN7MF#(>%~uzDEWUUB3TXl7#a0$B!<gVn9r<Yb`belW-&h(2GKJ_{Uj z9t;c&Ay7GY5Dg9#P`EjQ{A$q5+5-u-i6Ac2>rQ+eu6zbe(@=#NKxQofnE@Lw#${GA zsz#7msi;C=v)(|>3J1}+%t}Mm2r}y;st^Mx+$^AV1A5qi+K3@gxdc$h2x5;TpF%U( z-^@WQpj0H_#K*zlf>ejzg@!RWEr8OP6Q6-2pM@izLo<61TQ4lZ90my)I3cAtM?MZv zIGupn32ytr(;FhKA`%=ttvZ53-4W9)5okTqgYG71x`&#TkE+uZ<X}WjfVvA-r%}VK zHBfg^!;hfxMUeNX;Vu_YJTovbP{XVVR5a@j70uFs4pdOXk0n$z>j2cOqoBydo$gl< zlU8{^bC?Va43wG$>hDEB&Ef;a0kXTW=4<As%-AxvE1!c4HgV9XJOcy69;h9Zx))S_ zaDWDFsNi>ySs_$3YXKF_dP7CCEI^etmBI^jH#I2v?gSCYX$7^sokL6-z5sO>rDlQJ zWip`oa0Uj3r66}X@d-HcNr37x<~}B-i6ADZ5_9EKaDlN+7#J7=pnAZ4W>h_IVd`A@ z6qw>*90mq(ecA!l=MS<A($?^VwKbODkpt~(25G^j542|tmmFw4J}x=XT1Q-R2GHSU zbo)SKJw8ymBOuMl@rX6gGtI`9wQ*&6kojw%=5Hp>{4P?>=Yb66Gtk0(P??wmHD3Xg z4+)3QL2T}K<a1!QV#6lGzyJ!P3s5`QL2W!tJ6!kzP});Vu$kn@7f_8&9Av%$XvqZw z15LsRWc~yioBsi7{(j=p&@^n}fG>>(fYz1JFnl)9*n9!V^c2nFw*YEBt-}8T)O=dx zVNm)s0IkiTVf;>@vH2gM=F=+t13;Z{8ivmX8k;Wwoy4P6`YE8X`4?ztKB#SL0G%WP z&%GemFQ{#`Vq)5AHBhrq$A~cc>_x<wb%Kg!i3o!#2L=XexGRB*X04&3SwE;~mJ4(c zks9GOfr@6`p`uwDqSQ+hB~&!)0Mx8%kn`aA5jNHc9jS^#8o+~$dbyyAFo4GgdBi}5 zFfdTVtOzQawS<aheW0RQHsaI^uMR4jb%ly%DS!rh8K{#ca;Rh$C|mD<npFvk0!Kap zrgA<GkoW~G;vk_HAcBE`K@O_EnJEI)y#}v+V1X`1g3TF#<~<~!V#}fGUHBB5nV1%U z1_3xgMp;1Rv6&YFl`{nCWnf?cnU?_-n+p<i<P(6HHw|uH2UOk{D&Ne+<PLHmsJXKQ zDi;lsV}PvJ*nvgt3>L8mSj4_y5#xX^_CU5n28);hni!}}>;e_L2XY_03<3|*V;jTY zN@|~K3Do>m#F^hns`)I^AcGkgXk~s1)cjo_YY2zWL{i;<1ZqC5+^+&kzznntpBAY3 zpe5N1gu`b(sp0d4#^$@of+Ci7;j@Is=CgncG1|F5g~sL|p|SZY^0bZL78;xX1ZqC5 z${!a6Nchmo{3THHX;r?lfVMNyuzX8_noo=J4b(n30yQ7jegU<EZa~HKK~dw#Cy>j> z0bc9z0V-|<6|dmq0Jl##pqfLW;*j=<AGm!2Dn2#v$b;PA0hPA}>4&y?VxVH+wIJ{| zPaxP#ke(W-JWLNrYyz4X$nGUjv0RYZaC6eY=78jn;E@NJ`2;GDY|jrYVmzP?W(*7r z$m$fJVm2VVq3dN#pkmn|F;Lqx6Vmqdfr@9q#M2<+DLBMypyKG}Ou-@!T2r$IDn1`H z#|2qe;l!r^>+3<*l`!{nAa(6Pt1K88KyH5l)yoN*7l7z>1WjETG=rCAFjayKg0A2J zt@$WM;;S$)Flc}X1_lQ3xFPDi)^^xb7D!0|h=7g%p{iSrtgeDcbqk18cY+9YptUI< zpz6SDTOC13NWz8Bp_#dji8+K3>_EsmB#<&0RZv7i=Q{BC#f3<9IYg)f6?Idf>b8Ka zho|=?Ajfhz@+mNY+_nR%hERMwfT{zJXX5b#hZ@K-!trYWRfo?!(A;SRRNW-dx*SNG z4xHWsK<TZGi8-GMmcShOI2`%F$)*RYhmfDPK-J-M189x;9jH3+8XSllz>9x0AoGzf zd<~4qlVQvZ%zPb;j(igso%m)jI`J)FbmCjV=)|{y(UY%%u@qaYlYs%WhTTOS5_Z`L zze4PB<TG&Lo4^QKD+e8knZh@NF@tXbqbJ`4#vG_EAa*vAcskz<MmMM$rV|*eiWngA z54Dp}SUrHMn+0+rYWz<ECwC`4juHk21`bf>U|?W42=W7@e1McKpczBhnziqI5l(yw zPJ9_od<9N?6;6B&jGlY}^?VUNd;vLp5gB|5o_qo6d=YM7MiF0xJ6}L8UqmRBtKkS* zJqRsiKxGPO{TI~U?a*?`kx#;f&!CyPkBNB=BtJsRFmU+?Rr?OK4hq$c1+aB>%xO%h z<)0&80VqAbfa(RWfrsb?r$=b{$1KMPOOFuyKzU3?6BKCp^FOGpcY&&l2Cc<`r!z-B z4RF{&VxGB|i7z6RFToKUc%FO#OovhV%nFRC0!;2m9sw6hAUAD*+J!IegVf!Csw0#> z7_<oc5j3B#0aYjI$iRT5kLJQxfRdH&vhY<n@-;9z@pUjd@l9ZKgQxWZ<_k>NvXUcT zK`~#28#qZ7FfZkVC9eWzF<pds9wT2x8eapWBh*|^zJe-<2+Sr>-Ua0zSl)Hx+rj9> zcYx6ok`6vV{gDP*OMsLPz~xdu6LUBhYB~V5FI2Q4VRRTo!OP=4;PMz$Ub{f$&!Wih z0?UKSYf#e5fT~f%Oy^?A=^UhX3RJBMrdmmKwV<OJK-LnY_6?Edg7)1==zvUxmIv@O z4Vjy9=i7i(rZKZJLW9_e?*yY0-vve|z8j2Ad=D5s`8F^zJ-{b952JJgh4&Pw-QfK= z@bKOV4o^^C-U5}M2Mr%5P(h{us>Z=>8Rk~xyzI#50MhdUst3F_72+Ro{&#@ZN7AVE z5m=9mE+h;-fyzWIdUjyZ69CoYf<q5CnjTPIZGr091F{aajNStB8fcvnNZlH!x~-V% z*22_*($5vBx=d*JAeE_c$ms`UCg?Z<ka6JU=n%W1<uB70WHUkM9)Q%{0{II?9rHmZ zm_kQB1Cae8;8o@f43jbK??AR6)K6)Ess+Uns9ee8;{dOTp8*xug4zd*V_12Oh%ZEW zeFmzBP}%qes;(8}A&6gK>$+-TUIB%T47l^ez<@8jLF!zf>b7B;w+?0=$geq2b>RJS zpngLD9|veJT?<rv4OBm54K%p^aDdfoOaXizPJ98Ld=7O;)dIxtpgztCs2ScMGZ-Lk zgga2N4v-kCKO11~0>u{#IPe)5UV-8Z)+SB>w}~G@;tO2;Fo4t=K-FGERvQ3TdjeT4 zNLdVsU|?V{z!8Uv@VtQV#{{Sz*w{J9Z%d$JQ15`p>~}!LpxGKMb_R>s1E?6X-Y;lk zAT9^gLQ|-}VCfB9FSIc+YrxACNLYa4(*~+11QfoY_zdC$H={zJ;^2LP;PT6$nTg4l z&%%w*!IRH`sSI3_LH1rWK-GfxID*Wmfb<z>K*eF}?3jEZ;v2AtgWP`tDh}S;2Z?J~ zK8}G~yb~7d5WD|C^`P4=Vg&LK0|UC<I#|R(cDq2uNwK>Ess}dL$W#gO-vp>Qy4@?F z;;?-hOn!VEAiEDh#YwUI3seuf9X!UE{s!f56{t9ta%DLzL_vAO2dWOVuYm#Hwg6Qy z{Y+KxT@MTlJ`4;D6;QQzKvqK3!rI%2Jii2-=bgZ<ZZ~Md(ZLTR|AXqx6HxPT%`d%x z%43^fVgW5&Wnf@Hp8Ju&BBp~y%mGad<hKy0*fr2vBS^go=?_8rUG97fm{A&wMeNuc zgbSD<Yh#x{%|mxP$gTrWxm3`)FKl)lK<(pw=EZIoXs;;9JONWsgfK8<gT~yknKywA z#qH-gv70x64dV6$sCnpapToewPy>~_<b<_N?atSL8crP?d>zb=d=r>G`5Ks+vl)?j z&ro=J9N1mez|3sSjI6C3McaE2&y^3fCmfWXWy~O9kqaslQOXqNBuH5gDYrptJ&05b znlCJYs-?wvF{r+{0yQ5x#0MVVd;t~v0`d~LOa{&8z6F=WAUz!BAPEKrXwd-P!zKe2 z1Mh1_&ZD5UPOv`l9n|dT$Y%i78v)g859+JJ(-EvrhxQ5en2|I?>J^Y%ra<*Vi)V0u ze+5)55#&rrT)^5ap|COt6t)+j>Sz(RAoC4C9dQN*23nX8^7{m+`LMVH)w4^WVz9Y* zrlpX&Vh<K^kkAzn0V>a+=@wR(gWLN3Ow1gNd>)SAwp0~LXAfkTfF&q^85ltQdQf|m zDUA<2->(4`KM9hCtj|9To1b@q%E96b6iyLPF<OKZ$ow5NHeUifK|q^$2D!h2#^&Fk zvH2F(poBoX@R>nl^MBCT{0Pvb1C7cFQ2N<HWAi1TlR&h}zZEn#{{{`s2ep$d>>%m? z5NO>kynchP`Elp_fZBFBiK9gVI^a%%fq|g|Y94w!31rt2s2nxgc%XLI7pQrIvE2nq z+fyK?veBfD1)2Ya#^#5B1~q7y_qWj4d=bzXHx1oiLSyr<(AazvP=AGn;WLHC=6|8F z`614bvXNGG#}*o!F9IIGpk3RhgvRDyp|SZUuC$HcDKs|!3ysYWaieYcY@xCFBJQ+x ze+iAvzd~d4O+XvLXj%T#*!(XvHb2CZw&`aJjm;PFqOJQ&Xl(u!8k=tdon)g`{XT`p z=6|8F`5~ZA8x6~cEi^V?1l$RwVf%;1=3k+)`6hm}Egz=P*!(XvHa`SBu}Hi0vxUay zi-0FtY3KeD8k>KG#^##@(m4Io*!(XvHa`Tsz=d|{XA6zZ7Xcq?NIUnJ(AfMdG&bJ^ zyx@g);WLHC=6|8F`61v<G_(t!Ei^V?B#gG@TM3QLzd~d4O~Pp#K2vCH{udgX9|GR+ zMZ@$@WAjBKX&b*KG&cVVjm<ZKUhqJ({x6Nq|3YK)L!b-kXcazNXl%X+Xp)eI{r3_Y zn}3DI=9|RQwtSdEWAnez*!++<+J?^-8k;W?Ph0nw(AfMdG&bJ^beJg(%ZDj6HvbEa z%?|-D(xhSghsNfMKrd>dRsB^$WAm@j*nE>@+LjMfXl(u$8k-*i+I&sJ@?i^&%@;|f zZTyzd*!(LrHs2(Tw&62{#^!&avH2nCv<;svG&WxZy!f4V^;Zdv&A&op^Gz~o8^2R% zZ2lJ-n;!zbUy4@khb=TVUj%$04-L~ljm^J8WAjaNXq^6OZ2lJ-n;!x`sET&!XA6zZ z7s;b-{Fczz{3|pz-z1;5;WLHC=6|8F`5~Y~k!acer?L4Wg|v;|5*nL-g~sNaKrd{j zRsBAN#^!&avH2mzv`s%-Xl%X+=s++U=HC(;n}3DI=9@q-DyLccr?L58Xl#B+8I99F zjm;N<UO-Q)_${Ha`B!Lcz6t1nFdCK*Q)q1d7aE%%0=|@hhV6eEn=b-B5Qui}FQKvd zS7>a$3HZ<=+J(;)8k_%x#^#6A(761kvH2pkv~_<8jm^J8WAjbwXd6CLXl(u$8k-+d zPuuX>LSyqq8ffeO5*nL-g~sNaG}1PFrqI~@FElnkq=~lSvxUayi!{^L{UtOu{|b%G zH))}5_)MX(`Cn*kehBzrS=zM^x6s&pkv7`KZwZafzd~d4P1<Q2K2vCH{udgX9|Asb zn1<<}#^#H3(l&leXl(u!8k=v@MceS1LSys4(AfNtZrX;=78;u`(nDMKm(bY!D>OFW zq?fkgGlj<Hf1$DYA$_zBpDi>tUj%%SHSOxJ5*nL-g~sNafG>ZiUHD9)vH4$UY<|c@ z8mE66n=dknw(c*XvH4eMY`)24+J?^*8k_%x#^#4ip>6nVp|SZQQ)%n|5*nL-g~sNa zOrvf1Orf#)UubN8$aLC<&lVb+FEWF+?k}OS`B!LczR675hR+lloBxHz=7)eUo1|U) za0`vi7nx1l_${Ha`B!Lcz6t0Mby}|fp|Sa2Xl#B6_!1@>rhginFEWqD>7T~tU!k%2 zCi7_<K2vCH{udgXAF_b9;j@Lt=8G((t@}%8Z2lD*n{Tp+w&62{#^!&avH2m3X&XLU zXl%a7656`IgvRDyp|SZUOKBTEQ)q1d7aE%%vW&LjvxUayi-0ehqh0&0gvRDyp|SZU zD`*?PQ)q1d7aE%%vXZvpvxUayi>#up`%7qS{uLUVZ?c-U;WLHC=6|8F`61wo%xPDC zZlSUHB5P?Iza=y_{|b%GH(5u!@B!TgI0b6HAuHrw<Yp#jZB{-G29VqasN7wU6Cn4n zUI*R73c9EJ3{(!hJp^(`t_xp6GjkskQ!ea|T+m&`U!dy1%VZ(<`hxD#W$9z?XJRTv zT+|IRQ(-;GA_fNVl1o%G%V1`LuAB9Msw+g?bq~4E8|kiK=2#}A`+gyJCqqofBn4R* z7+4rS{zsSDrVSEd0TC#84OE;1MU;V|0V)o<TNhbs8dMw<rpV$JsvsT<g93;`!dX!D zpzuQyX7~sSBnB1+&|U1v;tQebL1BX|?hG{_dW9ccsuZdodNmJRTnVZkdZa&Gyc?<> zdK55RTo<Yydc+@G9K>K|07VXng^HOOKnV?1Oj?(LL5P8g;Q{FWW(EcZ=#lCSYc-+b z>=5-Z@sBbP@e5E7K(F9nND+mI8$i$On-7g3dtr$91?X8koS?gk85kHA@<GHOK+m|@ z53z=Un+GDk0D86y_%3<|28M?^5b*@)nI<rCMyNX#pl5}^#2r*1>K~wqn<+xX8K7q? zz|?Pn`s)Ci_$*0?`UdD(12FY=Q2#PO_o&0%zeEV4{s2@Qram6(-v;QOZJ7E}ZispZ z=w4-*`o&QHE`aXggsIQdfT&M^?u~@0|D+5NSAgybOn}B`qXI<y19UG9%$yXce>Xt) zkU*yz7+9hH4S?>QI0#KjVo?7oK-ZLShsL8M)V~kF1qTDeJE*-{Q2$<luF=$ms=vVn zaSsD@Z6D12c~JizfQm;$lj?SLh<XL+S`e7}4N(6+fQrNX^-3P1egSlC1Wf%y5r}vK zbWMOH^zO(8L5O(66-WY1<ATtFQ1=^rg7Ei1C<ZU6`#(U%w?f6upzh!B86uDg^{*<- z{a+#Cu!61w>VAc95b;~kaE^hxpW!D&d@|G=HfVfZ_yrNyf~vnR4sk!jUx+x&ziXlX zJpdJlMi0YL0f_p5e-QOB_n+Z~hzmd+xD6uBuz?dIZomj}2y{s@!#{0^_yksnxB=8X z)72p248jm`n0wwzL&PVDLd0R^h8fg73E~j(Yy1$4Cqdogpbim-sh5PAZw3+1mW8Ny zhK6TBFhm@t{tMKc3(&g&q1lGvCDdO5&^z{E;wNPx?)(51huzOU4eEXc=$&)Wt|vo^ z7*st}9CkPQT_uRP1GEZrhd7JjEHoYspyelYii6<@G~X#e?>vJZea#RK&36rG;+J6g z4o$oQnr<DScZ0#qnGY>z7NCjmfu>sq=v`Sb^)q1Q44ODMv|K%aCcXz+&MbhQISvcA zTBv_7K*jYT(Z!$-^)Ca|Lg+Q-3`$V{IzYvtS3od0L;bq|T^#CP2IyJX(CeTXgrWX* zfQrNF&vvMP7eK{f>K{V$=>s(JlhAaf06j|@TAeV6K>a%bP23vlUk2#e%`o$)NI=sA zn)okhK5ak~p9w9O4nW1B*MKvaL(?+@^z373HOZh4tp@{sLNX$_ZOFjDZ~>YQ3!v(u zM+P#ig@)S$H1WUC@Ckr6EMVrB!r~oG`~cLy3!rCF#X{5hGHCodK+mAr0*!YsX#6rj z&$gHZaV|qP)IAN*J-yjb@k!8l{BRFqz+ni*upa8p1NR{UXCV|r6*S#WcmNSlgu3S+ zG#(E;govv^#pgle@xvoj@dBv76rP}pD?$Ag@Dw5rs~=UN=~LkaMEn4RVladH>j6}J z9@O5i(0Zid6+~eO)W20we?52u5&r}gzXD62?;+wYQ1Lxbe=UI43$XU-H)uU_0a~6z zuM%R=gZj&W0pbv77n7k3nm!*u#i7}o;Vm?M9)OnruOQkOdZ6iZ12aV6B7|a?0(H*; zc8CD1Jj{T)hk*kk4l4(QVD5p6>p;B6@EBUJC7_A#gt}(}R2*i`BWOKx08RV`G{1a+ zikm{LXV?QR{|&ex0??~+7`UL}KLIL!6Y8GD(0ZqU8=@ZC%woua`u77=9C~FL!%I16 ze&>Oxhl&4$)*}<3;`$J2hE%A35AZ?+VCFYK{p-L75r>)M1oiI(H1T;*|2}|<!_3!( z`d2^zVh;3Z1cryOdK@YaGhY?z-v>}}Sii&{>R$svh&eFxt)Tu*5Q2!q%-Ia}?*=sS zYp{A#1fm{R4<<wX`vBTbfEM=*^ZB9SDF#sw6Ays;cLAFCOb&>8257qiral&0j$MG3 zli<EFsNVza7bN_GWGq;F=?XMGILw0B3#->2L(7>0sQ4FXI#Gt2Uoab@-Uuqr4l{oN zL>!hco<hTO!Xk(`%=~0%xpV+34r_0OL-R|)Vu*T}I1jA+SppG{hENQ$(DJ8YDMSEP z{_ld8KOdmtC!y|4g!=cuGKhMZJ8wbl{QwOgaQ~lyf#E*X-T--s0njNIhG|fHVIAyv zh;a-qu=tt=5y*v53~Qj}e1amx99a6{g|^2gK>GvGVwB+|)SVm9)Gvj`3j;JDVdh9c z<0Sx1{3+C50y7{Efw|`-H2fE!sh<f|{{c<h8(J?oD5JXH8(J?Le1@be@K_H6149PX zJq14?;^1)}1_lNtXntv6gP0QzaTWs~)L$2%?NT|2G{a$Ned+-1Zzn+8o1M`7xB;3D z3!vs)gqp7~7b0K}?XQVJ%bx|%^a<_8Ggv_L;|7Q`VZq996)JvU1H_y|LJ$WjK<kAG zhauvycK9i1dUyae2UecQLeql*)EzK!OKASR0Bu*p{CkTXlCK+}>S5y&A<%LR;tB?a z4^YN!XgI_8!cg(&&~_2Dcw>Nx+d|Wu0mS(X&@CnmmaGg6=wnMDqas<bi`(E3zr>7P z{U&zo;)1-`#cwfU7Y8{Tn>)X;VOPHzhxjTc?CLpjgo82;_31d=>5M~t0gmvA#t}Y? zRIs}fG^~Lw96-esHgV7}6gKfh9N|-rL!23h`=8_R?-U&2GZ}~a2RPEzM;!6;5r=zj z<50g2hx%q5;jf6poI^PL^&W?~D-QFOakwWOhq#kAj`V=T-hLeB$l`EM299`HqJ`bw zG92;6hQmGUaHt1$bFigP(D)5D@l`nDr3i<(2##>Ofx}-;INUFZ!~LLk1U7eG!V#V~ zapbQU9PTf`5nqBh%-@Z}d|@2o-8jTS?F(%F0yV?1i96shM;C|qX&mkc4Ig1M{|~gj zI{+Pja{*OS3=9l^Vf7ufy?qBNE)K2lCP2s8Qu!bf4$yYO0qA(zB`1jZ8fZKG0knRH zsgH%$;{lgIH4p;>OuadDenDU%L>zq9Bm)D(CTP3m0-87%R6WCTRP_Z=^#{<zeW3nr zSb?g(724i#ScxkB4%&}qScNLS4BBovfF@oF?YB0pMpeHW>R*R7sNx5p;l{8QO&r=j zIe;b}1Z_t(tV30=1`P*?^{C>F&~RXYw!2{A83t|78;C+0STOMq(01JiH1Q44{@n&K zRQ3MQer16;s<=C}J!v3;D!vTbPWyl+?gZ`MZIDD&U#JCXzZFQKinBt)!9W^STpXHi zKcI<6L+6P$$e^lck%F2piz@yB+I}{WLlvJ4?Z13L6EA?a|2N2^sy_}5=K=*(@et_v ziGd=jxCk`8eLxf60uAR4N~r3OL&LcM)S!axJz!v9;D+|!4OCFoZ-IvM2Q=|URY*8& zP(@YG4$Z#>YN+D9&~P?TM-{&a4d)MN;#JUa-k^c1z6#pkDbPd}mxay)8bBv5VCho? z+AscqCLRp!4{p##HRmxjoC|bN#iv5U*+3UnJPw+#KcI<QLG$$nJyi8|&~Pr$M-?}Q z<|6~>L<h|M&!OS`0ZqIX8qOOGQO#+CmbV2)sN&+#d}LsZDt;dt&L7akZ$ZO(g9)no zI%qf-n4*d|K*QO<3|0IlG@L)6iB~}Tvm4A&)%!s6QGo@j_$z2Q8(5->pMZw*2Q+aB zXg=Ctg{pojG@J{pQN>fC<&}XAsyGWYAALX*{{ao>4YsK2%c0?1V23Ks0L@1R_Ne0a z(DLd7n)qdCIB#%3RsR$k&IOLB;+D{SWZ;A<{s<b*AJD`PLc@6jsH22b4kSX$s{-f% zFf1K9Ldz=yS5)(7K*RY1nz#`(A8l|$RsRVZ&IRtM;!V(SHt;|dp9(FnKA?%;gog75 zPgM0y&~PsBLKTmP<|6}dRPm?Kdi(>Lcr>)U+Teq#em*pu3w%+<J)rr>zz<bC0-BFL zpo#y6hVur0RP|4x;am`aDsBkPM+SkY;s>GO`~gk87+PL!2trk_1I<ST!KmUA(0pV7 zohX3CdknO^`hX_R3e86wLQ%~*3JvFiFjVnqXnAE2jw+r4%|{>5#J@tvVKzjds^1F@ z=YmL7@g2}`Hi$wMkAdc+4`||X(0sHZ8dd#vXgC+dpo)h<^N~R;s<;+3AALX*KLHKr z4RNUImqEk1ARblx8#J5^5>UmPq2c@iP23rpk2WNts(%Cx=Yk|u@oUg<Hb_Pl4~6EV z4`|}|pzXj7DX8jYq2*OUDysNKXgC|Bp^6`dhVutBaSdob+K`T_{vtG-3qT!Vq;g3M zS`IMiLi!2N=^us-(C|0NL^US>n!i4vi5o!k*M=-q_3hAdtN=PO2uuHp(EMePgR1@^ zH2goHi5Ei4u?@MX>MNk`FUUg`KLHJYgM3u+LTEYm0ZrTwn!h#_psK$DEyoI=1LrXJ zdqK-FgCbP*ebDg#fF^DZ&0iaeQPnF$=Me<-AmI;NcVPw1cLgP=>R&;_-=Gv#d<8Te zUO+SF3N&6mps81Y=En_XsOGdm`#}?+6LhfkIUAtyRZxzq-Uu3=0niCdnER8U<)lFc zs(OBC{{4U^?gZ^e2!IA07#J8}=CDKa^@d7RbG)JXx}XYGTmqU;FQA$81?o<NYE<=G zpz;0zP23A=&W0LP^}*0^E~rHne*!I64eC(E1)<^j0Zlv+n%^ftCz@d4%mWqQP>*WP z0%$o<(10pF3EGbiFh)&3o1o=`K_jYqaaj696Q2i7&jKc>=4^(R8ylKX&G`$RPq~1m zz8zX_6f~o%H-e?x7F2OYX!uMph1d%V2WD8hMN^*+El)PIqMEY^TCN6|LCk@rA4XWZ zZ9`T66&jub=BVlqLdze6c2xBV(DLU4n)ohg`EUWvoCs*Sw4nplobAwZsh|^8JQ$Wf zyHLfOpy}ZQnz$G=zijA6Rj&b!$ATVI@f>Kp8}y=zi$cq#4`|}N(DG+PAFBEd(0DB9 zM-}gd#+ShaRPiU!`1*h*-VL>P0(1c`EWT2q@wH(hsyT+x@}vN|@B~&4Uxdbs0chYI zsb0GQ4gU{l;xf>3W5X0w^N&KqzhEk=_#|lgU@#3;TmxD@d_WUl2MzxX(^1twf`)&= z3{>&i&~PxAi7MU=4gU{l;(E|@yI~fpdR1tCFPM!go&qiB4d$SVe}RVc2Q=|z&~V-` z7gc>ewA?P3hbrz3EuRhMql(XmhVutB@epXcYr_Im^&HTASFjLOybl`A28&R|r$fu( z4`|{V(EPPwF{=6x&~PqTf-3$S8qNkwQN{bA;rszj+yGYITB4R43!&k^VHv79zo6k? zupCvq6I!kstUwiyg_fTm(8Pa3!+*m{RP{HZ;a>on_(Mwnme71>uo_kUHfZ>NKof6< z=4%1yf<EXGbPQ$Cc-gQ9)f`c1zAIRZD$WVbcLwWF#XF$!@&Qd;5Ss5ctVdNJ04?_l zpcB}z{Qd+QF9sV?)qjJA{|7X2FKE8ounATDXK45rY(^Eo1Py<KEvVu}(D3<yCLRPW z_cm-rRlgD%{sr4m#hsz~&R{#LI5RZgeLxeR3=QWEJ5bdxgNAd#PE_$%&~P@`g(^N7 z8qOck#0#M1+lJk!>c2tjwSqmU;yKXr&0sI8_y%Y=e?SwDgyy>q`%u+qLi1h0epGQD zSo|J975@ee=MQM&PSE_d0lJ_MmQF4~!@1xPsyQvta5gxMDsBtSUmwuKHKF-y!x2>V zxzO^h;3%rN5;T7q977eq1`X#AXyQ50@@>O$RQ09M@~z+msyG`geovx`pM!?;2Q=}M z&~V;x3RQhBG@J`S6IDp%Mm{tj8Js~?e*zlLAJD|pq4{XTSyc7b(0o*I4psanG@K32 zqlzDghT8&Y`2$<Ou^k%zAJEjZL-W^$3#jJIg|>?ftRdwy%$zAu^9wGbs$T-lUkA|C zhd|3c1JFb#Quus^#@7cl@jcLar2y!HUzokmq4BukGOE34(0DAkf-2q*O;-=l%y|!O z-x^#+RsRk;KUDx-une<T4_bbHKvRDR8t)sfp_<bU^)G`RYPem2riX&-sOn>&{niO+ z>bFD7B?Ws_bM8Xh`5Vy0U7+#e0Nro}bAJIe9xp(}Ve1~%pz##|-3I~_Z-R#Z1vK&B z&~Ofb&V$0#UxbF+1vK#=(0m%;3~@h9y)o3k7tq9|p#BYjPT0cKFNXT}0-E>|XgM4J z-PZt9Uj|jL-~+K2x@?+35}J<;ZlH$$c4$8OfF`a4HJ<^xfD5|Sm|-e3A8ojaYR(O4 zdMki#WPz2RMbLOLxQ(jb2%1hlpow3E=8Fw?P}QqL<7ESydv-wcMZsNE^)Ar(GPs8- zeioYkKcI<EhNklk_fgfWL(@+Ibm1DzUzV`?>mjQ8T3G#sCf)>X?<M#_;ss`o8nnFK z@CeNusQC(@1qKWZ46yK*gO<Yuk5SbhgtjXTo}h}ahShgy;!MzTc*9dv_06#Q?is51 zBxtx@Ky!~1tR4g{a6&5I-b3@(2Q=|-&~(1x1*-X0u=Mj1Rs1nD9U8ns6+Z|K=LYD4 z!4r^RWmpW&cOTHyTf)-SYgBXgL&Le?4XXHOsQCtOQN>?F!#^MpVj;}lHPCqcfTn&9 zRQ-l`sOES>%g=)MsN(EU_b5OY?8D;S30i&{d_Yy-2#v=NXySsf`t&2J`YfnBFQA!! z7#fcS&<zK$^!XOL&b9%%&=TgJi7@v-7tX`PUqRjT08M-ebev}bbVCnJeHT=G0S_cR zVe4RRpzd$rg@{9sx?~81y2l|5A`Uah4_1yr#bNGegtk97ghSNB#5JMyegSl25X>BX zXgXJbu7iOdvCp6ewO1h$Vh&7wFSLBP02PO&hX9zpXy#0Tn&ZF^F$d<a|IqM%02PPX zdktD&6hIgIz{C}y`I<oxVh&8)0~($a(8L!)^R)tWoi0p0JJi3R@feUvF!2g#_&7ip z#vTPpGB7YWLESGP0ug|T2gBSC73YJlLtY4V{|D#>Y?%5sXgzX364e}enERzr#f6~m zPmo3xKL!nd0~u6tP`H5hH8Vi>2g2-q3av*jK*e`Lv@x87mOm4q3yopo;!yuifUfI4 z0#$zrT7M;g_k|<InJc0GRRHhLMu=xZ%e@CsaRunU>NIG5-7ph%osSMw`~XB4zMdJ{ z>|p=}?<EEXhClxy0D4pkhIj~6KS(`jiz`fhHIn!-By;+Z#IGTVLziiR%|}-MA4xs3 zd!W-gVD-r2&}KYX9JIw1<{s#_0<ic+BztwB>%2kk|BWQ>g(Qxwz6MDgS^X>|ab)%9 zk;IYJ|3VT+R<93o2m|QM0tN<T_2Ee3pe<K0e{~{>BbzfFDh?{0L0KD`Js9Re#X%Hk zPYKMNZ6E=ty~ySqfr_J>a}FvFqL9sb2oivr1KN59Gyf-&II{Wd(0vy$^FeL}WdS~@ zI7l0^`6@`_$mSS9#nH{Nfr_J>6M`g;Yz}DsC&+$~IUo#ke>0ML&{iy%_;Mt1<aoJ( zB#vzUGpIPoY>;1J@$vyGj_yuo=spdQIC6MOBZ(uMuL%{0nGdo9<R4?GILI92@bp3w zM>eMfNgUa~(~-oH{d*co9N8SuI$MzaF!#Xx`xr?*vU@=L2tevV?nL&l2(&x{i6fh@ z1Qka&Uk54<QjcuDGm<#6Io?olkU5~N35(wns5r<RWc5u@agcgY7=tj#-91ooka}c$ zmmrBFo3jQg4l)PX-pf#NkU7ZV{|iYR*&Kc7{v42c<Z>epNgO%8ijc&S&6x-l2e}92 zCs=&Vg^GjB2W=6Bh0l7ZIJ)}XP;rp@mq_L65vVx2`tMM2ka}eIh;f2Kl>vO>2(o*W zpyD9)$nG(LildwF02K$RM|O`VR2*G>4OASY9@#y!q2lQ3mqW!t>XF^E6Dp3b{wP!& zq#oHl=b+-~>KUQuMu5~KyGH>kj;>w@Dh^VQ>>fL)IJ$b!xf7s}2B}AOPcRPkZBTKL zdSv%3g^I(>hn;`35h@N+kL;eqP;qqi=b_>t^~ml4o$mp1C%SrWZjdOX-b8kf7E~Nv zy%|&-WInQcJfPy}>O-L7Aoa-ZiG_-ztDgWB2dPJP&w8jhy87Kvagcgs_nd`_qpJt4 zs|5KNq#oHlk8r4$fcAet>XF@J4i!f?-x(?nQjhGOP^dV%`b4NWNIkN9vY_JV>gPbk zLF$pq`IAWEpsmWVa^^0Q_&KEV<RuPqCTPD7Wd3C&^%6+ppe@WW^L3HLL3>qU;?_vw z$m)ZU#IGZnUx_4+Z0}Meab$mOMG{B$*JUJeWOJS%i6gs*8`=*9`4`zeu1Mm@_NF6= zBbyI8-vgGekj<Zuq#oJ)t4QL==6^>LM>d}W+W!Q(6WM%yBynW(Q<21x%`Zg~M-I2i zNaD!$E<qAUw)ZrWII_L0pvnf?jzzXt9Z4M7d<!ITWb@;Z#F5RPiX@I~{&pmBWb;oT zi6fg28V7^L7qa=P(Ed3noRQ6UM-oRiKLSY{+5CDWab)wiB8elLe;r92+58ts;>hOn zL&pn1?nE}<6-gY~{B$I7Wb-SK#F5RPk0g$4{#7J#Wb?lxi6fiO0Uf6Rxf9uZeI#*Y z^J|dAk;}s=NaD!l>SiQy&`}t$^5-y;_<5vq<{FatZ6xs@NaCQQHelxXK*vo$?nib{ z3X(Xodzz8Nk=-*HNgUZd%aFv8-E#s-9N9fGqM%d@sjrdUV}T@&?4B?rab)+DBZ-5K zWP$m&9Z4M7ovV?=k=5@;5=U1507)EK{Rbp*Wc8wA2!A1~S49#>R_}!*j;uZkNgP>y zJ(4)G`hFyFWc8r^J0KT<;vHH25gh7YB8elb|A{1yY`&a0$aF|NBCFR!5=T}aj3kb% zJ{d_IS$zkRII{W~NaD!q_accSt3Qh*j;#I@k~p$@CTPb86mH1s)se)J)te)UBdd== z5=T~_gCvfuej<`MvU<??Dk!AU-Ln}<J#xOgjU<ko?{uK!p&)aR^PLNlIC8#AMiNKP zcg0BJ$nI}L5=VB=3M6r4_xwQ;M|O`0bbJ=%PGt8OBZ(us#~Dc+**#%M;>hl)KoUoG z&lx0fWcNHl5=V9qGjzNd<W6Mw2qTFjyGIR49N9e{NaD!unS&&b?4B)1;>hl~j3kci zp2tYy$nN=uB#!JJ3Fvq?$Y03r$w3lFc25hEII?>dBZ(usXETyGvU`ppi6gt`1(G<j zdu*WN>>zg{yC(!m9N9g^NaD!uX+{!9cF!~<ab)*^=7nMT5!pRF(D8kA_oyI=BfG~L zNgUZdQApy*_SPVYBinlhNgO#Go*;=M+sh0(r~=x)MYdN8NgUZ;8zgaLd()A`k^7a6 zIK)Bo`>=3BR=)&E{SBn{%r+!(Wc4qR#6f3h!Rmc+=zs$#{E^jLLd9YIP?&mOs5rX% zA{^qKIK)@s5I>GX{3{M|PUv|<=<ZR#A#RRCJQ_(HIeZF{#F4{iHIg{8`h!T~$m)M1 zi6g5Qgq~Z3?tX71ab)$0NaD!m&qfkQR=*KR99jKqBynW*jL>;CboW>zi6g5IL=s0f zzZ*#$S^Xg-apZh=1xXw^-#vhe!_pz_Ji1p<agaMNAf<n1==>i@963LV;t-dCio?x^ z&Yw9##X;tPj+TX`{{=|m$nM{bB#!L<%ShtL?qPt=JA%yrh~)kRBynW(tC7T!&7X`U zj%@w`BynW(Wz;~W38ejrY`z7OII{U+NaD!tt70T^&{-(3@aabqM;>QbghTuhk~p&Y zjOqw?BAYLPB#vypEs{90IWb7$$mW3ddxC5Lg+H=6Gmz9Hn*%!c7^WWCoO4L(k<Iyy zB#vwjKlC7TkiE$DraqE5vU)!xab$Z_k;IYh?LrbqHfJT0II=khki?PAd5R>CYz~Vi zC=?*+2iY8HBynVO?2*Kg&51)2M>eMvNgUanMM&bv=4?X}M-I<xNaD!qzaohv+Y8#C z1@ajvUXblI)B=e@{EKW3XdOF92BaR@oOC4h$maAQi6fh{8c7`4oI^<B$mTpl5=S<N z6?`r|#GT0I$RLR$o8yTjj%-dWk~ngB)*^``tDl1;j%@E{BynVWZy<>yoAVt>9N8Q` zXn_w3XJm7Xk;IYB2|^M_HYXEF9NC;sBynVORv?KZo3kHD9NC<UNaD!l@E;^`<Z{>v zx-S>xFXVDK4oMuj94<o=2OUKVD{rSFi6gsb1rG76NaD!uWQOi91-S>=odHPV$nMNW z5=VAtGm<#6`5TbLk<Ax??nedLi)_9&k~p&Y&Pd|O<`*D|Bb$E#NgUby*GS^X=Knzw zM^69z(0#BVcOu(sgCve@?*t@qWP4X5i6h&47)c!2{0~Ut$mRz?_rZePgKT~_k~p&Y z%}C<N=5Ig}M>byox_=ejd~GCgWb>Vo#F5P}KoUnb{{oUYvin~ni6fi;2T2?yUZDG9 zLGDDh*9J)(+1?3A;>h-{MiNK1_b`$;viTp7#F5PpfbN?Gxd++&Y$S1H^P7>xk<H(L zB#vyp0CYbsy7}5j;>hMZBZ(uMUw|ZzZ2ko#ab)+uMiNIh{|}NlO1wb#>w?^gY_AQH zII_JHki?PgU5zA;Z0}(tab)v9Ac-TJ9{}CQ3vv&#`PoR~$mTaAi6fi80ZAO$d;#cw zS9J5Wk;IYBcSaINHopK#9NGK}NaD!ue~l!LY(6t|A0x;;$mSa$i6fgo0ZAO${MAU} z$mSnL5=S=w1Clth`99G7KOpxYo1cOtj%<Dfk~ng@nv5ilZ0`vqab)vvBZ(uM{{~4M z*?e~Bej$)Mk<C}aAs&Gwj%;r>k~p%xHAv#f_D)9<N49qp4)GUA;>h;?MiNK1mj}A< z2;?thd)1M|k?nQDAzpzbj%;r?k~p%xbCAT5?cI(fj%@EG9O4|%eNZ6xBik#DB#vya z4w5*sz3xcj$o3}T5TAi0j%@F0BynVW_aKQQ+j|{J9NFGaIK(xe`>)XbYmFq1Y_AWJ zII_LzNaD!$HsKK8fh3OX-_uCq$oAer5=XZ8JCZoEy+Y6h(;$B#i+do6BikE|B#vxv z4w5*sz3oWi$mTD?A$|i%9NFI2NaD!${y`E)wpSdwpAFq#MmWSXki?Pgtws_@wzmgK z9NFIGNaD!;J%mG?6}pcO<W6LJrIEyu?X^J?M>f9|NgUan*+}BZ=IlWdM>dBQx=#<o zJxJon=GY*KBb(ETB#z=9BynVO_8^HPo5Kp-2Z-SwBynVOY>>o}&1ppvM{y65II=l= zki?PAVTJB9#BdLiII=l5NaD!mv?7V4xCcob*_=H{;>hN(LiaafxCcob*&G`rab$B^ zk;GBlgCve@&K@LjWOJOM=kJ5ugS?LSFb?s%NaD!rdtV`mBd@RHgPwN}G9P(=L=BQS zXiq1sKRyXb9JKccCcYO*9CS4dO#CjAIEs6a#F5=23p!{RIuC{H-##R9&=oK+^A975 zBfI}Dk~p%xuc6|w@o?z0IKwBXI4B&D*J<-W@4EttgRWYG*(-@8j_m$&BynW-T*o19 z4!s`>WInR`={Ur{;}8#rUZ8_+&UPH);?VuN=<2<Z#NQ%~+t(n8Bd6!7NaD!pc?Xg> z^0?D!Byr?&{xy;~a{AYS?!N`O6WKkHNaD!uDMS)ScF%Mqab)xFAc-TJ&k5Z(3$hp4 zd_^R2Wb@sT#F5SKK@vwce;1NCviX;g#F5Q^h9r(0ZtT$gu^@LM+v|fQj%;rwk~p%x z6OqJ`?cI(fj%@xPBynW(HKF@sLGD2|-w{b1+5B`Qab)w?Ac-TJe-B9<+5B%v;>hNU zK=;jp+=D!RXo@6`Y;O&cII_L#ki?PgJ%%KXZ0{2!ab$a0q5EJ#?m@QK2T2^+-by5K zWP2wfi6h&)9Z4M7{69$I$mVN8_t}EngKWMdk~p&Y=}6+p=C45#M>hW^k~p&YACbh7 z%@>F6;{~|~+58wJab)v5k;IYBUx*}*Z2oa1ab)v(p!<YD_9B~ai6o9}ejt)Kviapm z;>hOjK@vwc|0R+*viXeAeZ?U6Ae*m_B#vx;4w5*s`7@Ekk<H(TB#vzUbtG|Q^JSp> znL+MBHs1?L9NGLNBynW(%aFv8%dzQ5;>h;iK@vx{mkYX&8sr{idzFyHk?l1@5=XW- z97!D6-Z@C($o8H@5=XZ8A(A+<z3k9^+8}o#o9}}pj%<D<k~p&Y6OqJ`&EJkBj%@xP zBynW(^`Q4+g4}~_z8jJ_viT`U;>hJ#E0Q>}z59^Fk=rvrk;H!>wJS`Z7o~yRgDf6} zBo5l64{LXIBZ-5qnuUq)LJ~(-{~AdgS-mCn-c69b$l_5*;>hOoA&DcazllR!5_(T3 zy7?AJ;>hMVBZ(uMzXC}dS^Z}uab)$D(EB^l?XAQiz7vP|4<vEqc6ciE{!Wnj$l{l9 zh&w^=??hL>6^FPg^dc~H_3lXG$nE(CB=Ofs>1ryHIOwiUSUOn-6-RIX?|_Pf>_tw` zCy~TKS98M5xq&2(Z0}nnab$b{LB-MS6-WZjvP0&zk?mDM5=XYz7)c!2UJoR3WP2l_ z;^_8fL&eekTaP4;Y;PZuII_Kqk;IYh-2xRyxAz!S9NpeqNaD!$zC;p7w)Y>BII_L` z$&m0ww^tD=j&83hk~p%xE=c0Y_C_L!Biow=6-T$X1}ctj?*t@qWP9f$i6h&)1xXy) z-lI@)bbGHu#nJ73jU<k2?=K{AWPABjAmIrz2iaZ)s5rX4Mo@8(dgS!%h9r(`Zzz&D zvc368;%AWZbq7=&W^WI4J=-j(IJ&*-ki?Pg-HRlSZ0~g>ab$ZxK*iDRWlBYa53+y7 zki?PgRYej<w$~m>9NFFos5rX4Sx|9w|JEUiBiq}HB#vzFA|!F-_}vT@huI4oCpiig zN4NJHk~p%xPm#ot?fr!$j%+V?8YDc??UjX!quZ;8B#vyaEs{90y+KIg$o3{f#nJ68 zg^Huw+lC~LZ0}Sgab$Z}A&Dc~yBjKwZtq#BIJ&*}ki?PgeTyWHY%fzfBs@XpAloYp z6-T#M6)Fx=kDQ*(ki?Pgbwv_Kwl@k%9NFG%s5rX4wNP<%d;5^Yk?oy}B#vzFCM0oW zdk;g!(e1qo6-T%CC6YL@y+4q|k?rM$UUUmG2iaaZs5rX422gR3dgO3+K@vx{HyBAA z+1?B!ab$Zdq2lQFc0<L{?VXP#j%@E5B=KiR<?s$1;zw|ZU%(-L2Z#6z9O7Sah%;m& z(mAp_d2onJ;1E~AA#Q*}+y;lZ2M+NN9O4N$#B*?nSKttD!67~Yhxk(@apZQj5$J+a z2E;iVbD;ICJ5(H$e!e56hX^Eb<ni!as5mSg!pv!cii6Dgie%0T9O4_G;vjQCdSLDF zt59)}81lI&pOM6o{iT@=Nhct4KwGF`^;#-a9Apl%zcwR@Bb##%NgTPqESUo_AKjc{ zBynVO<{^nAn{yLM9N9g_xd`)-&51)2M>c0Bk~p$CpOM6o&5_GPn2&5uB$7C?Il1`= z^`JXOVev8-Dvln`d!XW=@IhAp2}vBeUnyLGFdx~Sc1YsL?yN)-M|S6BBynVO{vnAY zn`2psuou~!$w=bJ=IlcfM>gjtk~p$CtBVlkBZtF7Byp5*C`PD9w$~FX4hv^kd$<)U z4hm;vdoLr2Bb)ONNgUbzmL&*#k<FQmB#vy(NvJr?J+S=6T8iDAU?g#5bLx=9k=?Ts zNgUZdxn&6Rxfl`W)a`<bqr2w{R2&pO$l<@d9AXZ-`h!q$boELV5cTNd`AFi(@wgdD z9NE7wq2lQFs#YS*NA_<qk~p$C^N_@m!|f)LII=mmRS5Hu%{hf64!XM)mam^e5B>%D z7g_vb4a6Lf`$0#S!PMV^ii6ZMA;rrZB=N^c<^LC`ILI8(kzg=$xN8ySgUanK(E7*% zDh@KA2g&?>P;rnsAob8|I~h))iHm>~FfcGE*P)sZz21_+6DkfeA9SZX%smZA;t!GB zzYQu5G8SYn^x8;<J5X_SbA;+4_JYKb%`rm~=S1?C6I2{#KFs~`P;rns$m#hOk~nfY zWCmSW4xML5j(1C_ILv%lc~S}$2bqtYehwpvBb&q02=N!p9GE)=pyD8Nkmu90ki?PA z`3e;WnFBiN3>N<XpyD8Nkkgew6U1JSIC8p@fQp060Ua#{Ge;ju928&BYYrJ=q2eHO zkkeHOR2*auNIfik8ld7J^~m{dIaC~7{e3iX=(U;*tj!4bBd0fOByr?)5{e{_Z0{r- z;^&dXk>k;<1z|68_~#>uBj@*rNaD!$inKz^LHDl$R2)5g+@Rv<>XV@2=<4Spi6guJ z7m_%#`_0-Q?g5#D?EYw|ILuyHJ(Uj?2dU>k%6CmrahQ5oy!S!HLF$piVFy$kUHuU> zaZnlpx$p*59Apl%z2A|<k<C|Y2kAnz&v`%=F)%P#LB-L{@q&tjl!5GoUSG-(2Ng$G zUyCG;Z0|~_ILw?MAO-^i!(OO3x;YP!#F5RB?tr)-q#ijQW1!+7V?pkOUU$t<02K$R zM-HFqNaD!xyAdi5Glv6om?8rM!%?U>x;Y<^#F5Q0=!CcvW)1_?oDiru$Q<N++KwcS zoKNQ>i6e)@3nX#mbgR^bYA-B(T0zA@_9BPdbR6Obafl0dL(B)6gKV!Ik~p$EE0M&J z?Y)U4j%<!vAH;l6xPgu^gqOEaage>p<+dY|IC8n|2^9yK13Cf_W==ekI4GS+K;xwW zDh@Iqx!j%v6$hCEQV+r)7tVx=gVZCh`;vxU=m1iW?0yxfI7mIR`^}KVk=<_x6$hDv z?EYXRagbX$Kn5}}FqA;WL0n|_XG1SG0-1yCo)V}yNIkN9+K|MN-O~pZ2bqKHo+U`) zAott_83@WRP;n3!**({x;vjQC>OmM3p7)^QAoa-MX*>}m3z_FaPA73l;>hW3CXzUE zdi#tdj%<$RB#8Mi_rUT?DpVZg9%OSiBZ(uMa}P-z**%hzA?Bl-Q;Q^yY|dw>ILJMq zBN$=n_77AXWDatCrA$GXk6iwopAHcRnF9*rCD3;7O{h4?9OQ6*hC}=_R2*auihH2q zAaju2!v{Sm9wd&Oe#D^SAag)REyDbzfg}!cC+NNekjo>X;vjR7)6ef&AX!Lyc!AV@ zE}M-IM=n3Rq2eHWLFR7&but(j80JI8LFOaZ3ww~nPa~Os7%C3RL;Ohf`!%RI%zRk- zc?A_mH=lhD!kx(G^FhVY%{PLIgUmrzZwVDgSDyeChq-45)W0QAagaI4{+)^>j%@xM zs5r=c0VMw(g^GjBK~{eTDvqxHD^wg^{U4|}NIi0R^3R2Y56t}spzc?Oii6BScE1CX zII?@(q2eI(k=<Va6$hDvtiBv74pNWoo-U|3%snTd?wJP_2bqKHp8ZJT$mSn|ildwV z3MvjV2U-0`s5nSHviqgxLBa=Jy&_Z`q#oJ*CQxyh`!7J<?*SDDnS<>9R3vd^_vApu z(aoO#6$hDvtbRIF9HbuE{p+FPF!#XDH$My&2bqKHo+n7+$mYL>ildt^G9P>RNJGWZ z)w@8&LG~h>?+q14SDy?Ohq?a&G(5|p;vjR7-9H6M9NGNYP;rp?$nmuwDh@ISS^Y7n zIJ)|qP;r=hUO?UR7Ag)h2iZM*3$TZeC{!HXd`qY}$Q)$%I6%cg>XG9&6Dp3bz5pr? zQjhH4W~eyK{jl@vr$fa-<{-O&3z9gpdv-&`LFOa7=Lu9CWDc_W*HCegdSv(fgNno4 z^8*^5LJJY$hU^|=BynW(t)Su{^O4<?3>8OrPZm@hUHxRJIJ){-P;roYWcROyio@K` z02&NqU|={16$hDv?EZU5;>hlQ3Kd5;pK}o+9FWxuK*d4ok^QRy6^FTp1L__ds5rWN zVv)p=%};@fgUm<vZwFKyWDc_WeyBJ|J+gatK*iD3?}v(`tG^Bvhq+$>>i*YIagaI4 z;mNTWd-(7}#nH{TfQp06L3Y1AR2-xp*}p+hahQ7~pzcY7ii6BSc27H!II{VDP;qqg zH$lZg<{+!z2^9yaM-I<NP;qqiFQMWf^~mo30~Lq4UjgcVfhCA=Lw3I|k~p$^OrYZE z=0`xq(cKdd6-QTJ1Qmz5M+54fHmEqr9Ax(_M-oSN&pN0$$b97RxdIgjnS-qUHdGv4 zJ>ybDI3TO%fQp0EBZsFNR2*dfQ>6B)8B`qRF9WE*yrAMB^~nB8M-oT2HxDWfvKQH3 zQ=sA?bCA`~hKi%B-wYLpxyJ(Pp5st)kU7Zid4eR4Z2oJgIJ)^F%dm%=G*ld2y)jfA z<{k&Ad)%So=<dlt5=VAVK2#jt{25SjkU7Ze=R?KO)t`WhqpLp;6$hzDj`v4UahUr( zpzi+$6$hDv9G=3<v4@WoR2*bJa{M|&#X;sEyWa~cj;=llDh_i`0MtEYP;rns$nKer zB#vzUJg7Lxd}RL~f{KI8K~{efDvqxHEmRy`{THY>x_b5%knn-IKLYB0X{b2J9Ax)f zAc-Tp#~vz<ZhkUU9Apl%`Yfn8NIi1+H$lZ=?n!{UXBt!-WDc@>wj+rno4*e#j&A;Q zs5r<RWcBZ$;vn_N;V%TexC>pqBvc%v9@+hdP;r?1GobEwg^GjBL3V!<k~p$^GNIxi z^O4=t3l#^MgRFiER2-xp**$BZ;xP9VK;3fyDh@IS**$lW#F5Q^0u@I$pBH-39>^SI z^&(JlboDw=ahQ85pzd*iii6BSc26vlII{UEP;qqgYoOvV^BbV%Pk@S}o4*!G9NGLW zP;qqg&p^dt=668Ne*hInH~%k^II{UHt0DOgWIl5IibKU==1+i{uMHJPH{SzE9NBz- zs5rX$Nl<Z^`7@yAmqEqR&7X`Uj%@xcs5rX$YoX#W^A|wPKL`~^H~$`zII{Uqq2lP~ z|A30a%wGXDpJxpuJkib9L=s0f-vBC(ZoV^A9A^FosQKYgadh)bki?PAuZD`Fo8Jc& zhnc?vYW^aqIJ)`!k;IYBKL!;?H~%J79A^FjsQGW9;^^jctwn?zviX8gadh)lpyDv| zPe9GLfQqA=ABrT7Y<>(>9ArLnc~t@xhnar?YJLY)9Nqk-NaD!muYroAn|}l<4m1A- z)chMzadh*)B8elL{|73LZobGmNO;1`e*iUK11gSgzAKVAviUwxagh1Q<wHDF9A^Ft zsQJZEadh)1Ac-TJKOHKLZvHB$IL!PHQ1kae#nH{bjU<k2{v)V3y7^zB;xO}nK+We| z4+%GP^VN{Vk<HhIildwF02POs&j4Bk!N9-}0u@I$zYs|r+58HqIJ)`WP;r>~98mM; zL&ed}--9HMZ2n=WIJ)`QpyDv|1)%1?f{LS?&%OZ>Zph~ILB-L{SA>eg%$I<gZweJh zH$MnT9NGLxs5r=c<osI*6^EG*yGOMZDvoacA|!ES^H)N}(ak>y6^EIx0d@aXs5rX$ zpOD0n&Ho7%M>k(^BP2Xw<{LoGSA~kBo9~1qj%>asR2<#>M5s8-d<&@grBHEn^CuyR zBbz@HDvoacMyNQ<d<UrcN1@{A=08FbM>hW@R2*bJa{2QIDh@N>18Tm&CP=uUo3D!` zj%>aOR2<!Wcc?hb`~ax=(NJ-8^DB_Vk<G7%ildu92`UaVKLTq0GN?GZ`G=9jk<C8^ z6$hD*oc<p{#bM?rK+XRK6-PH;crzm0kj<BZilduv1Qmywp8+-B4JwXqeln6cviVt1 zadh*WpyDv|3!vstgNmb@zZpp!+5BBladh)9LB(O_S3u2w1{Fs)pLq-R@Zo}rqnob; z6^EJM05#tXDvoY`Fp@a3`=g-Z=;jwe#bM@mK+SK1ildvq7)c!2{8dnKbn_2E#bM@8 zfSP{|DvoacXC!fC^M66b(ajgy3JFh``7@yAt3k!l&38r;M>gLJDvoY`5>y;!{sO4^ zWl(W+^Cu&TBbz@9DvoacCa5^f{1s61k3q%J&3}v}j%@xbs5rX$OxsYyX9LuHF{n7Y z`Nl}%$mUx?#nH_Vf{Mf3zXNK18dMzJ{AMI^Wb?bA;^^itf{Me;KL9m<8&n+K{L4t< z$mZXIildwV2`UaV{{+;0w(XE`LpNU;NgUaHEvPuU`A$%AnE4l==7&MW(akSL5=S<_ z3M!6n{v@b4%={Zr^Or%z(ak@MB#vzUDX2KQ`H!IDF!LWk&Hn}!M>k)12O`{%&6k3T zqnmF86^EJs0&2b+R2<#>WF&E9^RuAh=;k*;#bM@ufSNxIDvoacW+ZWB^LIhT(apaE z6^EJs18V*=s5rX$%sa7%4;NG%-FzjeILv$o(BfqV1_m>zIJ)`4NaD!ukAjM$n_mPK zhndd-HNOoij&A;9BynW(S3$+m%|8SchnX(`HUAn^9NqlSNaD!m|ALC6n=iBr5}q*g zC7|Z3LB-L{cSaINHs1>>j&6PuR2*i$0@VC6s5rX$laa)c&7TDoM>l^HR2*i$2Gsmx zP;qqgA0vq)oBs+bj&45FZq)EGfSNA`6-PJU7)c!2d@HCp$b97XvoBN}=6(yP`H4_* zbo1+w#F5Q!g^Ht_KLaWbGv5Jf{u-z_y7?!O#F5Rv02K$BkKDd}0TqXt?*TRc4^$l8 ze91kK@C1n?o38*BM>pRBDh@M00BXJuR2*au^7wEjk~p&Y1yFHx^E;s8F!Ljz=FfqO zqnp1INgUby15j~v^KU@KVdf`5&3^+GM>n5yFZS>efQqA=uK^W@nV$hQ-v%m<Zhj<^ zII{Z_pyD9&k<(`}R2*i00o43<s5rX$OOV8o&0h@_M>l^TR2*i01=RdYP;qqg-y?}5 zoBs_e4l*A(ee&&tgeT1W2B`T;P;qqg?UBTh&3A)}gUm+`pGc@U%=`|h`MFSWbo0BA z#F5RP2o*;+e+g6^X8r`I`8%NE=;mKV5=S=w4pbc7{P$3CnE5lH<}>eygd4i~3P|F} z=Bq=+(apDlio?ub05#taDvoY`Hj+59`9)B1kom~*+XWSenZE*R{yeBSy7{}2#F5QE z1Qka&{}xmnX8s1K`R}0O=;m`DK!h8z`9e@}bn~^K;xO}fK+U&<ildt!jU<k2eiBq1 z-TW%3IL!P5Q1kns;^^kDMiNIhe-l(3WIl5GKMECxnSTOm{!OSjy7}Lb#F5Sa3l&E< zU*I4lJYnWvfSRuY6-PJU5lI}`d=IENy7|#iahUlxpyua8#nH|0K@vwce=<}YWIl5G zTn!b6ng0N4{(h)9y7_mI#F5Q^3>62Nj~xErpyDv|UqH?0Is^$fbo14b#F5R{gNlR9 zM|Qs(R2*jh2dMc`P;qqg%aO#9&98%sgUm;E|3s)b%={lv^Or)!(ak@EB#vzUNvJr; zd}Q}Ogo?w=X8<W+U|{$P6-PH;=rAJOkj<Bbii5Nxn{Nmehndd-Qoz8#;0hH-H$MqU z9NGL#s5nSFviXfrahUl6AO#Ez3{#=v=;m)i5=S<FCsZ7y9Xb5ZK*eF^OMnzGFfcrT zildwV7fBr1e3m1Sa06*aHeVbn4l`c?q=12eK^rQLZoUVSII{WvP;roUWb>1t;xO|y zKnfTb7|NjH=;lvG5=S<F7E~Oh9ohV~P;r>~1|S8Xb)-;nbo1{ai6fi;6e<qVj%@x9 zs5s1g3y=Z^1_qv^knlt|UlU0j*?a@2I7mCP`OZ*rnE4JM1q=)f;ZSjO^GlG#k<G7$ zii5Nxo8Jc&hnepIQoz8#um~!SZvK8Gab)w4LB&DZk<Gse6^EH008+rf!0;9-j&45J zF+{i_n=c3z2WdynUz$*HnE4SP1q=)fwoq|&^P`Z&k<Cwpii5Nxn_mePhnb%MQoz8# z&<hnuH-8n9II{U0q2eIz$mXAfio?v$04ZQ#V7LnvM>qc$k~p&YjK?A22GWik{vuFu znE3@D1q=)f8c=a`^Ieg|k<Iskii5Nxn;#DqhnZgiQoz8#Pz)7EH-7?>II{WEq2eIz z$mXwtio?ur04ZQ#VAuy0M>qdAk~p&YkD%fp?a1bTg^I(>?*J)aU|`?`9qtc39{{<3 zs)i(vY`!j39HbrDd<UpF%=`%;1)%wBs5rX&3z5W;&98upgR~=?-whRqnLh)h0JJX* zDvoac9wc#O^AAJCLE4ei=WVDs%=`r)1q=)f@1f%8=JT9{q(hK6viZVLagcUo^R=Pk zF!NV{6fiI_*h9rZ<{*cE43apq`N>dmkalGAtD)jB^EZGLFfcImL&ed}UxOr$Z2o4b zI7mCP`KO`cF!OhS6fiI_+=q&zoBsz%9NB#4Q;={2X-76+8Y&Jm{{Tn<0|SFTR2<!W zA0%;P^Mj${AnnNMCk-kNGyeog0Rsa=6;vGE{OL&I$mY+3ii5Nxo4*k%4m1A(NC9YH zEmR!c{6|RQ$mYL<ii5NxoBszY4m1A-NC5)_g8)b~mi()WBo1Q2_HUYiIG}tF(u=fD z&<83GGyeh9`~;{ty8CO9#6e7$`7Iz07W3yo#bM^ZfSSJnDvoacStM}~6K4Ju5C@C- zZ=m8Z^FKh%X8;|ti6wkwk;Fk-Vdkqq#X;JU)29to9A^FxkOBq<h5)EIy8CmH#F5P} zfr^8)Bb(m?6^EJ60NS+8z`(EoDvoacUL<j3^N&Eq(apaD6^EJ60X6>vR2<!WUeF<f z&~QUGUj!<SZoUpw9A>@%)O-i1IJ)_<NaD!mr$EKg&98xq!_1d}nm++5j&A;1BynW( zw?M_w%|8PbhncScHU9xr9NqlCNaD!mvw#jy$C5r}pyDv|HK67jK*iC`_eBy%Ha`R^ zj_&>(s5s1g1E~28P;qqg=OT$Co4*7qj&A-Qs5s1g3#j=QpyKG}zeN&9HvbD$9Nl~# z&|&>Z=MXwT%~ybmqnmGwB#vyp3sfB4{1~V>%>5ov^9!Kj=;rq#i6fgo1uBki{u-z_ z%=`eT`3Iol=;q%=5=S=w2~-^2{6A1}nE4S<^93$I>Qi*{b&<r8%{PIHqnqyo6^EIh z05v}WDvoY`Es{90`7Ka!bo1vx#bM@WK+WF(6-PJ!ERr~~`B$Lg=;ptHio?t=fSS*6 z5qtQ^B8elLuL2cEH{S*-4l}<3YJLDz9Nqo7NaD!mmq5kQ&F_JV!_04hn!f-lj&A;5 zBynW(k3hxI&Ho1#hnWvMUxMQjB7Bg~mk`Av?u{gld~U;$%Mf#5=668d{~9U|azFC9 z5PzWJAoa-SRIpxwm;+Led`^Wpk~s1?74lGVkU7ZbR2U$MgU*qt0a?Ppz>otK2bquT zo*78u$me^!y^3%TJ5qZo>pFJvi#HJB$mgEDLJ~(d=OpN|2WUNutbP^fGBzyYCZJ2) zk;=&)=s6)iP;rpIkk5r%i6oAEE}RbNvM#9k$m+|H#F5pzfFc`<`lU$X$m&ZTA;JOK z{2NH($m*AXA{C4I9H2vrq2kEuuONvdn{V+HVK1`!e9&RRP;)>|hPC&)ki?PWCFwcB z9Ax#qNaD!${zVc;w$~MO=n2$b<nvyxA&DcacLH73f<-+i=&)U+co%@4gQNi!N00Y3 zBynW(?;(jJyGQ5^#J`|?1j;Wk^9`Zm=;k{>#nIKrL&ee6&qfkQc8>$-(i$xO<p&+g zgT=pkP;qqgZK2}m?umhlqpP2RB#!JJJJ2CtSlp8ex&#`Fds?94=;lv`ile({6I2{s z{c9v~WcN6Kg2W3*J#x8J2^EK#4?D+Z6I2|e9{C(3W>Db*^%t@^*+}BZ>W?9bBddRa zB>oR+|FRCK@<0k7n0xL+#nIhk209EHi+i3Ti6gtm>>KuQ*aW&v2x<<pdNI(YL{M>L z_uqtyqlepPs5r=-$oV=Fbjc;u9AxuPA&Dc$*9#<ZWP2xo6k!RU6;N@Iy&$i{%B9md z#NQ!_BfE$B4<vkG{({vDZcuS_^K)^CPeBq#Hh&)uabeJ<M9}a-_OBh1I4G;Z{8fo0 zj%@yABynVOxIu{wYCdxKBqNC<t3Qn-j%>a%ND<T=5EJGeKM)5hj_l4JBymvEgQ=Hc zfK<4k@B~E#OxzJF4hw%+`I!I}2dM{DeK7TXNaD!#%NZnbWb@S-vD@p6Bo1;i%=}EK zILtjNypZ%!2Nego2RS^eK#eVEI3U-9W}r)0q2eq^?H^yLILv&Qe{-PXAoG#)(R?Iv zWPkCpBK(DHz6w+v-F#=LIJ)^|NaD!muRsz<4xa#!<FMq%RH!(}eB|`gfkS*X4siic zAVR|p*&JgW;)yuKTX2ZKMG{B$uQq598EP-Gcmxjd4jkgUafrXhAubI%yclXPa=XGA zDh`WB9cViAM-zvo&one~6R7$os5mHIKvgs>olk^{!_0@7vjHj&QV)tEn0g7&q2W+> zB8N{M4)K>r;>h_l9&`x-7IU895Vr(%Hn6Dg#38;HNgO#me<6t@yGMx^k&lqoZ$=VF z_OA&aLOrs0Cl2wOIK+8DgVa!eA=~SPB#!LPA|!ES^~|8bP^dY`?rFs#eiMhdmLPV2 z#UhC#+dB(M9NC?Rki?PI2Mb|0e<cp_pE$$?gc0T-mrHU`aaekWwWBnk;-K^lYEr?{ zvl&zzrXE)BJ3+-k>OoC5nEGHOab$B+aEMnSi6fiSjU<k2&Kw-#>ygAkT@aXiu0q9O z?uXqQavv%VazC>9A8?4fi9o^=W)5t8BLFInZcZ!?@hqq~$Q)4719N9FR2*ausH%jC zw<3vyy09?uUZ^<896qFW@*E^_P(RBCWC;TU!#Sup$b3*1hneFi3h@_698`tE#G8@C zK}}bf_*^7$<aD(fDh_fF$X-~w+5{B`nU5UKXOP5^<NYR*IC4Ciiy_>J?4Id3#J}SZ z4;RO7P6LuSvcKlbAk-tbubAWz;>h`TC6YL@fBEGR>OoU&u<+EwA<nCSP>*b{7Lqt} zxVhpG4~B|^#_K>u4$R(6s5mHI-XW!*UL<kk`=q8KiGzkBVCF1G5=ZveMI>?L@R_2B z-CwJb#F72AABXs3s5rX6UPHyv{Uxe|uou~1vPj~{{xU=oNA{PsGQu3>cnLxhM|OWE z4)G4CIJ)~ML&eeEzZXdy+5Jb6#F5>96G<G|{cI`-_an#42PAQ1fAOdy)Fb;#4u`lC zR2<!3zEE*=e^nugBm1ifNgUZ<+mXbP-8o$i;Z9`nl}O^q?%#_;{0USX-TiN%;^^*| zR7cp0?0!Wgab)-VB8elHGtp3S^zt(aDh{$2xjd;s5=Zt|Cz3dF`MFga;ZEd!=tm@R z<n$n=gI&E9k~nB65mpW~>q69{yMG>39OQoFcv-H8svcBlfO?RJpyKH2Kj@>Xht)6L zhS<fILB-L{*=>ZX9%k<~s5rWMUK3RHFncwi;^^v2ki?P0c^6b17Cx~4>@lb~$Q)$# zcc9|v>e)>p?g6PsjxQq|;;}fyGoj+><{yNLqnrN*hq!_n!ad08`Lr!U968=~>=5Fh zt}`tCoJJBy?x#!IBh(}NcNvm6a=zekK&VG9_cU>cmpdZVBfE1xk~nhyI)p>~0#qDS zZh)HPFn@i9ii5%vxt^7ALfDI3Zm1xMBbOVFNaD!;+USfh2ig5ck;IYRe;<eVKd3mm z`?*~Z<|Dh`3P~K<{Z2^Y$nFn85=VCb9an_;$nO7*B#!KU0XKwtWN~w-IJ)~Cq2lP_ zUw|Zz?EVTQab)-RBZ(usU)%%X9%T3HA&Dcq(*cKgJX9Rr{h3g4bob9d5=VCb0wi%{ z_wPayM|QuN7k2miB8elrKOKj7A5<LO{j;Is=<Yv-B#!L<OGx6#?tg+Lj_m#fAMEb0 zM-oSN|3n<(8=&Io?%xF!M|b~ABynW-e?$^Tc0ac-!kx(OZ}&rpBd4EvNaD!u-+@E? zK2#jt{V$>7=<b*BN7#$(eg!0PWcQmRi6gszLIA=XWcRN@5=VCbJ{;nAq2lQ7e*qOo zcfV*L!d_(e%OZ&*yT21j9NC>Gf)M5)i{D2QM|S@=9O8<>2y>9jNk1fUWOs%ki6gtS z7D*hrKJA8z!|H2TJ7f}6927pt_23#Lab)-JL=s1CAAXKNxF5M4Vi<`KN3MUPki?PI zS0RZbw+~HXAm*UEKN>0yazFC;*veQ`^{{r@1*kZ>`f2f~>S5t?04k2IUMT^h9wd(J ze%EA(IJ!Giq2eI*$m!%o3aWaTJGoOK;^^v?(jnsL=6gfM(bca+5=VCDNhERPaGsw5 zF&|_Oa(tb_A^sbO_}47#=I~@g#L?Yv1{DX{iyV(-IS}<Aab)-OLd9X`z`|`iR2-xp z*_@(0?B+B>#bM^a;@zPDq8?p54k`{ZA2}Z96k=Du7)>1J&Vx{KbaN&ZLCgV(Bl~wR z4)J$5#3hTdo8yH;d}|3rJ-UByLd8MuLH6(WQdIRYfBi!fhlQtn8ALt0ITy<z;^_8% zg^HuAH>^Ze53@HEDvqxHUKOf(n0uDiU>83O6-PIRwGO*_9yD>7d$ge9=;pB0L(Bn* zBgd~P4)FvW;uCR*AH^a56Nh+gBg8%E;ok@q2e}hD-WNBas)zY^6`D9KoKHi=(amXW zK{W@aekoKOUH#KmRP``>IolxO=<27nL&VYjmC%V@ybdakZqA`D?CMXViNoCU94d}( z&cSYoIUsT5c>jb$ToyV}g09{Vhj<eX@ohN7W%?lQK@T4Xs5r=8<aqBx5=VCbejMVj zaEL$cN3|CgZg0@UVd;T)0z^H!dy<jFk=^rtB1Ap9zl0}2#L>;kK@vwcr)e@oJ<J@K zJC{Ml(argfB#vxO;#5>~VE$@_ilduz8%Z3wzBZW#F$bg`+59M|INY7kb$4k{agcgs zb84aD=;}Lhh;M_6gUms0PhOsma1V03QEdi799g~dOoTYH`ZY-6prv-OagSR_;>hij zPe|g({$iVjFdwuO9cGR?k~nhvISxr2c|Is=Ho_d_dER+Q;-IBQF!PTii6i^>H<I{k zr1=p6=ma7tJV9GDVCEPji6gty1xXyVq!gw;4oMueL=+~z7D*i0-a|;@$o5`_io?<a zEPZ~2ii6yVY!2I8MED?^BMudZnFAZ2w1kR-%s~zxpLq~-VCrG^DlLGBgVZChOX)=t zM~;^bNaD!m?}Lh?n|}f-4l*A(9p1zt{tAcqUnFtlevr*Vg!_@xa~6^~a(Zq;5=TzY z(~!iG)AM#DapZLJ2T2^+Uadt4_aNKrgd~n^Zy1s|vc2U<;>h-{LlQ^MM~RCO_9DlZ zAavpz6#mHK#!C_Ek=yOLNaD!qAK?(+x(s0sXsIJCU%W*UM>a=iIYK>hxJ4m}BZpfN zk~ng>wIPWkhud-_apZ7&gCve@uha^JdywrlLJ~)|*9}P=+1_*{ab$bfAc-T}dkaY% z+1^h`;>h;0twgvJ*<N)dab$aQki?PgorNThZ0{x{ab$asA&Dc~`y5Fe*<PJh2zMge z8-*l}Y;O^gII_KMNaD!$E=Lkaw)YK^II_J`s}b%&w$}(r9NAtsBynVW(~-oH?OlT; zj%@EOBynVWKOu=D+sg*M@EVj)k?mDS5=XW-2T2^+-dRZE$o6hR5=XZ87?L=$z0Z-v zk?qx4iwI9-d!vxVk?k!)5=XYT4M`l?-sMQ*$o9TL5=XXIY8}Eo$o3i`i6h(Vh9r(` zZ#t4Vvb}4N#F6d2g(Qw_?<XX2WP91xBixB>uR4-Avb{M-;>h;SLJ~)|cN3C0vc1QU z#F6cNjwFt3ug(U9JCW^;LJ~)|w+Klb+1@rJab$a!BZ(v1`v6HCxn1GA9pN72{?XPQ z2yx{0dE8EfIC6XA1d=%Nc=#I};)=Tw<{;baiX@IaPMe5BJRd3!8Yc%W>4Mddtx$1L zen%dEUWFu%96p<n#F59JPa=sU`%7>ycK53yi6gt;28Vb8R2<#?8BlR__fJL=M|S^g zBynW-uSF6^cE8Mi?C!Tl5=VBwFAnh%s5rX&>!IT4?q7u@j_m$TNaD!uKZYcZ?0(aO z*xm1gB#!L<1RUZ`P;qqkcR|I`-M<4#9NGN`ki?PQ{}o9bIi24;gx&ogki?PQ&wd!8 z9$8!zDh_f#XsISF{hL9>(cPbdB#!L<93*jM_s>NVM=m#3L&eeiBb%V&AbXL^hXYV? znEDmab(m+M;vn_N{<?`Ij@%z%J%I=Z<nquFNgTPn%0d!HR^Nprj$GbeJ_#`&=1y2Y z?j2Md<WA&x{C)~mJ#1V>=rlweUA@B@h&a0Wx6fi1{{|IDH;4N?s(P5cYEW@>^|2RF z)x-Q%0ToAAe*{S!Io!A|qM8Hi7mGo~LFOQ<*MW+|{0mbb4iyKfM~<&19O7$ni0_1o zqnj^y3F1z4^KEd5H(y7nM|S@~BynVSzCsd5R?l?<VGeS-I&upl4s$0g{9i-GLGDEE zCtBV?RS%2b1gJQ=dbN9~>S5*wK*iD3Z$c7BcK_9f*v<J06$hDvoc=2wqpF9wb2d~Q zUHzt~sOn+vybTpcS8w?Yq8=oU?9NCeapZ8;e~wU(9A8m5#Jh2bx4y(~&K#&XdORM3 zii6yV9FJ_Tv6~|c6^EGv3paPDIJ!AZZ?T&r3>Al&0}Ia!?;z^Y#os~2LFOaJqs|BH z>W$IFVeSlsildvO^bukXNE|u*eQ}7_;SgVmL;MyFao5ifd(r)y2^9yq2id>vUr^P< z{MCmh4hzrqP;qo~62GCE15@7$6-QTp@H?t{n7vP-;^^x0exj;}nQ!_VyLd2E9NnC$ zf3T~cgC-7h&n~Dqx;az+Ld*e)BggMi9O55vh%5fXZcZo;@lG7#Z~sHgL63Jq29O8? z1IV4o@ovlr5eJbVzk)Dmovjs`I4qo_q2lP~2r`3AU;x_!G6SaG6e^CczLW)3JxCA8 z-kDHwboFX%sOn+ne_+Qh&c^`}M>i*k6Cw^GL3YB-i9{0z$${Kc4i!f?Cy)zd0wg?< z<Gl%o_*xv|_i%^{abq{%4Tty|9*BAn335BkUl*X_=<b)}1*ybzzdsJ~Djedad=PU$ zB*<P628CM<nmEiobD`qs?)i))jvSu#0w9wy)74_AIJ!B1ki?PA5fVZ*2j)&Qs5rVg z{Yc`-=6n=JH3#M|Q4xqZx;fcM;>h*&5hQVB^It*5L8gJ+2x}jHgNlR9M>dC76r>Un zJ}~u?IK*S1;vjR7$6LCP#F5(%i;%>T?cIhXjy&FS9Z4LyKP4juaVNUHUP$7|_9h{T zBimbsB#vzFbR=<Pd+#8LBm0+29N`{hdzFyHk?l1@5=XW-97!D6-Z@C($o8H>5=XZ8 z5t2Bvz2A_;k?j?iK)4^--WVitWP7`i#F6b?gd~n^?=~cHWP7h8i6h%9BZ+Vivb|nN z;>h+UA&Dc~TZSZ#Z0~d=ab$b%Ac-T}%O!<y53;>VNaD!$njwiJ+Z&D~j%@E7BynVW zPa%mT+xrMf9NFG)NaD!$ic2Hhk8E!Yk~p%xT}a}{_AWvaN49qxk~p%x*OA1L?Uj*1 zxChx@FC=kfdy|mFk?k!*5=XXoI+8fDy?2nrk?rM@MYspqUL_=PWP8n!#F6a{M-oT2 zcMg&`vc0E}#F6cNgd~n^?>8iIWP8Qs5bj5|HwH-@+1@TBab$ZJA&Dc~yA4Sk+1~3& z;>h;O$Rpf?Y_AuRII_J-NaD!$mLZ8F+dCae9NFGGNaD!$aw#C(gKV!7k~p%xW=P`5 z_J$*gBilO%NgUbUQ%K^-_C7)qN4EDHk~p%x;))3OBikE;B#vxv7m_%#y^D~<k?q}v zB#vzFbtG|Qdu5am?m@QK3rQT=-XtV(WP8hy#F6ctjwFt3?;Rv@WP7=k5$-{@R|!cR z*<Ldwab$bLk;IYhor5HfZ0{)~ab$ZRA&Dc~`wdAP*<NuKg!_^0jX@GewzmsO9NFGQ zNaD!$ZbK4Bw)Z-cII_JmstETW+v|lSj%;rdk~p%xWk}-4_D)9<N4ED4k~p%xTxtmS zAls{iB#vya8Im}%z2QjW$o9@b5=XZ86p}cyy^oN@k?sA4B#vyaxH`i9$o9q{i6h(F zg(Qw_?;<2|WP7(Ei6h&49Z4M7UKtI9dywt*LJ~)|Hwj4`+1@fFab$a^BZ(v1dk0Az z*<LP9gnN+fRYDR+w$}_v9NFG*BynVW=OBqA+j|O09NFGSNaD!$enS#RwpUyW;eKR$ zW01s=?d?JmN49qnk~p%x+mOVO?Y)j9j%=@tHo`r~_Ie?SBioyVB#vxv8Im}%z0;Az zk?p;MB#vw^mkz=`$o48Bi6h%<h9r(`Z#a@Tvb}SV#F6big(Qw_?;|8}WP86Mi6h%9 zu8VL#vb`}#;>h-PA&Dc~y9h}f+1_nP;>h-1M-oT2S4I!v9%OsHki?PgO+pe!wzmvP z9NFIKNaD!$-a!&awwFsE;T~jrm5{`d?KMLZN47T{NgUbUIY{Ej_MSo#N4ED7k~p%x z-;l(S?G-mbxF6Zx7$k9Id%KXtk?mcCB#vzFHY9Omd#@vjBik!uh;R?Gy<SM-$o3{7 zi6h%vh9r(`?{p+_WP9%*i6h&~WrT1Kvb{=3;>h-zA&Dc~8;&H7Z0{T-ab$Z>A&Dc~ z`v^%K+1_tR;>h-j8zbD0Y;O#bII_K6NaD!$E<zGVws#wnII_Lhk;IYhl`%oM2iaaP zBynVWlaR!b?JYwRN49r5k~p%xcaX%9?d38>xChx@B_wfVd(Du<k?jpf5=XXo4w5*s zy{C}Gk?nniB#vzFHzaXnd&SKV?nkya21y**-Yz6@WP2AOi6h&)4M`l?-s?!>$o9&Z zBiw^*uNRUyvb{-2;>h-vA&Dc~I~_?J+1@)y;>h-LSs>hlY_AfMII_KFNaD!$h9ik1 z+dBtI9NFGeNaD!$K0*>lw)Y#7II_LsmI(JF+Z%%<j%;rik~p%xi;%>T?cIhXj%@FB zBynVWWvmeHLAKWmNgUbUBqVWUd&`i-k?oz1B#vzF9VBsNd%3I;?m@O!2}vB;UNa<d zWP8Jr#F6ctgCve@?<pj4WP2YWi6h(l4M`l?UU3_Q`;qO9K@vx{w+l%e+1^D+;>h-H zLlQ@}_d1d|vb{342=^e{>xCqaY;O{hII_KENaD!$PDc_)w)YN_II_K5b_n+%+pB~m zj%=?Pk~p%x;Yi}h_Rc{PN4EDAk~p%xkC4QX?fr%%j%=^EJ;MFS_QoKIBiq}BB#vzF zA|!ESd$%EpBinl&NgUZ;83%-WknQzC5=XW-2}vB;-ZCU{WP7J0i6h&42T2^+UM@$3 zdywr_LJ~)|*9=J<+1_v@ab$bvAc-T}dkRS$+1^J;;>h-XLlQ@}SKJBVeq?)Nki?Pg z?Lrbqws#SdII_Llki?Pgy^bV~Y_E(n!ad0LdLfA;+na<Wj%;rkk~p%x(~-oH?Y)B} zj%+WN3&K6f_9`KXBin0+B#vxvIFdNBy>pPnk?lQ&B#vzFBP4NTd%q!xBik$Pif})& zy)j7Q$o6(2i6h&)2uU2--fc+Y$o5`G5=XXI#tq>fWP81k#F6byLJ~)|w+u-f+1}|$ z;>h;iK@vx{m&+aD9%Or!ki?PgHA50dwl^F}9NFGENaD!$o<b5ww)YW|II_Lpki?Pg z756~6AKBg*BynVWyO6|@?OlW<j%@EXBynVWuOo>g+biRVa1XM*UP$7|_9h{TBimbs zB#vzFbR=<Pd+#8LBiqa6g>VnDy-G;p$o85ci6h$^jwFt3?;Ip?WP48`i6h(l2uU2- z-fu|a$o7hRBixT{Zw!(+vb|kM;>h+cLJ~)|cN>y8vc1=l#F6cl@j<u;*<LRsab$aw zki?PgEkhDVws$&`II_KWki?Pg<?=<i2iaaFBynVW&5*>A?F~l~N49qkk~p%xr;x;v z?R|tKj%@EYBynVW#r+WON47TxNgUbUE+lbedlw;zBip+TNgUbU>qz3r_R9Dp+=Fbd z7m_%#y-7&o$o7^Yi6h%P9Z4M7-aAO*$o6stAl!p&uM(0tvb|<V;>h-fBZ(v1I|oS| z+1^t~;>h+sLJ~)|_ZyNpvc2Mg2=^n~8-pZ{Y;PBmII_Kqki?Pg-G(HNZ0~g>ab$aC zf)MUOw$}?u9NFF^BynVW%aFv8?VXM!j%@E8BynVWxq=byLAF;3NgUZ;GbC|jd&7~$ zk?oy>B#vzFDI{@ZdmkZ*Bis88NgUZ;@eqXjk?oB^5=XYT3rQT=-bF~_$o6hS5=XZ8 zI+8fDy)vN)_aNKrg(Qw_ZxWI?vb|+U;>h++M-oT2_YRUcvb|hk2=^e{tAr$uY_A!T zII_LrNaD!$&Os7Kw)Yg0II_Kuki?Pg{e~otY_E7Y!u`nh#vq9!+uMaCj%@EDBynVW zw;_om+j|{J9NAu(2!wl(?e#(uN47T!NgUbUG9+<id#59bBinliNgUZ;u1JJ?knL4M z5=XYz3`rc>-f$#wWP9fzi6h&43P~K<-bYB{$o76i5=XXIJPP4{WP4+f#F6doLJ~)| zcM*~}vc21o#F6d2jwFt3uS_(;J;?TYA&Dc~n}j5eY;PHoII_Ldk;IYhy@Mo<Y%f;~ z!ad0LDj|s@+iQj-j%;r@k~p%xbCAT5?LCDgj%@EEBynVWzafbu+bbT6a6huWF-YRb z_I4qOBip+ONgUbUZAjwC_FhL4N48fc4&feTd%cjvk?l=F5=XYT3`rc>-swo<$oAer z5=XX|D<0t<WP6p6#F6baLlQ@}HylYE+1@!w;>h-%LJ~)|_Ysmfvc2Ds#F6b4Pe8aI z+1?l=ab$bDki?PgU4$f#Z0|NCab$b1BZ(v1E0c(D53;>pNaD!$CLxI<+gpYtj%@FA zBynVW?;wdI+sl=Na1XM*N=V|!_L?DyBikE}B#vzF93*jMdru*WBis83NgUbUZ%E?E z_KGJX+>dN;43apqy<JG+$o4Km5=XXo8<IG(z1NY%k?oa9LAVFmUN0nZWP6j4#F6bS zLlQ@}cRG?dvb}eZ#F6dgN=3K_*<K|iab$bVki?Pg4M!43ws#JaII_K`ki?PgeS{>A zZ0|QDab$bN(-7`Qwl@Yz9NFG3BynVW7a@rw+q(@(9NFIMNaD!$%A_OQgKV!Ck~p%x zNl4<z_Ld=uBilP2NgUbUJ4oWl_Htz)+=Fbd5|TKwy=F+_$o7UKi6h%P2T2^+-cv~8 z$o4)$5=XZ88<IG(z2cb&_aoaIgCve@Zx@m{vb~Ft#F6dYh9r(`?{y?`WP4?@5bi;? z*9%D;+1?~1ab$bTki?PgosJ}qZ0{W;ab$bBvJvh<wpR&B9NAtoBynVW!;!?1?VW=p zj%@EKBynVWA0de&+xrbk9NAv+9EAIk?TtYaN4B>MNgUbUMM&bv_HIKGN4EDmk~p%x zGPwx%AlvJOB#vxv5|TKwy=6$^$o5W05=XZ84w5*sy<B++_aNJ=gd~n^uNjg!vc2I* z;>h;SK@vx{_Y{&ivb~Rx#F6d&h9r(`uXsMf{mAylAc-T}+l3^KZ0{l@ab$b9A&Dc~ zdmTv}*<P6fgnN+f^+FOywl@h$9NFG7BynVWrz43Y+j|E|9NAv3LWFye?Nve&N4D1t zNgUbUa3pbLd*>jDBinllNgUbUM@Ztx_I^VWN48hI2;qKYdt;Eqk?rk55=XXo5t2Bv zz1xt)k?p;XB#vyaOfkYe$o6_6i6h&agd~n^ZyAy}vc1!h#F6d2gCve@FINe|J;?Sd zA&Dc~Ylb9_Y;QP{II_KSki?PgJ%uEWZ0{o^ab$bHA&Dc~D_)9lKeD|sNaD!$b|Hx) z+q(!!9NFG&NaD!$UPlr~wpXSM;T~jry^zF_?M*@wN4B>NNgUbU=}6+p_TE7fN4A%% z9N`{hdzFyHk?l1@5=XW-97!D6-Z@C($o8H>5=XZ85t2Bvz2A_;k?j?)K)4^--WVit zWP7`i#F6b?gd~n^?=~cHWP7h8i6h%9Q;Bd7vb|nN;>h+UA&Dc~TZSZ#Z0~d=ab$b% zAc-T}%T<MN53;>VNaD!$njwiJ+Z&D~j%@E7BynVWPa%mT+xrMf9NFG)NaD!$idQ4t zk8E!Yk~p%xT}a}{_AWvaN49qxk~p%x*OA1L?UkuPxChx@FC=kfdy|mFk?k!*5=XXo zI+8fDy?2nrk?rNGMYspqUL_=PWP8n!#F6a{M-oT2cMg&`vc0E}#F6cNgd~n^?>8iI zWP8Qy5bj5|HwH-@+1@TBab$ZJA&Dc~yA4Sk+1~3&;>h;O)Fa%3Y_AuRII_J-NaD!$ zmLZ8F+dCae9NFGGNaD!$ay1~_gKV!7k~p%xW=P`5_J$*gBilO%NgUbUQ%K^-_C7)q zN4EDHk~p%x;*ALRBikE;B#vxv7m_%#y^D~<k?q}vB#vzFbtG|Qdu5ss?m@QK3rQT= z-XtV(WP8hy#F6ctjwFt3?;Rv@WP7=q5$-{@R|!cR*<Ldwab$bLk;IYhor5HfZ0{)~ zab$ZRA&Dc~`wdAP*<SG$g!_^0jX@GewzmsO9NFGQNaD!$ZbK4Bw)Z-cII_JmtqAuZ z+v|lSj%;rdk~p%xWk}-4_D)9<N4ED4k~p%xTx|&VAls{iB#vya8Im}%z2QjW$o9@b z5=XZ86p}cyy^oN@k?sA4B#vyacss)V$o9q{i6h(Fg(Qw_?;<2|WP7(Ei6h&49Z4M7 zUYQPrdywt*LJ~)|Hwj4`+1@fFab$a^BZ(v1dk0Az*<P+rgnN+fRYDR+w$}_v9NFG* zBynVW=OBqA+j|O09NFGSNaD!$enS#RwpY9h;eKR$W01s=?d?JmN49qnk~p%x+mOVO z?Y)j9j%=??H^M#0_Ie?SBioyVB#vxv8Im}%z0;Azk?p;MB#vw^R}aEH$o48Bi6h%< zh9r(`Z#a@Tvb}SV#F6big(Qw_?;|8}WP86Mi6h%9-ivTQvb`}#;>h-PA&Dc~y9h}f z+1_nP;>h-1M-oT2SEdi)9%OsHki?PgO+pe!wzmvP9NFIKNaD!$-a!&awwJ3P;T~jr zm5{`d?KMLZN47T{NgUbUIY{Ej_MSo#N4ED7k~p%x-;l(S?G>MZa6huWF-YRb_I4qO zBip+ONgUbUZAjwC_FhL4N48gHBEmh$_Ie?SBioyVB#vxv8Im}%z0;Azk?p;MB#vw^ z*Cd2{knL4M5=XYz3`rc>-f$#wWP9fzi6h&43P~K<-bYB{$o76i5=XXId@{oQ$o9q{ zi6h(Fg(Qw_?;<2|WP7(Ei6h&49Z4M7UYRKf_aNKrg(Qw_ZxWI?vb|+U;>h++M-oT2 z_YRUcvb|hW5$-{@R|!cR*<Ldwab$bLk;IYhor5HfZ0{)~ab$ZRA&Dc~`wdAP*<SH! z2=^n~8-pZ{Y;PBmII_Kqki?Pg-G(HNZ0~g>ab$aCrX$>gY_AuRII_J-NaD!$mLZ8F z+dCae9NFGGNaD!$a?L=v2iaaFBynVW&5*>A?F~l~N49qkk~p%xr;x;v?R|tKj%@EY zBynVW#b+Yik8E!Yk~p%xT}a}{_AWvaN49qxk~p%x*OA1L?Uk8@a1XM*UP$7|_9h{T zBimbsB#vzFbR=<Pd+#8LBiqY08{r;gdzFyHk?l1@5=XW-97!D6-Z@C($o8H>5=XZ8 z5t2Bvz2A_;k?j?qgK$5xy)j7Q$o6(2i6h&)2uU2--fc+Y$o5`G5=XXIW-h`#$o6_6 zi6h&agd~n^ZyAy}vc1!h#F6d2gCve@FV{STdywr_LJ~)|*9=J<+1_v@ab$bvAc-T} zdkRS$+1^J;;>h-XLlQ@}SA0Ig{mAylAc-T}+l3^KZ0{l@ab$b9A&Dc~dmTv}*<P6i z2=^e{>xCqaY;O{hII_KENaD!$PDc_)w)YN_II_K53lZ)?wpR&B9NAtoBynVW!;!?1 z?VW=pj%@EKBynVWA0de&+xrbk9NAv+MF{sJ+Z%%<j%;rik~p%xi;%>T?cIhXj%@FB zBynVWWfmjcgKV!Ck~p%xNl4<z_Ld=uBilP2NgUbUJ4oWl_Hr#jxChx@B_wfVd(Du< zk?jpf5=XXo4w5*sy{C}Gk?nniB#vzFHzaXnd&QR`+>dN;43apqy<JG+$o4Km5=XXo z8<IG(z1NY%k?oaPhHwwEy<SM-$o3{7i6h%vh9r(`?{p+_WP9%*i6h&~wH)CdWP6p6 z#F6baLlQ@}HylYE+1@!w;>h-%LJ~)|_Ysmfvc2Ds#F6b4Ux9Exvb`}#;>h-PA&Dc~ zy9h}f+1_nP;>h-1M-oT2S7s%`J;?TYA&Dc~n}j5eY;PHoII_Ldk;IYhy@Mo<Y%kX; zgnN+fRYDR+w$}_v9NFG*BynVW=OBqA+j|O09NFGSNaD!$enS#RwpV;L!u`nh#vq9! z+uMaCj%@EDBynVWw;_om+j|{J9NAu(H3;`0+v|lSj%;rdk~p%xWk}-4_D)9<N4ED4 zk~p%xTx${TLAF;3NgUZ;GbC|jd&7~$k?oy>B#vzFDI{@ZdmkZ*Bis88NgUZ;@pTCI zBikE;B#vxv7m_%#y^D~<k?q}vB#vzFbtG|Qdu7%m+=Fbd7m_%#y-7&o$o7^Yi6h%P z9Z4M7-aAO*$o6t=K)46lUL_=PWP8n!#F6a{M-oT2cMg&`vc0E}#F6cNgd~n^?>8iI zWP8OoBHWK`Zw!(+vb|kM;>h+cLJ~)|cN>y8vc1=l#F6cl*@SQpvb|nN;>h+UA&Dc~ zTZSZ#Z0~d=ab$b%Ac-T}%e5Kd9%Or!ki?PgHA50dwl^F}9NFGENaD!$o<b5ww)YW| zII_Lpki?Pg72kqzKeD|sNaD!$b|Hx)+q(!!9NFG&NaD!$UPlr~wpV5=!ad0LdLfA; z+na<Wj%;rkk~p%x(~-oH?Y)B}j%+X2HiUbS?Nve&N4D1tNgUbUa3pbLd*>jDBinll zNgUbUM@Ztx_I^VWN48gdJHq|Q_QoKIBiq}BB#vzFA|!ESd$%EpBinl&NgUZ;nH>oC zAlvJOB#vxv5|TKwy=6$^$o5W05=XZ84w5*sy<9sH?m@O!2}vB;UNa<dWP8Jr#F6ct zgCve@?<pj4WP2YWi6h(l4M`l?Uh!QB_aoaIgCve@Zx@m{vb~Ft#F6dYh9r(`?{y?` zWP4?HBiw^*uNRUyvb{-2;>h-vA&Dc~I~_?J+1@)y;>h-L?LoK)*<K|iab$bVki?Pg z4M!43ws#JaII_K`ki?PgeS{>AZ0|QDab$bN_afYnY;O#bII_K6NaD!$E<zGVws#wn zII_Lhk;IYhmDz`I53;>pNaD!$CLxI<+gpYtj%@FABynVW?;wdI+sm~d;T~jrm5{`d z?KMLZN47T{NgUbUIY{Ej_MSo#N4ED7k~p%x-;l(S?G-<Oa6huWF-YRb_I4qOBip+O zNgUbUZAjwC_FhL4N48hyAi_P!_Ie?SBioyVB#vxv8Im}%z0;Azk?p;MB#vw^*CB*^ zknL4M5=XYz3`rc>-f$#wWP9fzi6h&43P~K<-bYB{$o76i5=XXI{4m1($o9q{i6h(F zg(Qw_?;<2|WP7(Ei6h&49Z4M7UYR2Z_aNKrg(Qw_ZxWI?vb|+U;>h++M-oT2_YRUc zvb|hK5$-{@R|!cR*<Ldwab$bLk;IYhor5HfZ0{)~ab$ZRA&Dc~`wdAP*<SHu2=^n~ z8-pZ{Y;PBmII_Kqki?Pg-G(HNZ0~g>ab$aCjw9TIY_AuRII_J-NaD!$mLZ8F+dCae z9NFGGNaD!$a-Bf92iaaFBynVW&5*>A?F~l~N49qkk~p%xr;x;v?R|tKj%@EYBynVW z#ZMyKk8E!Yk~p%xT}a}{_AWvaN49qxk~p%x*OA1L?Ugx&a1XM*UP$7|_9h{TBimbs zB#vzFbR=<Pd+#8LBiqY$8sQ#fdzFyHk?l1@5=XW-97!D6-Z@C($o8H>5=XZ85t2Bv zz2A_;k?j>fgK$5xy)j7Q$o6(2i6h&)2uU2--fc+Y$o5`G5=XXI<}AWJ$o6_6i6h&a zgd~n^ZyAy}vc1!h#F6d2gCve@FV{JQdywr_LJ~)|*9=J<+1_v@ab$bvAc-T}dkRS$ z+1^J;;>h-XLlQ@}SNuG}{mAylAc-T}+l3^KZ0{l@ab$b9A&Dc~dmTv}*<P6o2=^e{ z>xCqaY;O{hII_KENaD!$PDc_)w)YN_II_K57ZL73wpR&B9NAtoBynVW!;!?1?VW=p zj%@EKBynVWA0de&+xrbk9NAv+O9=NP+Z%%<j%;rik~p%xi;%>T?cIhXj%@FBBynVW zWiBJ!gKV!Ck~p%xNl4<z_Ld=uBilP2NgUbUJ4oWl_Htc8xChx@B_wfVd(Du<k?jpf z5=XXo4w5*sy{C}Gk?nniB#vzFHzaXnd&RFJ+>dN;43apqy<JG+$o4Km5=XXo8<IG( zz1NY%k?obahHwwEy<SM-$o3{7i6h%vh9r(`?{p+_WP9%*i6h&~bsgazWP6p6#F6ba zLlQ@}HylYE+1@!w;>h-%LJ~)|_Ysmfvc2Ds#F6b4zkzT+vb`}#;>h-PA&Dc~y9h}f z+1_nP;>h-1M-oT2SLP<dJ;?TYA&Dc~n}j5eY;PHoII_Ldk;IYhy@Mo<Y%kX>gnN+f zRYDR+w$}_v9NFG*BynVW=OBqA+j|O09NFGSNaD!$enS#RwpaW%!u`nh#vq9!+uMaC zj%@EDBynVWw;_om+j|{J9NAu(I|%n6+v|lSj%;rdk~p%xWk}-4_D)9<N4ED4k~p%x zTz3)fLAF;3NgUZ;GbC|jd&7~$k?oy>B#vzFDI{@ZdmkZ*Bis88NgUZ;@p}mOBikE; zB#vxv7m_%#y^D~<k?q}vB#vzFbtG|Qdu8q;+=Fbd7m_%#y-7&o$o7^Yi6h%P9Z4M7 z-aAO*$o6tQK)46lUL_=PWP8n!#F6a{M-oT2cMg&`vc0E}#F6cNgd~n^?>8iIWP8OQ zBHWK`Zw!(+vb|kM;>h+cLJ~)|cN>y8vc1=l#F6cld4zBevb|nN;>h+UA&Dc~TZSZ# zZ0~d=ab$b%Ac-T}%k>!H9%Or!ki?PgHA50dwl^F}9NFGENaD!$o<b5ww)YW|II_Lp zki?Pg6@P+oKeD|sNaD!$b|Hx)+q(!!9NFG&NaD!$UPlr~wpZpU!ad0LdLfA;+na<W zj%;rkk~p%x(~-oH?Y)B}j%+X2GlYAP?Nve&N4D1tNgUbUa3pbLd*>jDBinllNgUbU zM@Ztx_I^VWN48h|Il}$O_QoKIBiq}BB#vzFA|!ESd$%EpBinl&NgUZ;nHLE6AlvJO zB#vxv5|TKwy=6$^$o5W05=XZ84w5*sy<9I5?m@O!2}vB;UNa<dWP8Jr#F6ctgCve@ z?<pj4WP2YWi6h(l4M`l?Uh!85_aoaIgCve@Zx@m{vb~Ft#F6dYh9r(`?{y?`WP4>^ zBiw^*uNRUyvb{-2;>h-vA&Dc~I~_?J+1@)y;>h-Ly+ODK*<K|iab$bVki?Pg4M!43 zws#JaII_K`ki?PgeS{>AZ0|QDab$bN-y+<PY;O#bII_K6NaD!$E<zGVws#wnII_Lh zk;IYhm3fD753;>pNaD!$CLxI<+gpYtj%@FABynVW?;wdI+spMH;T~jrm5{`d?KMLZ zN47T{NgUbUIY{Ej_MSo#N4ED7k~p%x-;l(S?G^uka6huWF-YRb_I4qOBip+ONgUbU zZAjwC_FhL4N48hyBf>q%_Ie?SBioyVB#vxv8Im}%z0;Azk?p;MB#vw^*C&K~knL4M z5=XYz3`rc>-f$#wWP9fzi6h&43P~K<-bYB{$o76i5=XXI{4>J+$o6_6iC<)3VEFSN z0;VI0|3(tuf+T(iN&FI$II{ZpNaD!qdA}gsgREW)NgP?d2a-6l`cx!wWcAHR;>hY3 zAc-TZ--jfQto}BVII{YmNaD!q#l9l^g{<BLNgP>y6AtnDNaD!m>_rksHs=<SII{X5 zNaD!qMZaNpr!kT^vibldab)xJki?PIcO!`-t6zyEj;#I|k~p&ZCrIMR>KVUdcfS&n zII?<sBynW(W0Ay>)vv}Oega7x*_>xc;>hMO|G@4}MI>=#^>#?&$mYi)i6g7;LJ~*L zzXy@Tk<~N(M7Rf8+!Kd*7Lqu!`OQe;N0G{bHAv#Oki<_QiC;w$e~KiIY(CE~ggcSV z(Z(U}k3+l)NgUbynMmTu?mvnoj%@EkBynW-bN@!T6WM$(BynVO>Trl}!Xf?)hq%-q zguTe-`{598!Xds5hxjWT;&Okn+Z&5RoB;|zy7(Cw7~B*f0xS@U0Ve(zD$ao>-USus zK@&d)6&FAgzW^17QgHL3${1px44AzJj1Ykt5DKpT095=6nmBZO0>cY5ap<rW0}E6i z+<az;LIpJO2B^3Vn)m~#cm$fb12n*vpovdlg_!>VO}v2(A`ZRY8SbwK>=1G2HAHZ6 z2WY@Uk3)ltFMtL-beRBLynz>Djs(=bF#js>LBvzg#5X|2H=v0-fD#3$JU|nF02TLu z`Wt3`0W`v=poudGLhOBjCO!cwt^*Axm^lhU5cL&k;v1miN6^F_gdyq$py3KLM?eH3 z9)l+S04ja}P5grdL_H5Q9$@Aikc5awpoveAf`~6c6Hkzah<`v6H;{pd+d$(JW<G-~ zM7#q{`~p<`3Yz!?If!}%P6!P%CqN#;4?z=WfM&QJH1PyQi24<1;v1miN6^F>lpyLK zpos@S#s8p*FMx_ma6$CJ-1z}2Zh|K6pbSwLfF?cxD!u_tJV6DbUVs}y!^~Guh42f| z#2-M#ub_!D=t9(+K=TvK905Iu_!Knp3sCVdXyOy}A?ib*`447JfB{5&3!1oqAw*mR zn*U(xFF?gh(8MPgLDXMC6Av(kh?_w3CCnTF6NvZ}H1P{i@h@oN6HFoML!kK>W=?<^ zM0^XHxPUoCTm+hrVd^hH#Y@n{Cs;t#UqKTOu!M-4K=U`u904nccmtaF0;u>IG;srK zh<XWVc>pu#08~5$O+3H`qJ9IK_yef;A2jg-TZnoOX!!v%pTQ0yJ_SvD0#qDU-oVr= z*hAFoh(c(X_y(wW1)8{n14P{sH1P{iaRD)iJj|Q`M+iR#P5c5>d<B|#fD=Uh7c}t; zP;m!wh&<eUX9&LsP5c5>{05qMfD1&u3bcHMnR5XuUVtVZ;0jT{2Tl9}RQw8>cz_#3 z{Tnp#3s7+mX!#AZ_XAX122EVS9b%3Rn)n5%cm$gG2dH=snz(@n#GD>9@e5G#6=>oL zo)GnW(8L>{;up}wA3(*Qpou$pLCpDqCcXeF&I7HlVBx^v4N<RvCY}HlH$f9W02TK@ z6Ibwon6m;+oWU0&&H=3tVfJo-if5pSC-_0spFk5=@P~+NK<iJKITxVf9cbbW0TA^s z(8L1*A>tm;dKG4lLJ&lJ1)BH;s5l3-zJ;l82!^Q7Kod6zfry_#6Mp~|*MQc~Fmon^ zLezJli93Wr#9yF^e}Ia6K<jguIUB+u>Q|tN7eqkBIiU49Oua%RL_7mc`~p<`1e*AQ zD2VzyXyO;3;$P6j8KNQTd7$+?%w7kmxDJ~50;sqTnmDXOkboxc0PQF=pouSlicdik ze*hI<fhMjH3vuTOH1P>g@fT>~3~><kf6&AopyC41b_&eD4N!3vH1PvaaSJqYhIoki z0chd{Q1J{j@dr@x1~l=21c*5^(8Lcw#W$dd8ze&1zd;kfkO>jjfwr??{(6uF5f4EV zUyuzEuR#+}$bpD2K@(TVg@~U)6Mp~||AHpIAP=G**1m(ehoJx>?g4Gz!Nd<h#S75H z8ww%nXP}8Y6hXxIpoudSL&Tq;i64N9^Qb}OVfHqZK=>wT;tr({@fb95hBAow1T^sl z6%g?qXyOT#5b+0S;tEv|aSwF}4R=p1gue$({6jrN{0W-)h6ac@j|PN>nG?_m;kTfP zE3`nwH=v0>XoZNsK@-2w2@zM&gwQba8M+~S4>a)uQ1LBj;tCTX>hGY5KY)s}XhGy* z<}a88;p?D@CrpNje?b#(fDSNdXhY;-=6tvZ;d`KoAGi+@&p{KP@Bkt{15NzELx}hh zH1Q9QAmSg;#1)=E#AS3KG|W8#Pa*snXyOXcfsh?&;t!zWZ_vaWUO~)JfcA%A=0A7? z5f4EZ2Tg7;FfeqWi5q-^sNaAl{sAg}15JFxXNY<hXuk?(ufkV|xDLAbH;8xynmEHx zh<FQ{_<~;$@i}PX7og&>{v6C+hQAQ?KhV@4fQl<X`*|?+0skQCJ<!Aj{zJqI(8Mo5 z#XHc%4HzH;MQ6~&A3(()potqWLez6W`<F2H9Ds_Opowo_hNw?K6Q95e5ubu4et;b! zz5-30fdeAG2Tj}nDt-Y?JOL{H1WkMbRQv~;_yMRm540Z)^VbKcxC5HF0T;wQ5oqEQ zpyDlP;sx9g^>fg~KS0H|pou&1K-8Z>6Q2MTXMpz0VeUM@3sEnDChouo5jQ{+p8ys2 zKofre6|X@P7Z8A$GX+h20#tkrn)m~#_z^U513`#6KhVSzgdpMq&~XKrzcxU{HPFNv zgdyr-;}9_Q2cY6LXzB$-AnMPciGP5Kzd;k9APP~>0Ufu1ng0MPE`uiSAO=xyfF`~G zD(-?N&L9p^AAu&G02N<>ChnjPQGWzYd;(Nl1Uilab0>otM12aHctJ2kya7%8090H7 zI_?59XTdaxdLJ}#ff*3-3N-PCnGo?CXyOjDAmVS(#0#L}JkaqQn7swFA?g*-#5X|2 zW6;DE=0eoZKod_`01;n<CcXeFeg#cjU?D`k33S{D=AH{s@hNEH6Ba?#uRs$&02M!g zCSI@@qW%V&xB_$_Uj#ZX1+%wdDMY;vn)nB(xCff}fn^Z&F=*lp%OT=>(8Lcw#bu!5 zTX1_<K-AZui94)>h~GgIXIKRhw}Fm(!OS@T6`zA9-mn^?{tueC!y1Tq40JpUW)8zz zi1;2f@dHqC8R$3}Ont*Ti252dafkH~@jGbZ3>zTgHqh}im^lZa;yq~M6AnYvuRs%j z02Tj%CLVAJqJ9Q+ybWf)fhdT@!0-c2`~y@x0y^FXQUw}1-5>^HF)-{v6E6^lh)Y1n z+dw>!dIJd%i-Dm6P5c8?{05r%21$r|3+Ol;hzByKKnlcSV3>g>ZXgX2|A8j{0V*B= z9iIcK0-3Wx2E<}u*nuWqAPW(ffR4|Bcp&u#av&B1Lj{`n2dMZBH1Q4c5cL+&aXSzX zWKMwsh{eD#15MmO5hDHrP5c8?JOVmy2T}zxXM+-m#lWxwO}s!EA}#?Pp9Aqg>J3yt zECz-OH1Q8m@f&F38&o0cEuiCeARfq^0yPkefnf%kxPdxE{0Ex&2dH=ibleW43S`a( z4G@cgVF#LcfhI&;0y=I7;(^o~Xn|M^3>9eNAE4ql(8M=rL)2S9$L&BokU0f9AQl6| z3^Z{AU5NM(H1Q8m@d)TRA4nC*oDF&)76Zc$H1Ps`h`0oFoDakUsW&hHu^1RC(8NDL z#c!aAZ!m<Yw}6fdf_NZv3XDK328J1E;s(YL@gHd7AE4q9(D6c$Dv&uFOh7CKh8<|) z1*Q;j3FtT?hzC+{U<P6_FjSz4e}IbLKoj3!4pDCb9d`urK;{%!fLII+Gtk5hEFt1Q z(8NDL#Ur5Okswtdb2eCkSPTq1(8LR@A>tCyaY_&mq}~8J;aq_x{sAg}15JE`El4K= zg9UW_62t?UQ(y;TF)++P6F0Dji2pzn{{R(_fR1;9RDsOd-~eJVFzi4RFK~p2OF+jv zK|GLp11AuRfuRCT`~y_{2AcQ=XNY<W==dmz2QsI?1;k=tn1Lp4;0h7{fhPU|DjoqH zPX(z0nX|zS#A0CBfhJzy4iT4tj;DfnAoT_wAQl5d1)BH=sQ3*u@eQ63^%l@^RuB(l zPJtJQ#lSEFP29j6BK`wS`~y@x0y@qLQUx++gAa(sz_0^NyucSCE&&}k1@S=Y4g5eX z28IeW@efe(8))Ji{2}TspyR0^9>|=601%6TVFsGGK_Eo@2b%Z?sCWc)+!Uk=WX^^l z5Q~9f2by?6FhpDeIz9^Gfz%s>fLII+6=>ogpyD^s#5aUO)LTHuO+h@6IR#-L76Zc! zG;xD)i1-gQ@efe(2<SK~NEOJO4bX)iJJ7@nB0(w`7$lM);|CxfNWDQ6h{eEAfhPU| zDt-e^d_y!uy+tyrIR!Bg@fm312C)$FA86tqpyClJsOD^lgQ(wuCSDK^5tm3sRd0|0 z5wAcK{{R)gfhN8o5u)BA4b_~2B#8J7G;xDui1-gQ@efe(h;&qQHl#q*??4kTNQH<? zWT2`yNP~!1poxEgir+vJ-;fSbZvh=g2k}7hSdal?F)++P6F10&i2pzn{{R(_fR4L^ zRDsOdkOg8fFzi4RFUW?7OF+ltK|GLpgB%cxfuRCT`~y_{2AcSWT!?xL==eQ|2QsH1 z55!_%n1Lp40KL!t2b%Z?sCWc)Tpy$xWX=ZYee*le#0#MJxJy9C`(f%0p!ca)poxEg zir+vJ-vGVu+#(+}9t)uNh|fS1H-O&f{R2(>15`W$I-dY@&j#py+dI(23!wK{OF-ut zVCoH^_eocviGP5K-#`=J0KM<o0y-}NGp7K0kMayOaRcam#y`-+KS0GJpz{?lb2dQl z8{UB?UI4wvR{}bZ0aI@Py-&9SP5c8?{05r%2Izga7SMSQm^lT|dt_&zi5o!gbNzuP z{sAf;0i7p-nX>_U-|7xD@dD^QrV{0-@ooTJKv#h#{sAg}15JDb^uA9E=sXO}-U8@7 znlsSE4WRc~{y-D|02Pmb&fCDu*#Nz7atE4t0rVb63Fv$dOuYg0KE(<&@efe(8))Ji zp!XeGRHKGN0rVch8EE1L(EI#;poxEgibvF-nzI3V-`);1@dD^Qb`sEeC%F5e_sLbD ziGP5K-#`=J0KM<cq7K#G0_Z(zGtk5hp!b>mKokD}6_0?<cfs7V0eauq4m9xs=sjK% z(0MSJdIRWvS`}#GAE4ql(8M=D@4K>q&YQu^DS+N1H3LoD0D7O(4>a))Q1OT+)Nt4U zy>Dp;ns@>99wUinRP_eX`-Cdc#6LjAZ=i{9fZq3G0iEB2yB~Uw&I~kh1L%D=KhVTK zK*b}V^MNpPHbC#2*?}fr0KLaWq7BXc(EC&>(8NDL#c!aAZ-Cx+V$qIjP66~Dkr`;> z2GILFexQkefQm<SpqjG*df&zlH1PuHJr)wsc}|#r4WRc)RG^7}fQsKh6W;*6@4=!A z)!qW=Jqk0>#0{YL8T>#K{{R(_fX<`B+_M3C-@pzu@dD^M{t`W?_8LIX)2~1i{{R)g zfhN8IdfvT7FRD2O(1qGF(8LX(=ehqt6aN4ekLW`+X9M)S^&M#91<-TMCHhg-8$iz! zuRs(302RN1CcXiB-nYdBRC5ZT=V;GB6E}dKXZ-_B`~y@x0y-}Z4}a)+(>u__3!vvX zOF-wVVd@Q_=P6g9iGP5K-#`=J06p*6VltZhq2~zCKod8Bp6B}mP5c8?JYou}IUAto z?e0JmFMyt7D=`&Sy#e$**$OoA4^Z(NXyO~7=e=4?Lp7%WdXDN0G;ssyd8R+m#6LjA zBc`L8vjKYE=ngdT0_ZuO63~qY@bHJ8r&)m}{sAg}15JDb^t?-pnW**_K+ln!fhKMM zJ<st6n)nB(c*HDJb2dQFTik&rUI0DEP+~T!dIRW2feJM74^Z(NXyO~7=lxmCK{clU zdXC-<G;ssyd3Hb0#6LjABj%!-vjKYE+zvGH0_Ztz67x{i8$i!ft3VU~02RN1CcXiB z-kHUGRC5ZT=ZMWf6E}dK=k)_k`~y@xVgaf-8=&WH?LZSRfSzL|u@F_g0rWhn3N-N# zQ1KgR;v1mnJy|S5HKzc2j?xS?aRcaiMnBNRKS0GJ7NeT80earh4m9xs=s7+TOHkDt zK+n^uKokD}6~BQdz5#mPjm1(_a|)mva%P~38$i!<`GF?>0V*D`4Aq<s(DPPypotei z&oPl$j;h`OdY(uHn)nB(_zg7i4bbyGELNbJQvf|jV+NYI0rWhJA86tqpyClLQO(%^ zJ#S(Mns@>990!S2sOk-%=P6X6iGP5K-#`=J06p)(Vl}Ec1<-Q@W}t~1K=<?iKokD} z6^~ehYR(4ee)}D0;swxs>=J8H)f+(flUJaLe}IbLKoj2p-S2I&4%M6j=sxNhXyOLY z{mfg?#0#MNEia&n2SE2X{y-DI02NnRk7{oMbf2LQn)nB(I74Q3W_)pSMrulFPHKEX zQGRl2adCV>QD%NoW=SPjwk#(<Jw7S3BtAL607;~{q$o8ny(9x7oST}Pj4WH4SDcxi zmzolvTbfgnS&)OI5oT1pL3{<of{c_Lgd0kV67z}^lS?x5^Ws5fB<92y=j4|&=oO{r zB<hu<R+K<Afm{W5I2KK5iN%Ce7Nw@g6QL|GKQBHhCqFqmGcP?pB{e6p66Ub{0%R*v zOX8DDi;7b7O5g#Rl3$dYm<Lq^@@8gUYEemMVorQfYF278R08Cc+|r!*<ovwkL?khE zK0HuM^Ad|H<8w3fN{bPJk(Qa4S)2j08Y~VEA85pa1;O!|o(gd!$j*}Z;*z4$<dXP; zk|Kl(h#mP*5s=$c%RpX(#z{e9QDSatNh*?jPGWIMd|6^nX(}vy&=i6E33n25l)*!{ zED>rRNGZho@x{rBIWS?6Gm<k>le6QC67$ki<MY$vGxJhXE8_DD7(hudw*cV*SOQH( z7EI19068(<FF44*EVU>n5lI6`F4QF;H6=Y2t9(XcUP?}Cd|G}{a%y~0YEEimacX>G zUP^pQQD#|cQG8KqaehuIDB&U;k(pPPpPd??R+<M+(GUrcFA9qC3lh^4OH$*J0s<lp z@;d?Pl+>Km5`?kwAZwBn;Z|qnWr7k}W>so@Nn&v}x->WiBp0QEZAX(Y$uG#sDa%E; zq&T%Cz9ge4H8I6A1?2bm(!8R?yp(*15+s{oevD5}%*g@kPpQmH%*{+j_F;TkMhZj{ z><Fx>0cU;#8%m@;NHRs}NY2kIOD!siFUgNDN=?jR(96p&N!4@r3)L+yNlea$C<H|e zB$b2wkOUV8#RwL0Xb>iW5`HQ?8NkvV#7L0V(v*Vu+{BXPjLf`rgeX?OL!1pRmE!Y2 zPE7|<iRq;4Ls*oOnpg}nH@+wp$rz~Pp;Ax^MFqUL0hQQ!NP5#Ub5i5Wi!w`65tfuE zW|n|611P=ZrB;9nl|*C(Nu_CyMMa5~?&zYPX$GibaC?(M8LT2XKer&U2x3)nNp4Ae zeqK&y9)u503~==zcP8iOCZWidWag$qE05HS_%u+d1$H$&_@QMZywZbJSx7cu&5c;p zfl5_SWP^$juqB|>9$%DM45~R0g5Wd(F2WP@QXuL;LeNZIT$)r+l%I~2Y2!1~;vuDb zDnxm1VopweGJ{@mNl{5+5`$iGWiFToSCK{eDTyVC45<~V$)zQ!@s<oo1x&o5r6rnx z8Jd8RDVl(xF`9spk)<WvcnehHj4aUv49(F5jLgsk3{B7kjEpT1<{KHJ$r_>y7#X0e zurNn;l%Xk_fRPEh02<%W2u;Aq&>Y!KXtKrzXaa_oW@t_@LlZDEMH4VIMiVeX=Ys@b zv1(|B>>M<erl@usS(+jg8-h3}DZ(6Gxfz;(p$VFRF&f_pBmj3Anxvs2nt+i3nt%za za}6!f1x(Qdj7&@r9x^gUlQlF#k~Kt=Gy)00oC@Y3hlQ~Ll2#)S2U*t8(iqL9W@rLN zrf33&#%KaYM#c!I8=^@Xg9H$cLsDsEfXqR2g}D)`fRP!RfT0PRfH4~12qXZr*brUP z08PNq!VuL$LsK*XBNH?MLnAZ+BSSO+V*@k+LrViRTg=b|j7-r4jM4ap=mJJYXex{i z4J;Y-ASI?=a&d_TxHN_ouNH_h4y6b+vP8%j86a~kkR%K(EEr%Vd2W1SvO!v+fl-=? zrDc+(acXLOQj$rcX=1#&1**~J2onsE*hU}@N>VgH*J*~N6NznPXohNqDUv!%Q&c%) zWJx5pk+BJqE+n>*Au`9n1kE@kO~weeks&h2z!+7NAxHq06pawN43XGIhK8t`j0_M8 z%~3+s(83Z;z!Xiu$OKKm&<I@sjc;U#CSYuUCSYi3fo7{2nt+ihnt-7(nt+j!g*iM0 z8W~!c6O)`!Z8fqqM-?zMM-wnILlZDGK@%`CMi($gILi=;Z3N<=q)~JMBLg&}3@yyi z+-Hg=U}S<WfW|j8GDC6>nxv5-k|dae>^@@yH07pfj<GaF7;T0wi7sGdil)LCjc<r9 zU}S`3z9ACZ2!&&SZVtMDk);Wm!_CnIjLgsk3{B7kjEqeXensIJB1?cc$U$gifGi8* zAj=wB7^6AN6ivX$1YH1)Z)jwUaF-#Pq!GG+u>q25BNPsrLoAKZ1kBI`j7-r442{tQ zjEszsoQ5W8Y=|acXl{sVqmdb!fT0PRfRQn}fB~9-p@jjOq2_1;hNfr&MkeS2XnaE> zGyx++Gy!7+1BA!TP)l1&G`@kQ8FCqhDr;npENPA^X>5kdH!?#gHbi0@fjG#i&J>}} z42f-s#5O|V7?`5kWP&ij5Q%MMV1la77)c!x+sF`^V}QglG(t7N&;VV)&=6IHu>mUI z2#s%OV1RIhDN0lrSz4kB7@DIA7@4677@D977#X7rpz#gS`RD>h29~Dq1Yl@sf#xI& zbOBQ|0V5N10W`j$5t@LJp#{Q5Fb6qt7#pA|H?%ZIbCa1lLcb}Rq!GG+p)tA&biNUi zaYlydvX*9Owwt2~7@4677@D977#X7rm?1o1h{QGmagYPU5M98?0L>^<G}l?63z(t_ z7@4367#g7o7#W%(oCoG0JIUApSr)`WmNh|hjwQN)8Jd8RDVl(xF`9spkqN>%hG>$; zAOUz=6oq4et`uR4F`6sQ(FBal&;$%k&;*Q(jS<EfBC(A?9OMu&GC-CEagb#VEsW6I zVu~hUWP&DOXoMzUWQZnUY=9<UXlaOMiy4}Lktv#hF&f_xUBC!Uff2fZu_2lYLvsUE zR~VV02^gB72^blp3t;dK5b<EbP?i&45N~O2U}k1$oE%?VniOw>)FwB#NHa|}PD)Hn zHn%V^Oiqk9GEGXfG&C`YH$f?wEiF;`MreFPOB3W06HT!NLej|40#(w`07=%=997cD z9HG+?iEV_;F*HLcG6HdsQ<EvO95Tni6xAvdB!$LE9780w5emn^1l0s%gbBuIGDuQ} zNNgh%jsda^l37LwwxJQK!A1rMIb)<~HA}TLH%T@$Fi155b>h?FlarE6(hSU!<Bb_I zQ&UqxNg~laHO(+N37jO1Q6k&W(h^O;3{Aku6ivX;7)`*)2u;8cjc;UWVT_z)&}=lf zKou}DLlZDGK@%`CMi)Ti8zNa~1mYm46GL<XBLg(;=BN%bv@l1w-xOUEUBJi$O@$E} z-w<8E$PmeVFbCPW#s=v6EzQu}XND$VWQrzWXpAOcWMqc$k|7e?2*g3Q-p~L|t0}7G zMwVy-hURDjMrLRNh9+nNM#iQ{u0diO8KSE+K$Zn@klkv6>NG<ObOBQ|0V5L=gvCb2 zXtIVzNV0}#l13l_q`DW?YGVT=twzWkV^oEPmgoXzXaYv2#>j3&lQlF(6EHGDQf`PQ zX$%s8I~vU;=0>OjMrLRNh9+nN#%O#a3;_c)6^0guXqK3x2^gB92^g862^gdC4UNzQ zj118Pj1ABP3@r^%ondH(CSYWWCSZ)lH$)dOLQ`ObE?{hEfE1A^9IPd^5mG5{m||>h zY+{;bZfTTgYGG&+Z(@>YX_;nW5pRT6o}==OEQ}B(FB03x&;m`NIYOb4p*gCg8A8qw ziERYpAm=|*By~t^BSU14fhnrtCJ0SN#z-7PB(@O>#{fyj7+JS5s>w!3l7?t<h6YHI zMhHWUEs!`yhDN9+8XKVUjSP&y1M=W$RQQA~a*%-LwG(q7^X0{<C7>yLgZSdis#HU) zac;<<=i?mUX=r8{Z%9=1!!(#%#6y`z#%3_4p_wV1X>Jm4h?-O^EYSo^(FBZ4&;$&P z&;*PO(FBYQ&;$%EEzoQ+LlZDEMH4VIMiVeX=Ys@brI?|GAv}c}fjG#aZ)9nXX1h6> zfRP!RfT0PRfRQn}fH}fOLnO8lh=XjqA-aH(0h&=}Xs)wB7cfN=Ffu_CFf=klat)fK zks+FZu>qQZDVhT;(FM%V1dL441PqPQ1dNPKkz9l(X>5ojX@tTtKvQanE?|N%)&$kr zMwVy-hURDjMrLRNh9+nNM#f0y8zQldKpf;~H8Mb!MdlczI?K=kUBDDgz{tcH;VC0y zG+9F<Bw0f=Nh5RtV*@1BMrbavG(y;8Y=JIoh9+QSiY8!aj3!`YgeG8Yh-8Byn(gLj z0!C(N0){4N0>)^3BMbopG!=#x2B@|gnWG69nxY99nV<`x@ePg81dI&P1dI&~3?VfD zXn_pY8UT6iU_7h}AfN|YYk=03#upc)CTFHq#zPi%rlc5<pch3oWc^{h0oIDg08#P4 znC2GoFs6w)j0vh;V4`NGFi}Hu6FAcd&N7HMK&^2MEKvoFEDVs#ZZt`Agrp%7+X%!# z&W~oO`i#wx^r6X_qA4{+C^a%h;us>ajZioSXhxVIOfW=Z8=-IvkYtPz8jOsQIEKb( zZZkqsXlR5gX=s2XYltRih%R7Y06h#4vh<oPaxXgr1N6!Y6#W@1AQwk?K+m6qNkUG8 zg7Fv_K+C&9V$ka$;1bYdo){9L_o=`npvU6FxD23W_|U5^;9?-ggkKO>b(DcjXJ7zb zX8_t;1ijvY0eXBn0}C$$_{2x(@%A9CV7vlqFZ7rJuo#Gd>4(vm*dh8sW`pEmSl}N- z1a=PrTpY%L(r4Kj7_hltq7V{((Cy7&_k%=W_QKr%lLcZw)HpbGgd5_2xB!#^2@NQR zfq~%-8(2T|bO40-38?-CPy``_8DRFqgr2iucmD*a`(fwdfx;i87lc82VeUW5&H%nk Y1tf-yJ$6If?*Tm@4CHRmRR-w#0m-Ib6aWAK diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c index 8289181..c9f38b0 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c @@ -54,595 +54,40 @@ #endif typedef void (*funcp)(char *, char *); extern int main(int, char**); -IKI_DLLESPEC extern void execute_2(char*, char *); -IKI_DLLESPEC extern void execute_3(char*, char *); -IKI_DLLESPEC extern void execute_4(char*, char *); -IKI_DLLESPEC extern void execute_5(char*, char *); -IKI_DLLESPEC extern void execute_6(char*, char *); -IKI_DLLESPEC extern void execute_7(char*, char *); -IKI_DLLESPEC extern void execute_8(char*, char *); -IKI_DLLESPEC extern void execute_9(char*, char *); -IKI_DLLESPEC extern void execute_10(char*, char *); -IKI_DLLESPEC extern void execute_11(char*, char *); -IKI_DLLESPEC extern void execute_21(char*, char *); -IKI_DLLESPEC extern void execute_22(char*, char *); -IKI_DLLESPEC extern void execute_23(char*, char *); -IKI_DLLESPEC extern void execute_24(char*, char *); +IKI_DLLESPEC extern void execute_26(char*, char *); IKI_DLLESPEC extern void execute_27(char*, char *); IKI_DLLESPEC extern void execute_28(char*, char *); IKI_DLLESPEC extern void execute_29(char*, char *); -IKI_DLLESPEC extern void execute_30(char*, char *); -IKI_DLLESPEC extern void execute_31(char*, char *); IKI_DLLESPEC extern void execute_32(char*, char *); IKI_DLLESPEC extern void execute_33(char*, char *); IKI_DLLESPEC extern void execute_34(char*, char *); IKI_DLLESPEC extern void execute_35(char*, char *); -IKI_DLLESPEC extern void execute_3821(char*, char *); -IKI_DLLESPEC extern void execute_3822(char*, char *); -IKI_DLLESPEC extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); -IKI_DLLESPEC extern void execute_1958(char*, char *); -IKI_DLLESPEC extern void execute_41(char*, char *); -IKI_DLLESPEC extern void execute_1959(char*, char *); -IKI_DLLESPEC extern void execute_89(char*, char *); -IKI_DLLESPEC extern void execute_1983(char*, char *); -IKI_DLLESPEC extern void execute_1984(char*, char *); -IKI_DLLESPEC extern void execute_1985(char*, char *); -IKI_DLLESPEC extern void execute_124(char*, char *); -IKI_DLLESPEC extern void execute_2035(char*, char *); -IKI_DLLESPEC extern void execute_2036(char*, char *); -IKI_DLLESPEC extern void execute_2037(char*, char *); -IKI_DLLESPEC extern void execute_2038(char*, char *); -IKI_DLLESPEC extern void execute_2039(char*, char *); -IKI_DLLESPEC extern void execute_2040(char*, char *); -IKI_DLLESPEC extern void execute_2041(char*, char *); -IKI_DLLESPEC extern void execute_2042(char*, char *); -IKI_DLLESPEC extern void execute_2034(char*, char *); -IKI_DLLESPEC extern void execute_126(char*, char *); -IKI_DLLESPEC extern void execute_127(char*, char *); -IKI_DLLESPEC extern void execute_128(char*, char *); -IKI_DLLESPEC extern void execute_129(char*, char *); -IKI_DLLESPEC extern void execute_130(char*, char *); -IKI_DLLESPEC extern void execute_131(char*, char *); -IKI_DLLESPEC extern void execute_132(char*, char *); -IKI_DLLESPEC extern void execute_133(char*, char *); -IKI_DLLESPEC extern void execute_134(char*, char *); -IKI_DLLESPEC extern void execute_135(char*, char *); -IKI_DLLESPEC extern void execute_136(char*, char *); -IKI_DLLESPEC extern void execute_137(char*, char *); -IKI_DLLESPEC extern void execute_138(char*, char *); -IKI_DLLESPEC extern void execute_139(char*, char *); -IKI_DLLESPEC extern void execute_140(char*, char *); -IKI_DLLESPEC extern void execute_141(char*, char *); -IKI_DLLESPEC extern void execute_142(char*, char *); -IKI_DLLESPEC extern void execute_143(char*, char *); -IKI_DLLESPEC extern void execute_144(char*, char *); -IKI_DLLESPEC extern void execute_145(char*, char *); -IKI_DLLESPEC extern void execute_146(char*, char *); -IKI_DLLESPEC extern void execute_147(char*, char *); -IKI_DLLESPEC extern void execute_148(char*, char *); -IKI_DLLESPEC extern void execute_149(char*, char *); -IKI_DLLESPEC extern void execute_150(char*, char *); -IKI_DLLESPEC extern void execute_151(char*, char *); -IKI_DLLESPEC extern void execute_152(char*, char *); -IKI_DLLESPEC extern void execute_153(char*, char *); -IKI_DLLESPEC extern void execute_156(char*, char *); -IKI_DLLESPEC extern void execute_157(char*, char *); -IKI_DLLESPEC extern void execute_158(char*, char *); -IKI_DLLESPEC extern void execute_159(char*, char *); -IKI_DLLESPEC extern void execute_160(char*, char *); -IKI_DLLESPEC extern void execute_161(char*, char *); -IKI_DLLESPEC extern void execute_162(char*, char *); -IKI_DLLESPEC extern void execute_163(char*, char *); -IKI_DLLESPEC extern void execute_164(char*, char *); -IKI_DLLESPEC extern void execute_165(char*, char *); -IKI_DLLESPEC extern void execute_166(char*, char *); -IKI_DLLESPEC extern void execute_167(char*, char *); -IKI_DLLESPEC extern void execute_168(char*, char *); -IKI_DLLESPEC extern void execute_169(char*, char *); -IKI_DLLESPEC extern void execute_170(char*, char *); -IKI_DLLESPEC extern void execute_171(char*, char *); -IKI_DLLESPEC extern void execute_2043(char*, char *); -IKI_DLLESPEC extern void execute_2044(char*, char *); -IKI_DLLESPEC extern void execute_2045(char*, char *); -IKI_DLLESPEC extern void execute_2046(char*, char *); -IKI_DLLESPEC extern void execute_2047(char*, char *); -IKI_DLLESPEC extern void execute_2048(char*, char *); -IKI_DLLESPEC extern void execute_2049(char*, char *); -IKI_DLLESPEC extern void execute_2050(char*, char *); -IKI_DLLESPEC extern void execute_2051(char*, char *); -IKI_DLLESPEC extern void execute_2052(char*, char *); -IKI_DLLESPEC extern void execute_2053(char*, char *); -IKI_DLLESPEC extern void execute_2054(char*, char *); -IKI_DLLESPEC extern void execute_2055(char*, char *); -IKI_DLLESPEC extern void execute_2056(char*, char *); -IKI_DLLESPEC extern void execute_2057(char*, char *); -IKI_DLLESPEC extern void vlog_simple_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); -IKI_DLLESPEC extern void vlog_simple_process_execute_1_fast_no_reg_no_agg(char*, char*, char*); -IKI_DLLESPEC extern void execute_2098(char*, char *); -IKI_DLLESPEC extern void execute_2103(char*, char *); -IKI_DLLESPEC extern void execute_2118(char*, char *); -IKI_DLLESPEC extern void execute_2120(char*, char *); -IKI_DLLESPEC extern void execute_2122(char*, char *); -IKI_DLLESPEC extern void execute_2134(char*, char *); -IKI_DLLESPEC extern void execute_2135(char*, char *); -IKI_DLLESPEC extern void execute_2136(char*, char *); -IKI_DLLESPEC extern void execute_2138(char*, char *); -IKI_DLLESPEC extern void execute_2139(char*, char *); -IKI_DLLESPEC extern void execute_2140(char*, char *); -IKI_DLLESPEC extern void execute_2141(char*, char *); -IKI_DLLESPEC extern void execute_2142(char*, char *); -IKI_DLLESPEC extern void execute_2143(char*, char *); -IKI_DLLESPEC extern void execute_2144(char*, char *); -IKI_DLLESPEC extern void execute_2145(char*, char *); -IKI_DLLESPEC extern void execute_2147(char*, char *); -IKI_DLLESPEC extern void execute_2148(char*, char *); -IKI_DLLESPEC extern void execute_2149(char*, char *); -IKI_DLLESPEC extern void execute_2150(char*, char *); -IKI_DLLESPEC extern void execute_2151(char*, char *); -IKI_DLLESPEC extern void execute_2152(char*, char *); -IKI_DLLESPEC extern void execute_2153(char*, char *); -IKI_DLLESPEC extern void execute_2154(char*, char *); -IKI_DLLESPEC extern void execute_2155(char*, char *); -IKI_DLLESPEC extern void execute_2156(char*, char *); -IKI_DLLESPEC extern void execute_2157(char*, char *); -IKI_DLLESPEC extern void execute_2162(char*, char *); -IKI_DLLESPEC extern void execute_2163(char*, char *); -IKI_DLLESPEC extern void execute_2164(char*, char *); -IKI_DLLESPEC extern void execute_2165(char*, char *); -IKI_DLLESPEC extern void execute_2166(char*, char *); -IKI_DLLESPEC extern void execute_2167(char*, char *); -IKI_DLLESPEC extern void execute_2168(char*, char *); -IKI_DLLESPEC extern void execute_2169(char*, char *); -IKI_DLLESPEC extern void execute_2170(char*, char *); -IKI_DLLESPEC extern void execute_2171(char*, char *); -IKI_DLLESPEC extern void execute_2172(char*, char *); -IKI_DLLESPEC extern void execute_2173(char*, char *); -IKI_DLLESPEC extern void execute_2174(char*, char *); -IKI_DLLESPEC extern void execute_2175(char*, char *); -IKI_DLLESPEC extern void execute_2176(char*, char *); -IKI_DLLESPEC extern void execute_2177(char*, char *); -IKI_DLLESPEC extern void execute_2178(char*, char *); -IKI_DLLESPEC extern void execute_2179(char*, char *); -IKI_DLLESPEC extern void execute_2180(char*, char *); -IKI_DLLESPEC extern void execute_2181(char*, char *); -IKI_DLLESPEC extern void execute_2182(char*, char *); -IKI_DLLESPEC extern void execute_2183(char*, char *); -IKI_DLLESPEC extern void execute_2184(char*, char *); -IKI_DLLESPEC extern void execute_174(char*, char *); -IKI_DLLESPEC extern void execute_2186(char*, char *); -IKI_DLLESPEC extern void execute_2187(char*, char *); -IKI_DLLESPEC extern void execute_2188(char*, char *); -IKI_DLLESPEC extern void execute_2189(char*, char *); -IKI_DLLESPEC extern void execute_2185(char*, char *); -IKI_DLLESPEC extern void execute_177(char*, char *); -IKI_DLLESPEC extern void execute_178(char*, char *); -IKI_DLLESPEC extern void execute_181(char*, char *); -IKI_DLLESPEC extern void execute_182(char*, char *); -IKI_DLLESPEC extern void execute_288(char*, char *); -IKI_DLLESPEC extern void execute_324(char*, char *); -IKI_DLLESPEC extern void execute_573(char*, char *); -IKI_DLLESPEC extern void execute_574(char*, char *); -IKI_DLLESPEC extern void execute_575(char*, char *); -IKI_DLLESPEC extern void execute_2330(char*, char *); -IKI_DLLESPEC extern void execute_2331(char*, char *); -IKI_DLLESPEC extern void execute_2332(char*, char *); -IKI_DLLESPEC extern void execute_2333(char*, char *); -IKI_DLLESPEC extern void execute_2342(char*, char *); -IKI_DLLESPEC extern void execute_2343(char*, char *); -IKI_DLLESPEC extern void execute_2344(char*, char *); -IKI_DLLESPEC extern void execute_2347(char*, char *); -IKI_DLLESPEC extern void execute_2348(char*, char *); -IKI_DLLESPEC extern void execute_2349(char*, char *); -IKI_DLLESPEC extern void execute_2350(char*, char *); -IKI_DLLESPEC extern void execute_656(char*, char *); -IKI_DLLESPEC extern void execute_657(char*, char *); -IKI_DLLESPEC extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); -IKI_DLLESPEC extern void transaction_34(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_35(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_36(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_38(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void execute_36(char*, char *); +IKI_DLLESPEC extern void execute_37(char*, char *); +IKI_DLLESPEC extern void execute_38(char*, char *); +IKI_DLLESPEC extern void execute_39(char*, char *); +IKI_DLLESPEC extern void execute_40(char*, char *); +IKI_DLLESPEC extern void execute_42(char*, char *); +IKI_DLLESPEC extern void execute_43(char*, char *); +IKI_DLLESPEC extern void execute_44(char*, char *); +IKI_DLLESPEC extern void execute_45(char*, char *); +IKI_DLLESPEC extern void execute_46(char*, char *); +IKI_DLLESPEC extern void execute_47(char*, char *); +IKI_DLLESPEC extern void execute_48(char*, char *); +IKI_DLLESPEC extern void execute_49(char*, char *); +IKI_DLLESPEC extern void execute_50(char*, char *); +IKI_DLLESPEC extern void execute_51(char*, char *); +IKI_DLLESPEC extern void execute_52(char*, char *); +IKI_DLLESPEC extern void transaction_0(char*, char*, unsigned, unsigned, unsigned); IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); -IKI_DLLESPEC extern void transaction_40(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_41(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_42(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_43(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_44(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_45(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_46(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_49(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_50(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_51(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_52(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_53(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_54(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_55(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_56(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_57(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_58(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_59(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_60(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_61(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_62(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_73(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_183(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_192(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_193(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_194(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_195(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_196(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_197(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_201(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_202(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_203(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_204(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_205(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_206(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_207(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_208(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_215(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_232(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_237(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_265(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_266(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_267(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_268(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_269(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_270(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_271(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_272(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_273(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_274(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_275(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_276(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_277(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_278(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_279(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_280(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_281(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_282(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_283(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_284(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_285(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_294(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_295(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_298(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_302(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_303(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_306(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_309(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_311(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_313(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_315(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_320(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_323(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_329(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_334(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_350(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_357(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_358(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_359(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_360(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_366(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_994(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1000(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1006(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1020(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1026(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1032(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1038(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1051(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1057(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1063(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1078(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1084(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1090(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1096(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1110(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1116(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1159(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1165(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1171(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1177(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1183(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1189(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1195(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1201(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1207(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1213(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1219(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1225(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1231(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1237(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1243(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1249(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1255(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1261(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1267(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1273(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1279(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1285(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1291(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1297(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1303(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1309(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1315(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1321(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1327(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1333(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1339(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1345(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1351(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1357(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1363(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1369(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1375(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1381(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1387(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1393(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1399(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1405(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1411(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1417(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1423(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1429(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1435(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1441(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1447(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1453(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1459(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1465(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1471(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1477(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1483(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1489(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1495(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1501(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1507(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1513(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1519(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1525(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1531(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1537(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1543(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1549(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1555(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1561(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1567(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1573(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1579(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1585(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1591(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1597(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1603(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1609(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1615(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1621(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1627(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1633(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1639(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1645(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1651(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1657(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1663(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1669(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1675(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1681(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1687(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1693(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1699(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1705(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1711(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1717(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1723(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1729(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1735(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1741(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1747(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1753(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1759(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1765(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1771(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1777(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1783(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1789(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1795(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1801(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1807(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1813(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1819(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1825(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1831(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1837(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1843(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1849(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1855(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1861(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1867(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1873(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1879(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1885(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1891(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1897(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1903(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1909(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1915(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1921(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1927(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1933(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1939(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1945(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1951(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1957(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1963(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1969(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1975(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1981(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1987(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1993(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1999(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2005(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2011(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2017(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2023(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2029(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2035(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2041(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2047(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2053(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2059(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2065(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2071(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2077(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2083(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2089(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2095(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2101(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2107(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2113(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2119(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2125(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2131(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2137(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2143(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2149(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2155(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2161(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2167(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2173(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2179(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2185(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2191(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2197(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2203(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2209(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2215(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2221(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2227(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2233(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2239(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2245(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2251(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2257(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2263(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2269(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2275(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2281(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2287(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2293(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2299(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2305(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2311(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2317(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2323(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2329(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2335(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2341(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2347(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2353(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2359(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2365(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2371(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2377(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2383(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2389(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2395(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2401(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2407(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2413(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2419(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2425(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2431(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2437(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2443(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2449(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2455(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2461(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2467(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2473(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2479(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2485(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2491(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2497(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2503(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2509(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2515(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2521(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2527(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2533(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2539(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2545(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2551(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2557(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2563(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2569(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2575(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2581(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2587(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2593(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2599(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2605(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2611(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2617(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2623(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2629(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2635(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2641(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2647(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2653(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2659(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2665(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2671(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2677(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2683(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2689(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2695(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2701(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2707(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2713(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2941(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2947(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2953(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2959(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2965(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2971(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2977(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2983(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2989(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2995(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3001(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3007(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3013(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3019(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3025(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3031(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3037(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3043(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3049(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3055(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3061(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3067(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3073(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3079(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3085(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3091(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3097(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3103(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3109(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3115(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3121(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3127(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3133(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3139(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3145(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3151(char*, char*, unsigned, unsigned, unsigned); -funcp funcTab[581] = {(funcp)execute_2, (funcp)execute_3, (funcp)execute_4, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_21, (funcp)execute_22, (funcp)execute_23, (funcp)execute_24, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_3821, (funcp)execute_3822, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_1958, (funcp)execute_41, (funcp)execute_1959, (funcp)execute_89, (funcp)execute_1983, (funcp)execute_1984, (funcp)execute_1985, (funcp)execute_124, (funcp)execute_2035, (funcp)execute_2036, (funcp)execute_2037, (funcp)execute_2038, (funcp)execute_2039, (funcp)execute_2040, (funcp)execute_2041, (funcp)execute_2042, (funcp)execute_2034, (funcp)execute_126, (funcp)execute_127, (funcp)execute_128, (funcp)execute_129, (funcp)execute_130, (funcp)execute_131, (funcp)execute_132, (funcp)execute_133, (funcp)execute_134, (funcp)execute_135, (funcp)execute_136, (funcp)execute_137, (funcp)execute_138, (funcp)execute_139, (funcp)execute_140, (funcp)execute_141, (funcp)execute_142, (funcp)execute_143, (funcp)execute_144, (funcp)execute_145, (funcp)execute_146, (funcp)execute_147, (funcp)execute_148, (funcp)execute_149, (funcp)execute_150, (funcp)execute_151, (funcp)execute_152, (funcp)execute_153, (funcp)execute_156, (funcp)execute_157, (funcp)execute_158, (funcp)execute_159, (funcp)execute_160, (funcp)execute_161, (funcp)execute_162, (funcp)execute_163, (funcp)execute_164, (funcp)execute_165, (funcp)execute_166, (funcp)execute_167, (funcp)execute_168, (funcp)execute_169, (funcp)execute_170, (funcp)execute_171, (funcp)execute_2043, (funcp)execute_2044, (funcp)execute_2045, (funcp)execute_2046, (funcp)execute_2047, (funcp)execute_2048, (funcp)execute_2049, (funcp)execute_2050, (funcp)execute_2051, (funcp)execute_2052, (funcp)execute_2053, (funcp)execute_2054, (funcp)execute_2055, (funcp)execute_2056, (funcp)execute_2057, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)vlog_simple_process_execute_1_fast_no_reg_no_agg, (funcp)execute_2098, (funcp)execute_2103, (funcp)execute_2118, (funcp)execute_2120, (funcp)execute_2122, (funcp)execute_2134, (funcp)execute_2135, (funcp)execute_2136, (funcp)execute_2138, (funcp)execute_2139, (funcp)execute_2140, (funcp)execute_2141, (funcp)execute_2142, (funcp)execute_2143, (funcp)execute_2144, (funcp)execute_2145, (funcp)execute_2147, (funcp)execute_2148, (funcp)execute_2149, (funcp)execute_2150, (funcp)execute_2151, (funcp)execute_2152, (funcp)execute_2153, (funcp)execute_2154, (funcp)execute_2155, (funcp)execute_2156, (funcp)execute_2157, (funcp)execute_2162, (funcp)execute_2163, (funcp)execute_2164, (funcp)execute_2165, (funcp)execute_2166, (funcp)execute_2167, (funcp)execute_2168, (funcp)execute_2169, (funcp)execute_2170, (funcp)execute_2171, (funcp)execute_2172, (funcp)execute_2173, (funcp)execute_2174, (funcp)execute_2175, (funcp)execute_2176, (funcp)execute_2177, (funcp)execute_2178, (funcp)execute_2179, (funcp)execute_2180, (funcp)execute_2181, (funcp)execute_2182, (funcp)execute_2183, (funcp)execute_2184, (funcp)execute_174, (funcp)execute_2186, (funcp)execute_2187, (funcp)execute_2188, (funcp)execute_2189, (funcp)execute_2185, (funcp)execute_177, (funcp)execute_178, (funcp)execute_181, (funcp)execute_182, (funcp)execute_288, (funcp)execute_324, (funcp)execute_573, (funcp)execute_574, (funcp)execute_575, (funcp)execute_2330, (funcp)execute_2331, (funcp)execute_2332, (funcp)execute_2333, (funcp)execute_2342, (funcp)execute_2343, (funcp)execute_2344, (funcp)execute_2347, (funcp)execute_2348, (funcp)execute_2349, (funcp)execute_2350, (funcp)execute_656, (funcp)execute_657, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_34, (funcp)transaction_35, (funcp)transaction_36, (funcp)transaction_38, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_40, (funcp)transaction_41, (funcp)transaction_42, (funcp)transaction_43, (funcp)transaction_44, (funcp)transaction_45, (funcp)transaction_46, (funcp)transaction_49, (funcp)transaction_50, (funcp)transaction_51, (funcp)transaction_52, (funcp)transaction_53, (funcp)transaction_54, (funcp)transaction_55, (funcp)transaction_56, (funcp)transaction_57, (funcp)transaction_58, (funcp)transaction_59, (funcp)transaction_60, (funcp)transaction_61, (funcp)transaction_62, (funcp)transaction_73, (funcp)transaction_183, (funcp)transaction_192, (funcp)transaction_193, (funcp)transaction_194, (funcp)transaction_195, (funcp)transaction_196, (funcp)transaction_197, (funcp)transaction_201, (funcp)transaction_202, (funcp)transaction_203, (funcp)transaction_204, (funcp)transaction_205, (funcp)transaction_206, (funcp)transaction_207, (funcp)transaction_208, (funcp)transaction_215, (funcp)transaction_232, (funcp)transaction_237, (funcp)transaction_265, (funcp)transaction_266, (funcp)transaction_267, (funcp)transaction_268, (funcp)transaction_269, (funcp)transaction_270, (funcp)transaction_271, (funcp)transaction_272, (funcp)transaction_273, (funcp)transaction_274, (funcp)transaction_275, (funcp)transaction_276, (funcp)transaction_277, (funcp)transaction_278, (funcp)transaction_279, (funcp)transaction_280, (funcp)transaction_281, (funcp)transaction_282, (funcp)transaction_283, (funcp)transaction_284, (funcp)transaction_285, (funcp)transaction_294, (funcp)transaction_295, (funcp)transaction_298, (funcp)transaction_302, (funcp)transaction_303, (funcp)transaction_306, (funcp)transaction_309, (funcp)transaction_311, (funcp)transaction_313, (funcp)transaction_315, (funcp)transaction_320, (funcp)transaction_323, (funcp)transaction_329, (funcp)transaction_334, (funcp)transaction_350, (funcp)transaction_357, (funcp)transaction_358, (funcp)transaction_359, (funcp)transaction_360, (funcp)transaction_366, (funcp)transaction_994, (funcp)transaction_1000, (funcp)transaction_1006, (funcp)transaction_1020, (funcp)transaction_1026, (funcp)transaction_1032, (funcp)transaction_1038, (funcp)transaction_1051, (funcp)transaction_1057, (funcp)transaction_1063, (funcp)transaction_1078, (funcp)transaction_1084, (funcp)transaction_1090, (funcp)transaction_1096, (funcp)transaction_1110, (funcp)transaction_1116, (funcp)transaction_1159, (funcp)transaction_1165, (funcp)transaction_1171, (funcp)transaction_1177, (funcp)transaction_1183, (funcp)transaction_1189, (funcp)transaction_1195, (funcp)transaction_1201, (funcp)transaction_1207, (funcp)transaction_1213, (funcp)transaction_1219, (funcp)transaction_1225, (funcp)transaction_1231, (funcp)transaction_1237, (funcp)transaction_1243, (funcp)transaction_1249, (funcp)transaction_1255, (funcp)transaction_1261, (funcp)transaction_1267, (funcp)transaction_1273, (funcp)transaction_1279, (funcp)transaction_1285, (funcp)transaction_1291, (funcp)transaction_1297, (funcp)transaction_1303, (funcp)transaction_1309, (funcp)transaction_1315, (funcp)transaction_1321, (funcp)transaction_1327, (funcp)transaction_1333, (funcp)transaction_1339, (funcp)transaction_1345, (funcp)transaction_1351, (funcp)transaction_1357, (funcp)transaction_1363, (funcp)transaction_1369, (funcp)transaction_1375, (funcp)transaction_1381, (funcp)transaction_1387, (funcp)transaction_1393, (funcp)transaction_1399, (funcp)transaction_1405, (funcp)transaction_1411, (funcp)transaction_1417, (funcp)transaction_1423, (funcp)transaction_1429, (funcp)transaction_1435, (funcp)transaction_1441, (funcp)transaction_1447, (funcp)transaction_1453, (funcp)transaction_1459, (funcp)transaction_1465, (funcp)transaction_1471, (funcp)transaction_1477, (funcp)transaction_1483, (funcp)transaction_1489, (funcp)transaction_1495, (funcp)transaction_1501, (funcp)transaction_1507, (funcp)transaction_1513, (funcp)transaction_1519, (funcp)transaction_1525, (funcp)transaction_1531, (funcp)transaction_1537, (funcp)transaction_1543, (funcp)transaction_1549, (funcp)transaction_1555, (funcp)transaction_1561, (funcp)transaction_1567, (funcp)transaction_1573, (funcp)transaction_1579, (funcp)transaction_1585, (funcp)transaction_1591, (funcp)transaction_1597, (funcp)transaction_1603, (funcp)transaction_1609, (funcp)transaction_1615, (funcp)transaction_1621, (funcp)transaction_1627, (funcp)transaction_1633, (funcp)transaction_1639, (funcp)transaction_1645, (funcp)transaction_1651, (funcp)transaction_1657, (funcp)transaction_1663, (funcp)transaction_1669, (funcp)transaction_1675, (funcp)transaction_1681, (funcp)transaction_1687, (funcp)transaction_1693, (funcp)transaction_1699, (funcp)transaction_1705, (funcp)transaction_1711, (funcp)transaction_1717, (funcp)transaction_1723, (funcp)transaction_1729, (funcp)transaction_1735, (funcp)transaction_1741, (funcp)transaction_1747, (funcp)transaction_1753, (funcp)transaction_1759, (funcp)transaction_1765, (funcp)transaction_1771, (funcp)transaction_1777, (funcp)transaction_1783, (funcp)transaction_1789, (funcp)transaction_1795, (funcp)transaction_1801, (funcp)transaction_1807, (funcp)transaction_1813, (funcp)transaction_1819, (funcp)transaction_1825, (funcp)transaction_1831, (funcp)transaction_1837, (funcp)transaction_1843, (funcp)transaction_1849, (funcp)transaction_1855, (funcp)transaction_1861, (funcp)transaction_1867, (funcp)transaction_1873, (funcp)transaction_1879, (funcp)transaction_1885, (funcp)transaction_1891, (funcp)transaction_1897, (funcp)transaction_1903, (funcp)transaction_1909, (funcp)transaction_1915, (funcp)transaction_1921, (funcp)transaction_1927, (funcp)transaction_1933, (funcp)transaction_1939, (funcp)transaction_1945, (funcp)transaction_1951, (funcp)transaction_1957, (funcp)transaction_1963, (funcp)transaction_1969, (funcp)transaction_1975, (funcp)transaction_1981, (funcp)transaction_1987, (funcp)transaction_1993, (funcp)transaction_1999, (funcp)transaction_2005, (funcp)transaction_2011, (funcp)transaction_2017, (funcp)transaction_2023, (funcp)transaction_2029, (funcp)transaction_2035, (funcp)transaction_2041, (funcp)transaction_2047, (funcp)transaction_2053, (funcp)transaction_2059, (funcp)transaction_2065, (funcp)transaction_2071, (funcp)transaction_2077, (funcp)transaction_2083, (funcp)transaction_2089, (funcp)transaction_2095, (funcp)transaction_2101, (funcp)transaction_2107, (funcp)transaction_2113, (funcp)transaction_2119, (funcp)transaction_2125, (funcp)transaction_2131, (funcp)transaction_2137, (funcp)transaction_2143, (funcp)transaction_2149, (funcp)transaction_2155, (funcp)transaction_2161, (funcp)transaction_2167, (funcp)transaction_2173, (funcp)transaction_2179, (funcp)transaction_2185, (funcp)transaction_2191, (funcp)transaction_2197, (funcp)transaction_2203, (funcp)transaction_2209, (funcp)transaction_2215, (funcp)transaction_2221, (funcp)transaction_2227, (funcp)transaction_2233, (funcp)transaction_2239, (funcp)transaction_2245, (funcp)transaction_2251, (funcp)transaction_2257, (funcp)transaction_2263, (funcp)transaction_2269, (funcp)transaction_2275, (funcp)transaction_2281, (funcp)transaction_2287, (funcp)transaction_2293, (funcp)transaction_2299, (funcp)transaction_2305, (funcp)transaction_2311, (funcp)transaction_2317, (funcp)transaction_2323, (funcp)transaction_2329, (funcp)transaction_2335, (funcp)transaction_2341, (funcp)transaction_2347, (funcp)transaction_2353, (funcp)transaction_2359, (funcp)transaction_2365, (funcp)transaction_2371, (funcp)transaction_2377, (funcp)transaction_2383, (funcp)transaction_2389, (funcp)transaction_2395, (funcp)transaction_2401, (funcp)transaction_2407, (funcp)transaction_2413, (funcp)transaction_2419, (funcp)transaction_2425, (funcp)transaction_2431, (funcp)transaction_2437, (funcp)transaction_2443, (funcp)transaction_2449, (funcp)transaction_2455, (funcp)transaction_2461, (funcp)transaction_2467, (funcp)transaction_2473, (funcp)transaction_2479, (funcp)transaction_2485, (funcp)transaction_2491, (funcp)transaction_2497, (funcp)transaction_2503, (funcp)transaction_2509, (funcp)transaction_2515, (funcp)transaction_2521, (funcp)transaction_2527, (funcp)transaction_2533, (funcp)transaction_2539, (funcp)transaction_2545, (funcp)transaction_2551, (funcp)transaction_2557, (funcp)transaction_2563, (funcp)transaction_2569, (funcp)transaction_2575, (funcp)transaction_2581, (funcp)transaction_2587, (funcp)transaction_2593, (funcp)transaction_2599, (funcp)transaction_2605, (funcp)transaction_2611, (funcp)transaction_2617, (funcp)transaction_2623, (funcp)transaction_2629, (funcp)transaction_2635, (funcp)transaction_2641, (funcp)transaction_2647, (funcp)transaction_2653, (funcp)transaction_2659, (funcp)transaction_2665, (funcp)transaction_2671, (funcp)transaction_2677, (funcp)transaction_2683, (funcp)transaction_2689, (funcp)transaction_2695, (funcp)transaction_2701, (funcp)transaction_2707, (funcp)transaction_2713, (funcp)transaction_2941, (funcp)transaction_2947, (funcp)transaction_2953, (funcp)transaction_2959, (funcp)transaction_2965, (funcp)transaction_2971, (funcp)transaction_2977, (funcp)transaction_2983, (funcp)transaction_2989, (funcp)transaction_2995, (funcp)transaction_3001, (funcp)transaction_3007, (funcp)transaction_3013, (funcp)transaction_3019, (funcp)transaction_3025, (funcp)transaction_3031, (funcp)transaction_3037, (funcp)transaction_3043, (funcp)transaction_3049, (funcp)transaction_3055, (funcp)transaction_3061, (funcp)transaction_3067, (funcp)transaction_3073, (funcp)transaction_3079, (funcp)transaction_3085, (funcp)transaction_3091, (funcp)transaction_3097, (funcp)transaction_3103, (funcp)transaction_3109, (funcp)transaction_3115, (funcp)transaction_3121, (funcp)transaction_3127, (funcp)transaction_3133, (funcp)transaction_3139, (funcp)transaction_3145, (funcp)transaction_3151}; -const int NumRelocateId= 581; +funcp funcTab[26] = {(funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)transaction_0, (funcp)vhdl_transfunc_eventcallback}; +const int NumRelocateId= 26; void relocate(char *dp) { - iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc", (void **)funcTab, 581); - iki_vhdl_file_variable_register(dp + 701048); - iki_vhdl_file_variable_register(dp + 701104); + iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc", (void **)funcTab, 26); + iki_vhdl_file_variable_register(dp + 8352); + iki_vhdl_file_variable_register(dp + 8408); /*Populate the transaction function pointer field in the whole net structure */ @@ -653,37 +98,10 @@ void sensitize(char *dp) iki_sensitize(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); } - // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net - -void wrapper_func_0(char *dp) - -{ - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 706376, dp + 710584, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 706432, dp + 711536, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 706488, dp + 711088, 0, 15, 0, 15, 16, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707760, dp + 711312, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707816, dp + 710864, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707872, dp + 710752, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707928, dp + 710976, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707984, dp + 711424, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 708040, dp + 711200, 0, 0, 0, 0, 1, 1); - -} - void simulate(char *dp) { iki_schedule_processes_at_time_zero(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); - wrapper_func_0(dp); - + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net iki_execute_processes(); // Schedule resolution functions for the multiply driven Verilog nets that have strength diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o index a9f97708d37957c5b7332b318e1a8328f23eb48b..6347e4da7209e8b0908794cf5461bd74caade9b7 100644 GIT binary patch delta 1600 zcmeBp&2&O%f(FwG!HHV(no<l53?AL03?Qo46hyrM5gxs}7bq|=z}Pnw7$z2}a(Fbq z;qd4+WtjYrNo;d2Q!pc=)Z~lIFD7$}&6X8mU|<LUX=PwwPzKQ+d;(E?91Io=3=A2Q zRmHt0KVW8ATp-TKc>yHLz`)=(d7^~)<OvcHoM80~3=CZ$5yo{OQt}7`1A`C)BSRTf z^-3sx5+uub7fdouZj=;fR0NYwU@{6sPTn9X!PpF9Nls^EU=U<rhw5cuV1crhf@B#t zfXUrp@)(%B2qy1<$>(756PWx9COIY-N{KTHgGo6ssR<@cz@$Bx^a7KiU@`$rW`oHx zFxdzud%)y$Fu4d!t_733LFD8e0ganm0(BV~%_dt0Yfr8U)~PpRNUcasE-guoH#SEw zEf7pgIMc)c!8AfJjS)-}1k)73L|9>hu)+jlg(=8p6NDY62s=y-;YOGmF_aV~<`pL< zmt^MW#T!hvijkWvP_{xc4jSl83=9m+3=9l^{zE|W<cnqE^|?@4P}B$^$xecbgLHx9 zV7g`^iL)Z9p9d8OsRboQnEDk+;-GX66JHMzuV(;Rz=mYd7Kj8mt%xCs?}mzl)PqtK z%%B5MagfF0Na{}^iAx}fKZ1&DF)%O)Fw`T$<0X;=ACiU-NaCDG;@_d-AO~?EiT{C$ zgB&D@B+kVMkpPK<@;%rC8vp<Q7eSJcM$!OL&cFbYmYrN%Db6bg69Tz?a$%+T<Q<hf zyb4I73X>OBicfw~$-}FJBx*4EVx{<Gi7Fmm6(muI$&6LvlRc_<c-4?Z111|*iBGPm z;^Eam5>1$#SS3DrMHLS(DBNHY1(PRMiBG;!#lx!u6JlUsXqbGkN_;X$H4m>IlIVoV zAFISCTU7J#8X$=-n5<YWJ~^YBht~*6bi?GpYVpZ4s(E-#kVFqmZmbrce4?6%$&6w0 zz4bvtIZ#PZ41jPM!{(?Be2h%;jFYoAnsGhghR7E%O<uLpj47UR@<nE`$pzvplmBc~ zLFU?QQejG9oSd}Dj`KGtuo)N_xF#ox3s2s&Nrj1nY4W2@c3cV|3m6y}E-*~i+HA%Z z07@YY3=9QKle0FPaejcx>Oiz@R$-Kx{BWcCWR5KoObX1CmA2S%Ie_vW0|UbXhRIo5 z%s3ASKpdAadF2-K$qJh}8CfU4+G57V0ZL*F3=B7zCTndq<5UoYC|d|NxMm{{0BBXZ A!vFvP literal 46472 zcmb<-^>JfjWMqH=Mg}_u1P><4z`(H)!FB*M9T<cd1Q|Z_%l!)W=={CYm5G7Dqgxat z<<V;jqF#UqkKWxCo46QY><ut>^kI-rkLEWVD5{%}aDXL1Mtbya-*KIb!J~J-$8j!( zEXFKG$DJS}9e038Fmo4(1vPtf!EKP@-3>%2Za$*m(YtxWO|XU?5Dfy3JNX$H7@($u zm?)-CxCK_c;S6D?Kuo`I1FYc%5gK|oCqNV{oF&$D2Z-Avh|tixnE?_q6Npd@ak~P< zvnPnsAOML=NR%PPeCK^|%z$GH%z~&34F<(}=WmbBub?<=0EaR(5qR|4B4V`j9n=IA zQ6v=zlS6~E7y^(}hetOr$RJpP>;^jv#hm6N3j0$S7$7MVVUkDl5sv8C!weP0nYnr? znML{~N%3i!MWK0_CGknA8Hr{3V40%Socv^v4PYK_b;V^Rl?AB`41~-}E-EWdDa|b) zq%Jo#mm#w_GdDMvA-5Q0KPcOQ=utcx0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0(e8f-PzenK_fJ&G_Ryo!O&RGSkFM$tQ5>OsxvatGceJF3WJSd zVPIeoU|?WiWnf@%_X}lUVqiD`5@QC-GBAihX~wD`2F3~jMrj^)jtPtm3=#|s3^GtT zD-i9<C(z7P!pFe?(PIG;V_;yA1koOR0s(v+3^5E03;`fM0|Ucm(BL#!PcWZ^6Q4ph zpN12kK|Dwy$=rB80Y{L<AUAD*ny&$(!R9A{q!>&X7#Plg#26SDR)T0(K7lqSM?Q&W zW*0sMCVxH+M?M25J_|=aheAGu2tEl%sG%UWSTM->EDRt2W07KD#xnBG1y%?OWiSIy zfX1g87}(%^7y}aSYz*u$0VoX)PX<;7u>YW9AW9BIFtEbI7tCc~U{D4#SQ#q7G=lJA zU|<kJawj+r85qFkg3<#*C4^<iz`!8L0E%4*Uqc8&yFt?rgohx5afp{g?S<I~@ecz7 zXb=>ey^T=yAoD<`gVPNI1H%Ly=7ZuG<S#ZRkZOp3K&-1c)c?dG&d&%5A3dl!;4}kD z+l-L>0x}aBYv2&~#UY-9L%bJ<_);9=+i{4W!y*2N5fqPzR0YcGAb)(oq5c;RaTX@* z;lPJOTmpx<5)N@49O7m;#2s*md*cuf!y%rCLp%qEcsUO7CLH3uIK*e*5MPW#d>sz) zojAmg;1EBLL;MyF@uxV%Kj9Gnk3-y;8GHJ1z#;C9Lp%b9crp(00vzJCIK;bfh)=^I zz8Hu21{~shafqM7A$}c)_!AuBA90BP!y(Sif<0cuafmD95ZA{cZjD3S9fx=@4)J&# z;@LRF%W;S|;}Gx1AwC<2_;MWLn{kNm$02?ihxm0I;*W8NzsDi|8;3YMEB1I7#vv|` zLtGn&xH%4SXB^`GIK-oIh^ONaFUBEWk3+m0hxl|H;)`*Jug4+28;AID9O9R8h~LK{ z{u+n)cO2r(Y}n(SABVU!4si_};-)ynop6Zz;}DO*A)bjtybOnUGY;_yIK=1T5MPBu zd^-;DBRIq_;t;=wL!6%-dwe<I5ckC)9)&|Z9fx=c4)I1D;(a*8XX6lGfkS*N4)H@c z#Lwdpzk@^kB@Xd#IK-Jbu!p|@4slr=;#xSw&2fmk;1CbQAs&ZAJR6621rG669O9F3 zh|k9%z6OW*P8{OLaEM>VA^re|_*)#}zi^1Nb7GHQ5gg)*IK=gEh+E?j_rM_@ibFgJ zhj=~?@fsZBojAm&;SgVpLwo}c@x3_2PvH>1hC}=@4)G5-#Q)+D=i<U1zv4K=m2rsc z;}EyTA?}VtJQ#;~JPz?}9OC6T#G7%5PrxBQ7l-&N9OBz?h#$crei4WGJsje%aftuG zA<oK;J${97h|A#+*TNz0jzc^Qhj=Ou@e&;3%{ast;1FMrLwp|&@v}I@@8A%BjYIqw z4slK%?BOqgLtGt)xET&{R~+IYIK-22h!^1yZ^R)!0f+c}9OCP6i0{QAeg=p5Z5-mS zaESlHA<o5%Jzk`6h-={xx56Rrg+n|Fhj<na@hTkRT{y&N;SgViLwpww@l!a&Z{ZMs zg+u%o4sk9%9N~{cTnmS|6%KJP9O6+p#ItaSSK$!v!XZ8jhxjTS;=6E&pTZ%23y1hC z9OA!lh;#Ad2!9;nS~$e5aEN>15Rbwko`pla3Ws<X4)Iwy#8=@E--Sc`6b|uQIK*G! z5dVckoJ#;l_~Q`Q!Xa*jL);68coYutEF9uhIK;bfh|j_yz6yu<ZXDugaERZ<A^r}B z_<tPY0)p7XUlE765e{)@9O5B3#8YvIm*Eg^$00rghxkey;=6E&pT;462Z#7u9OD0Q zi1Q0!4`&4&;)XcHop6W;;}B25Azq3@ybXu=bR6O<aER~3A$|&n_-!2GZ*YkJ#Uaio zj6Iy?afln>5O>5O9)v?Y8HacY4)InT;?r=5FUKLi1BduY9OAcdh`+`m{s)IRuL$;V zmct>gk3-x6hj<_k@gyAL#W=)UaEMREA-)WU_;wuPCvb@0#3B9)hxl(C;yj|*!&w%G zxE>C1dmQ2cIK&fih!^1yZ^j`$1&8=j9OBz>h#$uxeglX2OB~|AaENn@VGm~+9OAk- z#O-j1`{NK#z#(3UL%a!x_+%X7OK^y9#UXwShxm0I;xBNB|HL8AC5}CurE!Ss;1IXP zA?}AmJRXO50S@s-9O9F3h%d$=z6FQ)Q5@peaEL$0A^ro0IHv^maF)U$u8l+728XyW z4)Hh~;`unl8*qqE#38;2hxle3;zw|ZU&SH*42Sr49O4|3*uz;8hqx9FacdmnJ~+f< zafs*P5U<A}J^_dLLLB0oaEKqqA$|pi_){F>-*AYtOJNUZ2^`{@IK-`Rh<oD@kHI0H zi$lB)hj>2@@dY@<H{uXKghTu?4)G^A#J}PYXOqSr&f+-4HE@Vq;t==3As&rGJO_t( zEe`QM9OCnFh;P6leh`QFB^=_9afpAxA<im;J)FgGh^ylex4<Fpi9<XJhj=y)@fsZB zy*R|@;SgVsL;L^^@ryXbAK?)Hj6<A77JE30;t*HEA#RRC+yjSrBo6T`9OBhD#Cvdv z&&46W4u|-D9O4&nh(E+3{t1UTvmEwt7QrE|ibLEChqyZq@dzB^nK;C&aEN!~5TAoX zd@T;~eK^F=;}Cy<L;NESaVB}};Vg_pTm^@?DGqTr9OB_P#4~V+SK<)w!XZ8zhxi&C z;(Kw3pTi-3ABXq{9O8@$*uz-}hqy8haT6Tkt~kWQaEPbl5U;=?-ibqe77p>%IK=nh z5I>7U{2mVR_c+8E6tRc1AP#XQ9OA|}#9eTRhvE=V!y#UdL%air_)Hw)t8j?##vy(N zhxlC_;_q;X|HmONpoBgA6>*3g;ShJmAs&K5JQas{84mGw9O5%@h_A#Uz6*!=X&mBr zaEQOfA^s1CIKMLXa8|$}ZiqwN35R$v4)GKm;-xsm+i-|a$05D~hxkq$;-_$k-^L;S z28Z}x9O8T`*uz;KhqwU_aYr2DK{&*dafp}T5O2jHJ`IQXavb72aEPD8A$|*o_-h>E ze{hKNs$vgkIUM5pIK&-rh=UJGi#OCuX3+C-HUJTZAi@Yl7=s8C5Mjy?@9yL8<meOc z@8%Zl8WJDk=;Y%X&yZG{mmHFq#E_Yt84o_nEwLmO%qh!A$%#+P%t?(eODxJvOv*`( zFG@|%EG|hc0?QYt<`rj_WLBjzloury6r>i#gN%wd085tT<fq3MCugLll;)(ymlP%D z6(=T_Waj6^7iXsDCFaDZB^H;&gRLk_%qdNcFUibJjW=K@&de>%fw=&#zn~~TIkmVr zwKzVp1fsGkwJ0BKQEEkMa%o9wJX{GwZenI0SSlkmu^>J(FS8^wF$d&KkPnMfOX5N2 z)TLw=#pmQFCxV@nnHFD^nhWwn3RojZQE^#3=(sva02CyaWW?ts=0XAnBn>*GE*^AB z9fpG9%v`6U%#`%h`1I70@RX$RqD)wbB^RY8mZZj~q=Lc#Zc|Zmd`VGeVorQ=era9_ zL>L+hFr|K_xj~>)_7Y1{JyRH9UN(ZWjNvR3ILj2yGJ~_s;VcU{%M#8qG(a#7;Y=eW zCc;J|gq21JJB<()7$IyhLRevpu)-K&t}((~V}!ZJ2y=}Qo-wvSa*(lwkr4wpsU_#< z6_>;pWfa2_LOd*#3?NA@FCUb8<MZ<46Vub-o-?#GMYz)h;UYszQ-q@}5KKc$3xop= zEiDjEG_<rpIMNU~Obn1*Z)9MMhz%nHW2EphFh;n<$iNt3r;&jPBGilwkX&hGV1fua zBLk!WGc-b2V~7+hhDgz3h!ih|ND*U*6f=fMQDcY{H-^Y|A^Fb`$$N%KzB5GfoFS6m z43WHMh~zUvB##-IAO*PzQiz)%1-J>4e@zgfYG{H8R6`R)m>QZQg4ECyDc(&H0cvQ9 zWUncb`KCzbBPAb0q$Fc#hGf1OlKEyx=9?jzZ-(SAGbDeRA=zt&WUm>Lzs!*QWsYR8 zIl^8e1EfGSGC&GNBLk#hG%`R6M<WBIfHX2d3P~daq@Xl1KnhDE1Ejz-GC&GVBLk%1 zG%_$n_}9q56yaeb1Ei2PGB7oVWbfk4+=3i<A&)<AgUbUP8VvCj21W*!h)^{$G(bd; zk)a{7j1eM`jSP(tF=~XIEsPA2@`Vv{#xO$84Mxb>!3a4&7$Ii}Bjg-mgq$Ue43T5g z5IHstks}Z}D;Oc?1ta9lV1%3-j0}+@5IH{>86roZA#wy7B1fPhQeH7KL`wWdhDfR3 z$Pg*{8yO;{e<MSr3}9r4lmm<mk+Oi1AyOVNGDOM*Muy0_z|b5yvdobq*c>TA8k!>| zNJDc(7Bn(6N8~{xLv!RrVUEa!Mury1DZ~OP9~xR9y8x*&F)~E1Oi)~7f$RVaWCvIv zI{>MgGBQN2jF8-n>|{eEccC~7$y8&cip&%#yiAcCXo?(K#z+;3kug$DVq}a|l^7W# z)g?y8NQJ$TF>*m=jGVNMkyEoVa&csgTpSrA6-Q=BY0(T;mVg_h;HGM7S!!NMa$-(S zQetv816Ul|NHsP=<(i^$%}}`(4B&<=*Z=|sn;4*IH8Dix8liHHQMss&GC_5e396$^ zEK&5DqS|YUYOg7(y{4%4nxfikifXSZs=cP>sP<Z*a#3AnhUzLaR9Bgyy2>2YRfZO5 zd`nd2hL-5^sNOKNMD>TEC8|dZEm3`9WPs{ABLh_Xj0{liGcrK6&&UAPJ|hEE`-}|G z?L!SZBSSR(#%T5%qv<z8Q*VZ5pBcJ(H2cg@<I2b!HL{G%(Za(V%{^%GWn_*P9_DE7 zGe>iuIhy;-(cEW_<~|EF_gSF1&jQVTXz9nu0?mCEXzsH_lSfNGMwY1VGe%1v#%Sro z7%l#d4N(1KY>4W9V?)&RWsH^{jEzwJZ)}97-U!Wnj4}>QKUz*UHbwKFDVlj`nb{aE zy;-7#pP_*Ps)dFIXdz-~faWDb10&QlVrYPt2@FBKC~!FpEyxW)4N^1#)O2NNV1^n{ zh6ZRU&CmcXX&4$<qB|YE@Gvw)Q(<U`=5$bZ0mWgUb`PomS`IS=wLVZ)prv6$LkrYM zF~lh4(2Frc^m5102rZ!*8X2M)YGj0(H4Kf6QPZlS5n5qnXk>yG$VO-tl%Ww?y<%vD zRvj1`p_N#MMrcKhp%Ge{U}%Jvi4Bb`(OrsG%p0QTQ$zHOX^5Wl42_M@3^g`FOJkt+ z4ob`!8>5A(F<NzQXpB}z8ycf!NJC@vglmjeWE&czm9d7#XoaGou?4zI(W^~kOEj07 zpjBjsCTJy<p@|`yp(bc$k)a7%VPt4xjFzNK(3(buCMM{HqBT<tP0>Lld+r(9i@u zN132iGKMDTIm!e*N133N`-Y}y4Ff|{w3^(|6g@|oqUR`6^c-c1o}*0BbCfB1jxt5h zQKslQ$`n0EnWE<?P#Yg5otmQOC{y$tWs07oOwn_c8CuO}XognR8JeNhWrk+xIm!$@ zN0}L;6)0xtIm!$@N137LC{ULG#kXeYIm!$@N137LC^PgNWrm)k%+Pa`8G4Q~N6%5_ z=sC(9Jx7_N=O}aZ9A%E4qs-BBlsS5iGDpu*=IA-f96d*wqvt4d^c-c5o}<jsbCfxH zj<P_{Q5NVq$^tz{S)k`A3-laifu5r*&~uapdXBO{&rufWIm!Y(M_HifC=2vD$^tz{ zS)k`A3-laifu5r*(Q}j~dXBP0&rz1>Im!|}M_HohC`<GlWr?1nEYWk6C3+oYiJqe@ z(Q}j~dXBP0&rz1>Im!|}M_HofC?f;39A#vHmZOXe&~lWK0a}hSGC<2wMxcRw<l+K6 z7LO)?T1SBgJyBJl<tQTqv>at*fR>|-4A63vkpWtcGBQBRQAP%6Im*ZoEk_v{qU9(f zL$n-aWQdldj119olo4p60L62l5r0$xv>XK<cSKczmZOXe(Q*`c&<|A$T8=U@M9Wd& z(JxdjXgSIVqjQhZdpAPMQAQa3ZzHrEWn_ewql}Eua+Hw~T8=U@Ld#J`Mrb+8$OtV* z85yDFC?g}Z9A#vLmZOY}&~lWK5n7HiGD6EyMn>p4${0OIq4!UXjL~xxdJojd7(GWB zqt#JH#%Ohv5opj4B`S^4>L?>)^c-c3o}-M>bCfZ9jxt8iQO4*w${0OInV{z=6Z9Nq zf}W#H&~uatdX6$dtD}re(CR276Z9Nqf>uWvnV{z=6Z9Nqf>uWvnV{z=6Z9Nqf>uWv znV{z=6SO+Y$P}%PGBQQaQKo2hl#wZVjxt5hQKslQ$`n0EnWE<?Q}i5Vik_oP(Q}k3 zdX54OaigR{Q}i5Vik_oP(Q}k3dX6$f&rxRRb(9%;jxs~9qs-8Alo@)CGDFW%W@xQG zBQx|IWrm)k%+Pa`8G4Q~L(frW=sC&^Jx7_L=O}aZ9A%E4qs-BBlqI_7EYUq@iS9Yj z#6C(?TB3W-65Vr_=$->D0zfqb-E)>`o-;N;^PI5(n&*rS&^%{sfL2@>8=w^z#s+A` zg)wMB0kXr4LDRly0;s)tW6<O)stUB?!q@<<xG*+AD=v%;(25IV1GM78*Z{4#Fg8Fd zE{s9bttjp=L@O?g4bh4VV?(s!!WgvD0o4q&;=&j-*@~(Ht++4-&3}Rp^F}(;T#JE$ zft!JW;m>~v5QoZxjx`6J@edP+o#hWY51a={J?xx$kT~cnPMCVodHNuu(8XOrf(#6x zdjuGe%?F(~50V0@2c3BjGp7Y4$iTn=5*I|W7j{i8y7(g;>OtqVgQU>aJAe-A$6|gi z4)J+7#82Z8|ARwZ5EQ^z!a)ow4sxe3l7Hop#6joa!@@@cNgQ-108HEqDh{GRX2HY* zK>|>FLAMRS#6jns!@@@l$=(F0dXO2Qv;k9}4iyJcpxX&x;yEAzXn2C|TY!ldL&ZT9 z=yZ9Qcm+rRsvdOKKTI5SK03@jQb_KZ1yv79x1cKqVCokliSr?;Ux6gfi6p)rDh{GR zw-&(6*#Z)Px<?vG{UIcAP+owkKZ7JLi=-apb`S<dzdVQm#UQ&tOpv$&hylf*I0Z35 z;));!6obML!~}^eff!H>(+d)Z+~EL`faOP!xC%rFOd5bm2Jnpzs!%qFg5ARbQm+OQ zfMUqq&k*(MP%#kY0U{V6;xM~FLa=)~K;~$I1fVzqN`u5faR%iwBtRJ;acw9YL}h>o zsQEfjHi#+!5m0emC>un<?yUfsqX!az;sz)U64!^aK~x8bfSLmf6Oa(-z6cNvQf~-i zK=BMH4H7qkvO&}W5CJvE7|I4wD?kKP999N`gkblMfXo43QVbGgU|`q*r9t9mP&SA< z03x8~m_ykh>I8^@io@a#By<5pK*cSgY!Gz=L_o!1cWQuy9)Jj_xHXgwqQDn8L&a@C z0#N(`N`u@9zPlO9{{f{z;-EV~pj=1-ftq6v7i3`I04aou!_pW?S^!Dh5hMV`5=i1s zP%#jtfF$k=5`bdRJsTh<NZJL&fMNrX0MuSs9R!lHKoSStRRR(NVOYHYl6D6PK(Pl% z0BQ~_FMy;1ki<Pf0#F=*Bo3?NKvD@v;@%(uD9%6<_koImr~)K$UyuM4S0IV|LB&8+ z1CqEuNC1jEki=nm79<6_vj;?jqys?=D4qclfciHGDh8q!Ac@27UIB@(KoSoD2|)1% zB=JzF7>L?|BpwD5fZ_v4;^9y+5Oo4c99Fh~#4jL;M}h>P_y&@A6jTgEJwOtV1_?m% z3nX#SeMC@U2GIQ{AT~%k7Q}$!A0Po}_{2fQz!bDz07=J#1t0_mk~pjk1jz^>i6?>t zpcr&73Wy0ZCke!WVg)4i;0wy3;;{ArNImG<bEq(b0g`&qJ>@WQ3ncM0m>>fK1L(dK z5Eo=lI*0+q9!Tn8Wi?1D07*O(Bml(`Na9&gF%Si7SAfjV1_?lM29o+5s2GSUKoZXd z2|zKd{Qxp24=M(t8j#fIg9M<s14$fKr+}m;Ac+@(1fUpn?+S<sGQSAKfZ_#6>OprC zL4_G$?G2Fn5||(Z1H%R+^`%JSJCMZ7ki-uliG%J$f=Qh~5{K1&Ah`=j;*}r)D87Lt zUIi5cQ4f&Bt3d)#`~pe51}X-kJ|KzLf&`%W2a<RlR18dk8u!qAQ4bb?5FAM2usRwf zBY-3hy3+|H2Er0Z;!Pj{C{{ocZ-$D2C=DcWSl<C8Zh$1-3KD=~3ncM2s2GR>-7^EC zLGjoQVnDG6l6qKM0wfiHB;E-UfMU@7Gax3&oGuUpiW893cSFTMR0fiG4@dxtLHE*t zm>_d{K@2FaKvLfa6$4QXNaFn<0VwW35{I>UKvENs#3zCTpm+w7_#~(ph+2RoJ{cqc z#Ve4+r$EI(6zF~%5DjwwR1gD-cOa>s1{DKQ2av?4g9M=X1d=$cZ3dFMfFwQ>Bml)X zki=&}#X!^pB=OlG0VsZfBt8c!2BJP7iO&TIK=BVG@p(`&Fa_!`LDT<yumFVMKoW<w zAwe<%Na71Y0#Gc0B)$kL2BH*@#214EpjZP*d<j$xL>VB7F9iueu?3R&GN>4cazGMa z4ibQ34<vC|TNfl1fFusP3k@V5fh4{PBml(;NaCxZVjwC5Nqh}R0E!Ec#MeT_KvV^i z_&SgP6gMD=uZN0(s177?SeqOqJ^@J_Hnso~pMfO42_yi;3y{P&L&ZSU3MBC@AOR@e zfF!;ZDh8r<Ac=1S2|zLUl0|6x*$x#0Q74ep!}<;&@e4@eJ3#_ad;>{*7gP*HJwOuQ z4HAIj7f9lJpkg5E1Csb&kN_0_KoZ{v6$4YC!3C)M_k#r>1P78htd9ed5kL|@2oiu| z2_*4DP%#jtfFynxBml)4Na9DJVj#)@N&F~C0E#V;#E(J6K$HWL_;HW`6nh|v!}?+% zsQ@JLlOO>ojzAJW1r-BP2}t6nK>|>mfh2wgDh8qoki^e|1faMAN&FmC3`8{`iJu1v zKye3>IIPbIlA3@dei0-9#WRq^FG0mX)B+^&%OC+LUV$Wj1u6!jHXw<E?pg$if$$C_ z@oOLfC_aEBejO?XqD~-*!}_)$@e4@eH$ehWd;>}R7E}yGJwOt_4HAIj7f9lFpkg5E z1Csb%kN_0_KoY+P6$4YCK{06hzYi9G5FAM2us%3QMgU3tAxHp<C6L5nW1Ao;1tjsu zAOR=_-LnK@g6i2PAO;j0AgO-}6$4QgNaD{x0#NLLB>o&K2BJKW#9?CvAn^bs@s}V0 zD2_l9e+3l-Q3*)muR#J(oPi|%1}X-k3XsI#f&`$r0!jQGR18ElAc?;R2|#fNk~nNk z10)5y-w8y6!tEo70mU<r)PI7Cfv5#Y;-5hRP`m<3{0meJL~TG4{|XX-;vGoh-=Jb3 z>Hw1XcaQ)SpFk3ajlF=RE+C16?koj~f$$9^@n0YTD1Lw>{u?R=qFx}0{{aa=@dqUF zzfds{^#e)#A4mX(L4&-|^#30w#=yY9fg}zdjD*SwAc-@AA^|Ecfh5j^B(8uY&Wt3k zfh5j?ByNBt&Wa>%fh5j`Bo4YS3*-cl5IcwfaTpjFJdo7G#<D<C0Z8JUNa`by#JP~f z6OhEYk;F5Q#Ced!3y{Qlk;E&I#QBiK8<50da~~jgb|8tv#@s;S6OhCOk<6KaBrb#` zz5q#F7)cy-PZ!8MkdO$70C7P5UnKRSNa8z?#Kn-r4<Lz)BZ;3t5{Hcqf~>fJBrb`h z{sxk`6q5J@BynjZ@fS$qGDzYdki=z?#D5@(%OQ!wu0jS0$%6=xBSGmOIspI@f{kH< zq(JwBfoPDpB8UKS7#J8Nkkl(7i7Oz9D<g?(Ac?CWi5nn^t0IY8Ac?CXi8~;Pt0Ref zAc@1qT0u??KoZwPQXhdNu7xC?fF!PsB%XmJu7f0AfF!PqBo4Zl3}in@NDoASI1CI7 z4M^(sk;FTY#9?E?AT1M+#0`<u&p;A4LK0tqByNl(z5+?y1W9}YlDH|7_zom-GbHf? zNaE&5;wO;AVPn@I_g_E~w?tBZ14-NpNgQ+^8b}{V$Qnd|I1CI7FObyRAc=oK61PPX z|A8cKha}DbnrwrX|Mp1Y97y7@F?NvE0!ZSHNa`h!#GR1D6_CW8k;FBS#9ffY4UoiL zk;E;K#NCj@9gxJ`k;Fmwuz}nQ5`xVIfcODO>ODb{AVCHOh6p5aFC_5<Byn#f@eCw! zA0+VtByrH4;ZWllDv-qekkmIIiTfjocOZ$w<}5&FO+XS4L{dKkNjwNid;yYpFp~HR zB=Ha=anSv5AbUVUp&$ap0nL9QsSiUEKY%12jwF5pNgOt}0y63Xl6WMN`Wr~%QApws zki?^r#9ttZ#~_J=?vVr80}_e_5g-l&1H%s_^>Ikz3|tTeAfb2=0pT)mAc@1~fIw0L zNaBe|>LrlGlaRy}ki?Ub#5It_Q;@_Bki=7w#4V7-(~!g+ki^rG#66J2VRKa=_Xi+} zXCkSOKoZYF5>G%9&qfl@KoZYE5-&g!hpo*3*;|1mo`<Bq0ZBX`NxTC|95$y0G6!_u z9f$@gEd&uD4g&+j3?%hMNa72S#EX%{S0IU(Ac=245-&v(-+?4vh9rIfNxU3M`~;FX zZ0--_)C)-Bl}PGuAc<EYi9bLRuSODofh1moB>n+OycS6ubk82hevnWdhyZaw7__Je zTK?A~iE|){!{#VK$_0?b8<EsYAc;32i7Oz9HzSE_Ac?mii5nn^w<3vKAc?mji8~;P zw<C#rAc@1~QbFzyKoajnQXhdN-i0KdfF$0HB%XmJ-h(7wfF$0FBwm3e-iIXKfF$0J zB;J7}4x94@xqkwZ_(UZ2Gmyk5A&G<T8wBYC2~7qOAPxfq!wMwzQ;@_rAc;>!65oL& zJ`G9y0FwB0B=HkS;;^}CkkuEE#AhO@zkwt^3rYL|lK5;S@fS$qbCAS8Ac@aK690iD z4qF=rat{M&ksLJr&qq?vfg}!_LkFoBKoVbwq#krHB1j%2v<O6iI1CI73P|b~BZ+Gu zi7!DCH$W0!iX?7<B)$wu+yO~^Ig+>sk~nOxALOI}B=MC<>LZZES0RZfAc?O=63;*q zUxOrGfF!;aNxT9{d>xW_1Csc9B=HU;aoCy!ko!URH-c!8(v2Vj!~vE6Na{Bsi7!AB z-;5-_0!e%elK2KB@vTVWJCMY;A&DPA65oy_ega7xwsr#K)C)-BJCW4iKoZ}DB>n(N zd^eK#3ncM9Na7!m#P=eJ|3DJoha}DbS_}$J|ND`|IgrF*Ydk>i7eEp}h@@TuN&FC! zxB`;+VI*-4B=I9i;s!|KN0G!Wki?H6i8~;PA4d}RKoW<oMFF`#07?8LlKKcF@l#0R z2}t6wwWA<&GLXd2AgM1v5<iP1UV$Wj4oSQLN&GyLcn6X=Y|RYF-U&$J7m?J@KoY-% zB)$Mi{4$dG3MBC>Na7oi#IGWW??4j2h9rIfN&GsJ_z5I&*xDYD`!67g-$YV>14;ZA zlK2B8@!Lq^FObCVAc=oK62FTi{sT$;9+Ef%Xb~zj{oh9t=RgvNtw930UjRw`A(DCt zB=JW`;tELOkCDVRki?%Li5nn^KSdI^KoWn3B<_GD{v1i%14$gVRtn_)03`93Na`by z#9twaCm@NxMiS3J5`TjvUVtS27D>DUN&Fp>cmtC7dnEA=Byrf9E|B{tAc=oOQa=Mp z{1cM+0wnRzNa8Dy#J?bkZ$J|NiX^@RN&Fj<_yHvG??~b&ki=nY&p__KfF%ACN&O8Z z@n1;d50J!vBZ<F25{Io_2buo?N&GL8`X5N*|B%EPK#TaH>Hj~HI0uqAcoP7~aL|4P zBymRQnj(;t1d=!tlDGnrI5U#C29h`nlDGkqI4hF41(G-$lDGqsI6IQK2a-5!Eg#68 z0Z8JUNa`by#JP~f6OhEYk;F5Q#Ced!3y{Qlk;E&I#QBiK8<52Lk;FTY#9?a=LGGV` zBo5n~01}^pBrb$x&H^NHVI=VtNa7+$;v0~}MUljJAc@2FK7i~!fFv%Cr2YhwIBabs zNc{yQaY-cgH;}}oki;J#iAy7izd#a~K@$IfBrc02{sT!Iwnqcx9tP-weo)evM^ev$ zBo14{2~sbBB(8|0UIIy62}xW5Nn9C8Tmwm51xefhNn904+yY5l4N2SqNn9OC+yhA* zw$>En{s1I#O(gXZNa9*Z;t5FN+DPIVNa8w3;sr?Jx=7*`NaA`(;tfdR`bgp(NaC<H zu^{(PKoU1ZQa=Mp+z3g00g|{elK2WFaT6r*4M^gqNa8z?#LbYz4<Lz~BZ;3t5{Iqb z1-btMlDH+3`Wr~%R!HIxki@N##9ttZ+aQU5KoYk_690iDZigh!0NShtE&uJ2#5s_} zVQY**?g!mJ45C5##Suxp1d@6uByj~Kac3lP4J2_FByj^IaaSa93nXzjByk5Mad#wf z4<vEeT4<2F1CYc$k<>>ZiNp3}fz&4;iF+fd&p;CQK@u-O68A+CuRs#_LlSR568A?E z??4iVt=R^-X9AKqY>ya79CWWThz7+=5Ry3ykkkhwiLXEs4?z;&fFvG@B)$Vl9JU7y zWZwZK@o*&dCy>NpYs*3EFCd9WBB{TDBp!t%{s2il8cF;Gl6VY~_y;8MSS0ZuNaArw z;tZh8Y0&f^k0j24Bo12x4|2Z%l6WGLdI==)BqVXrebFF&pm<3}76%DJ_xB+QGr;!p zfW$x;wigJtM*}1V!mzy@u(gXIF%X8ejbLlWKw=;aFLU*ZD|1T{lNj`hONt<L28>md znv<wkl3G#1pqG+ZlE|Q!R9wuUSCkLpfRr2RS)g(aVO$11uwJmjqSPD)z2yAd+|;}h z2EDxel2ko+zfj%c643dk5Po`Isa`=*enDzcNhMTkYDRoo5$JGxXt+>Hf%e^j!W_En z1#TQ{FVl5Upuk1p+o0egpgo14C6h4qFbM(Z;(yq>a8R=XWDj(Dl>xR75VS`RBo1A6 z4AagajxGR|0jY&D!4zmu9mq^{<;3a-?TrJOiM$sMWH4-<KJr>>kT}RL5Dn4;qCx%! zvC;K!hB^p3%>Ysg#vrvI28;&nWdgC$f|&ufUK|v*AoVbe&Ij%30qKWY2UErXTMw=Q z&2KOXP<+GKAR4q631lY7A0R#q7eF^%R6sZJf#MJ3cMt}tfyEzaj}6Fv<h_R=c@EH~ zTLuOOQ2c=SFbq-)V#8?AUKSV|Bo4zSQ2j7Ij7C=r+KT~NvVtCd7Et}@{)M?8W*%rQ zKFCaT{Si?8$ZNVldeHTQ_7GqTzZcL&+ORz>pzs3?t-$od!VjC>AbTP}o0AzB7!shH zA3@C$(9|rtevlY?+=KM*fNq8hMBW?=Ex$qXFbpd0vFT5ME+$7_yARR>axaL6VE`Ll BHK70i diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg index 297b9e992f1375e4e2d92ad2b3dd04198712feae..b8dec24608bc5eadd699a0113423ae35a4827848 100644 GIT binary patch literal 11064 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk?9TUoqp$|NsAYFfcG&U}0cbAkV<?f`fs9Lx_Q) zLl_fth%zut5NBX`APd0`atsU$<QNzx$V0F!0|NsHn=&vkfUp1qCa7m*U}#}tVDM*y z$nh{RFo3WPgv-Flz`(%B2*C_s9s|Sw|NsAkq``6w2tG&*gjqoZ6oc4IP&SBG1ewRc zzyPB`QXp}51_lO@6F}?`1_lNY?Fdy1QUk&udqEf^XTiY0z|6qF;DtwxB?AKk3j+f~ zFdj7^e}dc?gGY@$)NQFycY)l($-uw>!opA*<Ytf`CL^igW?*0tVqjoUfT~GiU|`^Y zx*4PvWDW>}`~<>W3=9k)H-pSQ!oa|QON|Qy0|Q9ySv+cz85kHq_Fu!JCIuST5Adh~ z`I(o2f#DS%HE9eC415d>3}5i5NoQbS;Adc9_=iVL1_J{FD2;M3;`Vzc0|SE~C|nsK zVF?O95C(-G2!s3#3TIHbOJh-E0u7f^1_lOD+$myF12d<Ufq_AUfq_8}kD4hA3=E<S z3=9@{)J$h!U=U+qU~s~tW(ETTgE#{NgAX1xvl$o|Bp4VN!tkh>$H2fK$-uynfJe<@ z1_lNx1_p)<JZd&FFfd3nFff$jQL~?cfk6fo_|P%{6mFm}1qmH^bnPUFM#i9g28v%$ z`Ud57P<jC6Wff?i0hI~b3=9m$(EI{QcOM>JI|)*+1r-C8XCQk(7$grezv0oflOS_I zWdcYXBnF~E7^cPnss@z5K;rn+fXW0yYCvTIOieKZ14BL3ePH{ZT{{WNpSsX|3JN1o zn1jL;<QGty0{IJ+R?VU5Q57i+!DcWpFo668GKY|xL25u{0?a)i8iZlyfcy?p11b|h z;<)?{QUfXz2&n;;353*u$^=4cKxG0UHJ~zqkQz{#fKLr5oIzy*HZ`C+3=}>fHJ~y9 zn;KAf!PJ1t1VU;+Wdb2JpfZ7w8c>-)NDZh=AfyIVCJ<5sN}q((fYL7^HJ~y9rUn#l zFbv9{`dI2%P`v>v7Z@~n1weTNq#mT!fPh+1`3_TS2(33j?Ez4Hg2dtGx_smZl`9}I zkhw+#%muZRU}{0-5U9L@nF}%-Zm!lxes_>UXxRm-S3vSmA#fWBu2zFrAPy=4@*}7{ zfK4q}OoLYdly^YNL25y62aAIQpk)NeT(Fo1uRtDD9HdqWEgV4pg{yV>$X|n`RvAY) zz|8GOQVS}dk;4HL9w2i;<}<i_<X?cK7F3Rb&47x5(gIwq2Cu+2s5r>I*xGI&wXpPX z0!ghm4)?;;UPV&tLqP2lB(=T-)P6=%>qkH>sBHsM4)U8n0kxdaKmw@^AfOhOc0lE` zJPx&B<B-x0NG+js21^ehwS>}{IM@P6yAzbopj?o5;b|Q#rok(q0cJqd;!0=Ww4=c* zV1lF;l+K`B6mwx}LG34y1jxOF(pdo1Adp%$9AO4CHwsCuIsvsQNNP0*s4YZNt4Tm@ zJCa&Zc?RNw`Ys^<!txL-txpF@LfaOw@(h<+SlR*AZ@AnGHV!H6fYjo0FH9}0+ySWt zwat*r2asDp`53G=TT@^Om;vdJfZBIZF34*bX=eje5@fCpjxYl&(cl%>2WCLb1+}%H zT&(7vfl7kR)gxf;H6*pT>MXDl4PJo<U<Skuxaush5)EE~w_pZDEi8_~jzuchVQCUp z{({^Kieu#P1*HQ}_=45`HxT%TWG<+!2<oPT`i>xZP&*r>7Nm#azkvWBND$iQ1hsRK z)q?t_$ZF-0)Y?Mp7LYk0>p=MmuJ*9HfIgC1J2bT*Kfu-Y8VcAWsRgy8LFE+8T+rA9 zT<u|X0beAw4h#$opfUoc7Sz6ltL-%uh(b~e8aqH%3sMKdAiWHS)ddof)H<QL7t}9- ztL-%uC`MB23>{Mdg)1oT(DP;!lG<u4YC-)Yup2;{p?zW~6HI~31I0DS4PXH%K`(Kr zb>O}_hz*KseC`3M0b!VX38{yv1L*-_LjD5PcOZ2z3{nqDFQ9q_CI+HG;-L7(C63G9 z_>%krhLWWCw9KN=yv!1Y#G>Sk%y=XLs6@OW1C#|-0^{Xm=B38Ro0>5|8Rk%inE{Mp z%#fU)S5lOp1F|6A5Sa%xE59JMD6u57EES}J0fiTDh{^}+h(}QZv&RC;u(X6S3=Lpz zFf=fPiy6UL#&DJioMj4SSz0g@XJn?8Fl6Q>7sV&0q!gtV7enO?O<-0Tn!?RBg_~;% zGsDo-f}yxHH$E{rnW4BOzbG}nD7CmWrvzq<r6B`DuycHJPJVJWh*6YUoLT~6Wabr= zmINo}7UZO&al;aGGE+ceX_+}CsYR(N2vw*e5QUyl!#zPZr<O2y#v>bz!h>k=k4H6z z0bKy1EZ8}|peR2%wYWGlFWn_S5A4jG{KS-CaF_)<$7kkcmN-H~4a`k0Lg8kX1efN5 zJOb8*VEUJq6qJG-ie|olJXj&vcz+brK|F-vARfeQsE*QH1_%pkvVXiAO3*<)6cnFa zT2z#pR}x&3Sdz*R92B3IS^?#FBH0ZJGlb7TJcQjK9>i{_j?!EP2n%jEny-R`;*;}J z(}GgdGmA@7i$K<bQyoIUIX<^Er^FwWLGn@zK>>!uHv;i}OLIzsAn7XD8I)3CoS^t( zP`C!6M`>_Sd{Js*3M@K6qX!HO`WgATsm1y^MkaZg$@wMv1&JjY`sJC$8Tus!x@8$D zIl8&2srsR=x_ODYsUR^>Iw?xkO)V)+$xO^E(JwAa*3U@E(MJ>=dSw|Y4Em+TMPRe_ zQ}c>Tk_`2IU0wAdga7(vnPrJ7`9=mtCVGbYVPK9vl$VlNlBf@|7Hn>DNlLttfq{j7 zaY<rcN@7t8#OQ*e{4D*7q|(fslwy5LOG`^VgLqHBkaz<V1Jif|3ljtV%)H`~#GIUB z{luJ{_=23olC=Dy++vtr5H0#d*+o@vl%!UaWaiVu6Ua7E!wZ?Isj0B2NQuwMPtQz_ zH#9Ue0r@3|L7yfuLcmU1dP_GcKc#XY{Dy2Xbv>9@nwwgbnH*nS0?tLWk2FjRY33p1 zP@{2DMDi7~t<)+-p{*-W(xYC{jMNOHuE8kHF|g6#el4g?3d5i_DhO|8U|@(~U|^_V zWMGhIWMFV*Vqkd0$iSe;%)qddiGkr4GXujcX2|?+HVXrT8!H6QW@TUi;V-NV3?K|L z7i1oc2C+f?ZWy0b8l)FAj|G}L0=1z*b19&{B&d%F>Z4gRFff4Fpnf2zf9J-)z~Bj; z%LI*!1u`%&1cBzJ7#J8L85kI%7#J9085kHqa}J<61<;%UXj~IC<_{Xv2i3ZuG5K;( zSTHa!R5CCyR536xfci|Jaj7~628MP928Ip>28K=s28J#M28M1128JF628Lb+1_sa= zJ7^3YG)4{@0|$+9FJ)k0SjND>u$+N`VFd#N!%7AQhE)s<467L!7(n5^g@J)#D+2=q zXbf^Y0|NtSo_!|+0|RKx8WeuWm;=;-2K5V}G^k&LERTzA0qR6EFfcekX>@()e3&^7 zpn*UJ28IAAjjj&bt%NCu(*dB4I4Dg)X>@&{v0AudBt`_NQx2K~gwp8xK<NQVIh^SM z>Y#(%38m5XA@^<Jnn3g3&~Oxh(&+wy^{0{bfY|8aiLMW797rh&Mh{PPebDGZ(F5Y3 zhbOu|X!L-TqG0s!MArw69uz$w4tjW^>w`uQNGS?701bYC=AEH5x;|+1py&Z{BtQcj zpfw3l8eJdMIFM3Q4DCR3KxuS+P~%YbFfcH@fU5rhrP1|4g)#J901Y}YFfiPJ(&+l2 z!WjB?fEEWZFfbf|(&+l2!WjA%Kqr(|KxuS+P+<&x=;Z`@dIXL4U}yrx8#DpJ$_aFR zpgCe}`q0xSx;{`Dj!hqWdO_C*TAzSTA9{L0*9Tg&fK4B4011{}(Di}VF<{e&o?g)P zf$Ar0`q0x0x<1f)2W<M#(+j#j&>9GA`q0x0x<1gHKQ?{n`5j#!Xng}VeW3MUAn^&% z1ck0o4kU>M7eEtI1(ZhDhd#arGXgzbLz62^8oZ_n&Ou_Jm)Gd}K=VvU%Hd4(@)}(q zXwC_)7>R+NKGF4o+A>JW5lm>o152Oi`aole2*n5%diq4y2bz~cs7A2R(<iz<&>R&) zHG+knKGF4o=Bp5@5iIodiLMVc7lcraV4;^2==wnOLI~9e7JB`Mt`9UvgiwuOML-Lz z2xtL>t`9U{giwuOSwIUO3ur-tt`9VKgiwuOX+R4C3n-1Q4>XU2P>o<oKnns5D2=WU zG^d16jbL#=3jz%&jjj(gzl2bYU~xbT0s|<Gt`9WVgiwuO2|x=13n-1Q4>a$DP>o<o zKnns5D2=WUGzW!HjbL$r2IE2PWGIcU5445?p&G$jVTE9WSkPh(#00PX1g)n4bI=F? DquICa literal 36976 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk_g)CYSN$|Ns9x7#J8<urM%KL@+SS;9y`lVZy-R zV1bD*STZmu_%bjQghFsc7z2YtI0J)11O&@4Fff2HBO?O?2&*z+f-Xh|27h4&h5!zT zoGb$a0|;9{xC~4T5WOJ%3=E85(f|Md{|7O^atsU%Fg{2OgjqoZ6oc5zP&P=tBFH=j z1_lrf6=vXMU|;}Q&&I&Oz{|kE0HQ%^)u3uY>Og!!1_lNl1_rR3LKqkrK<2t3sR5}2 zxdntl>Op>BVPIhJ$D_uQfq?<!#t1xWY@lvR#G}TZfq{XYfq@|l>OPQLKp5l}5C-|n z7V6J3JZfAS7{KAtgh!1x0|NsW0|P@J9yNLl3=G^13=FgIr~!o!4+8_kYCLK{;RAB} z9z1HS7#JA%7#JAN;!$JGz`(%Iz`$@1j~WpM1_l8J28Q?0xCMnDC~XKcFff4J0b+yV z9h44a86oixQUk)EFb1UskeVb01_mK$yo1z&<Utsu282Oj2Qm+2t~DbA11>c#3=9k) zH@V<ZlgYrqAj-hN;D<*IC{2hlFfc^mQIpNUz#z`Rz>tJTO%4MCg9HNuLk=D_xeN>p zk_-$CWq8!&F)%PlF)%PR;8By$z`!64&D)?b1BDeR3>OHm1O+jO3CE!P1By401Ss!< z;u)0xRG@JU%IhEuG6$pyl&=khR&s*U6-YgdHeg_20HsNgIUunMLMu5zY%OS>0F_H1 zGe8(54>Aj87f2sS93%#!K^Ue+L3kx6$UUGq2Z`fT1Ip`!)PV9jx*Aa42bl}93uG@S zuM<)O%Ik#Gfbu#aHK4psNDa2|L3cB@@IhAt%Ik#e0_Al=YC!o4rsgjwei<R<9w_V{ zh^^!V<xyQ|IR~o4bQux#%x(q-22gqgm0h5`3!_2ifiTDnP+Wl6Aak(!3#JAXUm!K0 zybe+i(+6Uss{!S8kT^aypuA2<4JfY@QUl8Cgw%lYIw3WnyiQ0BD6hlRfcyr+p!}i_ zt?xi}C`b&X22{?2@;gJxUMEoA1c`&xg7Pk?b%0APs62+LHH4P)ptJ?j0}_XuE4;uF zR91k*K;{||aDypGA+($^Ml%;=Hr!m^1&;1WYE1~38wXVjN&_G>KxRRO!0jDS+XEa9 zlViI<^$kc4q!v^j;ZvIiQV4D5fXYmqY6Vw0g3=O5Imld4I>)JYa%?v!4q<9RVU161 z1xO*(4WN94t`?LZz<z65;@F6!7L;ev)q=`zuv)2Qj@?LVLG>NFT6ma6SU62XQVXj0 zaH^ed=d=(>EvWv(skU0jX$_KEP(27rkDzn}(gUL5ZqQJ7+JdAOR39R%1*rpJu;1KQ zFo4=WAmyOA0F_4|^FVwM2IUJ74OTljw)+T(0}WqLorO>B86>rG&@>4Z0;e+&8)oiR z5C>|mJOQ<jkkl$+Q49B*z)H>!NNSbwsZG>#`iG<zRKKJ94Q?)nu@ete5)@{jz6X>G zHUSi7aJ6^LoTQ+VAhn?O0hEiWw$I#26DkQ(tAWM6AWh&fbFgp%weLWDkXlWAY6Vtu zdLx+&YI8ujAnmAeA+VA&8Y&4gSDS#jp!OQb5Rh6>{{^ZQB!ppZ9aIve7F4f8xtMAv z$9DHZB|&OoWi?nKwA}_`gTrBRZ1)@_wXm`pBoD%%xB$^GwX2cTg34+r7o-H$y^~|R zcR?jVZUB|PP%c)r=b(}xwXpIRtPqQPA0ep)mGMw6SUI|Tzd<EI=9=M)i^;LwTuhK& zEJ!V=^dJ3vN&@;`_Mhr_(sZV@E4pt=rOEl3Sq?cCUIIV829@)KDtsEkBbtBIr* z)JH>B3#$`B<}=KV?KS}wTA=n30|Ns{9mpJzJ3#>f3I}-IRI=B}21zZb4#lU|1xYQa zd;rx&FuOtF0yp>nWyc^SwXpI5mm5-%)Pl+fWOG4b2r?I}<o{*IGB5+u?t_&N=;nfz zl<alt0W%<Ky`XW7t`;0-C3~IbA*ltmpRt7lOzmnUwLS#Q-G!vqmw?)nNNW8EsJ()u z7B*Ia%WwCQ)CLeR7nXKF=@C|6pqmQ{8&F#gmUcjD38gbwdH|^<l+NBl-3w9+N@pPZ zaJk_hl3HBp46LMNuM-!j@__aaK<NydxiGa7NNNeCGc_c&uzCpHy)bhPkkqQ<hzpon zD<rkBIt!P%?nr83brvqQu}ErRWi=@OB9{-aw4RHk7S^uDrM3#H7Sy-GRu_V#!RETO zIJSZ~3=9k)wb<%HkTh8B(gltaKpd!AY;_?>8m#uhLPuEo1(}PjZ3I#aig$+D3mvC{ zI8bx3)tew`u(=Ej42wY=s9J3GCP*5r)^360RuBiO7M3PKVGF{b@)slxc7w(O$HO2F zR4ptnK<YpkrWR~20|Ub~B(<=70a6FTFtuQF-CUfWAgRSx$AY9`=6(lppl*QW3y_&0 zjAE|3ixVR>QGvo2TOA8B3T7^>z5uBOl@FkD9h9~~ZUp6RkXjI%VRCG@0Ft@p&^{SV zEvUW&sRf%mIksCFNi8hDf!qqhDDHjc>|}tX7SyK)+XqqzZ9l-xWnf@%LQ)GWqo7K` zZ5WVRu>RxDPJT#gL1PzSaj0UL8^G>mU|@(xQVT0fph{8A-Qnz%iKG@Z9)!<aSosSI zUszd<)!aGGP8CSzg2tloncIq_)&VUpKz4z`0qnQQvE8t`8zc@Yqmbhm)LsIq1;ryM zj@yyUbwV>2RL6tV!pxnEq!w0AqWcYOu9>sbIwZBAF&TV*JA$MZG?s=_t&+3TZ6vjz zablcmd7Yg;A*lt88Q@g=)5(bw>`6%3=K-w)(ESEha@)yC0nC7?^~9%khm(^zl3G}M z2dlX&oSgiS)WX_3Sk+E(a!N%~3v2ISRomp`RD+}z*51LYHqFUtGLl+Ydk3ppdncz= zNNQp29jt1#otzFLsfD$7u&R}Ca=L+}HV|L<GC4WDM^YPvPwhuXCpJ(69$Kab<5PRb z(Mc9bEoiO+XWF^o=wyPVHWZ(^haH`~k<^CaQ@hpCDG5n!I6k$D9i1wX)MA?hgXiO7 zN2du$Y9sNP8}I0}97$~yKDDlnPWzD5M&na!<>+)3No@>1wHl62Z;;f+;!`W)=)?@_ z{6OOvH1>xx-aa@uNg}C@$7k+U2Pb_bwF&st?sIT*MN*4xt`Qy=iyWMyk<^05A91?3 z(7~w?No_JdH>5c@wIiub!Kc>C!D%j%+EjdM-B&PdMp6qJ1I6iHV+W^`NNUsZnXBsH zbRS7=20pb?4o+W@)PlzHak_!c!HFB%Fb36ypmA87YQNb#DI%!_jqT%9``q5i97!!` zj31}ki}p^wNNPc2{W#U`uy;yEQVSaM$EkL?y;CKUTF|&LPPM)EPW?z~3-E<+nZ46e zB(;V3)P~qQ?M6~tgio!5z0*Y`wZ-_<>exFyM^amYPp!1Q(_bXDrTElx**gh?1}~uH z18DvpXPE7@bJ9doTaM4%PCF-iB(<P%d7S1J*g1tFsjb9kuD_jAHj>&Zd}=N1oEnkT zR^wCq*Vbt|lG+-4YVX)OtwmB>i%;zyTc^WFYU}W+?Y4EgiKMn3pV~><PVbS_HsDiR zt?k4L>c~Lj7&PyJGcK~VourY}g4Sx_RGVVsWQe4;8J`<GZJgYZ)VAPLYi#2bi=?&{ zpISZ}r(z_vZTQqavv%r4QrnJC?R;ye`ABLz@To1ZcG`-hwiBOPH*2TUNNT(AskN|n zdWfVJGzWz<E*Pwxz9Xsa!DsGkD<@u1XBC<rdhw~9VdbQZq!zR;2d5j_teh;7)b`^u zx5~=NA4%;5d}>pzoKlh0PQ<4+)XJ$EN$n(jYNf24CL*bwj8E+wOQ+>XYNy~+d&<&j zFOu4+_|(p@bh?bB7BqK=GkohTon9iTosQ33B}=FONNQ)`Q!8ZYBnoN_K-0rad}<$9 zIB6rP1+Brt>E81ePL4=wXX7(>qlHsAlG-`=)XubU%0*H;7oXZ93#Vozwe#?)^{{Z7 ziKKQuKDA;NPV14>F2JXj*}~~4l3LK5FU~MKXYO<xN$nzh=58=|`iP`<F+R0j=1%OO zfevUKFTtla!`w+0N$pa6YHiJ(43N|=!>9IxnUgb;+U5Avo-lI?LsGi}pW0qCr%WWZ zEAgo<FmtLwQo9PDT4OV(ek8S^wS+k1?SQG%LL{}Ixq6&x7n(Y4MpC;LpBtJ@osJ@@ zU58I?nyJ%uB(>}DsSPr9dWocV13tB1O`LussojWA?L!kMUeF*JG@Wh2r?%9@Nghe< zW_)ThO`Hso)PmMG;0)go6DMaRwOjF-D`VmmillZMKDD8HozjuiZpWu~j<HiEl3LKZ zXPoZMF?Q-kQo9oxcA#-XkRH&w8+iYCa%}f(B(=NnxxvlYX%~`O(AqMPd05AoWQ?8e zA*lte3BjqhNzaK1<S3{+_u_Lys-BY?lG=Uv)SBx#c_FFYk5BD)U8g)GwFmI2y{hXp z2}$iid}<HsI&DKzdkCM}MqQ_yNNNw`QyZk~^an{TXdMmC@O9C3k_Qb?L&NtdK67Ps zot%)=9>b@WQ`adKN$qibYCq{Xbs(udfluvo9jDbuYER-*dq>CV9Fp2o3=9mQA!%4z z2T38P^}8SeXgHk4XYMu~r=Lh_L2Hk2hVL{TCsEL%GpM;|@tGT^<79}W_8dO7tU6A< zNNUgHQ~N;MDHBQU1+;kvkQ8z_)Pn?|?!Abn7PKY~S?x?DwU^M;g4V<#tKEX6_A;7U z(3&`8wdax4UO`g}S|^UI_7##^(Aq9g)WG5xw0;g*Ei1?aP`_P6GZ(ae4q2@NlG^KN zYC-Ggkkwivsl9=w7PNj2S#2ni+M8%<LF?y`)#f9qy@jS0w5}XkZ6}i2+h}S*YweKL zE=E#&2Td(#tsS!3y+~^BqNxSVCnKx9j->V;np)6&9J1PvNNVq+sRhlABCF*FB_?RR zJwQ_nnwLaYtBR!dAp-*gX#N(K9zb)%$ZB<v)PmOf;mpT<>Q0VGY9FJy0W_zLY_12A z+9zmk0J#TQEvSx!7S|vq7=z|ZLFpKmI7mNOA(Q~A2bFEO#6e*XRSKp+>Oo;lEpc4# zq?R}+oq-$<GY{l`eBlFA2hsz=F!j)GDo6;7LE@lp4X$v*WzMMh|NrEKKefUQl;6M+ z2qi%A2+CKu%*UlZz9heZp(H6jEwd;zFSCRpu_!qsGagAGJtrxL0V*4B2xCFjLB$zz zGV@a7<4w(A409;M%mBtPX7J3*EXhpFF*A%efU_;*4Pk6^(|98o+r%v1n87c#Bq%jK zvA8%hJ<rrE-V{~9Jl+gdz#`rpRlqXd0!6^cAl{N8IX|zYC_e|})p$c>9@Inm1*t`e zC7ETZAQcQKym&)YKFntpP==v_DVzlh2txx{NEjL*)LFvR8N!0c&=9oF2NadT&hg1P z`N`P~!OrnTsl}-!AVy|hL1{^FVs1fBDjGK|F()$xB$k$$Q<7Sgnu1V;DgsgH9vsBr z9umyp9`4Ez5ai>+;2z`}9O573%1{trS`c57Tfh+D;}h@W@9gc)5D?_=?iBCt<K)BO z?Cj(14`I4F2RlKTE-=~?BIO<&6rY(_0<r;2gKPjZV77ocULlU|@gXq15T3I)!~_V> z1!^9I=NpVH7Ze<V#C3KI2nh{xMG^^e4R%FV5$xgVhRhEQaB&Pl(Gcnv;)-Huu&a+D zl8M2tK1QfqV^ppQ5;rv1H3;N6WCLJg$fm%=kd1<gLCp*Dca9JDbP4fb2!XRe0SOfZ zGlN4MgF+ZQp-ILQnqoZTkrNCG50VW0<53d_1G)f2S+H|_K~a8kYH@L9Ub;(u9ysyk z<R_*CXJn>96J}<KV@gU<YH=|r5oYEk7ol)7OM**tK{8-n2&R8&NkM4|gD1NA{_$Xi zVB`JcGxHFpgLnwTK|F}rP#vYY3=kI7WdC?Klnep&P*8kwX;D#XUP*9CVo54Pa8P_+ zY6X-NW0RbpS6pIXr@#PZ8rmr^xcj+)$|Xn$$9p=3y1{}Q%!zjjb#rF`1u?>LC_Jz# zumXf%KnmbIWCftWf=C9J<{~5tV3{8#1PUamM?JwI2H_w}L!t;G3udE8mgX`*r4aUj zOB;wPAB+SM?+^01KW2QPCJ>0SVCVS6l$4;<;?kUw_{?}i1B3Xycmq%}KovH`E^LHd z*ciL833g#q?80W)h0U=GTVNNq#42ov-Is>geQAi@mxkDVX^7pIhS+^+h~1Zl*nMe; z-Is>geQAW<mqyrqX@uREM%aC6gw>ZuSRG=F)g8uIonegC6~<T{VT{!c##jT|7;88i zV-03wtf6d-)o&(P{bqvIZzfp%W`fmkCRqJug4J&(Sp8;#)o&(P{bqvIZ>CuNW{TBs zrda)Eiq&tXSp8;-)o-R){bq{QZ>CuNW{TBsW?21ZhShIoSp8;()o*54{bq*MZ)RBi zW`@;oW?21ZhShK8Sp8;>)o<ol{br8UZ{}G2W{%Zw=2-n^j@57GSp8;>)o&J9{bqsH zZx&enW`Wgj7Fhjefz@voSp8;!)o&J9{bqsHZ<bj7W{K5rmRS8}iPdkGSp8;+)o+$q z{bq^PZ<bj7W{Jgbd7y>`YNG-qWQZwbgehc<DP)2vWQs0ij@F9Fi#Nxx&m6-#a}3+e zF)XvhFvk+Z97_yyEHTZ&aI>Wux-TrvF+?mdL@YrfxurQJ{-7>#UWySzOmGldzaiQ< zHa;^RoN5%XNXHkYrbinZg4BT18xd*@iBMxigc@VqYD{77!DAO(4IaDTYH-^H4j%ko zhbzJD8n_bNj)5z|?G|&Gw+(Ox4O|Isx4@O)b_-mIu>z=rRg{{T0_zikQV<e9+5pW> z$a3h(23gJsk3M)%K>dlV4=jh2T#G>+&7jou%;J*NqG*FyNXVdwg3~Z=8ACi$hB(bL zG{P-qj9bbCx0ESvDV#F69f8{oxSfF81!g#1V2)G90;h~+EI3&em*#>?oZ`~lXk&O* zG*DnDh&PDO%ws5sHv~}(`WgATsm1y^MkaZg$@wMv1&JjY`sJC$8Tus!x@8$DIl8&2 zsrsR=x_ODYsUR`X$VgGDZfZ$sN@ikSiGFcWvVKNNjy_^YRIe-}g+afdC_hWTBB?Yp zC#6{5($dmW&mi8@FC^Z;#K1J(z{12pKQphmBrzwaSU)i*C%zyju_P_OD7RQYEVC>z zB_FCqKP9mwQ6J<EutmisDe*=I1{V6oC5d?{iA5>&u&X4sq9ik)hBjrUrl!KYl@gzm zpPrc<Z)j*{0<toPMj?sIPTi#ZluG(ooL8EgT9la_Ut9u?M%w!n$wFjrQY!~Q2m3*R zN4;!?G$0Q#7?Q7&^D|P4OA^!44E0MA3-VJcOZ6j)Gjk)7^Me!fQj+p3z}Y3WqC~%< zI5W2_wJ0+!GZ~zZ;tLX!vlG)(i}iCeD^gS9a}x8?<I8eNDhpB}7EmiVP$mcT$|w&K zu+JS!Q!?`dit>~7iZgTdi!*cM4fT^!GZM?d9@PiU#(?Yst1U{&gp?o7KE?W`2IeNF zW(E)eP=Ms*r-K8jG%vF_Gq+gZ-Oq(gouDyJGPQyROv%&=8gV33t50Z%F_~Ijf&)w} zTn)+8?GqYeM7~xN^0k_gsns_$!p(vLz2;=<b#rlcB~z=jV^B~e1wmy>roCa#&U$6w zz1g6-Ru~4&*?}-<&m#zf)|!E^9wP$-2rpt}U;trhCI$u&2Aw|w!c5Ez3?LlN%)kJ` z8<-gwKv<B4fdPcGSQr>U_!<iX0|*PSGBAL!H!A}J2)D5^Fn};<Un>azVP#+dVJkKU z1`sY~V_*Q`4QvbyApDw*fdPco*%=r>IGLS+0fgtVGcbVgZFU9*5EkQLU;tr||3LnN z(I7TxeFcn9Dh<*LS~CRG2U?E<T9X1=*8p1c0~4n=4LZ*dbOr$EyhhL-c+kFm5F515 z-j0ER0kp^4lYxN&w09b`&l$9L7_@g6v?mv|e-^YS6|^T5wAT}~FB7yc60|1}wAT-` zhYz$j547hFw3iOFM{XG-1H&;^$a$ck^Cdv%Mu5&y0?q$|&SU_cX9PM!0d)Ql%x$2v z5<%_)xd-G%(EfWz(Afk~_ks47gZ7Mr+y~lU4ca#i+7AtKD=6Mz7<r9H0d##%1(Zf! z_XAUpja~p+s0KP$7)qn-L+8WHSOHqJ#=yX^14^T-1EmF+T4Z_$XaO4o1H%C*jjj*b zPssWXfEKecFfd$z(&+j?=@D5yjNJfQ{Kde)&;g~<^+C6L!<55m0qCAk2`G*3ALtfh zxOy1l0#yACD2=WUDhyK&r#ql~4ktiqbbU}^xOy1F1G<kb07|3lg9^ix!)XraekTDa zjjj(W3|9|hoPbJPfYRvt(AOiu^mRZbCO~O)eV{c<Fty0E160BTN~7z8F0Dt_0%re! zss|q&4L!>XECD5;%eA3A1hoNb;0`E_ZXf!39GK1wsDTAg8eJb~Z4pc@GOYkLPy<S% z>w_)@Mb-jlcN9TV`~)bCt`E8t5v&%Oa43f8^MKOm`k=)JvKBD=2UI;n2}D1-K4|d) zR*Ot*fU4gCrP1|4iw|TiVD=4Ycszj8==z|=2UsmKF##ITGoUoOK4|fQtOd;WfCh8` zlt$MFs_VcC(Ftfkb3kcyeW10n=vqMh9Z>ZLpftKZP#p?VhmJE!A>m&DrP1|)*3hEs z0r3^eAo?_*G`c=e{S8uwj&DHKKY-Hc`k=)sx;BOh<q-R3KxuS+pmqX=CI$uu59lE_ z0Z<xUANu-ExEYlY`#7L9x<1g_P`Fwo#tx|Z15g@WA80Kul5#k+pbBDN1(ZhD2U?d4 zSB%8asD|h>fYRvt(AV|Bv_62Ue*vY@^?}w3!qg(uGio6AEr8PK`aowDA*+Y618O1q zBA_(7KG2y=Ftx}uM;%0;0F*}82Ri2mSv`#X;sCTlfeIk&1F=Ep4nd_*s1F46fzC-o z(E#QAAfOL)wi#3@Ds>RQJ3;51p=yBe(A#I|?HtgVXAqUBBzpS{T_5NyY*ZZ(9(wx> zT_32e3Q>tlqPNe`^?};As5&4#^!6FLK2Vz&q7s!vZ=a#-1GS@3bwGF-&;q0YN~7xo zonr@4iAokg3y=yZjjj*WW=GWl;YC0TkOU}=t`F4Chp0p)9iRn>2b4zF2kIN3>VWVx zpaqBllt$MF>Q6vaqLKp80z?8zqw52myN0R*!utVL58hmWbk71r7IOYRjEzjAr)y~S z30DH@i@`Za4D@u3t`F2lLQ)QAqNh)EeV~37Trm;@J-wjo1N9M+l*5_m=>=UMs9y<J zjKn}sFX;L}eLp1Sa3*?sLDvWBFTxcgG0@Wsx;{`J6G=IoiJo52^?~}OaK%Ur^z?$R z&k0E_G7~+&qw8}<R*b}c0IlF&KxuS+pfll+l*5@PpcUK&D2=WUbUqwhF%n|~w1T?; zrJ>C<xC+oX0-S@yXn<C59Z(uwAE<ASq#Vw)fL3r0P#RqyXgmO}7>OaE2dR%Fpfs{R zP+u1`)`6rP&inwi=LeKV)(27q8Xp1C=y(ORLfrtR(e;7OnM2nD;&&K9+&KYCBkKdX z6ExNVQit9jPk>g$8BiKoA4olDd;~<J;}y`uRW?9rWPKp}Kw~N(J&@K4hy}qC&<b1u zN+at7sQ`_`fM|4_VGQwK0hC782eJ<~c7r}{Z~<!14JeJQ4`c`EygCq#j$i0Q?E3(v zk@bP>1D#z5(gSKcfoK@+fHpKHKxt%sAT^+|Fc6K7HB2G)89-@deIWZl<7Xf}=;IU) z&<2VJlt$JEQV%-c4n(8l69y3bE<kByeIWZlV|5@s==IwVs67nO1`e`5kb2O$cOV)a zdze7%3xLwd`at%9#)3h5(8q5!K<(K9rIGc4)Pv5$1JUUC12n(>fYQkNK=y&gAwhaT zY!D5@8PEn;0hC782T}t%Cl5rUV+CjfOan@z>jRychpq?2=P-waivW~H)(3JMXsi^Z z4x$Rgg5Voadmcb(WPKnNpz&1@jgC3YASMYwX=HsM`#|UFf%Kq{YfXSQh-N@(WPKp@ zps`#KjgCE_4Wa-jjjj)L-X6LhFdsCS3%a)pN~7xooxKNEheqsxsy_gw(e;7Go6)p@ zxdqUMR0Whq*9SV253CT4(113i44^c+KG6AmXj;JB2T=7dpftKZ&{=(8g=oYKXaj5k zlt$MFI=2r^3z!=KZGc5UX>@&{GyK2`(FhJ`155x)qw52W-J@v%a}Pk(pMcWn`at9T zV1;Nz1+?MT0Hx9Of#wL%w1Bw=(1x1@lt$MFnpXfTL?d26)qjA}==wl&5olV#+y&4E z-wG&=t`9VS0al1cL_iyS2~ZkcA85`4O$(SS0B!I|KxuS+pfdu&3ekuYQ1us}G`c>} zd4XtJz}yCCL$L!&qw52m9SBy4Mp!@_iVjd3T_5NiK{PF3?gyy)A5a=yALvX$utGFq z1+;;=0ZOCm1D!93rUlGRfHp8QpftKZ&{>0Eg=mBXw1KGrrP1|)&K*S40_I+Ts=ooH z(e;7OAOtH!BRZfB(+N--T_5N?LNqO4t^>4T>H($E^?}YN1S>=%en8cOC-9*6`5`L- zol}U+hp{(68?-y1G`fADGYesAk?9O*gSG%lqw52mUx=(8##VqfXf>cTx<1fZhA_3r z^bM%`2T&SaALv{|Wc4ui1ZYEe29!qE2Rh>rrWTp@fHs5!pftKZ(0PZ*>fvl?Lzn|f zqw52meF#^J#Ml8<e*j9O>jRyGh@>3OEPytkE1)#GKG2znaK%Ur4QK<}07|3l1D%hE zq#VwC09F42N~7xoos|ezjKr7$ZFnz$(&+j?=O!X4hcg494etmjjjj)Lh9X=s5`zQU z@D_m5==wnCDIzI{GY>%3pMcWn`aowZ!WAPiDxeMa1}KfL4|L8Vl5#lH0NP-;fYRvt zKxZz(6(ccTK-GVM(&+j?=Px2Dhcg#I8~Q7tG`c>}S&VSSNQ?+*Lq7pZqw52m%ZQ{L z&J=()^d+D)x<1eujc~<Cj1y4x7oaq{KG1oMNXp^N251MN14^Uo1D)LnSB%84fOZfZ zpftKZ&^eAs%HhlpQ1w5cG`c>}nT~M9NQ@QGj>QHjjjj)Lz9W)yI5Pp-vB-eZ==wls zJ;D_uF(jZJ3k4{Rt`BtXBa(7B^8!@;4JeJS4|E13Trm=(1KJ^(0Hx9OfzE?OQVwT2 zKszKJP#Rqy=xj*1VkE{7sCouy#{^v;=$uF-<#6T(sQMjH8eJdg%t*LmBt{0bBU1pS z(e;7Ok3>=qXDUEDG8#}CT_5NyNw{Jp#to?Y2T&SaALv|3B;|1C1ZW3n29!qE2RdUC zt{92o0qp<<KxuS+pz|h?lp~nX4iE>FM%T9pp%R6)1FHT2lt$MFI)@TP1C&z$?Ko9H zX>@&{Gby1;Q78>)$H@Rnqw52mPl=)d%6R}){{l**>jRxt2~~<h&46~e7C>oqeV}tI zQ8Yj~0niRt1e8YC2Rg$NsuYFdfOfb9pftKZ(0P_98lap5Q1vIEG`c>}*_KeHC{zWs zqt*bW(e;7OxkS+b<rqLaY8Fr$T_5PoOQ=#5>IGE&2PloM4|M(|iUufW0kng+0!pLn z1D%BlRf<AIKs$H|P#Rqy=v+(`4N#5%w1X!BrP1|)&d7u+MWIeW)n9<p==wnCWuj<+ zavGo=!wx8ot`Br}CR8a3WdZFNIzVZ3eV}tRQ8Yj~AE4@gKxuS+pffe0N>Qj4&<^DW zD2=WUbiO8v1}G;1+M&#V(&+j?XKg~2qEHgh4y6K=M%M>AcN0Yelyd>9{sxpr*9SU- z6RH%2>VS4cCqQX*eW3F=Q8Yj~4$zLM2b4zF2RfS*suYF#0aecc?U17D1D(@}q5;a; z09C&ON~7xoo!JRhib7>TJFo>%8eJdg{7w`NP>uq$1FHe0(e;7O@`Nfyp>9CcKY-Hc z`atJ;qG*6}CO|vBGoUoOKF}GTP^Bo82ejiG0Hx9OfzJCx(E#H>JH8xH8eJdg>`$0d zWO@fw{Q)SAt`Bq$D6)DOy8zl@u7J|$`ao;6VQP_S4QPki07|3l1Dy|wtRBXG09F42 zN~7xoofQgGi%idecC;5jX>@&{b3>8U!`K1Pj&=l;M%M>ALlmYKndX3Yv<09vx<1f( zqR8rD>;q8sC!jRCKG4~sFtx~Z1+;_S0Hx9OfzBC4Ru5wvKs)FbP#Rqy=*&@=T4eeK zRQ(4ijjj)L{wT6~7<&P<W4{7Qqw52mMG8}kOh-UF_6blLT_5ONQe^cowg9waF9D^| z^?}YPg{eiRPe9dQfYRvtK<AYrtB0{0paTLOP#Rqy=<HIMT4dS+Iw0TxrP1|)&M`$+ z4`Y9Ts{a9{(e;7OG=-@}rdL1*5;j0-bbX-nO_9~Z*a^^qgbXN+t`Bt9DNHRgEdd=! zP=M0t`atKNBCCh76`%tN8c-TtALtBJm|A3713Hjk0Hx9OfzCrkRu5wvKnD^mpftKZ z(AlUkwaByubRfY2N~7xoos){J9>)FvRsRD@qw52mnF>>jOs{|rKx}~0==wnCry{F| zu@j&J5E)P!T_5NyRhU|2Is-ZYQ2?dU^?}Y+MOF`E7eEIfDxfsFKF}GfFtx~Z1#|$S z0ZOCm1D&^utRBX0fDS-(KxuS+ptDzDYLV#<=m5k7D2=WUbPg-BdKh~GbO2%olt$MF zI+GQq7MY#_9e`K>rP1|0MOKW&UH~0{SOKNc^*uvUi_Dw>9Y|OJrP1|0M^=o)4uB3M zL_leDeJ_yIA~QLl0|^398eQK@WW`AA15ouRpftL^S4e7+nHA6hhz2N)uJ1LnVkEW! zbO6EvN~7z0gQOOj`2wo`1C&PB_ZC?(5_<u(gT4Yvqw9Nzq!yVO0quw;KxuS+?~xTF zu?3(VE(s`&uI~eqT4d%4Xoutilt$P05m_-3y8+tp?ts$h`aU74MP^z+8<-AI8eQLK zWW`AA56}kK4=9bU?+cPzWabKJLt_J!M%VWhSuqkj0a~GEKxuS+-;mTIGbNxEhXRyF z*Y_P+F%tU%v|zmfrP1~MKvIj$?0^;^6QDG@zMsg7k=PE<jN$>M(e?d8Qj5&|0ZT~G zgn_Q_H?m?R_6BHx?SRtg`u-rPMP_C|B`Tmay1u{2ijmkE9H7-I3=9QO8eQK%B(=y) h1x|=Q4JeJS??19)B=!xc`WH|dT^|F)SR@?~CID^^8+!l% diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem index 27ce65fd36b319fa07e3dac72478c40d7c93cc55..e406e470775a77dfece7c32f0bae1014f700966c 100644 GIT binary patch delta 2191 zcmZ2JnRThG5(64I;R+F00HtquZ8QvLo#?<nxr0@Jk!$iy*5maq{tz{$91yyK@olts zj&!Jqto432jVb;MpDvaPRAlrz<~R9*M@U14%ftqyi(5pUie#!M^*p(eX5%Sswzg%$ z4TX&ki-hD|n|QgGY*?f%pe^R{Mk};IVMFrqX`*vJr@i}8nWR4X#=IHF!uJ-xuYSMp zclCbB=T{Bt%h>;CIq>Rmsn-9MJM8gd)#R_Pdo#7|ZY~LYmC0S;dSp-1TAi=Em-aMj zd@Eah{JXc{D+T2#HO)1=@2`ca|MHak6%}$Wf>ot%;Tr$D*%Iv~(!1xF@9OgV@MWUK zg+)atSv5mnPN~`b%ysqW?U6H#^ncCfbXk+WhUtw{oW{?*nd0^AO2;<Ol}HwvV9~m1 z-@zTmS2rG%J7y^oJ;7j0d*Qq3AKx6k*~oc!_I7LURd2Q~d9yP3)9;G?dsVMLKgPW9 zJ^$g3ueDa|zTQ+g7hPm?*?mLC*AtU;>nFX<VZOQiQsLRh_kO%P%aXlI^Hp|ke$4Oq z=%?1L49tr9Q~oH<@n3k~(&}q{=e|_A$5Hox8{V5T@28&S`bRSFAID1U-*M%1^Yl)O z&l~1{+kItjTj2NEFA~aY>T8-dr!Snc>&W4@{ZET~KiLFrUN}ihql^9Nn@MlwSk7Cx zH8jrIo3c29oqutNxc;e{){4J(UcT{Ozt60Ao!_po3YInZ`fHcmzd5sbwpCYr@`T6r z`R^YeI98`xV<2?WMQDP7P^TT!jFkBQ2OdmFVq@r%l#%!{V__qsnP<7ghsO6_zp?|@ zp2-nP)N)*6%WBfPXwyqm*GV6Fo37+klt#@w%<d9$;f?E3pOp$fFU(Rp;j-x^_s^Yy zm7fp4cD`{S>fWLgE*m_TdVNfbOpR3CUKm|Jd0YLh1v&4`iw);iK0b6h-Qqs$mO0*6 zzllg^Z#pS|`Ums(1YhQ~x%-&1c<-KA*~`@3@H(MFy&$2&;^y@ohXq!#FFh$C=fU&c zd*y1)<L>8=OKI0Uuyg$usc`%^Yr+QqjWO%iSbaORX!b87(`kP5efFBz+S<;l+85!o z@>SNUjjN_@PO2Am3tczgNq*5AdnecQ9XuCj8B_-{tiHV7w`0$$g*r1g^yoZvs5t%C zBXi>4NYM`#+B}-)dcPju%XRYnVvVBbPkt_#`LSi!gUadeneWG{Tz^}#I`&uouS(JT zeocvSn;r6;Wl!n)<}mI3)O>%2>Hoh>lM?Nh2e|)qRVY7b@%PI1=k@!yfBY<Y|NM4` zpZ6|i+OPbtHDSSgzRl9n&nN%p{ruBxLb}iLzb%~%Uv>+;URcvLp~wFE{>>Zq94Ym$ zzyERNq}@}ZL$`3ty^WfG*6HPze`#gaU+11Ya8})R@0|N9y>_1ZdG`D9?~MnKwl#%* zT~c*EjxBF3Pt0}uIRaAWzu#`KxnExYRkpQGP@&@KgyPAfx6G#*{!ah9Q}WwqlP52q zAGdq^W#`J@l5e;-?A_Kozw-WmeTRJZV=tHgi+*@ZKl=IR2fu%czK}KieX>$*!^YnE zVl0;L?lbT+JwBuUmTO7fU90(@1(y8z?(k=e#OnET(wR2j{;`w6@z+n~3GdAcpH2Sk zlaMQ2Z@*Li;(2L@y4y!zsz0B3;Fww6ErBKT_cOm|I|dQx{q=L@{^uXQKe6N}y8D-v z=NsqS?<p5Ihi~~lZ*Ta_`*ZKk?GaB+<t^V8AM!WwY2VM6o{dGVKacu8G2H*GV)m0o zzqX&8cuX|>;V)6MlmE7uMe3jU+xYy1y~CgD3mo`z>a7(k_(hhknGg{<oog?D$DO*x z4?jk_F<IFC;O=Yu-*oxo$A>mMU){d=U(e>Yw0sKdea3}fKkL1+uw$RF<F`ZV+{RpW zme=mb+C^*L3+(vj{<qrU#(9244|{&+gNYX`{yy2KRPbc^+P{A|n!bFNkl7<|;%C2e zAB&d#_1~IbAMS~(cV_-u%hdPiRm7TqMf*6{xPO^`|KgYLEPr_8?~2CeF5rEx{XCXI z@cZO~efG^vzv{XE$+Pwr*A>`t&FOrx|3v%aA2Pf>k6l?lC}hkjESSf&=X#P%ytCkA zi*5!vre0&dbMcK|)e2ia|C6=4aNqo)#`|MOUg|$zeW2Lh<X-%b?X&7X_vx#KSZ#IK zsj&FPQ}ZN|onkSaSGML)uRD|Mu%A`V^#I=owhtmxYPo#ANgrc<VD+KsYMhkrjlP%X zQlIChvxTqPRD3Nd?`VkbyR4%|tMb-`oUmj)yj5>^ndDQaZ4q%BKHv07E4rhhs~^e7 zwZd#u-p-^eA(F1UQ&KkzPd;Sxw%&MhW}=@&l3DVT)2i1s#it5O3R=804i2`P?CJg7 zCu)h&%Z&@Zx*X_bzta-9F2e8J*6=k}Z-do)UoEnIFIA@bKBc&oDbF-y>Gd5m&iSyE zsWDj#3oDs3s-E_~8DrOfWs0mex9Vxv@L9`^+IB|7ZCaI9n6oG4`RR3$CwF!~Q{7#^ zD`VHxiBpd{6{eirbY|wui9vZMlkV<`Es@PWQZ${rY~7Ptq3ZcgKGI7AON`GvUA4Gm zcG${S=TgsvFI#@L+iX+a&6thhMXjGAs&Z;flIMl9Ue*^a$~(6ta_RKLC$;64^%S#* zR2wg^?aDp9=}2a+(Iuyiwwak}Nh#}MBHnh$=GH5gM(vk#+5K$U{=U7AdDDY(y))K7 z+V$6ACEwfQMLRphl`6w0g|lD1>RP(Gfc?91<r9J1<v%C2Gfmj8)p@Y?U|4=wmg4EP z0Xy$TWPjRuV1?kFhBYTy_SUHF;Cy-4%J-Y<<S$c08XpB->k|2JJG5C#ld~+X-t~gB zsrIYFH!r*!LKAnd3iO#=<S{QO&faxt=$+8C4+8(EtbVodO=av}uW#%Z6s;8B#^t`h zxs%7>u9S)Sk%bqdns+`f59^iK!EoTye736WSmxBGx<)%w-Ya{z|7UOtJ@F0zWZhHM literal 84391 zcmZQT10^+*U=a=|{opi&4;CCHMnhmU1V%$(Gz6#~0(1WV{|~}2gQZ~%C@rAQz`)J` z!C(IW{|^-&^pwY0Nd0k?3*yuY#<z0~J3?QI9#1d3{$^IMO5TJ7#Wk%0+}zA;JUbE& zG%$kc4n}7l34?@0#qL%x*l>s|J27#RCY#Ywk>H3334??KP6cHX6&#ybxW#)a|L^>K z+w%L_>f-m`pS?e``}NKb&uX6EdH?U-&wt-*o}YbT@P2i^uZZma_p|?N$q2gqm>XA6 z^l|Cu$&-bnQ*X-^C@IJN4vn|_cxS;!{`+g)AD%vDd?dd7-^Y2{y(il(e{4FE(mC1u zdDSn^L)X}4Vhvs#3c71wy}mB{$EJ@wg`b|^+q>(h<DBazZHr$g9?ACl{QvB+im#K- z#h(0sIDfs>|M%B8n{92)W%K^ZueGbs{u{D7=U*4!nIrkDq@R7yDi8QsR5i18y|AnJ zw~0#b%Rd;u-xcs;(Tk|pXXB#xlwW+Cd~dB;S?$gE>WPaL_Px12*(t`d-1NOhLB7o0 z9akEYOD>*V#Qi&C;^N>Rn+mlGGiBdTuXLX}>-dWkJ~fd^n~ns(uiER{>n6EayUKm_ z$NP3KcHfWQT9jXVdVAX$m37r$`}}8w?ew<$7`XP^in!YQYT}Q@K1UhsieO%IOmA<O zaCRl5)tB1ccR#&ani-|tzDO$B^xucy!ov5XXW1DVCf#*8@>)XMeeb<^)tKyO0$#~$ zjxoPVTH=17E;4o3X}#rozv_4*%+_lz*jkja?pQ?c?jZ3OA3rZ+-EPDGK3(^@xo`9L z%gZ`-&maE1;_07dnIGydnQVMoSz(`Nxj$2CkG+|1PNm#E`KWXGv)JC3`wB<TYyW<G z+UMEJ4*o8^d}P}5hqZT#>Mom9?9ZBY<CD#v_-%9UoABP#pQX0VhX1|0?sNXx%jC{3 zw3JFVmHS|~EPDOTjkn(4_Xx>;7~qq<=Gg1KexGF0D(}@xnJr9>$$ol4RVvvuE?@TE zl;?9_=R|Jkz8o@J=8AUM$2GT&Y~AP1Esb6#W2)`FH%YYm%J29o`^>LQtn<@3ot615 z%Jw|t>o?Kgg8bGeo4m66+EX$i_-SZ-=SiXG_q8Kq&KvbyZtr{eV$qMNo_eD%ho-4N zFqVy<HbbZId(|UbuVYURn}QQree<Vxzj?cN+<KaP&Ht^={EK=_?Ki<P{1<*(7sg$d zI4AKW_KMaqjrWVb_nz4u)UeBi9~8-<_y649_-L<{?k`_Wi$&MpD@6Tf<_mvtT4=JW zT|#_T_g1?HJqP!_$+{Q&R(*DqxM%*Os%xF!RF7WV%oDxT|K973`o|Z}=MnZ)T2)}L z8&oW@wo2nsZQ8Tiyl1|%D~;3ct(j?_{_OAFGr!wse)l(iAD?z_|JgnNXH@<-{d~{x zFZ=dOLG_jEC%FG#Ui=Vj=Y!uX*Zr%RR(YKLj={5^#=IcEeq1y8Q2voc9ggmYUd*am z?2&rW^>4oET1mc=KUbt(gYq>UVi$1LUE}Nu5sNK~zxMTn)k&*Pt_}Vj57Xx^@JPL= z`r9+Mf6e4IlUFeOZjpd0+%*5n2vw-DV)D9wvD?n<-X|LN<%YV?q4QRb6HhHVE%9aY zn)kmJM_zoq(~#5twX~~Nw_fD^QrmkCRu`4?Yg6Wia4ASs?O`mP^xoR$i`cYX30lyw z{8YPq-Es4ceqS2dYhF0Muk8P_@AInf4<A<bRt4n8^v5sw?orwASi9c)-itf=Q+w_O zEbH2|=}+z6Mb<&`yH>n7^pBPQ<IyR6^|~#S#UuU{u225-cKeGD)2}2hl>7Im?S;eL zApReZ<BmU>S-JQ?LbspR^Fx#5c;0k(t^D^hRdLRq1JI=NK2-d!V4t4WE(5jAha!Al zesEQsv&Z2vC|%tXm3<c6-da^L#Y*Re!Nza%s{@wU{Pq?Xjg>He$(3w)RX$Gi&`EBS zeL9Abk78f)|M!*^d-d?C)QbhPE_l1YFH^47n^X5B{%HSS?(cG6Tu)!$cO&kGJljT* z=Iw{i+|GRVR_n>e{gLKi?T;_9pJ<o)nA(|o&-=XZ*VWfou>UHut$Wq0G}U$b*9*Jf z7k}-NGq244ex*F1w$@ip<{8-i>*_8||L*Z#dG%TAXJW^N0^cj|=Kh_Pa>Q_tZQ8v! z<NWzEx8FZwo$yT7<TKyQ%J#E+l+*478o!@0v;58(>%?bsO+NdHetL2Iix#->-hFAi zzxXSG>r%(Ryfsd{7is){&dl<AXLct%i#7SIH?wm3**)56_d<=|&zf0&_ss6ZXLn6L z=b`Ff&Q;ox-JAUD+m@N;$<NNtsw_^smuvjKZ)W-RGrQBD-8KI#H=~mO?4C;F_Zw$^ zKXRrz>cnFC%d0=6cAgY^?{&W}Y=v`7w#?=2^JiD;pV>1#?cS5yfvp#hzu128%<YV4 zxkjJOW>-d^*|Rq7o|$p}?wRm_yx4zpCb;M-Uz-13?~39&)4rG1)o1qXO}l4joWFl& z`GGUm8P8;mKJ(44bU(9aaoRmY<M%semLED}o%w98){~3<FGFGa=R@`1PCv6}bJ{&i z<M(@JmLEK`JL6fb(PzEcmEmXhtWLXUYW#lJ%<{u$c4t1ji>lvW6dHz^#`(w2%r^b} z?986qY4>D}->;ile*Vnv{AacXpXFv%eoniWZ2Z1+=JzcV-0hczgCq3b)O~-~1hntC zX0a^a-u!dij7t5pdpvD34mZ|GpV`x!c2CVXfAh@kN6*~OdX{VadE4wt>oa@irrlfT zB=>iQZkN8d&$JyM=KlEm)=BnJ)Z-cZHXlE8JNwyN<Imq_SF)ek)0}os(fIv_ndL{$ zSZ6(xHU8W;yHfqkp2=zVR)P$OYlRpP`{MR;ZfHbG8oysNv;5o{>-=Z22A}n2RZc&% z=XBaVQRDZkW|p5lvpetEU4zegvnsc9RenjX5(TH`L*)zeDG3F=8u4G>HakJQ@b}J{ z>cnSzO+KrcyNJ5UFTT%aoWFSH_LFCB=RC_b{Jd>e<?S<j-lpB-GtOT=bNlKE?)GWv zk3ji%-M0&^`}XdJgy#D<hM&L9s{DOs&)>9rjK=R5%q%}~#yaPjtl{UrS(V4n?0KAa zkJI@5l9}bJP-3HY7es&le8bP@W>r2vv*&Z#JyzrQi)NOeJhMCJS*+pbb+an3pV{*| z?H;f3`(-oBK`ubVrfn!RMK3?QN76X|+L_tLpYvu{mY><PJMEsW@%w!<zaKbLo$>7K z?8^SLd(_kJT}DL2kN=DhIWK<R&4Xyn`u51Uiykj;e(a!dFhb?V&kg1W73^3xF)^`d z>}FySROn!E{2>sbQo|9jp{b>a(OTeuySI#mVx*IYOf7Tar%vXW8pjxcPlk^KW_l=0 z<na0X@@M6L<J-oucVjn)?p-ylDsFH1`hQ>R*T;Vk`F`e&+^rioER1e9-?(w-hJ}vg z?z=m7=H}elA!x02PyfTsipm@R3$y>s2%Y!fud(61{lbs`svF)*TfcahP4koY*N#-I z_vk5iPug=`<=Ad*!+Rf(RD4%IR;^%oPg>~lUQ5G!x2ql%N<KZ~JpG7T=ih*g`Lo_` zYX2OxJnah`->0jIOTJx^zTUn6`<dfLau00hOT2GAQt{ub=X+App8smcc0b*g>b_?F z+{e`xhWFlfethTCQ*L5-?{4SEcb+}vN{08EJ3ro=A@N?f^W(jF67Lrtsd(?z^ZnkD z3V!utza5hHfT)b5J^m`keupIOIj?r?w^Py{ef49%vy%3#S3mYUENPFr@MGJl67PT8 zevD|}9OVRZW$f$vwNtlbb5BZ3eo?`C+|a6ROIfqC`YshS&o__OKKGq;>(HOQVT&Gc z)Yi4J>3<WI`EyZqqi2%%{ou{9-yZIfKC<z9^ammF+!vF2msTFt`*%xo(!xK*AM9+; z&lZ@)U>(<4AHMB+?=R8Yr>#B|mEWH<+u_Nd;3q%#p14u8qtb5ua|Hp*<)3RzihTIY zpI6$jH=eMZ`~2t$L(4mJp6|8fa5?wVM!7wuuz22ib~gVr5el0pWX-%%xvfMzxoF<> z?!>LrJ3h}jRr>GGJ%g@|6?W_U5{@qVTynrd=v#VvMD6_3|DWkikZQPF`tnohZa0DB z&z_}SIc{>+s_gT#+D3zC>GN1Oe0=n9`l0l*hwROaHIJ2_xfN}E)BId_`T6r<Nps%w zY}{L&GRJ@ax<3~8g_COTpRhPDqiuigd%MJT_hUa#eEjtI4yR#VLCT!v?=|hu@wZCY z8yTHT{yt6qxw7K1{@$MA$NN4#{!`KMakBE`nmndLJE^2UUrt!8zZd`S;~$@nkHyN5 z|J?2T^teXn$d98F3*)RJ>*mCB8P=ty%n{#qs{gZ3$H$Ko3+L4?_+#;P%>!xW$2D&b zetP^Tt>fcu<;Qm_YkwBjsUP`qcVgkbmmxn3=kXeT(y7Z9%U|=rPPo3rGiTBb{?=_q zPGL<~uAg0OULd1fwZXEfnKM>sw#v6t)!%1S)+OD3A_!5Ly)S#;Ub(EfKO#3(&wH|i zZyra;n_s@g^BxHGezrc5`?fpp)%DF+o>jgwv1{MCPr}8~>ikC6Of8$8;S!VFx&lpC zwI1cKZd&ngi{YA!v%+&G-S}!(YVzicw2JS}(!2*NUd=u=Uw%=Yz5KK4(--%gJC(R~ zdgtdWKR+Bf!@9HFMXvj^%;AQlklt4{7T1M)ex*o&)1U5ZGmRa;FPT|LT`>#z`^#b7 z+I-E7Ha`2hXvt^&b1zjM>pS`IxlQ~jM};E4=ankpG_>;P&VP!<1zEE%SN>aicA>!R z&pItZ+Pu#zH(p)Lv-tC$QpqV%krwCYhV>iuocqk48jzLx&?Z0stH$9MHm4J=oI6w* zmshTN=#|a!J^7pTrd+A|UUU9MM7<FYDAdZ<mT$ei$K>g?osEl4*M2+d`*+i4o0N_F zMeg?B{~F}6^+UltYu&#~XUuudy>vp>!-qCk&;Am;X{6GfIWb*KNN}>>#OHo4F*{>y z<_ABVsU2?kxyr6y(pX_~|K~F<idnZbKfU?wW2+_DImc#xx^Ut;=3|v{QihD(zH1$~ z-m6`vF812LZJ~G4<Rj;zrdKvEdHK%!_JfRo+YVo^Ki}<r`TFj|uXD7%*ZY6%EBen? zVYj?!ezES7_OBL2|Bbd)shxkGAHF;7ZRxzo&Jv$@Hp_$N%POY6{Z?~t`qY!JPR@Ov zb$-v@;3tvy`;T4sS@rnbtiYhxcjdn>IreLE;)_W)R5o7!nm1Y0zAoH0uyyO)=j*v1 zKP#E@JbUlr4ck831WA}Le{6F%F6wq=R_(DWnMkhq=PU0&p1RBOe2`_=^v^cI27%8l zr~YQI>kdnbvYr3jTgBq>6PxuuEziHXRM^=xJ%3d7{kZMMh~q}!aQ?RIUhbwx&ku*J zYd-&zQFqPTpE^CaWMrT3G`=1@?UBvtB(w9!D*q{!Y&_?BuKM}qIq7y{ca5#jU%vb9 zrp=t^KXY_;sh7=*hVcB%#O?}PpI=|PJN<LPyqg{_K5uN!yZ?AzR5&l$LT6oh{Wam9 zQk}yWK&9IH*LT&lSN6?+ULl+N{O1KLHIJ5;Hs{q2#jy8$j$yCU@_GKVo#E6k!I@d} zHd>r3*81KX{L}9K`QvhPO#9|PD*XRg>a(HPt<OKNZ%oLRd2h44Xy5xahVsb^ZRbxv z*0i>8&*wjXIx5Ak@3w#2dDLneBwVxiu71vDrVq|0Z@d!U%P#x3@^9YTN8l1|uc-5? z){f6L+m`MZnf!Uqsp6Br{@(a__3`n)Zz^_dRkS#-e%<VJMZ$ASx!hWgbCdU%?%H>= zP1*YVLygz+mag;8ud&x{PXAEzj>j$Xzr%COXTMG^3eLW$ocQ0<|J53M$u_-CU!mRC z_RgAI*}Oz^`#D~j;~yMf&A;{jTHSj_k!#<qeg`MsnbY^Vq&hSAdF5J@qGQJ^U;Wqk zSR<afE^_v{&mY(Lg!#X-(O+M7_SS2g^(m>Fs*0ZP+<eP4d;RA>#bIiz)ywCFhk;XF z{pnLFU!R=&d@Jkvn!V?YwI}wS4E~t1QFG<}wXrkK-v0jI#;%re30p(y$|pRZ{xey> zj(c>Y|9|?*{rV^FuenteB$!+AxC`vuN6KzDoy#~vv!1i{U3+({J@0{m95{1Y6q_{f zIs13ltp^!460ym*ejQxM50dz%`I+y3+1IpnPUqOJo;=yGu=a(UoMb=Puup3bJ&@pk zTC10kA;0Tj`$uq6@816J{O*s_G^?1^Px!vQ$Sf{%@L&&ExyP%SEW&J-Q@-;A-I$el zgH7LUF(^OkZF-dV=XI$oXV;w*%J0Bt_*>rJdTus1_wz3K`!~!=8|)z^+Sg0jRRw=! zzE>vQe((U|go^CvzQ$+#gY)J}Ta?bdx}>Ydqw3R!?N>ba_Ej&ky7ffR99)zypYwiu zo5hX}9$WpBhkFB3kv#siHgT?p#=Cu6c>N~)-sczdpteHu<g~syd-~bzR4h+4?z#Ox zKGb`~TD3>Zg+T#QcJ*ZE2I=>X@9i1$qjzs;&-sw3199FS&VzTSZ#&3Y6#YBscpqOu z0k|a-yGQ(0O2WSH`~Ac!_|JU*_xalWAMH64tXM8eANQU9PH_7B*~jO19-Mkatut0d z{GBpeoWFy}w)0ok@9n<S<2=1Y<&Ewi_G^|Mzt6`Xzx^sr;D0fr^Y06}=D*k&V=ozQ z)P8^K_@{N>g+KlbTc>-!+(h|toMm30pn1_fZkaXUzzkMCHhbA_{oLl@N^?ym`APFk z-k9Fs{k-G3`Ziy=kM5v$%-(0apWS}F@LK15)!olM_tu$LKHL@fddUr|i`T6enfIkL ze*L>D<I+Jx_4lpM^O~<UH~!yeum2@k=GNZ4%f-*CSKXf-aiV<h{g;JJ!TCInU=!CR zzqY#edZGJVpRa$5F8uC`pZv$BE4O&x!~T29_otel?4IyMJp9Y7e4|SqpC&Ed$A98^ zQ}evr?R)aG=i5ttlseuk2zLDI+Pa6Iw>Iwi{{QpVm7n5%#1sVV<Ngs-Advsc@2W-o z#JIV4a|=GWfUJxy-S=VVvnM~UpJ)Ah;#+nwdk?scw)g43bffRz|IL%VHFdlEgFdt4 z@{lx<JvU{)|GKYlTW?-mmRAUltG(CC*XFNpk5aFj_GX^?zj@a01(z4@d)OQI+1E|V zGcIf2^Us9>uTvftA6)o7`}e<{4;X*etX;SInZB*I={j$Vh4M!}Us}KS>%U3La_TF& z_gu`LJ7J>RB=PlIEBh^YW%gXm-gnYN`K0&R?=^OONppSvTJE@>k>TBYujtxOr+dr) z?Ot{A<MqutZ*MtW<aspz@pn(|J?wYcpFVi2o|dtH)5)4U+RtCUi8#ue^|45%bg}m? z>$0a&@j5NPFIep?G&&>tZJ~6H1T<T8Z~nOMd%jn|6F0d+a1_^``nm4wTlX#Tvmc+= zfaHl7_29kpuKfDu_2&ArJjqs29<5&c{_gjf^Zq%rZ5}~P`c#{K<85u-1*@?81~UJ2 z569X)TE<(qx<Wt3|J8f*Syx>5PKuv%vHD5%s{5zc@3DL#IBC}b(Y@C=B{NkjKW1Or z<35Lt@7@d3`zcE%K6$#}>F;{qcS(snoQN(A?+TGnk>mF-*Yt@-ao%KqvS`8VNz*2^ zO=_FO=Oq;-73CFWm8k)SFP1G_x@74O9aq^YCR0qdm~1Hty6kmQL*qs9?=4&O=Pmi0 zU;W?m_k*|RzE$l`w=I5H`0d=inSbwp-u?XC%*xH>+FFaI7H`{N`ubSW?2UKo1mnVQ z*>9FzFPpqrOisO~XT|Tf+aE3N9NV?=PMXg4<GYOS9NMS(#Psip+6eP$w|ky`yK#Tx z{=<(I<<uW)Sjww^w3+dd`+0B0oEH-6rlB|go(V7Zo8@5{zo>7zTiNter%s7I|Gw^b z=;Qi(tNwhq2`jI;7BV+8C@`_FeyZ&qE|a*_RJAwTR<!+1tiHzG(Vlk4yzA-5*RSFi z*8G{Dd;D$t=N~`6h)?!6RoS;>N`JMN-F(vtJNz`~e|cH;Ia5^i-D~6hYuk^kUHbU4 ziP!%dZJ+xm&VC!Yts|{cW?P!={XNIdx9CdW-IHcq+nVQh_Dz+a_x>4^bCw9_%DO); z{9*Qb=bu>b?JM2%Z2q+_+kN5l_V4QMImb%Bc<b$-ZENAtH8DQ-Fniv1BjJgh>EGLT zyS|m*%M^X-	FQC%yUS@n|!%P<hl$PAjWLs{Cf@w(<X8h~Aj=>ErnT&t>d^U&W2< z${Zi%X&XDbyKi~JKYMeWUd^5TPd?vd?yR2r$#ec%^Z9J&>%TibT6pQB=4_iwJ334f zPrH?`wV4!bynN4`X`dgqPIG_ursRe1xB0Up&X?A$c>4aoX}-S2|J*sf)?IbR$99Gr ze!747$AQIW8-z+qTu%SnVEKCIj~V@&<kNFJKWi`Nw4M9XG34)^)e<%LnkV-~wyVB) z(J}3BV)3=pt^M(?{^?Z$q4wXk*ycY@D0b46zrJw!f8+UV=hxdY&DQrmzC7oX$YZ6~ zYRMo+eXjl1@Z?B%`_mes=iwJ0Z=bf`dsaN_^t8un=WCvuzYFd8d^ntA*%sb4F0zj| ztULRL|2`-@oC;$u{W+EGhp;LC;TriVp9=LBFMs;!r(n(x35TXefr~|ZHd?-ZDrEof z>8GE6nC$jz^~!Hg-TTv|;@@xS8zE6MRXgTyI;nhCVB2AfbM=;qZd>Mr-<<O`=5vno zvx!$Go)1#Ly)jPfZtJ~xo~WzU|2n@!xYo{lpUKj>=j^lvi<P53B@|yP{<u=~_|miW z>!+PRekUnAEp?i;eBb1nX^%VJM(8KR9KG+q=(AG9uj#&#{eOM?Q*{0c%{#m)+GX`e zi=4AnZ}O{Dl3z~0asTGOj^my-euv}|<`hW3{%En#-Dp}}=kv)q^+z7N9JkQ?^2_w9 z-@mVc*&aE?jWP0~r_^UoIlo+2rAR_`(_x+b-p{7eo8k`CgkJj1eemn2pIh3G@P#ci zvx{^8S<`dG&+Dg!`HAP3C53itJbhf8aEsIG)gPZ#M=R<UXxpus9c1^e_e%-e1Q)xz ziW}R5>|V}(^7$oa=iVuwJZGL%4pBMgpYQQz@f810X+LXzIVIUItznv1k;~)RGyh+L zv4f`kd$HSv2W!}z9w{uXkx_GN`pLsKThZ(14>e0J(az7e13YAwP3f;*U1N7xv9Kk` zE;BBIW8QtYrn4GLYl61l?BjlBEG2YY<LTrlt@9J?k`JcM39@V4`GZ@u^XI_|k2wpb z^q23hu@hD-oD^i&x6^`OwDY5}q|kDWr;m4k`svepB-88Xjy)SwlRc9D_^{8G^!mBv z?Af&e6Hlb8shkTsrC!=zW4BqcurJ8Yv-q=Nh|00^F&=Z4Pw_8UUSs!Jv9K}7PA>n> zZHY+|_S^^4CI;D^i{19P>8DQH5mm3BKgv%(-z*|DUGwSVS@r8$x{mzJwNzOba7w+l zzs62mabuL`e3Ac#nm_(*mdd%N@pST@>t!(p^S4ge5vMu-f!)s<GlxfROKWUCpMM-M zafW<O(~+}YKP|qWe!iJiXuH<a$Da>!AACMPH(_(Eru=tCP#~5$Jn~ywbBX`d^Ud5s z<=Rgt8}T1+={oW!fnVia=qdG|6Kd>!D-`YzvSXCj5w7`X$zaAl_0vbWZL;ELo(rhR z1)e(ou(`hJrwr?C{wbe6s^9M8epbvQWFK<sc&&@weBlW{HU`<f)co|bhN*MD*3-#V zA$If6D|{?oS~IC;L*$2_&$&1JjMAK+u<PxP1iSkVkIKD%R`j2I{@GUL-;yc)$#Qo5 zqMhIG`#C+*Tw3$z_|wmpyq){CpFaN7E!}k9zP`b?H^}bgO;GU7X*{yo>*tbr6V5-E zQ87F9hatpOsbpQxr%BI)x24{V$TOaH`{(S0w7)gM3EsQ*6<w;?sTQ)rcz)fx(4MdE z(#^h8gX|7Y{!+p=$;Hn1;IpKqH8ypIXOB&Av76rDTfDSp&XhktG8xUnHJ(1USDo+g zy<umNru_AqN`nxUbNOozJd;{lbIJeo^J3i_G0Ug;XHBfJTYGR>Z;;)|^e3M)xy{~A z`NTPI>iOliH%bCd9XF2e;}h-t>FB=U<&r7=pXb!r%{{oRJIL;z(a)M)O}^$!YwkRM z`uQcFneCKMp8FN%`}=Qrxpazu*3ufgy$6@|2ictr{q*w->+CwOpDb5D{rti{o6+lM zh5o7M#sWEPnol1WBuZEJYJL1wVsL{e@YHeh?%OS0E_Tib(`E$Oy|emR)7E^JV`<H$ z7zwWrf6Rn(+%%s~{`B<t`HT&ci>LTc;x6axo4+x^*iUo*0iAoIT0)<jKPDJEYRbP) z{aK^dbXH|)jm+j9Q7b%p=ATS3cGZ;sFZ#1)S<_jQr8O~nJ{icl;!(>&rHSW#Hq2Z) z#s5|4&ziL6vocF-CZ&Bm5in80zW89;ydb-S*`I!%VV}+F^|K<T;_9&pM}9<dm~~J2 zR2Vm1-oE=_T4#{mKj}|Dby#Podi~syR(L01qQ!n)_SvFdKbPE}az0)4Mu_&)$&U_Q zYWm!KHDR-x=KLqopB|^{-dGcUO1&c5Za#0q=0HvP^E&@zL0<7q*c_=T|6KNG&9;WK zVM}Xj^ycVW*xz6?yFK+&A^++A`LYR{gEi-W+5PF~8>ZQJy?!n^(=QFl5I5d{GQ_?b zyWa=WKpCQ5!lj_DfFXxZ>*-_J>GJmN2cC5V+40ryP)+*thqvU-oe$I5U~QtOck466 zQ)Spc{JpULx?6P4lN4q1w3iE)E_s^KHtE=&7>&$~ofnFhOkXTH*<^~zlrI;GKyn_= z`n|m-GMO2fFBU#H(70IF>{in5Z8M%Gt?u1+FaEhO^TD_J)u(?qR@dGAY;*r{-2YmO zee)9y4GlN$cvRr(os*MORR8{4>7U-)8x3pCy}$IlD9wJ^_l4)Zef^cQvqNvxEdAdw zV@<UAow8$p=0&C)yLj!4YqYUF&)>%LZU1bUuk&6rf6il*{9xX@69+CnsNH{T&&z*X zpZ&?OF4#WP{Cs}dUC#MgH)2G;7S@^M&$_;x&1~x;yF}gn{<1l*Tx<8t|4}QIa9QJ3 z^7YTZO%5)LyrQ1*;Cp7H@9Gu(zm50%OXu8jtzDy5H$QvBPQ6!;{~vmPnQ^vXp#1Wg z_m^{<-Cbmt$i3g6Kc~vIc2E4z+FuF6;=DQwQ|_*4kDIw;%FRTmWAh({U;Gid<<I?( z=DU0EtIO%@D!mf^bL_n_lNskCyFBat{z^HMTx!?c|5-aJakA2@$Nvw$pUgFT;vzdg z_Wk}+IhR~(583~$HA*m!x^n#WlkX>6e7CLW|0}-#yu^(j(XX8Uo_&{OpZ#%>T^|4b z^DH-fM80nP_wf5nmf0s4+12UqKQD5_NAzo9QXbp8--oyUKU&D%{a)&-^~c&IzMLZ0 z+Cvd_^D{Th)O+>#=;z;O8qWFz%2!+LKd*D+N#`#X!Mgc3H_TLi^*HK}-Omj(wO=Kx ze)xT+`D{&~y#2)c>5MsIUB4{8fBLP{bT%n)zCq{x<qT%tF134p|EQgIC~fA7{<(+V z2XoARy2#E^YyWw!8*4<q7QTP}U9-t|<BI;N$KG$|GE-e-_fMm4{?!eU%C8<T`TToL z>shP7`3BAR(`|FiI(}8~fB2o#a#k%+-g@%=bmN?D9luy2|JX$*ZuY%${Oq&uH~D7E zF0#AU{KszThMStN9@`&zznR-?w`=X3!asJ^37f;OsBigM`|V)b{uTY^^X@O_F=KbB zebfH4mhaHB2`l_RaO^*yctb|;YoYqb-!iSfJ6H67)!6UPn$y?$>&N7uwS9-5wXEp> zEV18THOH^(S4;or-*cMIW(LaZx8HxxlXI@?*A4#<zvncctqh#6F#Y~>rX0PlUpxFi z{f=omn;IyeZMENDG-qAMuOG%gYF8x|hh8~e*>xY13LpB^&9}O7rTbTf|L5N(2bKk1 zIezZ(_sk~W%`5y3X5U}VYPNQf-8<tycDWle#lLR+^s{!|!DqWx^#9k{@6VoN<yt#u z=O4S+4KKyMaz6j~`^$l4;a84V_uXI4Z&rBXq^xeF`KyKDYU}%NCLU}4Yp>$_Z$9fE zyN??>JASd8|NOhB!MABe|LzI*)dkHw7uj9o{$qD?gQwuvkMU2wd$ykS2$Wyma9^F* z?Byc6hu(keBsWOvzIv?t5SI3gU}-PzAuR1F!qQ&cQ)t@zS5!A&bHhxnSC4o6v9sK8 zQuHfl{NwL4*=E07WEUs6|2)r)Ga_F%#y|f)lXbRD;QR$M?x&mOJnQ(iBmcwiCx_G4 zt>_Ou^xl}??6Yg_pR0fDxDz%9TsdAj`F^@!j#&4vmh2z3TnU?9t{kuKzMrm{Gp+O2 zjn_YFxe_<WTv3lW|9&%*nYL@~o$WtsyApzRUM1%~`5wtSTPRTezbq`Z<vjWx$vwMt zk=?_zy7^x>+*Ek=c<<@=n+45gyVSl}`^Ro};%3(?>K}g8Zab7Vdqw~5-uvm+Ib|Kc zBHn-eJ>^i^@)iC6?e?G7yYWWsYoXWA+HVKa*01pY!MOjt-HkWmUq6<8`aR{~vxXJ@ ze--zicf27Z^p(@@(RWLp*~*LT-pSR?U%Ww6{Od-$XWv&goHY!Tuh-vyzVOBzfv<&j z55KQ$IqMlX|3h%y{NN3iy00Ej{rG!M<JrhS`QOHM^NTlF>c0|}dGLKF!|d)wcJH45 zv74Sy9C_uq)sgqXth2Qj+5JnbgBE-H9(-Tf=(~1>e?`mv<$Pve7unsL{>P4YL#D{r zji-Os&Puqf^GZ16!|yEzmW5t9{<rJ?a$d8yi|noy!V10mv(Q5CIm>>3@tj?*wTHaw z=F8pq()Fw2{)gXR4yx&0ntxFJw8|&Rr0h?BXFN@-x&Gw8<<a-T{Ife&_<zvdf1cxp zO2@Aq%YW7iB~Dg(m0WxL{bZimB7yS%tLx^6+~^VcT6pf`?<EJ-Vy~$GX}qt_Y_|Bl z(ENJF2iKnPb8^6{r?;`$-7=v9a|=I9bZ%PIBzQEoXU0L%UX3H}DYY!dSq2kX7OP~a zIJ!SlUhu$u|2zMi@k+}7CbF`!vZ@LmTeY{i>{Z#W<-6aPl;xeb<=tGmdfDz>?<}8( zeJ?39e!TJauDO2h@i*UITbPrwZU5KLUE2?<{9U&(U!l&Ssp?*}T7KE?yP><|FW#8D z^tR)?MZc`)Mz`n5m;CJao3!@ioOyH3Wbb{!!df_oKmTxe_l&3`hV}{C-{N-1-;}8A zdKNx?{y&Ynx;{>GfAv%6Urhh;(^Bt_&14Px!|{)wr#tVc%=7%A`MSSCzSih<wv6G{ z8~V%jzrU$VO@5;J>U8Sy$F)yR{*rR{(fyWu_vd#_vDJ~u4?1cetakO$eRO1YMYL^z zdf8N;>zuzA9A>R;wp!??!*qc)>BCB4g-1FCiS{2qF7R6++|6)}b5v|-g+as=zgKnj z_dYDCQ@&8bJU{j8`{&=K-<>G0|GQ1){{PVF^Y3YY{QP-$!DshHe{%Lq+1uB1nb)hH zI{zT#&!0cKcm7P)u(w`+{QUX-ht9rr`FZnJ&;0rSTV@w7`t#*}&;0r49{Q!b#_d}B z{@<E^%-<JVFxB1syRuI1qVF50{qw87g#Gy=Bap|Ze(L;(l0SdGw9HoS{B*fmy{_(^ za9)`3)AZjyfBx7g+_9OWVc%T-`1$k1htDRu{JdGIUsu=1W!|rP>io5vKYmum?1=Q9 zGXEd@$IqMf?#OsgnSXKTkDrxZJ1pmE*dOM9{Cv6oog9@@{_mas{ISu#V>4gF{<iqz z=g(UTJ|`{u!(-PqfBy8A*^@gzZ7$ZVtBVuMi}Ri`f35$c=g+4UY@VrM|M&FA&!5{0 zK9?=})3ff#`SY0%pZP5M!(-n&e|}@Z=ek9IzN|ZT{(R)aXEBTZ<kZXA+dpre&E5IQ zc!!w1y?E<vZ;-*Zb#-=Pd3x%n&R-M%__^41$4{NWx?hKX{M@N^CkEu=@Q<I1V|V;C z3ao20fAl<E<IWwADf91{fBgJ;Yr*GOkipXS_U5g#-wQuYFEFmFs}snpixH~3lYjU6 zf6Le3f0@qS)N%i1c>KSAB~3G`o=Shp=9|6z&xxDW3i<c$E5DeyW_HDDKBkf&?`0?C z8}~(S7n0l2cwFjlO9gw<+IGSBH6I$de|H{cuPBK9RmG@xg|qaL_TleJmre@q-*oey z((89KFFpBu?uq^#--2TTrTwY$wWeF&KAqZlc4gt$ub<BeKjU2~{XcX<rx?HQKVQjw zvG<YhWhZaXx|>+xeU^Kj^^3ZI?7U6ys@~k$x&6wf?KjI!SJd^L3z}P=eeJrVb4rGN z^kkV|Sy{J*Z@Pq^$S$3>(%K{4_j<3DbMDki`;1BL-#?1}?Ae-Rbk>|_MRc_5)7)A0 z4@=o!`fSV-dVlJG=o^cpn}5_PF5VpST=vHC*-v+K#h00SUvzx!Er0KNRYUv&{TAj| ze3v3GmoEMn5S@Cjf7X+Q*JCaH!{gPi#vE?g#eDtvq1WqLXC7P{xaYZ&H=kMQ+k5rO z^N*FT@l9ZM+*thL%v>+ATRRT22?o?_<d-k4W6r&>;nW}h8D|#O)>g?~?eVmDUNGzW z`BjC!Yk!@-VlQ7m>8kzZIl?nOe`xsGZnuBNoK-h}vR|2RRlo51?uZ}Be}CWk|K_RD zmtVgpf6=Tp-5MJCedey_Yqgh4&s+c3`n*k8bYIlx_d+vw>DDgYz$kt;@$(e9h)v9& zR&CvV==yKwYP*BCcYT|1$MBPRZ27yBSuBC#&pMqSK0mX2ZH?)#|38?P?=n-|6MeZq z<naxs_0_8<ImG+shL%iPX}v<;H1_+R&+CoytuKnje}A{WS+pSY*RRGsS-G|!rmy%P zee=f3XMK&{mn)vnTjM5B+9)r>wf}6*-iDJMyl)Q&*X;WAXQ|lJXK%{+mRELPKRL-p zoxk?o<rklyX;s{2lUg&~w9-#?{W7y_bNipj=^d#SUtnvKk|20_$FEz*jn6NB`*xnK zN_o!b-5>wi_iw!$er<VaarQRHEBjfl$KPJ|Mx^+7dG@^%tFO(I*w=NMOJ~b`v%i%} z3-W%y4l7%=Gx<rp%iS_Zl|6PkJUeA>D*T9Q+IOHbI*I#O?lbGpl?omCGwT<BzshP5 z&ezPp^_#+vD&CW4cAL&u*KxemAN~2yrnRNp|Nb)z-tV#OSK9T7()xe??OFSO-Q`)o zU!Sqc&Az+#Ww+w|^ndI#drowlf;I#&FfddwzMb<r?~NHx%Vlmq?l11YJ?j2X&+^St zlQQ1db0YYMMY<S=%PpnFe_i^wWUJ@rufM+k{rdgk=5_n7b5|~YI_E=uJpVr@^}5>X z-`#h-g?}ouKi|o-=S9OF3H4ov`wRa!H)rqe`z^h5`m+=Nzim@3maMv!_~dTd(?a9r zrFZJ*sQIW*{LgnU^Uj-Pfpul?Wy-H`#c994wB!8_z3Ut6_N;pRFZZl~{r%Uil`gi* z`hV14pKLPUyiNQ4qIm`j*Rlot%ACBp=mTf@Yp&*5TSV{G2c3KMRkHh2TH>-fqQR2p zuN_P81jYojmVHc{Qn<Nb{o}ebcBu=$=fx^Y@NN#!kFlG+U-GANhSmoq<4<m<V;>&> zl^L68WE=hP?C0#*mml3q8h>9YYWh<iGk;~=jia~ibKc8rFMV1Nwah<3Zt>HEAOB>I zv~25{aed9%NXu_6yGvUgeQ$B)zdogMW%4_&{J4TW@s^F2g{uzS-DPypygalbI^1aM zuJs?bt>f`3y>$D;#KPU|U5_sor_axby{R<klgPbmQ#F??pDTLr+EmAvBF~PToOp59 zp&JKOv-)25{SL`_(mU<(!{61>_xZba1-wdG$n@#2LeoV9(RXXuwad%&>y1A}hsUir zpd8i4ZvW-N_n9+&&WBa#f6-o}&9XRA>rSM{8g1Si*((m+-gPPY%tfyEtxQmvwc5M~ zG(#Td#(G|mT6gI7`S<1w%L7`!2Y*$u^L`L|H~#0(zv2g-=KipZ7KvZ1TkySh!T*`t z-!q#sEWhAX8yzlmxGVZWUhK+-y8gh5=y0iq;1!3g-)67X?o2$YTd;jyh{OKl8hhZD zNKO&jx5?T_?!LLtoweGjw{HCvdF{nqz@>X-`**Bb=3euC?UfW!$bDz6w&;cThFtkz z^`P)%TiUYzO<&JHnIi%RQG@Act^I!a(uG$}I<Y=^{*c4%aLA6$av}4XH?G^G=K1jL z^M_KbbDc!*aUYEc2TMZTeS6oW1*S2r-<^K0g@hX1+2~<&<ox^PC-Zi+T79ftz?R=4 zw&S;*zyr~!*6r_^MGwfeXzaQCD>>FO!LoP<DE^r(zJlB<w54q|IR1IB%)T)3<Bz)Z zM|rU~1?Cik;@)yu`d-m{EBEb<w`A6ur(LjpT}RIMU7CB=YF9tWIu26dxYF%s@s$%V z{&HProW8<xo%OrmjT6nI`r6+=f0$P<_ip9uWyjBoUs<v?X8IcD*MDn1&r3OT_w4LU z9_g5O5#>R(_RorEzi;pV^)hP3{tQd;KR4ztu}YloT$cM}|KfeM_BG{RUhIbbE4Wn} z_Xn%T=`*gpFzdUWNx@EM|A-%Z<nPRv|Elp!@K>Y7k86|P?&7w)dTr15lZ~hO7pV8& ztY2Pxb$jim-|h#0_x%^n`Fn5v<WqrXlDEk4-~W~Sw{KU$+z(d`a|(VkUX!i&lfG<} zn<%%i+JoUg_sa*#-_-xr354Fr=l**3_L|d-3jbxTW_;VYwDA7M{@DH1KPuLiW#0K{ zUh}8CMxL{q?U~@Nc9ReLC-7D6J@P~>>iS8r3)v$b{_K7B@6QT%!~P4lM-Fc1^V5r9 zsJQUYWzXLKb}Ja4e((=b*LglY<G|Ct(&NA1pME*f8kCC_e#DjUdFK+l!|%r{39}t{ z7B=hLxL4nAxiVsg`wO|E1Katc*cYpDm42G>xBQp&)=PhX-f+n=6WTGME4T4`@c#5_ zpSe0F(_iljUM)QD#?@t0bSGafT4W*jPyAA0+`pe|-`#ppblgt$rB~*i@)un9pRc&5 z&uKVIXvy81(x8w|pVPQM`2ICfhpa8E?}9J)fy$a1$FSFb`U<1NK`At_{(|6~w*1&c zr+e!Sc&~VEQU7|#=&<5$Gf-ZyIKW)P$XgdP|K9orul=DF`d_mDSau|s__SJccYY@- zvsW+tb4?Unh+Lb>_CRFShi&UrGI+xu?hUAW&2=zi23I*euYuEUoW}mrR*((5OP4ZQ zv})~HtIZj8IJ824%KcqV22#ro-Q9JGVU8212xD3MP;1ZM|IFa>;P=WZq3>BAqpr)> zNn6z1XzKo7e}G%C!sYaZ2fufUs%=R<vL`L~+^LP~cmCSQfD7|Fxjdm)p5?9P+}~F{ zt~>XwWqB2-Bwcjq?#tyLwyk^9pe+|v5gpF9ROy+2qVnT1ev#vgKcBO^xa(8rqB@6% zxv>{3<GzM~RcOz9^%GQ-o<S{2+xw!!XMoDc&wqXu2lSgS;=Z#to^g*8D2IxGv+!E& z=1YvnULIC{{PEY!9sjTF`Xq1LB*OFwKG^$qZg$^eH=Y*tn+lv0<afKD2>kYMy4Ngg zy>7KH3E`Q_Co~z|%$KU&{r4llds~{F-IllWx1@S+w0?5`*z5Bp53cw3$4{!bQ9Das zxn9EN$_w6#TeW9|qc+<AGJLOp!!oMuUOms<in&`$pUq|L2k)5KAaAy5uB3E!a-#XI z8kwRG|K{=aRQ{iL<Z#}$`M=w{&N4=ueg0#--tfQX_QU@~@0{MVxx(Z5smk5!WOgmL zWvFwpaTY9klFr9-T~y#wRMYO)T@8B<-!64!hzYUY6B{n(#VW6>C${~1bnEWdT)x#Y zvzO@3?!2q`+bW~TagO4;FT(H6zU~ydzmG5Kp~dd*2k&+naa;Dj|M0C!l~H)N?7i}} z+V_Q||NZlt^LmovM(+=0wt_RtD~?C8-tL$z^X|spn}_~gH&lvC&)p!MyN%QGna#6b zcWzW3x4mC;|9j?yHzi<iR?l_XbS{gf{Q6X$f=%}yzAZhaa%JvA*?a4>xtGdL32qnb zzWsdRp}VE2T_0XQ;LE>0H9W2B%EQ=4w_l60{&1fD;N32zg7+WFVg(t*uY#mogATfP zce}0+H*zWHoN>Bv`f-EW8@*<)oxgo|_$R0FXpyk`{a}XP-%kH*V;&tWv%XVooA6$F z*Seaj6^E_w=ciU4PpZgrtPyaSTRGk0W9bFP%jXiCeowib_`mCq&c{hdolU~e-M;SF z{w|ZhL4NUBuL;SuGnfzGXHWI2ng8J3u0xOW*5=2Cvm9`p+L6CLoXgowWykJy1`Fh^ zKwe^cu;|vqw_i^=t$eWf=+UI=xi5A(U4D1BzZm5FP^Eo)<1~3BCxVP=sC!XTv3ngy zLw8pD_t@*&|Nhn$Zc`8{oOJlvhq;ONQ<jPF>m1J8QmOG|N4<o8&YSO=|Nj0{Kgjp~ zP5k}!u1_*`58iz(!dTh9{kkT^(p{SZw0>k1ZroA(Pv=f-c*d=L>o>LX6-pd56-|g{ zlmDG)e#=H=)BgB#Epd{mahw0<n7)2jFu%z9jeG8?ubTVCFSo~@5jIm;f8_L)+lT*& ze*RX)dhbf~55Ypl?g`<Kj(pE%^vvLVw@%xB=JwZJ3_Df`eSEtsNWw_z;N8+z#=jR{ z!lLN?o(W%TZ!=900V!5_9q<|^k$-(EB<_x0uQuCg-?J{Je%HDSTVlhvT~Opx-m#1+ z=k$YQ`!`DS3}rrf)%>>Nn9wrqf!))x8~Y#qOSj}t{W#&q)X(3lo?fth%lILAOC;x} z*IsI0PHB9e^)`KVWO@7U*IsO<H$h2dX3H+)J%_F57u3|JvOhbb*tGlgDVF<ITMpkY zT^jWGDc}3u*QQ2fCrW>v@T=M{{Ey!55Bsuhcb@%P^sx4N<@5f=4fk^<eqU&^bVugL zIm|P{x7<{EGWE6kb9Xk=o9Y$T_G*&zE$4ig{@J;J;o$9`iXXy4IqNO$SzfT@KW{$} z9jf~0=^udw!h7b*Gv$X)1*vQB`%qTLu_rY2Q2aT5Myr9<RGVJE_vB}@?I-&`$;f=I z3ARc3_-R3_#Dn)T_y5%!Bo(NXD)}vw3vT+md47J=-Hgk}e|{`K=+q|_@k+t;z4kn7 zne!fY+b=GE_BS=6<9cLtd-=Q<bLUm-7dl;iul?xBx;_8>98cQu_f+^STcY>IbvCbK zpM>U~_f>nR*t`8(vAVW^bE)Rh(CpKGH(29k8sGe?t^7N$$ox;G>zpZH%}w8HU%UVF z&zX787jyWEDyiIZ<F;4gH{|&wef8k&nD}Q6`!4TVb;i1zWA$0FLJ6<nuGwPeEgLEo z<r73VeSREMFSzIZtn0yve0t~jQx;rnQvW1zO=10$ud$EctovM6r=U?Db>!pCQpU20 z{4<zRo*#eu-1a$pQ_*r)8Ebnx#YXl|5-$|?2gX_3Ke#hj?Bjz!1$A#)PJaIXevYhq z_4}KaZ9iQ9Ht{`~T4h%Ho9Em4e|I)?SDHPmy<Yk!_W9e-NCqAMudsfuyme)xa|iqL z_Rq|Q8?XIaV-Z=serD~A>n^sRe`T>1NP2(x_G^{FAAMI)qB!VC*Ti%D!C(DJ!S{27 zS>WTy*DrPnb4sv23}ex+`Tx9>=Wye`j7brqQ$0An&sf!)eV%*ef!y)^Kfiwd^7;>7 z-v3|U{@h+)zi-aJ2d@ij>}_j*+}``=t?9Y-pFey!DE_AOyWRJv)pZ~D)xXJ}S9$04 z_kH$TpBdhleD|~Ud;ZzI*Xy@_zo%Y%=l8AeJFnmT-2HyHT^oyB<M-WVvGV>kcHb&1 zzMgO0`_AfK^v%!R>+XLo{<iM@Zo6*__k7-QzOc4N>1?uWf8P23i`TtQetfU;&hv$K zuO6Q}{^UpS{K?h-&!+#&d7o{+zijh!ar^VX{`V_3x<9yke|^K})qV%!zAAobEU)Fr zujcx;iocO>&uiz3+rb;cYdK0D#L6GKJ+Eo+E9V8w?|%v0`zG=xRGvw;;(GgsZOaqZ z{}Om{V7Fb%c3al!tL+Z#_r5Cbd8>J2wH=Gqhjsi9bNvs-eO0_LYV>G;4Dl3FzqFtK z@RkE>Rag*3Lt=I?sAvdbvH$VEetoV6`?`XiON;|OBoj}%RVV%0Gjmh*?%4moihq>; zIrTgL{`~)cemsBsf!+RJUDc1tpX=|Qz90Yiht>1=zyIsX-)G-{{_mNs{m*^%Z?okp z@4UAE{rleQceU^KzWI6b_rJaCE1wjuzyJH+m08DY(sw*pzZd^&#`~}H-|qeHDgS-n zx7v55-~K%Ly$+nK|E`L?ew=xJf34iSzr~5`clUh{`}Swa>+rvG_P+YPuD@18U+%l* zx_<v9m1`bfeEZY<)h@fTJKe`?<z6ke)3a0CUjNl{=PzsdpPTot|NG}w+Ij!Fd0+p_ zJ2Ukk*!_PW)4B7r8mh0hAAsa_kvs6Dz5D?@uUoTzhZiH7JK)8L-$8gWQpWWTUW^16 zz>AT&O^9M-)M&ew?V|w#s%VB-3Ssyw>soPOF+ZZLxOUNNHn#}t!~04V4}aU|n;v7` z$<cYvWko92^Cg+FnSaWbeX%%a|1a*(k3UQM+b`?Sulw}p-~G$S*U$O?{kcV){=9pC zU&j6WA~k(|ae2ja?r*!Q=l}j>yZ_U^{a=s2|0@4?-*>y0kMCXIcYg1=dGSB@#eXx6 z2bCee;AP0v?|-B1)AxU^y?)$oia9v{8(*@y_VLBHKlb4KFWvv!=8AjmogXt~?7v#> z{F+|(^!vAc-@acrtFC+ce)GRtQ<V=KdwzcxEvUP~0?PDu;0k^;(}P+(qeazdQ3Y)i z<1DIP&wulncZK_z>1l{nDs#`~$+(KNsuvp6H@5t*Z*fuhqbkXvV5YIHTqi>5sp<r! zjfw1g9K+TKeqf0EB%t$&BXZLhD<PxrCFd1Q7tfpby82j;&73~1?W>=MRQ}psns@z1 zr_IbS#|vdFb_=|XsbBf~_n(wcDVJj_yDAG3`pV`_We(@~75RIAwC1`6`(5AvcDZpP z_3obNV^fRw=|5{P-e5mrz5B|OF6Z{Y`~7TB&HNhuH~f3@e>YFy+IZmhKaT>n@ZXEu zUFE(Q3U1o(x^J8Pj5`xgd`+moA+LNor6}rk%A4%8xW~Vf{yviU#{O#N_wbgG4%U0= zrSUJGyC>Ba*#7u=@vsvAHF>3!`UP?~<y*EiMx2;FU*2gR*PgfhjoYoZOwj-B+z|I5 zx1M9q)rGA!H|3eWFy;Stez2BL_sQvh0t>_|Zp$;}mu4u&e`{yhd*Jpzfr{16+b!dN z#0m8O_^!I!=DbVMPLZT7rLuFxH_n~>ck`dW+Mn}`SIteo)>)a|bNk}6yO$~|{Pbr3 z_}P5@`(c|E>1ULati^vP<lfVje0yh4`_GBpuRYJV+q`fNN|v9OQy)+_?cdxjuQ@lb zJbuQ?Fn_Lbx<US=8U0Tl{kmJM$bV#-$nSOc|NY~<=9)HlN8NV5OXtp3&ye4_qwagn z3FeKe$M(J6{@Q$SNq#@}di#I-#N7t|75mP<mNxBi|Fiqvw$rD*m3OY$&8PRb>7@9N zeG&B*J%1akQtAbQ6vZlj|GzC}u|C@a9P0a_q0aqtlRe7|)_dRD4@ApGotXYt(Lw*i zHhY$PuP!+4d&AFI)wuny;)k$yu}`V>92dALiQrMIs26~{t-k!u_CLcbds;d!`j2h4 z__?{#fBqKjIq`GaU%Q=$_w(_6U|aEgw&a`o@7Jc+*h=Te-+%M>?%(A9A@B1g+x7G2 z{Mn~(J~{Ps*L^;#|I$vsJ^S{}+W+2r^Uj~9&wjP;@msFGe}9>8>ihhgyXL<8yRSbw z>v_yA{X6%c_M2DN+xz){|JP?$Q$JmQ-n+kdf2VBzIs4z=+bh?dKRkQ(-u-)jFR!jI zx4--5@7@2OQ@(#sC=W}R{P0{lNNRodzv>Ov|I{mgPrf~W{-1w8-yFJr!p?r0t^9KP zw`bpSKbzNX_&;X<hd(EZBDVMawmo;+PHDa3C#!Fh^Ud^QX7IdMKiT|P>0VSu#Y=X- zRzI0{=NrCQoYUifHudAZ_2E|kH=O_K*njTpVf(dm>o+F;Z!^rfbH4ZGd`{bFxBodW zZW{f1?sR_IMSJ<GZ9V^5FKkZzqTe=8cX7SummJBzrx&Kb{<1OR_>cWURol+|Sr3-c z1<AZ#n11@jeGXgcuZ5bWXKMcB>!!+YoZE1H^Vg}SGa$}6`L%>i(%{hzDY>_|4*tBj zeg7N%IOqDpy_@WpwJ*z^k?vD(7ngqe%zojjZ9Q`H5>CI62f3+#twr?m{^#d!{Cy;S zHfQEfd2WzHD_-0*s(RCN)2deI%f|2h`FA#@KHDz=a`H-$ll|N0=`MaA$6&blc^o5x z*m!yUgY$ctlG5tli3%835l2}6?fO%+zTw2r$0nsmuH1Dr{N1sq?ZoRf-8H#4pBug? zD2REjIOqJj>GS*VJ~<no{B`-nuQg#;q78DSkM*BDpDw8Mv;XB!9j7I7@yf*$)VID- z{v@TeUYUP|u+6lm-S%gm?0Ecm?u^B`ceS6^oPBop*2gEOD}J0k%REo{Q~UIabq^!n z^sg1S;}+Z*ckD}zm{J;uDElS)FJteVnf0>g4_9QbY<@jG>6>6B@5Jky|1D^@$h#-~ z!LvN)?XR%+>r?wbZ~S^Y%lI|-tZi#&#jm@r{pVo1O475xpEolnxt%}H*CA<ZZ>^B_ z<<Faj85hw7|5xtiDehVOJa_f<%O{xoQ-5>XR2Q6i<tALPcCX#_^1p93PMlr&$G_rC z-si+0KVRMX_wnsb?eMh1jrN&eDtdXp3MJo&us{CgeG4c7nS+wa)eD<Tf34t4e*b*$ zh0UqY>g_>-?4Yz`&jX6rKu`kA2JzQ}qHw=p)iyu=e<zz;=M+JFxRL!<W?aO#Jqy|% z-?HUCmwx^7*9q~T<UfQa#+(;~4_L%z_r!*Z?lZnzxg{Z5Ak#G=PxHbwJI(denra<1 zJ(lur*)l_cccJFdOJNQtdz6j4#6B<{v6oWztpC~3f5zTK%KJ*dA{MD+fu>~w&8)oJ zx*}FM#NN02ZC(D>_W8Ws)$iw4FWVHpDSiIl>)XFaJ->b5^7*`(md~eob;|B4{$8~E zWk{~y>JX#1i{1O5J#4(l8vNwp?W5-(9Y1`-W^zc6;9;|@Zwu4@TipD&p{PfDYigTH z+v6p9pSfb1=Wy|Eys@oCrDRJV|2pQ^cg^LR=cNY7#bwV-I(azw^a|d%6DJOrbM&dE z)Md<2Wx20eF-@Rm`h!TP4|ki=IS+p4n5W8OANN6rt-k!{CmTC;j(Msn|Abl23pLpX ze$Y|;!4Fmt_(6wrpL+QG$t>q5J=k6RaWd=qqz}1ocm9~na$acC|C3GWhCif!{a^4P z(&?GKD_9@rJXMzZRSzP0;_9Bf%<Tf%7|eR!X-^63OxyL#uA6NOe9d{I|1(QpZPeD+ zvW7C(wV6vc{%xMK{G!?O#JS&pHb`7)(_i`d!+{q~g_S=9pTCx>n72Bx{mJ2C)2wFk zPbtPHcF3`8?wwV;;%2{uh4|%FYt0R1+FP$4{kHh@jg0M*?O%nr%N_n2J?V6G{94v^ z;rITWz2JQBkMb7<>$&aEw@RCSK7TttZg-%te_Zy}E6jyCi__$@z9q+tX}Fv26+B!x zRjN4jnfJEKWv9QSfA0MFCd$o@hq?8oqij%`@%xJF5pwS<D-uc${;;VrKG$CPIejkw z=MTK|`BO7LKYPBHC+qO?KPL`<j$_+;@cM(v-;GxA?sK!-Gu=_v$o_q5K>LGA{bnnc z^VO19*!1l`{dl;S=aqoX`_usSAK&i$tkiG5_2B701AX~>rx%!2to-}|q_OEaOc6x) z!S7F=fehf>XDtq*6@Pw+V=Lv5+aI0Gd2qg3g;?|Y!aX%EA9NHy&)2J%Ch$iR6yNi~ z@xLAv8>t63w>(%5j;3iK{$*BBq(^_yVT(_$JuhhEed5n^E>P-l2F2%0knZzBHr^cn zY(N^*xj|CyAgP^TDPf!LgV!VO|7=Qs_~(*niqTfXrkieO?XJ9ep3eX4$v;+keK&~$ zgTBY6S})iBxl@wzuFGrnrl;@OD<i5e?^y*3%AC{hR`=zL+jBo&Tf4@}?)7(xj*C3X z{&Tu>ue@<S`>&_;z`C9d7fMg;p7+}GWUyS^dPk0V*$q}K_DQlfeI@(;nf*+*zrEsS z`>emMpL9HXH0PN*nr=HOp9qQB^bdC~R6f0U@_0eqL>sxev9j}*K2Ke}>hb0;Hh)gO z`r-Cv&CWBo)b@Yb2u@P-H}dh$4fk3fyTj@DL$m(k<+`4G`oGRQX>7gI?&`Y5hmG|) zo=1AbZ~NIL(!aHVZS~cd#S>;#3Y`Y$)B3i1QdaNcZ<(v#)tA)|&S%&YBHM4ci^1+s z|Er2MqTG*lUsu)3eXl%jSg}NMo(oT9#a^~2ru^qk9b}v23;%6B={Wm`?D?bHZsY~? zs$H`;Ffh20FCFAroKT>U``z1p|EF&MTPx$)_`a<E_{d>)-5Ki(@sj;@Th`uhnaX0Z z|D~JtNA<|B%RlDoKURNtu<qFNC1(3dyX`yk?=Cx=d(v%9|4)xHquE<5!-6K(XxGg= zwME!|kCBorfBvOoZjX-kocwWQ_Lj*X893MU|L#6GL+>f`yMvAUrs(hRz1_3@@Gr}I zmwL8!=6_#xzi<0H$1S-vPxK#N`tf4g!=C&*&9zT%_q;OCm6rd>|E+NE*%wQC&!(8% z^89x#_g0#XL0jF~^&jp}|G+=pK}GRX`p>O(J#H_He~52;`%5+M$n%PV`CEKzoY%eC z|IB}dqjcSCxi`Uo8ZEc<-dEB87+SZ;RC4~4{$`%zs&&iH+*e=J-xllKrzY;qnslf| z|E13_RqmS}9S0b0_eXL4YCK%G&@A`S!Mu1Y^^{o&2G_pLF5DK+=3LdoDtXhAy~X+1 zq`&DpKJ(8t|MmNDXMcn{n-cTH#uE-H3Pu8w9Xu}7<lZ~aQ{z6*^3JBcHTv@ej}*J5 zdml7j**1CZ)7w6}_6@gp)xNf^C>Nf`ex%wa=*~6k?OvA+_lUDw<W{hKY%2}FS9G>C z`}+FJd|P|JKYmeI^GxvZwKehPNeZ8%G#-CA@TN6r)90+sVOiPv+2Yxg%`3_eq%7W> z9Frct{pJf1W9itru_xWi)74{S^cdGHeg9DE;<L%qg;w#he(X`4V&Z$|NNY(}l7wO9 ze8c%qUDlsEXtz(ZT2)xBI@M|B+#~#NHk2-vHk-@i6X3%=DYAFv-PaTDP6~Rc%OWfw zJb6~9d(zo^Qbn6<AFukM71=Mdz3y?-XZwwbU$0)U_ANZO?$*{lPu9w6Pf4uU#{d4# z7PVEE-5GWtPs{ZaQS!(r`m-_O{Ev@sWp6U?TDrS?-K8JrwtakjL+Y|=n8)Xh(z4eI z<{f$#q#5yEd&!dLnp?`>yxE=odfM&xn*SH<DLB~V`ZPOiU5w@WJvFztZF;$Hcg^)@ zyUp)^y?J)~S>u@B?=v4+HWvTN<qWLkkTGUZa9{p*ZOu$Z_O!$bj^z^1|1@*_s!6$Z zCtt?6V*LXt%`+DnF3Hc4QFoc6UHHmix$4b~Z$B-0XT@E=v3a8Oil@8GKBreyC$0RF z?<vK4TytHcx%a_HyC|f@vNk#4yWUqtmqUKXy3IrPUW?m4`Cib=qMHXwe}`%Qsy+S1 ze5Ieg(2tMdUJOU>>n&aPyL|)8>SOz_9eY1LYCpeK&aH%yt3N9G)xXtG7pdVZ3HP^} zl^pu`@!V4#|9Lfk{IT@<cscX7_uRReMna$OoxbN1QOvJ!_RHqQ>nFQDa-P@kdGY<# zX=%o~XN9GmgKl}t#{T+N@?OY1{@0%x@zW7cehWu07xv!WIn8hN=d0x>gZI?D{QP-u z$RwwI)k}P)O!CvY?YpHUYHEIa-NM_`ykqNLe*PS*<f(YSHt55--6csk^A;_=ysBpR zmG>%M{rY8}m!3Z_{$9y_pT4)}{nhu5xV#OK{8?7|VBf53Z=Z6@_qpFY|8ZZ*thfKC zUwOG?lWiDJHXrl!Uw;y<W`9^5`FZ+xweKf=IG-DS_`6O-rhD;`wRT6nrW`4#UCvv7 z=IYw{>gVETEb$gPVtC_}%}2`yv!A#A*ysx@e43-e`RIo9TN}gw6GUdj-CTI}XXT^s z(I<*m`7|WwZTUP!VCBPN*4+8q-@SSM>+_G}_Z26+H}RZu-6H9@)7>?XH{aUV^X<>o zN5}qMOkD71_mhm)edRf~w=w@ZR++Z#h4lUCq?~6uHETM=B!B!*ejr)9RcmTNtvmm^ z_rI!3XYF~sqs5|)@6P^<W%nM$nS0003+EE3)SG7WQ8H@P#SJF@XY5s2Wq<7PlU_IR zc^IS8c~K+Br`0VMyE04D=Iw}I%v(L*xyJ6jfWoI3-O8|i`#ToT4oiP_GFxkr%&Ljc zm$Nk6<WI5r`duwCM_AX`|4#4)u^OBB`L|g*Y|K69{rWx2VxMPe+Ps&g-c4`TOng4K z$#Gt}$GjiwG*-GDHu`+zcV*^b(@%Hw9G+D6t8~0yk(D~ng8vfV)f2_-3=^N%PEyz> zy?ScpBO8y~<x^Mt*PVNKKQ&)e!S1<@2IsQZ1)qhM$mvqA%yW>a_-gq*UVFdx|MJfN z<^QK$sqibD^3T8I$d<QD*R8Yr`rx_B=V|j!6<_<pczt~V`@O^UePIP(7{6P#-hTY> z1#_Om!+kFwzF>a$nQQyu!x!1_)N*Y<1)>)m`dVf2t3f(w$Km(8etXLo#0Tt1x0R_q zP#gGg-*b?Tx>o5gAd^&EZ$AL>Pji{y2kF#nef<q2-f-w`{qd)*b`Ru2b{q%kv|Uor zUt#g9;dj@e+>am?p{>!cKsvLz%#VU}UKf4$p#JXPrRVME&7abqSZMQqhwE`;zBh`R zC%z|6eIKMB|Er<e<>4}rA=M!d`Q!?}%iI0gZ}D9|ZpwZy`EUQPm;F!Oe5LGm`UeS@ z*ljC<?dL{m`A(1gU~@X|sJ=zUw3|EKd^qhs+~Ldpe_K!f!^1r<K7U;GrMX``cb~+4 zvqUDj?JG{NdnaXG^Wdk#t<Cv6YYt35di?$p`I<d<RO}@FN4yRVUmM?OD7`z@PTWI& zo^#ddXY7g#Om1G%+-Ru6`s+}!&z6IS_2z#_{rGd&m*(@rPftqyFtnR1(<^rK)a&W- zjRD)&?9SuOvYF1#Jb%N~*XxffZ(VBo`kKD`-n`PF_^n2cb^DLUwzoDNEDlS*_4kln z@1eSrZ#sW|eC}=j=)BzBAIfE%RtJicwp8CQDP@SC#>eMrU$Wtg#r1<9T9Qg19M=zG zui)$7_Lw)E{X^=@2fQ!a`OIXVTm5+1dGytR{`e()ALc0Mp0<ybJurP~^M4Qfk`0e7 zt{)T@?!MY6zu!alfo0GwU3Q4<_NDSxJN{c-KNvh2CTq=<Astr^w)ft|x)%xM4SaX* z2N(vuwMvOuJYV?x+?X|de}1^Wdcd<)CHvpIR}A}fWFN3GTK!0E;EP!nx<P8T;$x|+ z2R|?05g1jwAMD!nRbL~%&RWg<V^fL3`c8AJAHfZL3#M1;q{J+?s(5(?>ZiR~6Q{`) zfGvLFZQR4x$MV8q|9iLARXZh()&<J$vDz9T`{QHx701Z6Csfz(uxilvX15Jv4}O|j z_aV7UaQ_^K<umH$2V4^VW(+deq9kgg)rZI8E1NU3BA@*~dX=#z(#qoCs|Sx5WPO4@ z%b!j=vBRzO!?l;tKsy)IZTvVD9Ha@0t{&XQAj`2uk6ZHk3O=vBP$kP&hrYV|9_-^e zDWxA?GRU5A@7M+Q#*3L@&|t~g>J|L&4Mb5=>4$;_K9->Atv%Tx?30&^uV%Kdn`mX> zzV^}*aYzhkhOyi8TsUZ9`hR0i8%R~~dCf5Px<5*#1?!^byc3TB$KvHReDk<2Fy7;j z?*|+8R1)f>v=y#azvh60wxI3mL2X8>1HZq&-Z-JK_f^8PHPDbXzN#equNkZ;V4GFO z^vULbW;pFK^8Fhfxom$_Iw%}AB$PozD>6%w@83OeXwAqgy&%1S`ERYVR>;}2U^6e? zftvYzrKshG^I&Bb*Izx@#31|N@8PczV6$@Spl037n)uEBF*q#FY_i&+>A;@#YgxY8 zs^-<_^&y@#a9?{)etsD^)}KYlzOgx1y5U<B)Q;k*eDnUU1-ske{;P!Rh3lGo#YG`u zIAeLqhVPn?=vsL7;P(Dv*_>TVASr|~>GC(5mtbpSQc6E8ZYn*nCpt9zx6PsdGe6Gn zzp)|hEANT7-;S=kcR+jJ^QW1o=U<5Yx-UBBYt%1wDf@ppGX+1!OWgf&LpS+HU4mV3 zQy=gC!cw>S^VQe6pZM(1{mJE!b5Mrtr<mhEb3AHaoT#>tIrHB0g75tK=09sUAFX_B zIfXl9AA9}NPdxG0KJGaocmDCy>D%w@FZp<-<_6Et`oR2-%xl(q+3V82Uahv#-?it) z<D32dd$)e7*cYICh}nOK)#CH#i*;nqi?7_1E?3r{{_D@4llg+jKdWlpzh|p={zIzl zdG9wLYvK-ke4`b~x_V>e`yEo3&7Q3LUhyvS-8<ja>(AHKyjnZ;xbeQjv%dY%kC}V% z`EyILzUN1MqF(vt?>KH;TX*lt_KwGq^^$LA&EIWvczUT#{S~?M*V$yw|It~M!Fg*< zdCY|;rA@DF=gr^0Y3K3J{}~SInyu6pf)DH5<t`C<D$4%hY3~BJ4~$#3zSt=GR{L5N z*Vn)Y9z9%ATpKSg&fV~eac$QXFM$@9)yLcowX{0;b($Pqo4eRvGjE*Qz94XQ!!@Z7 zq9O)A4m{}o^1JN4{k>}Ijk}9y?94oW#&Wmu?*B9Y)>Rvqmv5SVcS%sB@7s;nZYkc~ zIAh-b3v<60EDyI{7IZc*>-n_5ne6_$<~QxGuDty4%`s`Q+DGlV$3jnR`FuQ&^?gpq z$(IL3-?glCURC^Dx!~a0bdx;syp&tLzw&x--o01JZj@iScFpGVe`IfL*cDx+JO9_^ zg!1F%W!LB2)tvl$!_)TZai3prj@=h`CR6iGnI-R}$ER48+2miEYwFtVJ8{1Khq3w3 zs2?jUZg4jL{j;LUCn(4yXUTq>_Zc_+f4;NYKYv}<x94{+ov*5SlVAAlvqE{j;n%8& zcj?U<7tU?FaQ>yd#?QDL8owoHmMz{V_IJ)b$CJ^YC#>0gzUfzGM|RwPe*d$J=9e$M zeXdljY<JA@lc|3<{IyA(k!%0@<Hr3*Z=SDs^ZBb@jl;Y26I(Xxeko?W?YJv%!rvaB z-GTAlb$TiHen~~9&u9HwtoZfw-^ee;a=ZV=h#k(Gep+JZI_Yim`{nX(!(4W8&5hLW zC;#%yEZf#vXV<oqeXlOeRW?&9KR-Kd^;>7f{QjkN>rOA4e|OcwWgBj{O6i>LJ@l>k z{iAnDEj#+RfBkXe{-Za~6W1_ZEk6x2sc)zHn#ph%CEaWMoHDmo?8^D$f%fKU7tibN zKeDlKQzXysShMZ2Wpg+D-Lvq>w)s$#9<F(j{p=Lfq<^Bt`W3O?jE&y9)$0DT{M*Dk z^Z2=mrQae0?D?11oqIj!<lkp&ZReLQKnbipFH&yTU3;8d-@SQ$cjUs|XQus@G<uuE z{kMjxI6p!g=Eaypdp5tD05+_DN!_{gi{{_;-ht%D&!S7W{athX_&>39`}rrb?))#? zIbZQIC<fIHzuT=pQRlYo?uzxU_Vdr|Dcybw98~qbe`BV&+V6J$6cBvltrPFGr*4VA ze;&D<^E<M?|F7=3I=j}Z6<>T{=Il>=CmcTo9#E<Go=I*xXUF~O`Q#PzkAJG0cOtJt zcUP#BZ{l`t(}-!(t#6+*yWRe_Sx)|U^qjvoT~{l9`4-tbFPodYaK3-)yX^V!Ft_Wi z{G2}p?6vt$e`AjRo_Uuq{>>r%CmUqScFVM#sJy!2@1D0h@KEwkzZzGz_s;nVnd#9l zo*U1o{>gRo{E02nU$?$^e(_9n)!a3k&o}+rIpx<*weGrir}FHlAKh^Ksgc{d*KKc~ zKYe}+?xr@;-7lqJ-b*(s&szB=9hC2)zI=W^b9>e*usJ`=ubeN9{PNlF&9`DuD$q-Q zvMcXK-Mo+O?~+#RxL*&Ay7OtGFUq&V992A{`oQH)^CxDSzskONK6z&O$ElF8GF~xX zdh?6)vd{lNcPIX?JW~Pp)%j%mzmvRRu6aD8`t)PC0nd%|AE!bLFuZd9?j8M|cHhk( zuDi7`_{MGS-}_3V|NNdR8+l<ze18G|*NuLK`{jkc|6ZB+{q+X>mL0zpbN09It-qNQ z?x_8D&5xEZ^9}fBXw08p|K!uWcO?q8e7}AR9Q>Q{Gw{X7{zV__<=+0tn{wvK`{-8d zpXWcuPS~kc8yBrN-+aQ>XR=8TWY6!3ogyBYeNT|>p3yVinM$v_*O<Q7V1Cc?Z0$Uq zy(gkR&&z(WcS7ZCy-9H?Yb*7QK786P%{>*QV>Qp7$q}{7{U?2Oy*8`7t?|3kv)maP zd+k0S-}&L!mHB*y*MA@Xzx%}N{6%+nF8==UbBdSN1MLf+p3F_QJS6u%wfK+W3!_Sz z;-1Yv|5jvfsl6W5#bqAWe#I}RD166B`S3r6n`hYR>rSqHVSK;+*KfvSyR7$aJ{|Y0 z_C%g~q>t8w5QUWvL5)k8JXt4ksfc>eO5nl&{Y-0Xx2@WdkkYvSyRuhz-}ldtl)2r0 z{4{j_^RwRXzwU9dwY}|ow(k7jGv)j&_HEnTK74g{=d(Mfe!O49<G**qqk}X5e+e`w zx{*-7_y3-q^ZlgE?$oE8`TzgomtRL&oiDxSmy3J#pVe0@mc?AYz{9c1uy*P0KbM;r z+ke#jIN_7H@3sDpll9-u`2X;0Z8j1Qo{?;OW@DUu6#wnlmfMb}zuaKAPy0}9jE-%h z?V0M!U;i1W*4?r;_WsWwy1(Q0>E*8tk5|n|w!IU_UuwOh=j}&E%QgMKJKtYAP&MQG zqT7d;|179Jz5amdOwoCz)|cMp71sL9fB$)L*pA6%Klq)ij<XwDe)&;*m;Xrr|5TsH zyB9LOE>N&6D~i{8S$*|;<&C)S$y<yP%e{_^zKgm3IH2fF>Klt*nctGu=ai2-9_!P5 zVsYq3pl@GtuPpb;z@#@3PJNeqWwlQlp1ZMemP~Mu?CTSSr9FKA7p#)^H=msB*3-A( z_*b=}JEDt~SIEWQW$dZn7b^i`|DOCfGuhqFGR~k(#<S17M|Szd<jEV8Z7l7~%Y0Pb z!@oa0GVOS)@yU(THzu1|?n{5OLiPB;V}0r;4Nu(II7jBVwDmou<C@3%O5d@v{<kTg zwlO*WyHjJik3rd=2AS#7)_h9Gd5`rSK4EzKMxl<Sn1r>T((%d1`V5~$q`sNq(s#W_ zcJj%M2X6%W_4)V8icemgY<~Ok#?~h<GT(go-+$PC|C{7D5ifb}eVjZ)=GXU{|Fs+I z{v2K`yZ@=`!*477=Bn-fXdo)5uwR^iX4$#|>5AtUX3UX`dFZ#+(oNF(&-ZJ;t6~H; zD_>n#{9H3H#%FK$_FwVuFTKC`^Yw(6yXJb{^XA?2^uVs4E%Dy^MsIDq_gv=LBYI|c z#*{Bgm+#%5Tt2Vyd(yMJWz#_1%_pO?AM9<ZWRFqUdt%FXnNRDCKK$#fRG$LYI(^S; z-aXTe-Ut6(DlT~KV&4D1%l{STb~pYudT^)a@Y{oVYZl*qK5_TW1GSdL{4><<p4d_@ zQ~A9hf4%#UUvs2YjeoXs?SC=PUhD6iWs*}bb^BERceuyQyC<CC^)vrakPW)Z@AZ?v z{dzCEZ&Ic7KJEVX6EfeseCq2t;a&g!Q8}|=<@?Y#v;Ljx_Ni8%Sl-k4J?q)pJJHv~ zXMT%wxpz{q(mKtj@#|mX&+C5nfjo2a$zD4p{lB%r3(B=Ve+!OFxn<qD=PKL1>>1nN z+}^bB#Fp)I_Vl|yS-Sr}*P49M&w9V7eNj4Obt~5Kow#z5u*HR1-GaP%%kP}n@;&L( zzU&8k`zpPEpRiKCe9!yDZ&#PN?`6B@Grg{^Rr8*I{mZntlv}^mKfQbQ?|?zqV~!@a zs^y0H#riK@m+hTC>HBZCd(tzudsI%$e4qNn_R4{3<<IACd4nD9cTb7=z3-XZJC=i- z?Dc70-h;X4_ApNcdCKt~H}4+f8QZh?BjZzURjXF6H~et#%$~2iL1DGGYfrgUMY>V` zzE4V*@2x($`^152?$6V{Px<P)Y;V2V`(n0x(KENNYX=#-@=5LG1J%->zlFx7+^XiS zoXxXmyYc%QcT;L7X6B1lmfutT_qaXs%x(++s)?EJw?4Uh{XjMQ=VzMwMsN4_?XmAa z;XU8EaPf(g|Kt9PmxaDu2MWCJRiF5JPk7It&iUnB+Pzt^OT=e>^HYAmqGJyW@0WWg z_nfr`yRvbQxXY7LdH0XO3(Eb}yyuI94V}0r-1W)dW%nmPH+sM3-KKpV8~V!byS$4O z+_~~VW9dF_3-kOJ;PhivDK1s9dgk{1AWup@iA{Vk_xPTv)+c`{UA{N_<nOk|?|IK+ zFNcDh?enQF=fU3g%HLocTKB}3_x`td6!%Q`wbJWupXz+o_xITD{XDaK&1O)L+&)pg zq4B%jv$sq4oY?Zc?30*O#ryPo7w3Y4<h{<Pc}Wk}Cf>W%2TG;slgndV;{Mi6l-B$E zZ=wCVm;<0nZ|1jqp7&1k?%_Ac_hbjfk9GT=?JiGLC+TNbJ^ETJ5WcIjv>Fuu#V2<w zDCM7DKlA^?+K$TEw>&|i+P&wzM1{Cf{z8zyvOet-n;0(7{`v32#AkEoP5SEEQo5yD zZl|<zQT6iK8Pg(H6omgc-sxWB6kPlH_`kQEX)$ig_LfiHo*ZX#T+pO$!FDkV^Yh`L zxaF_>?egU6d-2MOR_mX?^oojop59KaotXK4?~}itE^%5qXP^B0ps^t@?)y|wDExe4 zd+EUM@MmvVgF?aX)4YrawLO)`>oh?j_FnzHCELB@Gs{1UgMuOZM0IE5cg|;LK{;u6 z!=B5$d;E>^Up@yX2F6e4%s=R*-J5kDln(4X?y0ifTRvlZ<!q2G?I(8MI<UK?vU`g7 z%x`)s@7<W+Up`~K+7pxk?0oKB$-5|Hzhm}y;j>RZ-@b1Y`D@R|U{JvIPbl|iet&;v z`2tWNMSc2r;J|O5&tbV!K`FfZgtdW^{zRtl?Mu%@|L+7@<9;$)W@7mM_$LoV-#zJ9 zpKb`r8v7@GKhv?tg=@|GqG!7QBfyrr-P07T<dk|5dvH&wJSakH=j^$x^r<emc7E!; zeV_tnzQX%G9edbQeHy>Up4^jcKLs2qzdeiW1t-ov(D*L&z|P9IVlUm6?d?AKds5?f z%V%#Ffx=MplbJ-t{PcU1+(2n!cgvo5sfyP#w*O)V`N)6rcK7}t{nc>^_m-Unh3S8{ zdw+TN=tI-N{>k4BnBRM!v96uGM&IadZQGv1ynFs9+-vIvshvLQI}h{w(`RnSgUS)3 zPktsJ_8r<Y_5Ep3UikQ~^~l}3WubzVoljOxn)%16)%xe-Q&(rMzVtl%-egeWBvJWX zqT;$izWr0zWqYMh{$9~ouKRgf?gUU^-aZ*EKJokPy7LuNE2o=!=o`I#d)aXF|9!#} zr+YO1exH5jws)mqqU_syH#8srJ9OZ$|ARYwzQjhRyF|F}HlMh=z520!*ZHXzel&iq zleI8kU#EVfw{**I=c4b%1^>HuO5dNBmvZa2%RObDJ^2Rj9Y78^`$RVL!P@kDtG0tO zT>kTOGyhp%KCrv5^7Z{GaVfWM&)xHs?cUcjv)Atd6%Fsb-bpJLU1oND@c8KL13N2k zRz;?#+}dqj`M&pr_Y#Nq(Pwt&%>ANt`QF|WyN?|BZT9(F5Xg}3J=r{a-W$AsQ3Z;N z+q3t~=H2t&DF3ZAC^&yl*xqctqW|~LosE^dH9$4v)IGIHpPF9O|G&||ofr2>AEe2; zea}zB%D>F6t=5(AtMmF+{wf7UWbw&pfyv@_?`!47@9cRwA7q}q!uykK_j=DnuK+vQ z-uYdj;m)6R8#yh^*H`&olbrcYPc?sOW4ZC?Yx}i8m22dudtwu(&zrzJf4Y6by&6!c zXrKH&p>g}f%G1|Rfg;uSN$kevJ?~Ok3}60I`qD2PU2yR1>uaFsx$ASUiurx}ncLx@ zv{13Vck!}q?-cZvKO8;q_q+18V>hmXb7kE2-tT3%mFGR?5cvD=gQ4DzpO3;p`SV=) z>#F>C=J)DnW-kXNTDwnjNe}Lx+Ot$2oS1%}tnO+29{g<WPjKxa_37WW1GkUtIjaxK zDYjGNj@#I)t*>9OqCowA{%ugb21*-o-s^wIWIp)EV_`o3-=a0fMsIUf-s>>Ge}3ln zhbB<m=su~vao{)W=Wn2b>bGEJxKzddlzXSFK*9W*v+}xh#r~vwrsqMm#$B&_YRvD; zp1lRTF8I^CP3bnr1^*PTomqK$-eho!J+XU!<M-G5<$RY;TRRDqzAY>36CdPWFWNHG z>nkYse~VUj^Xz#$v;2z}$cEi>_oPcySR3TO-3*GY;4jq|RhaYLA-Q(DZRPsY7k}pq zezo&V=YtO!&Ef754VOIL{&v&pPcf%9N3Yy)H1DaRh{kQNmq9LKyN|46YuOek<-GaE z484tJvA$EIdz&`0)ojUm#B8!sz_iJKMd#CB9x>9qTPG=HE!b%0aJj9EW9y|yKfld0 zuDO4FPU^dv)pFu#lTOdIzCZKx-}B{l&+QMto7=l+(>BLdrf)=!tX5mKT3SZFcTwp6 z|KBZdgjkEdzHQ<o`>KBbBE7C-9eZUTFXFi6EWSeelG)+*9o{!IJH%hC?e6dTQya3r zt8nkup!fQ=FV?zOo&VGKD%5aYdB>IC>HJmg3VYxBtnbp?yZp$V!>|3fs>=0w@BNyz zZo%wD6$g*qmY?)C{`wa7We@fwpXY7e?(y{J#up_!e%MsibXs>P?)+eQPh;c9hu!b4 z#B6abYSx~_A8+Iy+kd`9&WC&5;}cu<d(BbLSx`TFbJEkI8<Azk7wYR~>y;hv3f~;V zZ@|X=xMO+K^LVAt=QqucPtnXZ*w$2WurxtK>czJB;P_C(x%XP-zVJC$`Tyy91>*7X zSG6ne&GlK|_43QTmyYi_mXsGyye6Jh;2T(V^=rzy1kP*z)30ckEq0u|TfU`y-^@7w z3j67|(l5Vu%F$vLS+c$NSM2T#?$28<>cwx|(sUwJ*!0BOC)T_jo2M<;NEcu}_oMc7 zT%nBHCy8f1HGa1qJ@Guq*f(=tx>fxO9_AIhwm9d%(eBNkx5v)CTXX$;(Zs@T>9++( zv{z^zu=hExQ^-;B=g3sPY4wd~;<rqR-z1^TY0dhv>37S-j~6wnUWk|MeKaFO?w{K0 zRqNupTa#v7XWq2V`G_4)`SOZ`Y;i2_@AP*q5}Z)wGLJnsVCIA5XTEoLPrE(wW#zJ8 zzMrRB>s`H9YB%-zvFeRGYQ?|ouCIStyYI_EUGJ6r>qK)|vMzdhzm_-Y=#63Ge#CIS zu-Ssm>YU}JgP#vqwf<37n{rLfAp6u>i?2<--$ctn&SH|65tZ*<^lpBj{E>~^R=gh? zR;~VY&}^aLD=XJ)rfd1_bhDV2oSX3cU_c<qEx8*K`IkMP`O5rky2YLorFOw{GtXWA znUL=;VRxc*$2Z4$phHm?v0mCb`<FuB(wXJ=b3<Y`|9ZgqvN?Arzt#7prw_7kFK>I; z9MhgH|Kw-wuLl`VnzQ%$*9si3sg9ZTXVtpM+7BDP9Q^*=ZpQBfsShT(CEuH^!;v*i zJ;5GcJN=hJU*<Ba6^FqZW_&qVT`Yg5)`0n;mHeIBa3r_%Ioeem-@cOnQ3RXJoVlxB z&AQ6&?<N0c<BR64ZJ~y?{JzDJGkrf#oyuZbHkW_tx*zM#v43C3d6<1C-*vkapMQTj zxG|$~OMUY%g}zW3A#T}KyVAZM+<b|B|BT-WPi{?*dA_+J@y^n7?A_UiKRB*Cyp{jc zAG_KDnNJ6|t-Zots59?QLpjL*33Gq6F;4ik_6~co{Fwv0&$0iu6Kdlxl3iCj;raKM z&5tMYZ>wwlrO=mp;NZ=bATMnQ{Pm!}2<)Z3R`NB^{u$IvcwO+e*=E1L{G5iGf@{-P zAbV-{68?YJ7V*!ro1a)EH|yD^XNOJVBRvC>um22q)%E^W%?Ew{7NjtD+2Rmo28!=4 z=cI+sq1V^gS%m(2a8Sgq<5c*y4xj70GwlqQoUY4`iq&eY+V}RS+$;Be^YR~C#n|6J z_W5_szPDN|Kdzk*vOlyjt0D4g=ug2Pdrp4NHC`ew>;KSwS*hVy<;$Nhm`qo_iQ<ZP zr?1s_{dy2JQF5Mr(cc>B>kohJ>v{gYGX7eN*okNU!SY8oLfjMnw0YV2?PsUkRhWH0 zcw(#m&U5LEhj)0-<^NWr#v}j4D(1Js`S+LE*Sp#6_$Z7V%ny`aHZNA2_kT9W3Lnvx z4KJ(AZO@17&$PR&wDVm0D&w=2R`NPIJV=qdeF5vHhmS!<oZa&~Z!su!<WIM|k#mvV zm22_YFE%D;Q=gii4G$B#a--C4%C1Mdt>o`~$v{e8eoov^7UsQbwg@Zq&?#8~G9bL; zD94g}Gkz=lUF<3Ltf#!v^2dW|`t3-;Z+e1#_xr_m9m#X93)rs)r6_(+`Iu?H6W%pT zI$XcY|IJPgDRJbx+TAGC|LQ1nx}kY<a40A@S-os72WJqrs#3che_tp5P`Li^7JFsg zd^-!tpATkLqa+S{wb~DL-F6+P;=*cIJ>9d3tyOAvAAhOfnRVyb%k%h=f<u#IPiQ$P z9ugi%IdrVb4wJ8m`1L?}Cx6(V_lf)lwSN^`9v_{>CV&0sgLmqO5D9*RWMlbpc95kX zE^s!2((i}Qm)Q41e01RY&j<J3w<7s}>k0PT_uXp+jvGCA@FoP5{C2qhdcfChm+{Ni z(j+$ZYADakP4{>CPxo3dFJJrJqPi!qf10g3{PlCL&HnSxZ!c3i@LgwlT}$#+hRv&1 ze^UNXIr;hRs-^O_nHT#5Zl2c4-8v`y+Jb=O%O4l&HQl^=cY5i+DZdu1e|~!h-}UdQ zHv7;2-n3ouL)lh@M^}Gxy!`z3KIPgE{9?5Q4T%w)3p2M}s=xQLRrJEo2d~tT^7aR- zEe>Tm%j`Om#m^O0xPvnH1O6raawlsI_IR#Sa<!7*^L!;zX3cT5+mWmI)lp_O+aIvv z^Go^XovGa*wahl6*GfL-`)nk|d*psTxHcOUjvF>8CW69ogGOz^htO~T?lDe!n<DPJ zd2&tVB#lcyAN<;fq^-s}N@4c%Ao(L3vmQiUHC@ebr(0W)#3e5i%G)X^<y-GRC#}M^ ze#z^qT^62yUT(;kwMJf{&A|I|>MXOQY3K4;H=Zs%u!~K0?X;2;hDV*7=QdSbbtx^q z{AjV#|D0Xx6w3T^j?a_UigKU$sC566b;_*e%D>O^{Fk47XYVe1o_9O==HKykzw>ss z=g#H`p>lSWa(SPFcRM~zd>x)Kd3Wjgwu7?QA5Pva*|GcE%PH0!s)gkqMc3yxSx0>= zP~39z?q~K4(VE!woqY4}oOQYLcK^I0_Khm<_`KiAc^<sGp&(HCR8G<MUkMU-3T5qf zR<l3KyIKl1<$KeUcdIpbXy28bq{;WG+4tQ%OA)s6n0EhnX^QXqV!XkQIj&OfzvRI> zMUPW@BB_&ir`Gc~TdzB;UcOndJT_RPT)_L$JJFMO=ZV~z`D?C6;+BOEr<CsVeRoRe z(Z<5_rc0lE{C=PR^4CXif4}!>|NMUc=0A4(|JN}y)tpz^6<2sh*==R8cxvp`^CurX zf2wxB{(t|M#ddGp=le`ERQXv@;GX*{@Hux$?C)Ry)viDMY-Pc|cD1Q*NvxyJORFEd zp1fN>!<yIg>#WBMWw)J-z2BW!aJ=-#lRUjg?_RK+l07nMhqe07YD?Dg(uIu6E+$Xj z?RxysgTB`vPTsXS68qf(tYWq3WR|Hl!o})RYQ8TYENNzx+kEowz3EVOy&b!a!-L)_ zOgj?W{AAt6l_Kmt0p=~MqCRQf;Wyhk`>z)yNN4vq+`Qlc4lQNX^0PCnd+*<zbKWZ` zC;0g}VThyFi57<2PXfowF^_l865p8!%=kCQ=knaGhs}1*t}%k7fx9g`Zrk*LRjGNs zo0jy>q^^C}_G3P(R@+X-zF%pu<FQpuQMoZwvJ>-Dz9i2(zLV~(J$5HF>67iZ#L2sl z8AI%PH@9PVE+mGp>+Ib9Ug*)eXMYbY`ycdfS?5<(xwYML)4n!qI$RD|dDXowL||`` z?B=6}7e2CjTRoZaXyHLW$2|{zAK-qpIPgjkBnjwF+MU1b!MQKrzj;gxm{qs=W%SF? z8`&?bYFOf`4|i3Y&HcWEncMnR`i0`KH8J<@|BZa5{NeZVjgPL{?AsETz$137uud!D zpQ_P+o!viOr(I%8*6tAhaoow|!s6$CO|eJp{d<$8_Hx_4*em)nH?`{V47dDt_Px0t z?~j>h);^x$_I|G17d@vc{(X*Loz~3fUm5=QUJah+Dbp;yTu-a)7neofE>b;n-EDWx z(YkPfomq?6c22E%E~c{Qcl{LO4#xC9mdj>-+V`|w^If#dsZ}=~DMbeC-ahTz?)AS@ z*ByTT_fu}iZsYZVpvb=~b28TOxt{3K&94tb5>V2SyKR@jdFZ;<&T6ygdZOjl($GY+ zTcG^wa!AGyJ9*c>_+3z;d1VD8r9?OFxcx^Tobq=27L`jCt4l4L)#4z1bI<<QvXYRz zcdvKH?1Cn6BADj&t}Q0Ei`i?%Y=@Il5z~C%Nx8Q}%7UdFGjjEVL9UB!f3l9Vc>3?T zVsCttA#RAZezfkXD%hIWQ|_ESa3^zhoGjz)I`Lj;<~=P?zPk^cu<v@Eyt~%2e5F|E z`6H0@^<C~r?CWl@=gOx)S!WFL+<LQCh_$x`%YXlK1Sj=um2&xGcRJfVCnx-h(U>&# z%Gz%;CZuhN+<Q(+v#9>kwZ}{6yt4dSI{8J_$FFm&u0Q-`Svs%2QA60qKX%KPYPXYh zdsq^dE5v=++t&9ZL!{Tr>AH4b=d*+PtJb~x{&v~<mF1rHRSdraKP;AySm0}4mCF@- zeP3PusnQ>N`1IUgXPv+QVpmhdrP2?JC+$A}^bRl2=AL!)rP#`2jvw9e+g@~S@||X0 zcz1Jd?uO7%$>Ze{?r3|4&k5AfNs)41v&UpcKwt6Jnv)?4lk)Uc63m6X4;VNwT4r)h zS{f!C6ucn0$&f9T^=ZnD+1tf;TPaSeZLkx$zKl~KeMjwr+fj42p8GTZ`Mj%ltKWV- zw&DAkhwpaJ-+Jx;&GWz3-@o~M-e&&Du)J#Dy}N%+Of6cjCg;2<@$kA#nX{Yv_V2l* zzrE_S&Sb-%)$iXuJkGaQ>)X@E&lX0Oby;l6^Rd-yHaN3!+wt4>&NgayeqR$z`BHo| zfAP`eqtdUxPTu-Cz`n@Kbm76zGc@{6+PwQXrQK@zv6Uz1&Z_XZ@0%-gc2jy;@cok! z#`kpt_f@a?G<U_L2e;>NX8!1VE_k{8@`3WpWq}as@O{;rKHYt=Oj!5Z9$nu1A8nF; z<yrlBcl7zn_bF>;zRx;Uem-p9>8HD2K41FKdY-$_{aS$<^WE*g{Ep4~`A+ZY?!8mr z7yVpUog}sIapjROcX>YC{bwHpHhOL7KI@R5deh(Q&70EpzCzBh_PgSTyNB*W+;w+( zjro@|RWV}nZ6{5|SDGs6|C3SQ{x}xu#OqCoeKyXu>d9wozL!4TeOded)1ntgG@-J_ z2g_I2L1eeb{miT3yTA3xteK6y3Tqn={x5b}lD75dlewWzf>w8Jx9e5?+iJXiTJ`Mv z&eu<e6uv3>c%#Jau6R*j&U4Qa)7%uTtVN>d7stv>uCx)<;9pn2@Y4O4p7B?=1V-%) z7Uut1exBdFFD>%?<&wQgJ|_NkmrJj{=Z;Y^n)Z2@_tmYTZ%$vnt0Px5S!a^{&)=N< znsUA;4=UgMUvcAvQo)=LQ}}kROWr&Gz~_#iHa9q5XT1zAi9H#y`T0TjsqgIqe(Ftk z-X1#%8a9F-V*gLRQf_qZOnLN__i3S^KrhzwJzQ?nKV#o-jURbm{UK4ZP4m94!~K=d zpTr1HOi-SGezUZ`KU9^(k9E1$U+4Cie!km3^?lZh-7I@UR8;5hldesL#?SGC<*(~p zAmM8KboctOeWz33{$B3|F<>_9KIz}iudF4rpY4v<xNjQ-ioU4j%b+pORI@!(8)E$W zsqgE+vRRiee~>+|yzKot=KEXi{U9b=Ki&QARQb-D>*mVPBxUv^@Avl=;3V~LNzM15 zr@LeJMVlByW%+)rOE!iWJb&u@f35qP3k!_e9_llG<IUt*_2%=}HwzvyO5NDkpF2D1 zMWy{ov%u4J>$kp1zwN8Db3ycruL-;JYQ>)J&HCW^>NCsUxnF)heydbBtHMX1+q)=e zUUU2B3?J6(`(s|lKM0RsamBnc&-CMj_mAWG)o*<=sJ(Z=FnHf|w-etdPso4nY!WYP z{M1_6X<zJw_se=AJawmi^P6h=a~Ax3HAC}0tKg@(>_6iUe!6NHyiZ%{$?wb)<#)?7 zYD>>dd4G%J=RJm>@BW*e4L|Pl(@sM1{?7^TQ>Lx&k=@M~_UHQi@0Rf!0zUl@oVxyo z=_mUyUniVddh1d6f{#yP4MaC2ADq{0ZeL-$w{K@q$wa$<Ywwp{*KqaC;63Zt<|`vG zd(XNV-0J&EZJ)OFm{!~Vnp9<5B@*A?)%G>8|9rjl(N7cpZK^E!mt0%d_gwJvdj<2R z_iF1G@0+|aZQt$HHP^o_tBUax<$0X)?3RxE#<%IS_phDz^kwS8uQ{L3T+V-ft~WY$ z_q=((&U0UReYV;BLg_8lTOIvk>FX<g-Pv}=F^9$0w6Nj%N54X!XBXu)_}A&%r|W)o z?^(6p`ekJF{jPP)W~O1u9^fqV=gZ7v7j?3KOFd;d#>O-20b^`<t?g~aqA8`a%U{j< z{`s}OHecUr3yC@2>P+mv^SqjyZTc_BJo#m4`6Kc{!s&1gcEf23-`JKcc^x&axm}c9 zJyd3mg~fr?pZqh{v2Q+_Suo+b;H>5tdo}iaCcba$7s?!o_;<7aM$pgII_&*gd~t0u zd(OVCyczfX#<%87y%MEuRjn=y3Nvgcl<muYl<<0!iN%S}9A_QZ$xm+PPH2?bIk`w6 z`JC^gM2Fbxn`CkX<%K5R7rxyoQ!?lMYu)3U-?Cqh@wgebegcOH-&*!d=gNI$Y)Vt5 zWS&&EJX5&dfAV1K1_!=7ewH1E&(%H}ELfKv$=4SmGpApsq{cR5_r2WT2TjCR?O7YA zD3~D=#lK|k`&kwizxt5e(4EluX8%-VH^?lu*s<qPg4itYg<oxMY%pBX*_^)C4-rfi z#svlMb*D5-Wc%bDvfi~W)v{vSqX*L`Hus&sUAFf&*w<<(zJ6f&ec3_BdF~l}MSo|= z{E^X_ATA!nmvm;Qrwz{vP%yvkYL>Psny{|r&(RHU*)PwD_t~=Ls<sMKuk-81EuUkB z+12eF&TsP8IDNjn_1_<b^U5adJL`P-^x9Z26)QhgI5ubYk;4rjm;A^(dQe`0owr_| zr*^*nPlKFBsjlq@)|zc-5B)#ID)w7}t@Pj8JHh)LD@{UMl56D6&o7RBD){R6j-7R9 z-ap>Q%5&YY{}V^D=Fd}8y4S6KHu1@xv)>Ej7gc=melpSX$|s9h&Y$cXvAFPZY2<hF zmyySI={>G&*{ApTm*M);cKqBapJGlq_E?&CwlkK-nzHA<f4#%2ups>C!LDZ2nyg0; zilr|-K=_7{Z`=7rGDjjftyx~L0tJh)DEt3L8IwJBTWu|O34Q8My3N1La{r8s%+=u@ zEK=>)m@}X6R^xkD*)lJ6&1-o0#&B_`)TkqeibZtchV#noPoFQ%HM?DBaJDri_bXSY z!{ZB83U6z!A30dtzZ4NYF>Kr^Z+H;SK3!C>@6m$~=D&a66Tkg6?>7IkodHi#_1}BH z9HD=YsMv`W*&6JI&+e$mv0W+EJ$>+KS95xpj7{16`Ryx>_$rkOK0FXc&PU9A+xE^c z5=eG?tR`{+q+x1T^K($Pi#T+D+3SP*WRVn4XJ(JS=Wf}tQK*dLTIltyI_%-vd~t6k zHm~2jrYqNe#xti=C}}U@TL@Ba5#8YMOMj8fkr~C4e@Fy?vaEc7%$&eN1Mag+FI3s( ztT=8`xZ!I!l6~P!?EO9qWsc04cY8|xt6kHcKG-h8zFUhgOhzZMLYUqEEppDVF8FXj z<&<O3)D6xX)`8Pw?9qd&mKGYb*zP9m;(B@O-u<*{``Cp~m`wM*4~X@+f8Xp^<f+9G z-?x9Xak_v1*w^HH_qTEJ*zKPCi6gmDC)msFdgy15qI=K&Z96X7ANKsg#N0#M)*sFR z<!UdKQsH{)?D@-O-UyvC+*jW4T=3Vfp4Z3s=&e4;^wMTJJadPib-exi_BL(4b>B}M zd^qiSJ}5FLY(gm=cI1{Lg_r&TrkQ1C$Z6@vmPZe!bu~w3=(S$^oN)Nq1U0^QKeCau zST{6>&vCWv*m&%T+MdOrWLzI0^QRJ==Kth9dT_25DRken@Rjv|icol(*Vo|t79z98 zBI3|qHNLX{w!D0wY@CWGygvTqfp}x{<ns%VGf1^4`~PPXo7JPkj&76pDOOC4-s_}v z!D5S?hxL8a!Vf#^k&2x?++rTTJ&@D&ht#774~w#Iz7WH;_W8lKUPWJ-JH-k}7T!H@ z@Z<6TzN9sVJ2~IK0L6Xc(SwtZ{X*n~3p}@8i3&9yTlm%C*3WgF&A;P(5e4NADX|kb z#87Np@#w*duI8&>6FBG3vbgbkK2kxP-O#+a4P;r1Y*Xn~P%aSrIA8GO`@~rdG9`cZ zURXOV6jbtH)CNE9BN_7j08^%Q)r$DMTLKc7j|cr*2?~E@QFeV7J}JLD-}V_EE@+n9 zw)g%SGye_87wlYmFX1%zSDvqbb8DSn{+E+^{m*Qk`-|VVchsHvefwI|!?3S`a)~;n z>rehEi8bw4d7k?&zq<L+#j7V4zuKkK!RpuYdXwqeDb2BqHW|LlN0fZ~SY%?VW)uk| zJBm4a3dV+?J;?WTec|F)@2yXms`;01zI5^Wg1x(6mkYnB%MX;<!)LkT*N$I_(Avt1 z6*&_3+U>1(K}ucKiH$mc%n=E4R{A!Xn)XKz7NI6dyNW27rt+f)|1&-+5o0$JfOo~_ z@D_+(6+J$k<<NA2C)yfeLIoo2WeYwD9_LbIHVv7f6w;PtpRsl>&o|~}R~n;a=UD}> zm^&*%G((g1s8B%m45dk8T7g;`AqzzrkBZGnI(UmqNi_P!h3Zf5Zq6&d(R#c(|NYH1 z`RQiw-~Rjl_V3-@<^TWJmVZC`xoNrC%d6jxetzJ4>Wpig=gI!RTjz!U{#Ly_(JTL5 zWys;u!n)Wyd$YOk6$Z1<SbZia-#C0qrQDU(&6WIDV^h;+B*^ZOyLXo}&gM@{s`dHZ z2XyY=egFUVgtV-iX4mcCZocEVZT{(L_P0N6S~KtYu01)H#y?|<KYwz&k$1fB%j*v5 z<vtBhpQgU7<9eUC>*$#a{{1uUFQ`9^NUh#;#{KXzC9NfAnx6bv63H&JT(<DUC-+;Q zcHj7HdjD<0?JN0F6_bsOe>|xD7j=Gi-Gtu?SI<1#IDbRz#q*oK9?W{(%r91RVW)nr zNKL_2)sG(>Pd>cGp89i^U4(m0!K=D=%^}@;Ii(zOuE*3C?D=|dDyzKCj|4th`!`hu zzOFVk0Yy8$IY#bV#(zy%e$Ef8?~bdUUXYzrD{|X3Tz*bc?S_>Pnpx$~Ed1W~GvV@6 zB-1PGKOUSFzLP&lxBcCNH;Zqq+xhE3$?N9ZEBI%X{+#2r=}zRf`O57-_jsM#w&$}} zOU|2JHbEu#?zjC+_`IOv$ATvXZ<{yO_{#s`v~*lmRwTb9>YlYHi}jJRvsc;EBjjtE ze<jST+-!S)^IsXuGtWaO=bW})?{wnWy3@+8&;RDm;NNGUB4?*z8S{IAPW)wdc?ETk zr)BS&Lrzz#cP6xh9h39A`Mp@ph2po~>rQtskp8us=kvk2haW#|o^*IC|EW)=wI3WG zH8*cBkY6&Zz99Jz8_2_ZF8^8(E_b)s*f7s6bW76lrJLJ5Wv2N0nX@lV4P!|=ANp<Q zyuH^8=F6p&UA}zgudJ=V@@e_()ABO8KkJeY8hXu?oVPsEgD>Lc<~#C%+>d2f9@yRS z!7u;Wo)xVh`@$c@`cz1p7sg#VRIyz1!@nsX=GC=*ZelyXS5g1jg@DV`AN*Olb<eY0 zk@H6n=5Ys$=^AX9^*grrCcDh~pBKOB+gAlGTcfmo@!U)MJ`21|?>75X$0qf~_~)MY zw%>Lw&98j8>!?X)qL&BvmHvm%pIZd4?>=L*$mZ_(harl~yCYAXelmalhV4^ksvf_7 z*6M*o@Ve86H;R0Ujws${{KR`Jv1-fH-|ah2WM6-m{%_Lrvytcj3YwmbOtswqv_n`R zIIZpV3DuVJ*O%vro1Uzm`%`Dj>)59gzv(-rab?f+@~ZQ@R%UUeGH~*q8~k!w<%hj* zmuo&;8+*Lck^9tX`I~!Uaw`|UN-^K?!vAIbwIAJ`)sw&cQhnDjcd66a>&KP#Rolbj zy!ftHYMyqVUovz0euZOUo7;XXXH5z=)}JnT&$Sn1>*|@)PjkLMzzkw{|8-E-msi^} z)xRk2Ler;DjI*bopT4|wgTf~1XC8BJ27MBn6u1A0?8XmLvU5fH_e_zAs?@ZMdA?Lf zzV=dToSjq8+8opG?pIWnr^T&P*t2!Dg?`qgaASRW)jf~n9`9M<8TW==s`97zq1*c! zKR1a@neLqvw=c5p-5#Fu<(suX>{pm%qq?`w)2i(7GT(VTUsuXaUKje)WZr7!Gq1YO z-dUzpYj;4?)o=Be_M-o<-wPe%4FBal&%BV;K0k2soW(rM1=GTIJUpUx*dmenVeB`K z=dQk=oZd0KXJEY-+VcIxVUP!{Z+!}LJ97KloBKX?l6yR7O5Q5py8qheRV?~hleXWg z5xZ4h<7)EvVa}d@!F#)&?x_u&yl2Ae1J<@mahtPe)EI$^i!JXT|BE_*dw<X8MQW7~ z>$E?d3wu!8^np(d<i8#NS@wLE{VX>7+h5U#e>c3=-0{XDHy}#wii-ZPcS6VaT#&Ex ztti)d`j>Ibg4fGLzwKIi@srcj6M2`WZmoa#KU%fYtLpEhnl~wT?dpy9%Q4Ac+|jRV zx#gIoO8e_Vwn)3W{Uw&?HcXR<ew_5Ke}$*t*}}Hv9PeMcg1olc^4x~L4}6sqLGIcp zpV4D%<6ALZ_sO0GtWTdlHq+l8zNGYn>?Y|n=hz!a5ve;v5F;YjsUI_YUk9;aPma<a z>sOYLh^%+6$gk*wTJYp)KIi*0R_C~*0^-(ft*l(}=uYKHS&ciZqgGG)@@wn0$CG%= zFYDBqY~2^{#kY3P6q$9OgQoS{y)@D{7pR|8p$|<cc`AEO*PQ^R@mZBWtq)meGlL>7 z$^OEL)9D=fSDC=(=WVEryfgpF)3*otLZ$Xt8D>w8+kZr61&>xyg`dr*prVYYOP>_X zoxZ8&i|6#pot;0D_gC+*U!-Pf`gzmL59jvG207XE^QXu|(f>u@#y{uCe;GSRe9MA8 zcXB>&if5~w+33rfH|fjoCeQWp4;*usYCkQGuYLA0=IC?&TOmu%i(4!`kvVmJ)bHIb z&-a=A_MT_{)vxZ}A~j2QNui2$&X0Glzb|~R%^H;G#f#W0Oy~Wsn)hMe4?B>BecSd( zov+PR++*4#ZhA60x6*Xp?^|9K;&;#87B@Y~y_4^+<Kvy{#W~(Dd|w!MMP>c7;&^?b zdtL{-XFa)E^^SYq;#+PN&(A-7+db=v>;0=0@3O5Q-ZgIj+$2_Vcgx*4^U#a0)h^F( zR@?MjVdvHL-c^62{xVwibKTj{5YM04d)k|CZ6VvqhgEYNr}f|0kL@%5(>UkB>-~p8 zWzkB@b035r=IU1jPTn)?F^|6Ry`BA^zPb8-O0vHok$%ImLOQJwTo{PXo^GBxVY=({ zhv91rFE6>i-c)XK&dlJ_k0-oOE}S}j(&5s$Q=cxX$3A=N_o)B#{)1q{XHKtI*;AeO z6qGs6?)fA9Fjl8-dGo9%N9z_$oW5S*o~3ccZ%|3_J16emG{?AI|Lm{atV-QB-@EGX zrrhb*e(W^memrsdBxh}X)%MqWraWEjzw6BD*dy}G?;V1gzh3BG+j?-ArNzBd+vEGF z+zMLI>}>yV?arCopa}l6`t0edS<@BI*KT#YuVWw{)v&E$Pk(KK=K0V)^B;Y(`xaMp z?^^B5`P)I6>i3QHg7^N$KLWe?_l@<!_YQ(0&H7e})Q<D33gxTiAI|;mdT-wf&wE*? zR~O3v<~_9gF*i65R?7cA-?DxF16SWqS@(|r<9;}|QaC^Uipu(HwK+fjtN!N9|9e{f z;iku1Ue41M&YC1%8~)hto8zHqtKRMU8IIR|-`{w>%&qEf%T$r|-|GK&Y&{w2Q}uUJ z@se|~lkIr_RC&C%+xFywRQd#&b;l)Be*XA->8Zux=p*v|HGSY>y?D;U{Fd!r9~P-q znjV+r6TJ7-{sAb-9Iabmc&=c^hj;UjfUA!0xp8@?74*+8>zp?!ZoiAXP2kQ#|6>>x zM!s<U9g{||$;SHs{VKd!A;rLb#XV;~SNvY2R=H6=<H=Kdj{L`8!THE0^YbU&S<@9C ze^Na(dD6BSUw)~+t(d#kuK4;<48#Ac{EPUW2`yx5rhj-Re;QH<RJMGO6WFiq1Wth` zPA_gLzbA2T-vZXBsT(;vr`;Fk_jvulF~$7Mq+6SpKG9X#TX)hr>7i-VRztZ;P0KBx zf{J#W^NzIpwdC}kntqAfUjpyQ2Tq<dtFp4^gIV7baGdU`ng5~cQF#C~om9^G;J0TQ zC;}>P-h7sNpXZa&ow?`Msr=oSaOrjVx5c-2h5Wp8{oYxd@Q**4r=PF=+dXG{_qu1# zb+aZFPsuE+&|A4hsW$Gg-if?Vr2*$|sQ#&M;y-cAc`7JHaPMT^BlUm(6PAZ-MfR-l zymxV0_~V`H`8nVJ5d_yRSHb*x;d{1+L1nCXk?gPLWB>Jk@;}_`1dhh}zpXqgp7T5g zMdQ_)cdtbruB~sf|K18M2Uhz|eA!W^C4CB%%wI2)`?l+)RMlM(Bfa~K<?}9FUvgc4 zVY}{zsJn5Gt~*Dauk}PP2t)+yZ9Z~BVxh1t=}@#Xv>eFgeE)Dgq8!L@ui)o>1gc9? z<7ZC~&WK~Pn((}oCvyIjtDfuQgZhHizDn%X#)ttO#eX}#7D0^PljC1;8dTAS8|zyO z+~a#x9u19vn-f2*+u!q@{X)~HqK31lBPE}ts@a9!Q2Q*Vajxd_o*d6z?s4DB*Ug>q zaAtAtD<Ah|tMld<F>=k_+W-8O!sd0$Xa4@)SF-1}y~w6N8@K&AcyU_3m#6;)IZflk z71inUPknwirTD*tZcq6VruVEZ<=OV<x}zlCSFqmGYuWDeVUgG|Yv0E0+7H+6ow8j& zV}kmL>N|VdB|q_>n;&&L`(9P9(=lyFZJ)g81wVb?l^5)1?7RLa<M;IYli{t#%O}Hq z=f67rTQcLqdA|4WQtVz<-Z;N*%XM9Dr9F8E*JNn!_@Mq=tl;mQ1uvESmY4Z?f3~@P zzFA~lxU76au*|oI3%^yBK5%?@U*7z7iTUTL&z>;NXF1<>V0%sbw5Rdur{x86e=eKy z#btf??w=v^wr>?b&wJ%i#c{0<aUS6COx!bBv|_jLy<7A4)CO`t{@cZr&wpt5KKAFX zx;@`-bM3L^%&$HO^06$}p1mCJue<{*+Z*1v-TYzhjtQR^i5=S=(YU?m!@L*ADjk{Y z-Q)J~$))88{;6O3ly!Q~f&YoyTUTCSlHBT`_Ba28V4dt|$<666jz=%DegDT;C-s!p zxBcyht_N;D<YT}W_A38rrSa|$p9M@T_@92isQB&oi%%T;`-Rq+<h0crTgpkkd!@Yn z=Gtw?4!`+Ye%bI|zJ2zWxU{?ilR72~O=EAq9sfCHvO)bc`_$9<eJg5ixBp$olOL4U z_IWG6rJ-5rljqvdPMYC;cc~<cb-QzRA2Oa0@I5rGjdKr2+*0}2+`lU{o$Q;6xG&Gt z4UkZ`-0Zt3u6bS0_pit19x#15Dfa&L$xr)DB@E83D~x}i^r-*zt)hAt-JbBr`{Lpc zRWF$V3Xs)>@$ZEWMXOc>azDPhWBqr-L($<!Zi}0o5P5P`hHKAy@vok-H|me+ZuqhP z&ikx0>RaExj&=EUQ&jGK`T|G$$=7ukzbQR^j$?iFrnBimb9?%|^`w7^@80=l$<+F9 zhQC>JcKrR9TGx3h>r3qBE7#Ao=hioxbuYMkW1;^{BbDO<o7pVv3XUDT{V*!J?)K?R z&qMd!KDce={VgBVQqz9?E7i=LyDHfI;g-Cgen~0)SsP^jYu;Tqqx!?|bc=O~_xC@F zmsff6bymjf(7<W$^k>$sU$gPwo#Wq(Z&c5|omMaG-TC_Ue}=wCPdoE@;3EffYjftN zN*?Q<ye(Mba7q+!U|;cV$(&t%nyo)C`WEPFy$(1QD7nVS?YYb*%Pq+(UNhJ_r|@jO z#((2gI%k$jMo_2JDz&m18p?*NBb{b&ZuI?gBV<ec%*uIR_nxYaue|@Xbj|s{bJU-! zsJ`9lSN(rpf9!sN7k&!^>aUb5RI{^5rLEqu_}A6!Rr1qCxLbv<9`{}~e|Nv}pBakv zars}lpWTS4Jy#eLx8-TXq=J~Yoz349BaQ0+emOVa>XBq?TO0S0mnHvy@7T?zzDhz} z{=|&UYiI5Hylbh&+UKT6U)sG~TX#mo#7vC!{e{o7#3etkTUEFI@!i-jhofbu+DJ*q z>sPdY|L6Qx-{{#<+ZWou9!~vj9sXh3|E1rrr{*y}ZjY}ksy!cWUoJnlxAl<a)%2)m zzR&dLSnZj-zUHBP<oW#{x_+g9mE}lg=bJ0sra$xWsp)4P-kxqFZ~OA{^n8K8H-44h z?LRT&@}=pYS@NIegB%d`t7zW?+o+5CT#oJ8!7jEhMxnyH{_5}7n@r-T3CEW``LOiI z$HXZ~a~JJnj<hyV=ZP2VKrvM{Fu^x@m;7=gD}C>HJ&`?6?X&N#?5WVLtz7s(_sz9^ zb53=Le?M?&x;W>(&gWnL?b10r{k3p>+0zeCy*KY#`LCvyo#}Stw9kh>s1`^3oMc(^ zd(!j8>b1X$CcZd+F}>7YDcR3EUQcX~>Lb@<d#3HHS^Plv-4&QyYbHOCt#rH3K7HxW zP1YZu^1VDgw{dE`?DBog|DW@foaH?{{Y>Ih?`I$0de7^h_vPhj)kX5`|5yALlq`<= zwaN8^Ur$zC%9-q!r<XQuPj3IF3JUWLAD$j<+WvpTz4+-%e}1}lWP0tT>5_L%e#YAw zAL5wTS6eG66ZlT`&29spc%$~I`ZEu2*RQmzy0lz>dS~66%Bb(kM$fi<c{-c*{Rzdh z-944MwLirU?#`SIH(a%8`)|#?`}Mp(r}{rSE&u$()0;bQuQH35`28#EbBJGm)ecp3 zlcQbjxBU-;n!L1W`+rY}$(1u7+}#}cTS)TrBe{qlMLQql+OGJ1JvDRRovA-Qy_DHk z@?SppO#hAR;-7DRyw$h8?V<Ox4WCqte|%Ft@9zKW%hPCAd$a#A7vE;d|GwTt-{{%a zFHdi?zCSFzMSr59{=3Fj{rid)v+GuZ4PK+N&t}@;>6>><QTlE5?W=29%<>q&jk@R# zzU^Y)_tyvNV6KC=z4n}#asASCZPxb(OK(j-F$0_)-iz#6-2eLbgH0y!_vZg7y7uSf zov8uW-bc=>KGnABufeykSO0N7jXyXmH1WqI%QZD8W%kvc{Csh;U2Wyg7snUQe^KXh zY|gZOdz?O)2|`@Go8|qFsJGrJXS%Bs9-a<uD*s?uD<3oM+39~rrgs<p2r>`&_iokH z@MHV-MOn5RAG}#T)y{YI{`>7HhTqitwegelT}U30Z7To54#^{*ybfA#4u*yGr@Kwt zpZ~6^kDd1HG;^o^`ZEttuc?1;Bm7tF(D{zqTE#aT_1oOnbD}4|?;UkI_5RRssb+ou zpxhMV^w6gAL`3?_I(Yk^EI5fgO?Y&AcJYs(KNay?pO^Z*dHs3U%wvc9;>6Lj_S{GO z6)zj!xu=}Te0kcmX?yzqXW;CzC+b7l8bo%P{~)){<Nf;6muh}4J~F-h>4(%e_51zb zyfzM-asA`-Tn-Eech}8%as6*ckEL#HW$%Mrbx3yE+EjkI2p-betnVY{@8j3={`@rH z(dkB+eKx1FAODmW`~B<B`xSSOh|WgO+M9HK6{gIGI+mZ~UK%88|5@<h?q(l&5IZ-O zXRL=9E8MAHKk@K%-m<UHQ;Xib{=BKPk>}<vjAXZ7`0tJSeyF*nP31ZL&_Ia$V7Dg% zZZO-y=#?-2mPXFfcNdD6JNfYREAPd1NA~So!QU@tzCjs1F<<Yld$TVX5^1T^AIRQX zTRG8i{Zf5<oeKVQ*I^~mp1=>&s=tCuH-FWAb51-wt@dikjeR|}wHxPNUwN%KBV1x% z3ZHhoQG0&;r<yNI{NwWk{@$o+hq`t)OMdEdh->d?R2+|AUfbDIS;((bQ@Qzp?%j3Z z%qQbpW0`(1<(-wr>+f1=^HwcTI*}9~&*DC%o^z@}O{4;f>1Ew@IlFwnKMrwwp8E2% zZ`1ZYv7h}?k7U0*-Oq7v=6Xc2Je&3X5i>|)+uW%?{n>}7r$22E_1$Om?d#Pi3+Jen zoW5sJb5bU3Ukcy-eNTSA@SMNz&x#kvFY4}+pJ=#zss473dqN+TlH<JN?<rM??{k6& zb8=I8%4SIXMLarv_0sgO-}l>`owlw%TEqInRg4t8y{k^<4zy@4TK!<|4$seEQ}cxP zv~J!CD~s&)Dt?<oBClU{-yNmenSoUs_uHqud41aAn#TNl-xDx`S^V#f;$~<t-)4D# z;wv;m3pZ`Am<BJF)mihuTS0=s<<aTt;vYuezPI<()&_PNCI=qA&xf8f<SzEVO@$T{ z)-3t|w?a)VJGlGNeh?d6BrkuE8@sv^R7wbU>dT*ec>2bDd82P%Q&*^-THbFjgr1Ab zJL+=c7DKA1Pr*&wcLzhlpf2h|*<W`M`wlaAe4X0|v$faufNGH7uhTW=sLwkCGuT!0 zSdXiyJU6;)V;9LEw<>|urQf*jg+Vgh9o>rR{+EAUA@%;A;17N4E`f}F`sT>=$Cfpc zzkfR)+qaKrtIN+XvyP)@;!k=P`<=C+_47U5irF3FlAoh~ecIeqo)GgM+<e*a;puah z_X)F~f%4jH;dryDYQ^F6BKOy?l-AfctGBlH<J^dET~+O0GiUAnuUZ)KbJBNsZK}OU zUi&oE^ml@L7EjNF6g|}}??223RZ~Z8LB_M@pI<r)RORha`1rI*X5X1l8D-g5!-RhS z%6e@QC-Zi(aw2-|vHr#JPe#y~ix=3_ES?Q9`8Uh^i^}krTmK+8eib<8f*zgLF8UGl z=ZAQBT4P=Lo7dbWx0kcNe(@e7MCv}W@7WRoDJh=X9lX8S0~BT6@pcLo-1QgsK<mAD zkv(U{GvlT&{aNJs=(IFErCnB9=1~8lvK_-%??v+J&t5{RyFZ)Yg|OYh=s$Ds#Y3yR z^&Iy$?gWQKj&IG)*@ve?as_ut?&YSfk7lB0;Wy%cEj~rTLP2!T*&~p)is`}KkIbv; zp*8=0y^8j8*Fi}lHTu!%zm_#O4Rp_no#XGVt$q0B%a_SHwR-5K<$lFq8~=ww%LaLo zJ<02@Kq`NJ&U@?B;SpDNFnZ=<aPWRRGTnaqzB505CrdBi|IA1I$UeKvXTRFiJkZC8 zIfpOF-*cdDW^O8f5d&!k{q#L}`*$#i4Jt5y>K=@qw-jvjxg*ovm*{sdeVh3;tTyus zs3r9E;l)RMe~o$Kjohc{|7Z94%4WP4soTMyGdEQ7SU&sPw+U-P3uR|}%zSkB!Ui>s z*d8vo1ri45GK<oE?j$jVPHLSbu*+kLXU9~DrJd*W{&7xz$>kig{4$?w*i(PeLJ{>6 zu4b;wOv2$>w|FO3^8cMT`+Mz=cRya-`aG|C)9fj4?mfR(UHAT{-~9U-yUeD~zII#Z zXWnbC^0s5rPn>ryU0S_-ik;oHIj3dy?q+$_m&sqQ(Jub*D}B0H(d+eD^Dcfpt$g;> z)oXcLm!A}^{hFNj=I{)0$>Mpl3)X!+^0MLjRJ*jNuU^$DRP6o)O3rZ+Rcmiw*Ejur z^ZKQrlWW(#Yi}xlYz7W4zH8>cA5Q(fJMx3rE0gu7@BFOzHBmTj=KI!5^Y6MF&Od!t z)3#`X!OYzoo_$_#^ECAT%KEFyg|-5}YYJo6UZ3&I^=*5%mw&l!k>BT@y11_M2TtBQ zEqHt>=kfL)P&58JBdF7{_tC2-*(LVVjCaLN+qcK{gIMvb?Q;azFV)W%-NPJhl5eRT zH;0)!K2LCuu)cgjmCpaaCsW_q{Voc9bh@&;Ro{F5<;?9jGx^=VM7;UzTCy^(c*?X{ zZeL|UH7T_9T??vB@2UMN{4oJq%*%7$V}jO6dKK<wnej@=U`zf*eBkqY@fp<Q+@`*- zX8V`a*8lrMSWDiV+bGLX!5((K9nnNy5AHCmT_j(999j&019f1a4K}lbyB}ABo3hUj z9GY$~xW_gb(i+qjieEQj-<k`}ljBdW6>$4d@aD5?L8npwg}VZX(tZ!P!%(mFYh&?# zsFU9|m4Ao?MT&0iPve8TpGPAM7vJ;tJfzCA7LJdbd2qUVTHfkcHA!zihdvLTDfRWh z9;Dp;71Tn$ssC$ZF06qi+qC_;CdA~*_6N2Vi@|lC<%SPW^;z;?Plh-<TR6Tf{ln7V z`xeZ|-*{g9^VY{VkNF{WpW@^}ak*dQ?~N_t&;o95)AqlH5OaTOAGH3@25t}*Cp<j8 zn<f8WAh<3~4S#fctz=E5(Da~`@5%G^H=Qm$QaI~82cmw07;Noo-)FZ5Qt=fzeAp%g zX%??%eZT27xF2$^u~olbxuU)NH8}R}&G=C?{Yz@=`|mTWkF>4&XYlRks{dT6^AmpV zK7{B;UI+Jb?k<x5T@Eci_qcy})(NSn;tuZqBn5Z!Z<hS`%)e}Q=$xHy+o?Z)^1d|z z-n^o6{ZAj>c;5ZgDs^V^-J3||(Q9xIHQ-C~^##!QyU%s+;crm!w)M-?_bl&kt%f`M zz4)HF-(P{-oB3Wfmgy%`-tAiP$Jubj3@uwf|JAp4Z#*BqRXmOBLG63Y02luoA#(xJ z{{GqU;O*U&khbT4g$naE7eQ@L3wE)6cT_8Gzq<tPv42zBXA}Hu)1m9hM{oNtJb0+y z^Udehde&wA1y7pM-T%q)BWK(WXJ~Xzc<}blLWt?|LVK+BF2hX!v-m;otLtFX^L%S6 zCw@t7y=ArT(Bq9ZGQVp!#w^z<HmS2fss_N>@txRTi;cceqvx~aOGC1woleE~HJ4x} z*SLJ>%e(sgU~1;RH~ux1k!<mD^Ovqz^}}-G`S7FDpOr42zugAiV7~4;nLCT1DW(5G zt`em3w&%XrtPO4@f>O$VrHbGA7r_SKnfc?>FP?pCYVWQMjn(g{-S=vjl)L-wKpylY z*xyz6=H?QJ!JiBdT32m`HpjIqp0B?EcXG^!vf6lX56W`Jk5A8d_N}qr#l`*a>c;cp ze^_U@9q65p)Kr8dZvT$Dod4^f=|u40?f<gSToZn9_nRbm5>#i+|9Bgc8`*{9`BFZl zzFZl2KWV=Ht8Vwhys=W~#m9W1zc+TpL4(-t;O(!zP-D#x?*3E*H+Dbwy+h`ZVVA?5 z`ts)=oUVGc<i@*WcJVpaUw3?7!+ChR<s;~@0Hn+dyx9M9{p&iN^pd?gwLiB!(5>_R zJk9vg)-O+uo66t*-l~6E^5Y|!h##LK4_bc=1?Tgp!kzl@ryiWX>8`Wl6@T*l^}p5! zeB+E-$Auoszg_M5>La0!wr9z2tN{0=<_qtUJ_k?Ff0jRx-4iz-G^$f%^6_btv3l`! zkK_OD)_se!`*y~lJmIS;Mp|xoar|C3w4m<eyw|A@9oc!#n(y8XFY$Ifc>A*nGP2|F z=ya-NjpXn5?LD>o49=Pvhupp1ieCKJch<d$Sq)7TtOu=c!1AAN#qQ}D@M^{V!?yUf z;6B<MkDACc4^H2xw@-QV`E1Y3Lp9eMr7?=6mKVpj!J5u;B71CQLG^UhuTK*myp3K0 z?)mZQ)cjoZAa}15IH^@ye0+Kp)v?KUF@j+KBKg^R(9ZhLNe^=W&w-@iKl2~RMy-Gw zyXnE%`Y^DuGxckOSA0J5i)UZY-|x=H?CzhLp?Rh}_Z~(~#ot{g^S1|@g6I5ToVym% zT#Qt%IPP~1mN(|8R<wsh%4ai`eRt*^oWAjY-H98|xi9A!J8%87484}Bob%%NKYwV+ zY!e47nKy-fFgte@X6PE>J$vV00cYhLubQ3K&HB=5%WgGQUcd32J8iLT!<ySN=o#bl zBKh5R3n7uVDeePbeGH@-eokzUZY4Zl2(#or=Yk~O%ufB|#y^a{)u#*nu8}hlJtI`R z9it^6>}oG&4=Z^39=zS_0x@--O2zc&@WTE%*S&4skYRw<Bh!1|&p8wOF!WQ~k5Bam zdoAWFY&>s1w_5a9b#D$odd}coB+o7W3ew8Y5!o|WJOfg)nRDFha|WdkaF*+B+WxZU zENE<2&$p&Bj4i%vJO5t2<wwMS*9701qE#FCcrHe|@O>m)^N1PRB=;BFlM9KwpJ@kg z+phaAF8LW`a?!j8vbFQUJ+)}z__#^1$Xgxs)tB@4p4~{j5^%MeI{C%%gJICd_-EF9 zVMqZ#PpRVfx7VO9C8%PGQK<NRAJWV(7LJdbcX0aF;H-78Dg)nq4n05BqUuuLZ*+5? zI$i9yg^exTSE_KI4$a8HtnV+_fl9bSP~HeTc)N5O*tNHX;_FnK^<VexJJwX!e&acJ z)#v4sd5@hjg2Cis|66ZpAJ~lh-oK-uK#ltKspO#bws25ff|{XvLVJ!jfz7p_^`oem zEq+>^NWhitH=cL@dUUbCxf&xY-*&OzrgsTiLzf-AUAhaB>1>=onDK#nmffHhQ8~vw zr_EqvyF2yYpL}roQt;w^NA2RTi1)WkW0t^8FOL6ly4(M>XWjIr`r_R89x<JbKG$*m z(sXZ@_x~9czeM{^M(VWW=G+C%mE68{E_Z{kuhqT;;bgA7<kIF)_TqdG?iH_}EbW+F z_QJyXX178JqkH8{S%akp8*{W)Ym_bMsTGh`ezfRV--^Plq>D2G{yKa=+RNXkme%#W z@O){}eewUB>|=l5IbXSH@|3xkUw^UL|NE!?^Ixg@OL^P-_Pxtvt+y`UwBOi-d;YKg z>$|=F+phldF(Y>2gL(hAU8?<Vcy9YA?_Jh&m-c(MzIye&apjkal)h)BGap<2d7im+ zOTdrtm-~Ka%#PAHqhb5+_#NS$r%&m>lk2#B`{-Yh_si_!yRPSKnJLxw_4lToUtGTL zi-|E``2Mu#q~)8xG+17nZui_G?CQ-ToewhEOobop7O3A=EBjkC)mJMd^sMM#iywZV z#92J^{_@^Ni`4n&h4*{~rF;F4d&=1#>FPeuzk2mEYyRnOaAz0PXgDvthk3K<`qP_g zBERg@Ib*$3f1~m8dfUCs8{a$po@fCX1M9o6@5$GTlND=sI($jK&jYgJ@}=p#P30-j zc(2+2!1nocP|^bDs)Y~U&RkX*JMHP|v-hT_KmYKw^Zv|Z_ogI2_paTy@x9N}+SKnG zF^u;3lI%VeTH1bQeSbg%l<akDD;po=*2Te7s@R^RCudzxjokO<!@ihVho@iGUT=0^ zsPNV2y-Uxo_@FLtA=eI>{}H@3eUDw0(Gq=WS9`O+-#b<x{&au6B4}Er&f&wiKj3jC z@A$fa4|x@SzuBS1LFa>98_=+0<i0(pe;4KQ#h+X8fzMX5?$zhHIsc}73RgcL_Sj^) zT;!j>kZBvI-;=Jp`?r00+PX+S+Z~$C;#4ZS*Fy(xmNu3Dwu6_x{G9h@fqP6(PwTxu zZC&)^6VFwLm$n|Y`@XEZ@k02jZ$Gqr<ap~HCvPixY5Hjwdp`NAkc_r7=7Slui@<eo z_jZ5qn4?U@kD{dyWdAI;<JUX=>8bO*>AQ=6d^&USwPvTv*PoMicv!Y)RJzMRMq%AE z;y?X*adN`G7}Z}J|8IZ>b~kH2FQgo+i})b-23`s6ary9V@1pa~r#IEyd|dl;vdq3Y zGdHi+`kJ}&eE7=isXBM&rXPhi9a_GruJ516^YXOX#s1iOXl;B`r-Hp5+BsO-^!<Y# zJRDB5<R3o`YV<ulZF_IJ{?iYsKWEQ87L*|R!F1*MaK`BgcLcs)$1wY}tNpfrq0m;8 zJ4^okc&Op-tnaVPh4&ogh4=XCzx-PoIZglf9{u>!552#hz47>3&c{6guRb5$d|BAv zC`2ATk%V{Gt@%G08X#f^Z+~=$q`sYQALea#hK2N}$4%S6@k1P*zE}Ug>3^4ZvvRiF zPw$*Q|HmQY2*s~IdA422IT^|Q2-+WmClJv`ymp_Yp*bg<>z*29FmjLA2eW*5r(;jl z2fqC)AR+#~cBetR{_+`H<7c!6&(}YrcWmRSPe%)(<9Z8gPrhEP?)+<0=$FR_*25B> zaz!?@pC{Z@emD#s-r21A|MkCA7DP_duYXs2bJF4Ip@kt2TLPEZ-EYZ_Y0=-4nu(r5 z{w#TMeBOL$GbKj5qJ92#$cWZ_p*^>);Whty;XSeTplMT`c)p7Gyi*TPzv8Gaw3!$* zKi}rN*0<}g_FC{kW(<|L=vUT$N%4r^)>)_X4?13$y8FS~dOuL+_KsgCyr+6Qymc9; zUlCou<U1&*|JnQfX`jr#HJy7^{3q&v{pnX&t@Y|Oe=J5$x7Yo(@na-3jOPpPiG_7d zs}5FwaD#`2G)w+pV@M=AzCXRZ_{XL%SC-_jJU{)*(Z>(hbsV0~3ho)e)AXVj$7k)` zC4X8nR^K~5Phij5TG&{Q$e#A~*Z1k99|2c)=eh1pR0p+!PEXgbj+Z<0@br~>yOdX- zHwFdO-6_b$2;n&|jvtJJy4IZYUKJ!o+|j65z7C!T@2FI;%UyqdFg0?Y%(s0ts_pv0 z@2+3oymTw`+t(OrEbU@{?QUpV$P?V7+z%~;&U4>ei0GN;i|w(sg?NAQz3IW{tS9ci zCiC{Ue(?XF6IPy|K27j`&8&C4=n3tU*u{R?e(3b$pQZ=06%mkVyQfvby&B#J%@^Kt zG;i;IP*K$J{b_a452LU1`DgyB$<Ldy<r4Gk$7tCiwf)8MW$e%nOkLoIZ#D}co$>uD z6|ZL@OcmeL`~5XIOUHlT7c=wlbmL2_u9*8w{#BDNVe!y^PgOK}=<ad;lKi$7+WdPj zvgc?ubPQ`c>-$fl@WLXRHUBI$FlJq{FDjfDG5zrLbd@{n^R`@h?)~S}%8#$M9YZhV zcDvZ~-Cqf9Y6rs$<-1MWYdhhcF59N^4`sD-G1H!&o_TNjT+5ouWm!wU$StvpuL{rk z(iyc4qkOA&wf}a16~x$2b_b)KAsOyAOa5~uc;a2pac^HVBr9j{)&KtF!&8*9{>2?Z z^rCIIi+x!xw5_%?_5)uYbZGiJ_q}_S@POdwxYsuu)L}k7{r$InHHz)}?!U`7TWah4 zTE5gS{)>2m4CD9rJ?PaFe^*_OF0}c6N3r5}9%#J(`laddqI=lyt*!;l=7HL+rU!3t ziF*%jWW2Baxm{+T&)?_H%k1u3ywdnJUFw!Bx|8LF{zlwi2MLKy(I3jTKofF1>-!5g z;ZE-7xOeL{#L3)y^#9L2JpIwr#qUpVWLRc*KjPbxp#5prgwd06(ejGs{utO~)9a?~ zosh1$yy%`*OSsAR1@;^U_vv)v&8p(hY3^-|dH1Vui~V$nyW^Mc%GbGbdVUvraM&)A zXTJu`BQ-uBu0d;Sy@S!_(XcwCX#0b=@oT|l{LVAKiwgPT!+MwQ(0Y*_G=F`Q-cilP zc{ed?r~R70Ha>^V-rN`3a~Re=$mhQI^fJ6KmKWa>dlr(j)!v`hF8;CU*OX|Tcj6wk z`*xJq*SxIyjh_FW%3bU~3Y)j6X3hWa1*!Ia${xIJ2U%kQ8uH*gczYM9Prj*U=dItL zp26eqhm_{KaO*El=$Y@&x);Zfbwf*{I{y!4u`3|idcMe>xyL~n@hE5{_B(6-U!k+F zH|fN0tBNms{vq{c{oLtabie+bw6DVE&icn6QX4HmOCaEto&T5Qv#hX^_?_sUwa>Hf z6}f@d$9R4CCU<$y)1C@&KWWPY*~k^(_NUqV)3ug0kyTljwqJSf4eHJC?(asAHhY1; z78dc)h6O)szAdCRWT#xgFLUvCcTXkA(4GDt_|9D2qj&mK>c!umwp-SCPA&PB$ol@k ze+DNGJ%(<SuF2d~$>Zm_-rkgmww5V5k-%R0^1$@c83862bw56xk#Wo<X?n-aMGnc+ zQh5!_9<c9{c)M@LSLSs!46%nigjE*azH&|To$JyChgKc1Vn4*N@o{QELKU0F*PS;% z=dXKxzIa;ty4~x4-?{0@WqtntmhbO(&ENe$KH;_BLV@qeS05K&X^8ijH+OzbOstlO z+N9%Ko?L&I+$CT7>2;~P|BT1Sp3Hju!{_9*<iJxOQ~hQ3@XPyOkFU(SK7Y^A($-(U zexLUc^OgTQegCVzgDmrulh3K1<i7JaD%fi2_v}fR|1PrrxkB5-gooqasZ>yNzs~Mw z%<<j(p0t}sYSo&U<cRJmm$?L*^Lzf_xT^i0qWbNe_x5?4f@WDPw!B~S{h9ToKeuYv z%nj6-GdHGE^Cw%;PVVJ*f8F!G_q{)P{?C1<FUEA#?#svv>2s`_k1<+#+tt2I7d9|l ze9*dPBBa~-r}cqsRT!u~0`+G91$`)sSy37LtmeeNH(s@ti$i~G@{&KhrpR{M>5b>b zPuHF@KECcbMxS=Ui{qbcpw+Q+)Asd|AoINA|9O3QXAi2C^g-RFa*li3KAV6_vdZXJ zr&}#+UjDN-dFKA+^U<33727_FV|47-yV{$bzX)l-|CBy>`{EKvPLLPa<1T+098w1k zP2VrN=cpSvCwyD7Pv`lEr#gB|Ps}@R7oW2I^^V6=;xNWdKvM^2p&_x;`@=TqVxYW( z*6#)P?msa@95g{w&GJ4Y@bfO6)6=Dc<L^y5JbiQj?SQTF$L!+Y-e34fSjQe?bhTdn zSK$UfXij*~nm-XzI{sIvSYH_cp56xK+vcY2`xk(-*S;&$?N2;Bee6$Z=;ZZ1wfko6 z(fqGo^4$e#Il?c{+6fu4zc)UgOAK!pwul3-!{g_?cX2zYAlUll>EWjGqkeDYQ_g@} zQTAN-)@_HB>h`|1J5}5D)&E~G=8H`E-&ecuMBeqn<B}N`?oY$){SU#GXMksaeyU&W ze+%n^{fqqYZU0JWNDJ<H-G(S5MfW@f4-B84{&yv){#^R&q0v>hLYvOoeHQP6_G$8+ z!x+A;cD3Ioa}5%QmP!@7<1RvK=6b<B<vH-C+Mm@Aa_b_&wOnQWtJBSwria%33#$29 zvoth$eOK*1sok>bt+z|^(8H$2r=~eP4jPv~cRbJ)hD-wqH<iDLdkb3F1sY4KZ7P3L z329CoyfXd0SA1CJD&y5L{ZEVDd_Gz<|I?X`C3WZ~%ZUE9__GDtsrlQqeI_(E)hfQ< zUkj_8D;+-Y*)4_CN5)^D{yBQQ=(_9GI{Ec>{()CNWGBsE-<E%tb8&eb(z*@EOx}Ev zzc<Wb?G?>~*4zA{IVSdl++N6{F;L}^dGPk<mV5EjQ-2m&e0{23{A1I@mgv>n>=eKK z%zB+SN9OG_ZH!!Fyhz^K4O)N5iR@{HHQqKimETi)3k#FyEcw3|L+ZP|EA_*ld`SKH zL`&z#`M%nH5AqL)F!kwR%u1Z^uFI*0c0Qikv*e!#4>Csm`owy$`YfW0P|tO5S|X$w zoAK)O`)41Xe$jlhSzv$2o6oH~o-gltDV+FYlLJcnvsC-Fafkc&$0=^#PhXne&XWH- z@2vGq(3Eey$R2I8jCj8^NH<|RXwefmJU-35GJX5=52>Fnt&rb%Ui|CX#Sf#VBdu42 zOiWC7weNceOCDVhaup#Xq=%cz?^eNsBAoU8hY64&fQVP8b0M|U%a^hoW$a;7F@iUH zk^JxNuOXwZGU9ut=7Ngys9&E-5AObhnBUFkxVLXDBzUg}#lM@nPiJl9?9dkQ5{u8N zcP~e*|Eh<y<_0qDliyYMW*2O+pWs33KgQ4&h5o_YKV{+Wt>?bi2VOXKdivBW)7zKo zubz_e<~_gU@0!rO>?zaBW06)$K~kIcBKgzbp=~Q0t%~2<pd-cGS@Pc_W;D06=HI>x zadYRD>Bj4=rtSW;=G$k;x{%MVn#Z)9d*kKN$IkBy{=M->7+UuK7u+LT4sCG$=epN8 z8(wzY7u|Ez8q&c#`lQ~;%0Ffzbj8W%&_M4g)4k1Gpk0L@;PH?zFHdt`>`$HzO~_(L z;w`SZL5hycEbmWv!9&C9pmo)5aO|ZfzdBvS7k}*EzX==9i!YT}&9k+p6g^+tIDdKk zzzyo$bgp|!koL+o?t32*JsaMp?f>0DbGxUfpIxb+f9B!oV_J7bPCo_B?Akq@x%l@p z^qGlBk-s-KJ3<Tpl7rT_7DEcqbdGz=*26pI^HeK#*MSz?M(&H5@axlW%bLiy-%US% z3D@1D_U&iZLpdMO#|L!L$Dxasy*U2uE3`XyUTn|byO1PTH0?p|-$n3FQ_jKYeZJr# z^yZ{rMa8gyFb~yR)w;fTgEjiRs99HC&Q9o%Q0n9dZ+E#uilB3XdrsTK`yZ8k4|3y{ zgU1qLru_QUD6>ywe{3B0)XGCW8_$;?k`6jN|H2kUbhGOmz9c_QgNAZ3Yd*B}JTJOu z?)*!zi5eUK4}GPtK0nx`6TfZgKAQ=Lr$0J<y<l>fdw=b|SCfxV*SRZ!p4es|HUIG; z3u^9XmV9GK?9J1!s9t{!X6~N_4`lyr11E{6ldepkDzk4*|N5}2E*sA;Z|nWz`5bdv zsqw|}ebUgBc20ax@_kS<?E0nY;#~I{UEw_&an5`5@<G$`)AUcT)PJt_K(E|1>h1Zx zCNB3jZagpk^KJ0s+<n^UL7^w`_r^zFsFPI>T376aw7d31eCX2wud@Zsd;FR3Aou?+ zNXd5V%Jgp78ZSH5DJSOl<RGmJh7=XkJL+<N&w^G@-mLlOOCf3ElhHxzx{dHO@w93C z)76kH{q4&1(?!rViu+E8t~|b5A8BDeq@0L$u@_r^^{>Uui+?wLdFpiV_CBA_erZRt zU!K-x$-m5cYx-p9Jg(Ql=%16ot}RM^b^5Ah&CEZcn*;4ZQ_r*A4{y!GXmiPn{k4dK zHUoYN9=z=Y$;$Ggd)5}ihbrvVD%$OrgR9e<6Mq#s^Th|L&aJDeVsE<J7;25t=v2Dc z|JNHj{;`eg-YFqSX}L4vgWvqM@G5%hgSWr8K%AQU>NIFnr4qET>v{LDXBP|hqRmqI zP1XO;Zky|qaT2LZ!d(y(DtqjD)}{pxt6!v)lyp2+)-YPTtz>1Ww8PCPEfX7`ZVx7} z?V*{E7ss|-V$1ei>zc*g*5JjE+U>>Jm2)-lk#Xsig^yQAx?g;h93;53E4ije`1_Xc zxBvaWe`l@v<S&2T%WX2w{C+RL{QUbl)t~;V`J6hNk|clrz|G8=ZEZKt9|}|pp7Z^= z;r9cQDYy6iwV7k}<9pMo`TA>WVsFklx#Rk7{xx+OPk*Y|u9AN_uOximvQ#PQ=N$JI zUIsN1ZFhf)J6;{TS^nOsfQySael$5)UGz;qZ|ADl-944*;rHHF<mY`mtbM(F-2>ex zUw-X6^YQ)vpPTv5y?;OT`McE-mNx5(p6wPbn7(^M)knU4pFYT+xBO#rB7Na`{S)0` z$wAH4CGU0D>^WB(e=gT<)&1$0Rs71IHdwA(F{fB2-Sl?RnI4N<HiaLo7N}eIz58)h zt9aj;&bl-EVeRCXP20ai7s3|Jdk{MVJfnYuU2I>?-UnyTUV8o7=(+Q^r)?*u_j|_2 z#r{m|s<c?&_J^akPBGqk-t9*BONdINAH4kP=OX#pey~0R+rj9Ht&r?iv-m;mM}1HV z*~qU`^Hc5M?Aoc%N+aj!S1ZN$dBzw0bUA+fx`q6ymlvLkpDOh->VNjI1Ug>4ulD5Q z#i0EqjW3Q*oDLn<%@N&W2^n;>asH6^Z+0ALS!Hd)!_&sB?>~S#ZI5aylb@WPe#Uaj zZoO6i+<CN?3BRz|a`?h?@mpW5F4au?V<iijSO6{W{sC&}+jxIT{&^Hy&fnLm*bJI| zg6szQ>|a$o(NO<eW2^pou{}#ci$Rb6++_ag=^vJTbLy5faVc=u)-lE`IuiWipR*NY z;)(D6z9%0+YrAHO|Gn{<30eYiv%dfE8I&%zet8<r`hMPh@QfqK;jT^FD;9x+B)`9A zr%t1O_TM`*cN_`&cj&_N>7Sm?582bGjuG6&F815zFMy0T6>WT=E4v<2@7-6c_-?<d z66R*nJwG3ttUvvzCbFw$XV|Yz$KL;55&v)Yl``++?X7nEOV(_X@2d4*3LRYd@YY)n zyn5_;XI;)o=nz?qQbl(!q>$U>`$5il4cu_?J-)oNuBR66+oS*KDc{S}Qd7U3k$UcI zDf{b>(@sCnXNHgMUqZ)DYkp5U4qoELx=7yr_`HHri?+Qj;H?S^ADo5u9bB8fKiPZB z{=^LN-v<s&&llgL{Qv6jgQ<o4blmsJOxU;P<L9M6Dsnb2_{UdU=NP-@zwyj#nd+;; zBmVw|Z<c{1#j=mQc3=FV;qDEe@YWOF!(9(vTmednl^Y-E{&#-QKK<y=PYX{>=l70Z zwal{SLcx#B3Fn>W$4?Mndu++BK40i4A82D1cqQO{#a|o0uZN};eZf7-?9llfYu5K& z@4*d@H_Y7eaatAC^RB#py~!e;&vjpoO{2bac1f_ta_9N+8rL;X+Wbmif*$6wi{!cc zq3zo@puJhp+4R#a@1Nx(4A!f-{r&Y<PzI9kteI*1HsIZ^71!Q(rcD+JmEEuQ<>%Fp zOC`;J-CKs9i9W?Hk}uwW8PXyAnRoE^=V(x-)UB;-eQ@_@1Gwh0+wkFO(ZSoFX2iu$ zKl-!C;?vX9!aqLEDBK=ayI1kc&#Tw3&QN&k`SL?*8+eEdmOnQs{4)HleYgKAcoICE z<KDOUtb19YA+8wBitl$;*G8W00LSTj!99!jUjT>7KebO!B{^#<->_)wyv^sYt;>x6 zfAY!fuct8Fy?2p(dp)ejR@=0_71AMGFS6(NdvMbORBFYjR<zeG`rdr{QH`b0&rg?F z_RTqCH~ZI`m7*52v@cX<p=ZsVQD2f@CPI@)Y*YD{<B$~o>2Fi{hIr7hXOeuxk59}^ z+rPV4)y9fFKdr7DA2<2H^r_R?{&LjTImX+}y0gs^BYCsC+Kbggv*jn}rt%lRA?6nK zKDc`wyi){}_I8GS5Zkx>Iw<SN^w!**eqj2-`|?I#eohQ(vXhxJA3FI2T3`>}ej?^- z|4be_7+yK`!P~#nAVuq+4G+$~0k3xh1;L)E56||kgc#ag6Y2Nh^o95QlE3~miK+O$ zJ1`T&siuqMXO}_KTa0=IcPcbFtbLGsZxyH(2fH-xLz+A!9j9sAhgkam(P`9o-fy4s z;<NHnmf9P8G|&svI|{!FQyd@-^3?4Q&O!%yN}INqPKGBkcb4}b^!Ccfh&@03a-#lx zFJ!moc}8QzR^XT9pK{RHk{8_*38?_?s8m>A&ju~+0%tk>3U5`2nafU0KR>rxqkL=d z>;K^fbFDNH#s9A9%g{5P?IQW=cUK@8V`t<CzWdO%mG){CySu@SP*8ak&62+{em$rt ztqgi{dM0O0<mtukuhv$ba+)7+8{6HviLV4BOUsM@y-_zC+E`rMw7q0CB;xise)v`n zUg`{Tc%9RSXTQJv+NE=Dy6!}MarF<WFTS_))Yb`?2H5VczyAp%N4Q<=*ENS$hj-K} zx~-u_kUH!82ax_5s9Jg3v^{e-BqOIiIX#uLM)KERMd$hPwm<V0tuYS6DD$md?ECVd zv-Xvf;idA~rt<qw;h`{JXpeIAm%4(;Ir_yD_190|H)q%Xr!KFnUj03$ePNdxdSZAZ z`qyHMCnPaE)rC)7{AS62CIs$Cf*cynnqRs29=~4q=ci34rk8uhUwg5u4Y?k<xK$6` z;61)ylHXTBQ^b6+J<8XirR8~!d(XPTonKI$ZLeKXeeET<wER3#pI!LJCPUA_s~@wS z=Euv%&njH}J>LhtfX^5I8=(QM`re4|c?xYLed0TKyJ{Ug0ncsPUfT-}+)sN>Oz&5N z#o6O&ezN-$-%rDcGrf!bJN01h72adJ8rl?i&YGVd2QP2(4&L761x{o)<$iv;505k1 z*ZF^5+`jzqG!JO&4QR#waZqiV>iH$PZ0Wn>S#H-)Uz%>sn*W>YZ1h>s>Z9)*_uhrQ zJ)PyY2UIkGraoiWfs2MW9W^(nSWnrVwCd}70Z2xc-e2<SyX@v)7;Oywt~!~0u)U$v zS@O9doxXe86~CXuQ|~*WJ<hUK_B(XWO>aFhy;$hSrn=k3U4LF~W19OHqs*yYB=0Q_ zEpuc9_AEXPO}T%YzORDJk%6*Wyx5+--e18PVzqMoHdR>Q`m3ksX=9|@c)`CBd!Pl* zQ_x<M8c0F*GxT8f>1=pXkQdq0YPNTuUiarz!%t7MUY?fv|8LW$OAF)|p4WfT?LJxh z&Q$brcbcpHGni{Z8?eqphfw2%_w0^e2rD9gmL8lPy#yS%cLaWZVteWRN>0Y_$-fEz znO7$y-#&}fp{WILl|OHH>m7HPM1@&ES)12$_BBlFq=ns#(mYKPr6%irOm?v~IVLD> zsQEy?WLAWM;p)zHDqe0)3p?i<dw8|%d^q9L(kTl|m{VO_jZ@`AZ&;-k@8hps|8AfD zJ>mPF=Zo!YUwCpopY!_7o&C=%=f~NbO`GSW_~-VV4}$8P#qSP$*!%g4_If`#U5|a! zrg60jpUm|tx?kJ-Id@gf{&P<li>FL}E^~a<B;DharaVrbXHg-q=lOj7>bHM3dfRLb z2rvKtB_*Rud)>YFeFr&h+>XuBo-DrOb!qL&W!JNNF5i9Wvu~N$tJm@Zd)|hF#-e^d zd~)6DUazYCo|7xSgvIao{qWB2eZwtKgT45}-AVFsJNNGw+QYm0%fDS`bQA6yZ;p$< zzxrhD_p7G60}AH-2t1wf?$E~W@4vMD|2^I^xqR^+@!!7|?a2rg3%w<V7@&Y`n7{36 z9|l`dyVLo@HUY?ZbaT`9j6hKK0xj|SbiJv(pcOJcvFyt9{%0Saz6{-^Ic<4ze7w$e z&GS~*Y(&t_wRW}trVA|<e@=Lis{k3uyw7p(XF9l12AaIF)2XP|2d$2e-1o+_HuB`d z(>p7>vY7U(ef#SBz`OX=i@E(si&Viox>NVRIKEC5+K!YL+|w)$3bE{$r`=iKZ;Aw$ zx1iaOe$IP++>p_`=%Dy(y2ABx)x~ADp}&i7y$Sc<lb#%Z|Hy8o|MnlR#UhPff%jhj z-0|Z0HdwD_zQCTnzL2KKr|nJUZ%x3ZFKCSZKIgq_+>oh@y({(4Pv5sDDEO7WaY*G^ zmpZF&Uwxls7q5DQvYHaS=l_%E#eQGdY$+edy}n71s;$QB!@UY0(0~>wVBQJsIob+d z`SvIB)#<60HI{EfytAA3+I{=#yW#Z5Wd+jlNE=Kb8~>NP+V|<ehK{S@JJRa~_H38G z2AkOY+4Eqn2zUkH&FQ~B1zXl=-uvfU8o6S>#ka4myWVeou&XE@X#^77jN<Fc|M1Tk zI&Se!Y>z9n6`@@5-g*UWaqXwbgSW3kreWW%)XzWl@bs=Ik!XkalD~g3-Fh`^agGH> z5;@;l_h#nW-{6x9KJ_<kH}VE~-#h-F=ZAOeKm)$uDWd1B`PY5Ug656CE#G%%&f)1_ zP4g{pGj3dezO4MO-~P`Y=+1p7`uB!iEVS;N@Zjz2Wsn|TyucoJ@Cr5Xbo6}I{M#X5 zW9?@C`ZP~wU(Sc<%6rR??z4L@on=w*paR|4f39DWPk)>qD?9Iipetxw{-4zk-de%T z7TNQ8{?&hu$M%56#%er2nC)E#9)gtdskKz7t&BZc8@>Ob*<;@GN4~nedENaw>u>+n zD|45|C4Bw-f$Ip$0S;X+j<+fAl0R+)+D&#xz2Y`s_Pvdu!zO;3AKd*W^X=)4(24Kz zgVvwAAOl7DuTC>xn!YO2&u&KLr@SfOlj7s2h_5}hXx8%<#CQWZ|2#dtNS>V^T2J56 zhwo`^co6%$1zb&oCjA@_-rgTSpM84j&rOql6<zyu@y^xrQ?%^YFYq$;wX@yHeDLjo zt)G#`w;)M-!i(egx}dGL#DmtKph^2r`-9v%$li3&6w&;q?ay8Jf>#YKyfWSQ()7?< z`CrtxhI1-cfX-Ve=q%D-_<Ii0cr|!Kc8%+o<m0f-Z{e)@|KlLFRb|A7bvM_6>J-qt zeWlBXZT9OSA@5ncGwRo-Q}6XBPj{>BuB{D>w~4yb=Zc=)EtP(4EQRf;U);1keLEyW z{+a&ZZozzbi0o(0KfM4P*q>Zpoj&gwAN2h};+y&WlD~fy$lmArFBgZjNFP$PuJ5eV zd3OoYKCblnu+4rcBq8>5-+MP7-24V*^?&Xk%y>Y1-=^tH3wc`j=YV!P+k>`H?-jM_ zG@UjJX+j4Q%q=gDpGt<7fZU}PXIq`14GcfQJ<;{xjyfnTEJHu=*+EuxTAF`-I!$I@ z&)?6A$?^A3zTfxb{n|{>5N)8}wmQd8TUVL<`uoC8csbkr?!|Ui3yfzTgr7uV>H8)5 zK6st+<xA5qv*t5H+A`NT?=|k;0@|{EuCZ1BHEaI+X2|rz(JRw$7yT&8`&29bS@+x5 zqcv|Ua_5GFQlW<W0<nFF9rif_e{XzkfTkA7rt%MUkO;L5{=ioc?n#2Go}y_F-u_q$ z4)>zOSErvB{n*6zU-pb?`Y(%bUuWj6d;Tyw98^VUsQ*rQh;$0d%|-IJ-$AP%OZN}o z{y9O)mU(Iw(&q56pQl$5{r=)_P~l>>bf3+v!_!~!SK54^-(R?K{rTf^ZAQs27K75u zsgrDxKakFCS@+`jviZ<Flq0f7)*jlxN$0rt%okn<rE}eT)DNkHyo2KB)!xi__iNp) z_nVXc*POU<UA*gbO@T?B5@?^UW#pb#q+?c|ch<@5jE0tAkxkpDLVE7!#r9<9!Dpar zW<OZ_#{rT@RlhzxYFVTCuWDxhsn2%G-@aDf4>;TyUS|Y0{H8EB(wPimuJ+&Zq2rB~ z@gKw>Ye<V4AKcv#2(R#E4&E+rhgA5du1vrF<ipa6>ceVl?!LHjJ$(0E?)P)eYs?^K zYayFGPkZ0N>b&caB>%JQVDw99z`PgOa~CPt)hl+JL00%h2gk2F|M2v$4_SpVok{Wc zcjSdV$S->?4k}xwOs?Jh0qHCkr;Gh>??Z>=BZc<V!e*5wKDb-e{0(%n4XEh)*|a@( zGC09}nssIRcF_4B;9SZSerLxc-W*W18EEzi$yjSw`)xJQ!!MrhX34*v3$5?(HkBvr zg`3OTwEblmxY$aKesy{`Xujh-==6lgT1zh6e{&3UIz`{6iAb?H+r{3jCieT|2scnC zqnkDV_H~o@5unkXn*Il}dfD-PsgOD1?_BpL`hiV;y6ehxYq-e^wexI#&dLYpQxq5f zcCqKHgHD7!{S6<di_@r(z7FnRfXbCPm5Sf_m%xijbNp*9ryQRC_-6X#_oh3Ux87;Y z-Y?juKXdUMom-FoBc%;J!M`_ti$Z%_XPe5OutD<VPsW40^Vh<I;%L+M=g<}1`b+oO z%sV`NW4&F<o7bzC&UdPQ|1Q7vq4zTlX{3XWJ_%jym%R?{zw8P5@NGSGeX+dA9%DOr z>Af@L!?yZB$c*5`U!U%XF5dZiZ<hUfg>T@HUTC>?nvL~7(3wwQmw!h(_epn=eDr$g zAl*)%58tLhyNN{ycmGg^=g8@t_okhPB)ojj+MPD-D0Rb!@8DD??1!AB*9rWMh*=J8 zq&PQi|7#3Q9YqJLzlOq14(GVn<_|G>cToH`c&hWz47As|8yX$|PrOUbWX(_HPEA2f zsN}KjN7cSAUQ*9+F|b53@z4)LSILtcNtYL?bX;n1`q1t6WtP}MF~RL2Il-*kJQhw3 zauQUz<aJBwlF}q4FJ`N}zlSeLwpuLCa4$S0khbK7kHi0t?-PEve6QV8e#hiw<$Jl^ z&I-HFt3Cfdulm!UHj}erTr0QF{B-f%55L0Gfu9ySiQKOa{!`|*F+id>-}uq@ySGK| z|5HD{r^4}gVctc4_V<TRp8VCly!`LSwR0n9m}dW5Q*rT$*%iY#+v-KWPc(e~=Lcur zd_8Ty*LJ<dyUKSx-afN+*DkSxw|(}UnDPD0k7U{RvlhwUt62FYEPT7e2R+Nbm0Q8f zl@fw4@~^*hzqP6SLrtw*%rmx%Z!53wHM(#A`1{@4zsyCrKH9fx`%9mWwW#|TSNUx9 zf2&Vd%bRQTzx-_4lOgH6_5Fo;S>5xWS3Q~XXiHt}zv;_oD0^Ad{05iM;H_IvB^Sy6 zz71`%)_Hum1|2GIXMKMw7+!6i7ui$$9I{vbo65dB5v}^tkJf*kkiYP}_@}LpZ|*98 zdU*O7!J`Y#-QHIVKAY(^XjWxY=$GW5I?zm#+f=^67h1KbR%|~DU)}$w<ALs0(A?`R z{r6rqJ6ChXr~R#0FArUNkH2<b)Ly;zLwk$M?P^ZS1lsgK%YN{#;(5BiHYP8GR*U^y z_x7<u(_3;=`TI_IdV9`s@0u8RYhP;oqtmsaKZ0sEzYOrwc`h&ftH$#FKh2}o8`S0Z zrSxgcyL1SgPaqSf;+=IlR?tn$sk<NO&IL_*tY4~sUUbiSIq*0usOeBS??G<Oe#j=$ ze@CVt4*l_|Lo;{FpW00qo}a$o=P!Tpju*u3n~>dJ7xU%uiIdQliM?V)G^B3%RCCb! z_gT2p#X0UR{0<Fu^?h^xHg3FQdZTCROWSX=zO*-2ntb`$v@;--=h7y9u=~@tL+Sv? z`WU{8{ZrvP-2>pOvDI1Mce=xizB;cD-}XC0HrM(;IxW0J-}skD&99#HE8h+;JRg2L zJU!{l>G@~c^=B$~9p8X#ynna;hk3#!kW*yp+&=KZribjcD{eo7_gmvsDo*D^_KSxJ z#p|s(G`&=K^_p4LWiLKoy~8?_rQp5%=ZD_UIv&l`fgI`uPB=vyUmRbz9olSoBeLi3 zaoE@!=RH-(p<$q|t2p<)zU`m};Vk|69yL36aK&HqU$j5#p|kV+^<wJ|h8Vv4pA8B8 z1t@_Z_a(V_F*M=mbKLu62uV0bLVL2^L8T$M+au1JfB7Q#3>6uln#xkqeRFI>Q>R@% z4@xz6zr8#tb*^2X`-tGt%TbW_4mdZZPJeOy*Unw?$H50)r*OPRc{0M%qMS{2*( zE~z|j{0MBiYEwCA_gmyF{r_`*6m9;JdhGn~J!Mt1bj|0Re)(Cn`J$YIUzH&^TIbzG zPL}&seiasMgE}5D^Ax96@m=l;yziQNFnZ->&`~X*L%7<P=-Ym|`B0Vb{ehBq4$n{j z{GeLw^6>(+i3wgMxYOrLGJFHuY1a21j*y%hr&m$k4iA!Wmi+TCiv9W*zfb`khxfiy zzdpKE|9IVJ9lPX;|Gc&PKCFxQ<|57IzWTze@5hbYMS`JiL2%eS6<s9n{U6%O{~3BP zx)QpSG)}XEeI9sr7}O>FGwZ?IDo^m1WXnlEJ}vl?dhC00{CX*kJ=P1)i{BGHJuhQ{ z9XMdzwjn3TNiU9HtA{Rxiczenj)Sh}59hqc><@3pt`pgFmL1g6JUe}Hr@pkG{OMTc z)W339f2?%8?=&AYOm}#1K?*q0oXLbVlOd}z;>G{o_^}5X?yFhzuWx{Me%c=B@??Q# z2tZAVbwYc-o(FBRnx+5xdC%T?*LSb}koxD!0#HHu<>=yvUB{n*3(7etfgknd@daaO zVX&JOw(@12;GUy@;bp;nkv+}zkW&nDJZdV-MECLh{i^6ZzkiC*m%HDR!I`KBDGPmy zUL^l}KC}&3+52FvI%HTWn>GLRdib)8^}>5<>n}hyRQuHI+`<)a#&UN<tJ90mtaU%H zRNOuO9OPU{NCO2Ni>Z-cl7DJK%k*s4_YWpRGS8=~gSUU~fH!=$v*y1n2dz$dc6z(& zKArG~r`OnD4{;4WT<$b~eVhK-mW$>4jKQ%u@5rOy8$riXLW*6`v6LTMpy6EFv^{@5 zB%JpIekikF1n<30evtdO7hLRa(){=|?B(g6`Te}$0LedeY2`zHix2l|eoV4dQiinI zet{<8WAuM*%v=vOnzw0t2(-z4UvSS}es~n#)2WcI2OY4Pxo^(&AD;|gp6;0k32Obv zo7jGSxD$*K)<uh79G}Pt4U_F0@DsIED%jt_tGPX)ANXunoo_yUspjUKAD{d~e;9qa z-p*6IPvPhc!;sTW7|wko_&1^wwk9*TX*+b$u{rlW=I<G>1b0ub;`i^bl?9Qr^q;Hm z`?DRKTaGS2pRw{*oyC`*S-)TT^wd>GgA=q(+tK+*!SQF^52p8(uwiZ4rt*yG(4Y?f zAXWuWBKy@VPJ>tXot?h6Q~&;tgB$N`4Z3!I?-k!Qo3h^BTzEdbSUg4Oz~1gpnB_R= zSg_dduyR~<&tKR)(s$PU!p-o+a<^%F@@{Zs76m>!{XO*I=?n3o$x5|_^Jo3O@K+q1 zXOI)&Q?ZNvXQQEwmORltPj#V*kiBX9(=_<NV>L_uW$>!UXQ%s)On(kHH_NYTGUGlC z`F%P9?kP{eePKu@uU#bn`u&x^7V|EC-Sp+D*}>a|?ayS-gJwH!v*thNy*2$YWRX-+ z`vcjXvEVXlr^Uyoo3v^qf4z2Yv%8=2B{*BYI%o=iyb-F&-$4i3!44E@hfh<z7v6LC zIy^G}d3*>n1Ff1fi7!*#7o%P~^W$f`t=DF@SN^XrU-0=7MiSW*|0VgpFSO(+=ejph z8dO$q{qj`rVD&>ScsQ`L<e!HgXSeCd^xI4IjkC-kX|LzB)RV)~>rQ|wcq2$x57K&& z@2=CC3JqX8jSB7S(6QtB9QQ<3;Rd&}<X_(k$>l+hPJ6-1Bz+IVG#=9pMxgwC;sjEg z>bI-?wlZkv1~lCf23nz#{ql4>>-*f(@Dx|CRWUmpQiz7D@B0%CH`ZC#(eBToSs1}k z<MJi>F>Jd|y;{ZYFwmH2_RG`rx$oWehMOxdy63AZq$Ozi@u}d)g9SUa&#&2EFKKW3 zBKpN=*4mF5J05)n*N%qEkKW%89)W>WkM3U{Uw8@)XLk77L_4*L`g+8QyvI%D1vBly zM_>LrGW|F_xp`<h-p{>10V7T97x;Ulz7tv=#dF;Q?{sW`b^1Spb#YkFeqO`@$n_IK zQzeh<b61Dgacb;PP?e4hUwTJ$(ov!BT@}+0P4Y@J&H8ZsPUP{<&Xt+lRE}@GxUEoY zW@gsk#Vz%7&f3d-<6oA0?9#F&zDpw(MJ$nTn94oNfmK)3@%?Gr^G_@H&3P<0ZS(u$ zch=v}=&bo(ZSnqj?fL3?=Z#+#8O{7`b!={Rnc42O-`*@&zqY6HmfRQ4)YWF^7WJR| zv+u+otK&7b%M~YYe14m|J?2hLm81L5N#ADseGZ*`GOhk#MfJP-!+i12w$A+fym`0! zT*)6Ze%`Aq^*@`kY=(bSl-Ew))8h8U&u+#qoBQ|L>Gw7B=TA4+O$wTO_tVap#B-a^ zKYi3@SgV(9;kW!J`xA{>=XH+j^6rx9Wjuadw(x;fz}y(?ZI7pWNBS<?wr&2^<LiE> z)Gpz%^o_JB-ha*V(UY%#ruUS8h&$;m`ALlFp1aJ)Sr40(XV-RqJQt^1>nZu^lHG+5 zPfz!3e=N6ipBeY(r^^mZpWpfL^rvTwRX@EczyJLC&9_yzUw*Nh$``NXelZ~Xhmd8= zZ=vJMtzKT5zJ9~68{aY`<u#rCeB<-d_t<8u=%=1o{ql6Y;XPIP$@`Y@RA$O&{P=Xe zXZzRSqS{=sXQ$^Kn9hIf!_tg-_qRobroH(6N9*&tp1!L8mtTHREfP6fVp7v7v#z%D zaq!%dm#1ad*gXq7{mVnLDC<{I!^g5aij|tqalY~IQudT@^NJ5@+p~q=q~@pevFQJv z5Z6yTF#WD!jb&ZQ($z`-ro8{WdWQ5wh8JJbFF*8tqA_b{CbH{yN7TyvTd}5pisJHR z`t_$Pq8q0hJ-Pbj>3fOy(?4CBK1EUgEi-TYyR<#d+E42?M9$Fv%@m*4`|va;=ar2n z@rS<uTw3{da@y&9-*)|pi!;<#J@kH3@YY*q{;MV4@qC+p-H4y?b)wOeD_@?<9gF7Q zGevRzGX40}J^uF;;K3eu?DqDUckHuUe|~B`Fx@)&$D~-hm!GC}z5Z#pKSXDfa>v*6 zOAk*!5oq%H`Ulmb9p6;@{7Ww_)vrG<_u-uwG}!+&e&oBW0tuIY6F>gTSolRX^@R6t zhr`qBllH`VKmBz}=gjoKjr#n@Kcrp@TzgsS*!Q2AYgZrJF}r>mI8Jri+Vm$L-l|_% zvud(l?auzI$G@p=vI}aPGi%?S^A*2msY2qExu^U*(@XDD6Q+M_Y}1cV-jmx6G25Cc z-tXM>qUhU;{@Gu&lCG-xVQ1f;f7dctx<XTSpG)5&#jD7!|9|FH^5;^h1%J37zs;Hi z37r3@D*R(K;eqqI$Naj+_3LSg`($`(Dnsj1;^RvELO;D`zW@CB^}AAuX4ar-`T`N& zZOG2IJn|~}uIVPbX~sL^XYI2&QE_|Sv^`IGDokrDJ3gM<=k#mS97b@w?mJk~UJOZ- zwT=4uXZzor?o^(#!mGb_e*Dt=^FKe#zcm>gJU&i`r=NIuYr2KqRnO`BY9?Pje()#E z_0o^eGD1RUyTtoRRq)W^H@^3eZKr&W*t63M4^035Y^J`v&HPg#w%d0xy^L<${T&jk z-b;~_j9lW^jp^&4$+6$)-ow+-fZuO?@1^k)eNE@MTkKN%{;)rOJ5L!LE;d3xK0UPg zoa*vZ`>$`6;6ir!-*)!=d4X><b82kCAwRPbIc$FVhsj%~or2`2PZE#c?hpAC)V62V zKAUqDv(-G|`APrS?YhpG_}Q&Li!44o{r*|`cTv%stk9Y2zwGv(+M~UA@xPbR*s^P4 zn0)kdnDH!+-_BElCd=6$*GcKaBeq^5|8oi?yS_Xy{rpq+Z%hAH->kK(nLq#hcfRLk zUm~n(I%Qm$Q8LuO=~s{cS_2Iof1`WfqCh2D)~`?XJ>`ErL2PhArg<#d-0>4A#g~dt zzvurct1cy8YHL;P4?Fwy`Eow%9;SfJKD-h+<maV*EqrlBF`Cc+^0tLMmZr5cPYT7V zUFLx#%j1UkdXF!y*MJ27n#4WL>X71KIqN=|bNz3;i&tH5fB*UOuV)9Jg!S(Csaf>Z zPXEb;Pe>W|_~u_X%ynKXr=D2(@-%19cD@Qt=X*^zziyMSUa~Oc{M+-F-2d9_?R2Yd zi7}eJHg(V5_NB1sK4Wxm-s2+s8#-sEe{IxHziYEee|c|NsrHleKkfE&?d0uDDD(4b z*PrNo8Yz*kN&dR=HLPUQPukP$4l0+U4gCA3>8l&vYgB|MRCU99eTN~rpt4b4{OE^N zkKl<Ox!$K;Yv#vCUAxKZ{=TQ=hmhqK<YM{c8oO<>lc0s0$g$hS0ia~W`ne$UXOY%p z+g<U$CK)|hTD#!k>2AsV*Au`g$w>T1Q7BLRuYYwO_n$vM6r3rU{QnJx$(d{Hp2bau znp|@1cA*2<WY_p}341PohnM)3oR8Pu*9Yf;9G;q;dTsi(_4Z5NfA;P^9aG?w?|~j3 zd!}4H{%|ie)6O{wDd}}Jeiey5&b_4yPnfAa<r)2V_|3RKrxrgreLVTcrSIRJf7#iK z&+|Gh@iPXLa-#OR@Lk_`<l{=eU0+gdSNH$j4X$lXYb|GgyvD4bdLlaD%Tt+SyR&w~ zOX2Cp_x8<&#Gr1Ye)SH0<$rd2Zfy}cZ20IyZC&z~oRxdt{K^JZP$+5U`KDht_Qqaw zKQ$rv^7Qu!dz{yM#GRV3Jz<{P+W&Pw{f_Ofn+>-j+~D52>5#&BZKHmC&qMFBpCQ*y zaJPP{trOp6H}%VN^Z5CE@k;H}kP3Rse%l9cZ$q7b^FYP#xG8(4DCQruSrWCcM*Q*J zAA8{Df0oR@eI8=|cJ_TX2Wlk)B_JW*y<=@$olQY9de}VO9a)=G7YB8IxY50J^TEzv z@Z$7$gM0Vv;0f^l>55|eX|J<48^mv8-De|uc>2Em@|V8<tkgWV!c*73UElb?!ly_T z>$8a3HLoXs-8kpq-%DSfhW2cK+dgUg9L9Xdi_^o6?={A~JYB>FZWUDWK9;?w1x_O| z(m#scZ3@aeeSSxW)RW)86>I0mAIbgt>G86upkgfJCsLD!JEB(RjuJGhemA@)^C75h z59?=>?7zQnd^f(g_`C<Kn5@)&{I)&}TzhY_{qS^>#J(?^HfZ^s`g(r-j(<Ndt=Q*X zc+z+a8~6K&+SyNc{1(2nn)^(;@!9J&pEuUVy^%SVAlFiO?#JookJ87>YK*;JZYX|j z5^p*)XItmh{&ndoe;4pv@2;4x^&#$f1$(_hrDpe|t#7YL+`E72(X9BNzw}b}SUy;) z)Ae1C^`2|b_Qme&)}MXzOYptn=e>V7{o8!rzxqJ7coNS%`Rbdm47)^M?7e(PqHN2o z_iz8clDhpjbY1z}v^BHN9C@H|b*|gC^UG{?zt24T#?E@j?l0SXZ>7I(+LwBCQ&66s z^v?6E-oM<kdh(sXx6zZLPwxm{#NP31+Rf}I#cy`yAN;tI|H6ytb?IM|kEcc2Yji)l z`XXA)_+I4!??{pLZuc*psj%PfxlTVdaF0~&X`y4k4+T%Uo_0<Bh_{{P{C!JbP2Lfz zz4P<C{qEOxn|#rgPx!s&ZFSN7n>uUqe>z>*en)h1{>pv&raS*8uMc?}Jt_9QMfl-; zsSoUa%Itm@T~Kp&-_zzbbyw^)U$a9jXqU*Z-u`5%PFMKi{GAg&eya`t6eM<Z^~LQv zse4KfWE;O0ekALAKj^FHoUW;FEP44)R84Q&_mpkhd9&JRJ8?7a*U|fAzUcBFc@e$p z{aW8L{?OmkQmz}HySgF&=dbjq5D%OFo+cJ%f6Y!2YN_|Uk9j|*!7QEmao)dWP)_8r zihTCC*^%=F-e>%pw#xre$eNV%H$PWKzQ1%~`<)}-ZeDo&Y3GK>i2I)kE^N=~xVSy! zzWkgwa;v`So?MqcXLaKJN&gqs^u>PHwb++-f76_+{qxqJ{N)G^*COr5vUNUC&xuIn zYkz?FbBocvckWMr-8%EDrNaI4_K;ek<w>g5=Z^7iy0>&;{?697n~$wORhzbX!@j2* z7v%qR>)Cg7PL!=sOk>vlNe_~a?GgE=t1dU`{KnV&SdU+S6K!|q)$xh#DSsD$L-Z%d z<6OqLQ;RB0e@&a(^SyKZiLcP0?)~`gwnAlYTCLNb#V?~**>y&45wgiWx_HyQ-qQP@ z>a9Zp7JWzR#&H*fJQY1I9>A0(Es_}!!gTAHU5<>T^s==_1G8VO;I%VYu+}kvIZL|h zRzs~yt)%?bhS2699<SRCLC&F4IvEGTS9C2jlCsX_ox75C`CFE`+cv)4@^apjc@^iT zS5MQQzx)2q-PPr6yx;eKuiIbKUtGtQB-Huu`iaJRRiUpZ`Q6lxvFZP)`0`@rVnbh* ztm%8Nvg_|*lKfM5KfC=){IBXy$v;YOvkP|~o)jK7-*AGn#iIYR&i?}~z68}gtvz#K z#rMMXr`I;mKK?YVy?ROQlMgGl+-)i@IOV$ed~18&o|n#VZp!=rdw1f=`jsb77sNd% zK5^iAqlEJ@du@sRCYv9tyGvFad>%Ic59`;*-An4G+&%D`J?&YI;`>alJ)$k!A6A{~ zo_VCYkLkV6q1&ah&yV^%n!EZywcSHq!wSXb&y9O-_%BoaE;MKUs|NF*3oGg#)iG^; z{Ij&WDDlK<o1cbj!kyE;Ur*S(+P^Qg>U3qw{H^-Wo--NUNME*n4ac6R6OH-a=sup5 zylan9!1q`KrE>o_y8ouL@C#I(KIB%{S6kg}X;;<Iwmrt<mHXYTd+HL@K4w*%c5T`I zSqkK=)em(ybG}b$7B}t;KOC*6w#PL5^UqeEU!0$IRdi?De~dTXl<YFwZuif;xc7@5 z=!#FM4cjo`z43`ddzNZ`m{!J}Z+WNi=Z0{Ld-FDLKYH&`eP*HlqU|E<pFF>@efx~Z z*;S`yg6yrtKKyE&d1UoN-D1xCi3hvE%;^I6Uj3}8Gn`yuy60)oq1$Da&(W=2le;oQ z{QmjZu_AkxPI|MsOKabG1@6z&t~PFev+2V$<se^=t~2HJevLvaPki5V<IU?5zX`qn zmlxa2PJbw~VEdkZZS#}w-%ILS|57(z?d#$XL2>p5VB3riMVE4dqT%X?X<CPFTTTC* z1P&CNL$}S_KRdDgWvPtab9C$c8*@I_)b#t>TW)N<zFVW>^z;*U*}G<dJ)fHUK(~I$ zy`Y+-i#C+X%a>hzbjwlf{mJ9oZ@k%Da*q4kn-V+YtD83+jGngsIXoz8g6y^QAADs7 z2ep{My=k8<_0xpbw{4Gctf)>ej60(e$D6-%(uZl%dnP#AJI0CU7rs?rndN7fZdP07 zuf4uJ@WZszC+%L__0Q)%acEC!?E~HS0r@)(YrZbN(SFEt^W&^Hy8o_o-sRnY&**a? zB<}Yu+a5Cc*Tp}qP|v3-K72d#WF<J*Qw1N+P5c1y`_-218|R$YPr2Yf;rh|&M|F?( z|66<K3@GTIYHd32Wq1C&WJ=<_OHvi5PZ+Zo&G5{$KX~7|tWx$(QLx+{;p*=Hu4a2x zH@3n<{Q9I{8^4#t*|QlZ@0$>QIC`H`#c7^<2Ynt~{V)x5bEITV9lLR3_~Ga*j{NJ- zEkG6*{6|T0*4frzA7`JiTm4h#e)@#g%G1jZL?4T;IL&hWsd7Z0|B17=lkz|AU$<;~ zP8~F^`{fpV{Oc00%)Yh6YQ6jn&plH2Hnn`XHWe1QeILr|x}eehwWWO1L2k(}!cR&+ zie0aJv_C85ct^@kmj{OWAF9Mz^CNu@++Oyvm@#t3_8iVVPg!2+9-1%w@qEObS&EJa zCuo0UozZwB`p<jj%h6){kCboC&o?}N{mZoVlYa$9pL)#>j@T<g_xk*cAQ2nsRng4@ zWx7?Y_J8ylW_R7EKi8XLq%STzpI6;_zDi`zQ?^a#f7u-a2l*!357UBIZs*{RQi-v@ z@YImK%6Z<ijoW|3S6q(vtC<Q9@cRm17yng;<!^J&{Dshrc3){vsQk0fkX(0PZO>Zu zg18e4<}2iT<qQ6^zOi-wkM&YF4Hx;&kNss0&g15jYQyZNf|L1D<qy*~>+VTi^!QV6 z=^p*-`Q3Yu{^y!~Z_{)BKQh0+i51uQ=C4%$y7++wG<iL>ZrT1R0$Q-#SKXs~ya3|m zpK=f1&OK78*j(4NM{}>M#j|yPT`FSk#czALS^a+}D0_L!-c0Xx|5(cwIb-`9o;^!1 z9g6NNx+BSKA9>*8Gnsw5-^BiRXIGs*W&gSO&F$zjA5Hf@WnWSk;seW2c}jcEx<kV{ zQ(=$oV`%2O<5Q6?fs~>Ct#&Kx$+(>U{qIzewWq(Iw0kXe{5)$);=N7QAEvd6-7DJi z_>=6biuiT+#j3mii<<3C4db^zzHjeQnfS}md8%I*zche(*X+>l?*UNn+N<nIWrJks zJAM_?d=KFf3`<;QwPk1REB1CbZNKC6?dB81n)!}m&vf_m?n#~5y4|NJ#_q??-jCTY zc#hmND)BR_J^E#u;rmZ8-!7earT<+ZEP{&;MQ1^?F4v)G^GWBS7JF7$yF=o4efRbq z-zNK9@5rg$=R1GyXM1qumQSb+yStr#+tml>o7;Hm^8dvgeRm)_Z|jF?$ui<!nESpz z{gn$!U5S^Yx2gWP&-dl6?z11W?iGozlvn-#5L$M<Q`@uk|Fb>d>{Z17@a+$AXlbf; zC_3c>q5y@ZEZOh_;F82WW&YNGlfi}8)3XPn!y+qAZ|plgT}G*{^^C(CUB$_h9(pg^ zt|NQ;{6=VQs`mW5qO$!uKcr~v|FCWUS!mfM`cT)T0$hweJ>IfC%YJ@5C{aT^%`?4Y zP3<1v`F8_Pfb30Gy_vqZ{_$^~O$PZty&vdG>+E68uDhm}bL2pB{>S|aJK8_~|6Kn` z5T2xW&cD*XZF|Mv13r(gewdbaC^`We)KZ6{clJYr+Qy+G`dbk^EA8W#I=|?@)`b1a z*ZiM+)#JLiY1W&~lKG#&>3NTA#py8ae9Lo%JGo`wpZ*Fiw+=;UUyS}Hdlv55txM{@ zyoH5vol}K2w7B@G`7rk#EC<^w@3GA<h&%D?w`W0JP*r!qnb_Z*A9n27pL02Vx&K3u z&7Tx*rt|K94)*U$-aV<yTeth1`#pE!J<g83s(U|Yem1!reNE;(+}_e9byN1AgBDq9 z)c5$t&Ve)s<dpVQ$3KR|^CyFcy2cgY{Hu3Q=)3UjuBrFFzxl5LE~CXZowq9g3@$4F zn17gNuCr&U#opi<-~B=5g_yzK)N|@TEWbgL(x>7ednxNmXyCeY<bOX6wJwS?e<ifg zlIG06>u0wgS`Bvo?)?92`#TYE3YI=$r(HD>Ts)<QeCqc8@Hg02?4Hrl|0d7Bbbyj> z+~w$Fb5Fs2=kEFUMb$iL5~}R^FiisLA&ZA=Yx|&)nWMBv_B<pq*LQC}^7lyn-`U?K z!S?M}_`T*;CZy@Hv2nY~Bv#>~87Boluh-$5eQ{-?_~Yzz#sbydpRZWn&!{@xcNy-{ zOKz`@KUoe-MQ2;KKl6l^6#fGDzO99Ny3VzNn>X&nf_Mdp#XEZ}?^}G-fmp5bd(BhM zIz5Hv+O&WFqq&&#E$38qe_nIMoG+a%DPQtj&eG?5Puh#Ut$%p`^jiDb@X8?C^Y4m# zN1!ok<5_WA?m5)cA`f*9AlYW=+z(-P$DWHDy-+_f`%-kvdv&k#oqh9-=1ATs)dR<> zZp!?xIZwdJXr@5L=@9OG%Q_2Tk^SErj0LJsGcMP7n{TlHp3&YWxV^VM|7L(&zo1r) zjc>(oIcO>IiRa;3sJ%}k58eJF0LiYPI>@i^j(<z%$p=qor+)@jyEomwMc%Z6gtuqo zcAw23#O~LcHJ=vmbpJii|IN*Pc0UF8u}K}Xuwei3b=u#l@LXFt?@IqYSPR#i{h_Wo z#G|R~4|A&~KqLCktPk&M8{mz+^1sq<Gf&*yKCey^?B(Yt>{e$#gLqk};`B_hdqn}~ z)-5}y{_OXir1Rgt-b`!WzGn7uc;XWG{5xY~7c6mgelWXt99ll9KYY6n*04O?vi-^f zXzSMdj(<()qwmKmziWZxSbsw8wL*S-@d>S!-kuM1#iJ@tAADc?>!W)nsCjY8p!0AI z%P#4<y{Y$<;4Qj0N?#X0^n=ww<}KT^S)m1RI7fcxK}c1<n=}7y5hM%Un{sc{lgmdF zkDI(xPux7|X0~)TxE3mzR2x>o4ry9>Hg4D1@Ima;k28LG^K5LtiT&r!tvY=~6%o8s zWj>sXuK0W4%#*+NJzt9MRUmc$>T|-b${ve9mC@bwmEFFJY3-jGJSDQ5GLL3DE}YUC zw%jB2@-ZDB;bz~oZKlW83M3y%VcUGRM@O$zvHM)=>l;aLCgqym?zt@=HJP(a_{}l} zgOXB(#T$0MldGEl?ssj``a4Xv_kYj(>g#p;_nzAG&*xOX`@D0i*K|>?R?myKLxZ_m z8($cz{kpNY_sZeD-`ZZRT@nzrYw?%*#UZwv&hy$gD4)>UZz9^>w?AI&@5_qy#t&+J zKWxjnwCBX7ihSb-Zw2?n@@L0Q-}#m8{qKv%-{l7G*;jsaPu|7L-<m5bzi+wN-Y&Xh zcW6An_9DZ(Uq5^}@ov44Pp<P9N6*BXKN5TT;zgws&pKDl-+AWk=347>7XO5tf3H~- zFhf9gr~a-5vF{FTsSPXpqwW6RB39erTgl#lW7j2b*+|Z>U*+$8+kN@3UCTdxjcE4H zkKB7|?>X)7uU}U<mYj(_zS$=BxQ*SODC13!cdwMMKk>W4zWd*dU4h@(H<`qB|DJZ8 z^?j_gi9Uogx9R&%v$Negz1JV@OP&5eS2=FFWqd>V3H6KXimv-l`#mjiK2Kb;-TyWB zR{YlE|E^mv^n1-;#@g5&XWkm`%ipQAFTc{@aIN>w^Q`~uC9=QlJNn=A+3#t-{I6c$ z_+HE1pI>?ZlJ>8~J40cX@8`JJw>~Q_C3BD1cino?J<RbJL7}PpF8ZFxp57y`jh+jC zn0Kc3^a}q!-{a3K?|T2K;Qpt!8|l^WFJEsxJ?UNat4F`5<)`k*mwaD8vstbXWaG=H zzo)6*zi@ry``==6_do4R-TtC~c~nWgg7@RCJMwQTSM=XmT{&^-$EZ8o_vluH3qZZT zx9Pj}>#x6do%zYKN4@yI(JSHqf4|=_FTMZt;l8K38_#FGyZHM|s^@pz(~o~oTPyi4 z`p^1LFFF=$7Zhn<_@7%_Yv!VFtXs8vP1Oghf_i%+ruzQY+lyXYWv}OJw!G$gT=w|Z ztJ`Eu4UhZIv#5~0bKY@BX@=a*kL`Yio{4vM)Gm_O-nRm@GWgojIj?OCPwn4+Tfbs9 zcuhgH{O7zcN2Gbh?Y+GJt5sybzw^Gt_{(QE&>0O6KE4v%E7$g|G3GvVQ~3k#s@m9T zPfov1j{j%Vtj}Jr#Qa+L-tP;~#qUXa&%d}w9kc)idUpxrl!kH_`)~K4cRzfJJqSKI zcDvm!l{>X3j%C^Z>ul?iU%Iqje2;H7c#QyPJMV9n{O`}d))hof(honr?@ugS{JhSk zoL_2ReCGbLMb&S0CU`+1^!`Ke5gb3~y*S=y3SArUU$KHc@9LftGq&69l3G(!8Sr7- zy)~dkOQ4<Zl^!3qt-tpA^(K}0dvd>vs@dXq&417TQ}EXxC%bZ+?Y`$h>s%6_g4d%! z4#{}mU6->v5W2k~@!;*dpz}iWYfBU(etw$YRDK$=!~?X$S-ENZQ?|YP^t?Yk-F0Jn ze)@-}E_zE3*zwoaHO^hfKiBX1HqfexHIM~j;3H2q#eYeD>;+x4<j$I3-3(g&^YvnA z;?vW~P1}oiego|ju-owA>3){{yIc^nf8ChwZuH~R8vfiBkNBPE$A6r^@Qv|}>ofV| z;n&}SH^Tjk{*rtZ7AW=tdvv`)3scti>j`Sd|8xKFEe3pu4=7M96)Lo+z4%%hIZ1!N z%<oM#KNH?bWuEzaa<7Q3=?nK4pSgcMx%fnD{(1CG)ZCBc-@S;2-4fOFV68G_eVfq1 z+w};iM;yEzz509e=}9#|x89h3d5QkY>JKk({!qB^ec^fi3zw%^tZfqqE$2c>HvJuS zZ=#@Ub&BRZSPR{d8FVmuX8>s52k6{}O+FvWbguk9nCiK&M&sMlKRo+v)HlvNWGV6M z&mqfT^?9DJ!OJWoeu7t8L$*@yd~y6NY_qS7enqu7bd`!ROa5EP6+NJsG-l1eT>}a2 z#T)h4Pub`5^Zmx>^L8xj`X#yW{Q4FC=L{aszc&v(#Qg;R-mnRWCKZ>1w+*2u`Oj0W zn4Jb*{`iKOJ3fr#-m#mIY;-(1e%+*fJ};Mj4(e+!viS0|wP@a^GmF#HL2Jd36L{se z7sqGKgeLIwf_rj}p<AkVHf=B61y3cFP200}#l=ro{rRbSqkjJMeLg?`6}^xbJrxw; z`eV+8=ix2OpDdYpx*W8k5G9dMcCqL4fo-#igfCPUIk-D@6WsKWgSX8+-?M{EXWyuQ zJ^jPeC6n{kKl*X#!t-#M^oL&puE~KGF~RPl1|OuN?P^~Z4qXvCN3CKt^iIl}gSRUo zSABvKH*3@O>_zwZK^dn%IleCTm(kKqYRhH#pBB9M%>C3Rb>{KA>=-HJ<s$jpu=^;_ z3GAr_pZOZ~t7zGSx0>Juf1oY#YlQa92JbOHIsN>xeRt*@oPKfrHNIbhzy37YRhsP7 zb;mF^>terdGIU9GoJPfNF374j(9SM>@FFo#6rK~?bC$V!t{i)SGRV>Elj7}W9-O|b z@x!Tyd-!YX9?n@QeE!MzR<ExrtM5NQ3OkDtTvS=Qe@VV;2+i`vtnZ&KhFI`vantrs zGrn0HJv#~t64$2f%i`Cs2W1+kH>YzYYgS5oTn!YM-(t64!7u2T=a=a(H)lRYI}xXH zk-Rn^^u&liGalsHfr_g2OZA^~-@D}uUd0O9chAl8{y;S({Y_7b|EJche|NvP#3$u1 zKU-_wRpfov!YISLUG2ZgLHFubc0O1OJ?62W``)s0@b&~ysh!P||GjRne9W{br{8YW z|F2P7ng4a}9s75mKTqakE7AG#leJ`(pT*Q=nxMs9$a(Uqx{H0;8|W(8odF;C_CR+Y z>Wl7KTMai~ob%o{bI@@CC#UaDihnn4pU=<#`uY}$-lE@yo#w|E&Y%16V*ad&=y|d( z=u7f#J!p8(7us{z8dM5y{qi)msr<AwJW!@{-<x(FVtRE_yxg>XJ}Z~K)I9ENDf8=( zlcj&;vx}CX4GORueZdDxtr7iuV+ZWEHqoZ-wYx#3_q2U6Iu+LO@Fch==)<+WtFB)M zl~U&4QZu()&?}Ff^6mGYv=q%%N_BoOK5IV;HqQA{%Z(B4{@rzJUO@w<a_WOz_+o9{ zit0G<Vr_657v3YP{}Nn!^B><gXV$^#s)zH|KKj*k;dyvW`r|7Z+Tx&{At?FX+r|Fd zUT|w5>Q~W9c)^{`lK)>G9^&go_w3bw@%O<dmH0Y=-=CCTo}RL5*32TCDTf!H*Prrv zN~#=hH%9(RT_nGI9&}IL&X^BjkRAG|dmpU*1KE-VO5I!sZ-2~&q?N*p`kwOyL<4f! z;=@u=0_56K^puj?{Nni8{m_KCGw8#>4>`j(fM_!K;Tsxie0^;`Y4D;5y!~r*>!1 zFQcc=lUKLjjXL_3b>aE-JI)<jdC~4JXe}V@x_EGXxlZWsjX%cFlyaT>ULR~{9oxa% zU)I1%!PLbMa{sIZm$5}fZ%%K$G+i^aH1X8O0KRs+{Q>8Kr&WG2d4_)WjCohxnx7M) zs|;te<llyE%i7$u{i`}W5t?(~TP6Pr9Fyrs_U&>1W%Ts->Bz{gRUPw%fBotDafL^E zZ;dZT-O&Bwc;i}V-4M=w&keHSeNX6zyh<-vU0Kxo;O*~ykTUkpjp_Uz@yoVcelz9q zLK(i=x`lI=>wI3ZS6LqI#Izitzc>EE)`8z<$rpv}rd=nxXRbdywCnsnl+}hqs)b26 zruTcrFRQ7yH1iI8`p5D=>vXO7`i)5aFa9;rp|ZzMOYc!Up&=o{Gei1kdYaL#cVEvN z`|bJ=-Wi~%!)<hnk)MqxtY+6nRfpv>n<OuNa1T%lQBqS;GM(hGNrSi3#aHd9z|n?C zVV?g&OI$p}nrA-0{{HXRxy5Dv)%WLr+jsqMUeULgmivp(|D5~&@7~Y5-|ySjee}+8 zqwStL-ad`K!Rk_S@hh^_S5Eqvw2}Fhh0oq)n+_bGVHf8bnq$8#MEYl8pZHq&^q+M# z$sTn#tk!&cuFqHY@13e#w`}2&si&_l`S<ZgbAF(N%mb_2IritnWM5R>eZ%{us`T#? zanaCYNsk{(o7CU0Kk#LG?za8UR~MhWKlfh2pU~i+$AA0?owa}czbCoQ@!M|xE0VE! z!FxPD_Wq)0@ArP6{cBRM*oSZT`(CYi@BDR^mz7+1ZP3;~KAgT~MrHbO%b%aIZ$H0B z=4ANdefuWv$>?*n-BVfk#QS#ticd@59^deJsjdC{$%oHOSIw=z^GUh5K4tdX^eI1! z7C(Fc`TLB2o6deSKUGt?_1XJ>zt7a1G`k(&*R1jBsp+i!NAE7%m$JAf<N0aRx_vcT zF8gc_Z8Y!o`n+O&e#pAu8Kr*;tFT#fJ?r=5oAw6Z-M8JWbDOEZ+@${f-+eFjZ|Br6 zJ~RD#=D&G6Zl9T+v-$5+iw>puxb;7)ujvKHZ(@CV`tzTfpSuNq7TKI}+uHKG=hv@k zAC}JC`ucadPG3#tZ^6)gTmDLv?c4JFd2P{*pGA|m*~>*6!feUE@JIJv=C9Lcx9@9w ze%d>0|IXO7eJQiw>K}I$`T0rh?0x3#vwuy})rhaVdwzPg^AqpfACd3ly&tv&#@`Rx z!N&dcvG~5-7N3^Bbr1P@>09!RpO@y%|9&#@+3B;l_<!uXedh1P+->s%pPim<T7Q1i zpVZlJ^QZj$BzE@x*YX)ZC*^M2FT)=A<h1Ur{rb74j~jCwe|{>mkN+2Ze!6v2`C8@X z+3w3quUt1b64yH7bnX6LZ1!y0W^Y%!dZ+!Sv)_zQ)l{y2_P%iwEYR*>`m;B8_Dubo zX}?bw3b^i@bL~%UPWMXvn@yjdvf0P)TmIbpc-<FH_unf_`hWd$dur7hc|7*&(TV!G z_KQwU-zI<f-1KjM_W1J|$Ja&QmVdwJ;vM;$X}={?_x<U6_TJkZmIii)e*XS&6T+H1 z%YN=ooeZ&N%6$F*;!5#tH<!<=K5=LHxd8RKYfdGKC)8EFU;Fy+_QYe`&wqVgR{Z?$ zjqRCLBJ0h+DoDTF=r;Ff_SfGfb-DlAk6d#p*8O>K&E4XgmUDkGC;XY=ZC(1FWy4R) z`RNT;LlbnFukB|tJI6j-ym@VDLaA~@#Lj)jc@J0Lf3smHUr#*mu{y?U^S-^UuKCCK z<=?C8_ZhkWf2{m5b?(Pi+6R7lZ7_X!{XCm?JTv$7=AY3AkMV8T#l2<^UsZn7&+i9+ z)E~U|P}=JA)qYm(`K;W_o8y>r>N#`fuwT2@{51Q(AKio+>l?r3<=3B`|9tz3>-GF$ z|5(K0828pD{FdGDQ8B`nVOrm=Rgcpju^2pSJUcZ(mv`Fdgnvo~`}lIE9{A;(kg6SV zgR^S)!EgNskDWjGYW;yrn-d<f?%&I>f7b7S^?SLe*)x6Km~{Mp8Smdml2@WDH`ED6 zM6!#SHQh_wuvawa4a@4?2QN7%JSsH!CUT=jY|UPVY2TZEh9CSPo$%Ur!#?>nI@+aw zbk;EJoqXWi%7kRmh`B7@&zm~E58Mz<_#Cxi8>`NHhR?eXREXyM6<K4?81^b5k^jYB zj%~9O9-D6Xr55pv!Ka4j*tGmNKknP`t(nU+?L5n8O@n=GIk61a^jWo~4;)gvFq`f6 z_U7K*2VVV6co}H0P5k=KpXKxG9jo8UC;W_G@Llso%#KG{FKk$=Qa9{n&UwSMI&{Mq zju}5xC4TeXxWjxcj^(s|^Uv!Ce?%v|X5R46Fe0*!_nE!i7eB_^=}o;N5wXnE^jL(a zH*Q>g;F;P6Gu~<M89tXce`G&!ZE?b1&kdh=A}Zv*{Nvdc&nW%-z^mN}FO>}hcW--K z{w8BXB~!%b1E0hX*0A-gXN?wb{92ljsvL2Hr|R~BZ+;s#O0ThFnI^|B?BBTY`GIHL z3Ay?ab)skXGamcTyX{-^*VJIy|JvFI4tXvpm%0(hYNmGZ)y{;MLI#Db60@0RM<2Mw zw_y+Wnec-@loMWaZir*ou0C+6d%<_38+E)ndpN`F8NB72JD*;6{Qvmivy%yLeKyQt z`kZ~>M{C0Cpbh&N*W@s9UtUnoeq$eZ&K>?Rc~)=#rq1*OH$Ff3_VUe>6hqs>IsXeR z=N+$Q*!(y34!^<mynEXUf0yV@*H~6;xZlV!(8vGR)RR|VCaE2q+8JXw`H7cW<e4Qq zCWTJD8gp`K*G$fJKC8TLtydA|G>usn?Y$~$TXgi=s!hTtUEHqT=uUC!ou6txsnatw z*?!W=E3cBK$$F}(2OZU^p5&8u>8Q@`Nj_=CM{}-EPtglLQ&#J#x(UPyDOxn+?XO8* zE2FMY53!0oQ(Eh(uGY14o&L)+DTdd-s;+%@y0q5wdC2*7`Y%tGfJ7$yh!n@h8)>G# z{xxZ4z_xYzGq=oI_}crKMXB-j8SlQoxM%djz;4E?AJzPG)BpG`ao@kdQf!m%^ZSj) z;ze(6_NacpxWj(R?~FU{Cu>dKWk0oxdbc=Je(LXxo&C!!Cj5T!?r)OQyTu>7eS&uP zhuz=rn0U!{hnbl1e%FLEdzjyTdoEs9pO-%G-Tn=~J?~zNd?jOir0(Ov+P4<Z?)(3m zt6$b>-nY=;quTl3AFJDJ-XDK2`DxGn`g?lke}CK)J@0#Y$%N;BZ!cGWT3P>my~fE( zoA=M})Lf9ZuVLO={BWPu%b!-F*MFX#(%W^~uiQN(rtDX3XV+=FbvYq1WmT`aM5oW4 z_dB@o=F7eFL2}b<wDf*oJmq#Yb@}@%iyv*0Uz`&X^Gy^aU>|mK#f~?xa=p7BZK&Tr z!OC9qz|)OiA9R-)?iY{?;_4|ao9mo)a?=gfH4S^~drLQE{a_9Jx2UMIzUxSR#oK8~ z{?>87)+TQIcqQLvV>eTCNW!+?;{WGuJym|{U-iYD`Z%_oW|GxkL^eFmy`cT%Iot9d z!Z&~K{#(A(@wf4-$NbU$pVue-y;^F!|G{sk>2tsPPM`buOzQOK!Jlfp`u)P^1Xzpj zwckI@V#@O+GThvbore=dpH|jx&}+_`YQYm0_Q)V&y5m+a88&V0LWwn}7euM@HBX&t z!E-G&V69O5!Bbu`Y_E-0gmoTHNLA%)E|m;feI#+iCZYC&yLeWGJ~D`i>^z(h%XU?( zP-4xRBZ*`i>z2L#{N_u4_S*4#Z(DFUPkyuAM$2oGrO(c6JhwdS>#m=DUcdi7NS^C| z^3~t1`laD#zx^?`JsZB|+fJFp<I6X`oc%u5{(H*eMZX`uTD?oQ<jbe#eV4D8irrkH z^JUN8KO5&R|3CZiQ~8@!H)B8VxRse#tM`k?Sk~u?*uVH|+ppW~ihtrb<9z)0sO9^( ze(8K$9=h@Q1H1Ww`YT#w`;)$YUbW+xb?D6%Ewb-Tu5Q0xYF580^tq+XU%T~wugllA zU-N!lc=Pwq{C7!z=a!#!ejC%|rnq<Ij&sW`mOR>Y{+hpO$cp!j9MbH2e|_CuC-Qjj zIraFTFRtbro_)N_@9#eQ#&w134{xp8UHk3ltvRJXp3fGvx&OQM=dbfwH?Cj2R=Mx* ziyEoR^V*N6?s}GLUA*saj(yBy-d*>d*0vu{blWL6>-M$Yze&6PBqQYH*QSe<&V2vR z`1fc2vww|O?U-7*QJA-?USO_k`Z>XXLw~{_oxjqYy@*>oF{s4w>w{S@4tdQGiS@DF zp|)#-keaJ_F#ozvy)`YK7mp5<vcDxW|NhplObIw=F8c0&rNc%4aEC|kkB;}Sf1bOJ zy?$QUd*Amn#s8MLru?7y!|?IJKPq!q_Wk*Dru=`x+Ox47^PeWp_ItT}wc+Qel9?Bs z>Q#5W$U3t}EH-V+g?QOlyIw?H$d2aU7Hln^ciFRYQrGK02KSvE=DU>4_5a^(BRah{ z29%$_)Cz^fd{ds9yO8hKez~{5+v1Whm;3Jc^UA#MwN2J@A(QV0>%uSWS!ecn-RY~Z zAAD>5F>gY%``p;mURUpy%AUA(`rWR5#aEkcmcI`*w7=@Tw%GdD&-?FxR~=f@T$gwF zOYz!<bFnMFUXuA+mzQC8{i~Y&v(0k19zQF3QTXK3S-I)gFRr%ly?y#za*(`M;_qPl zS^KZgTX&||E~Dm~>WTVUyRZLxDRu7of}P@@IIQFL*Zta+{y2VrVda_)_U(zkKkhiQ zAluWd&wl#cKg%C&`hGce-M>lsMLm5?>wZM8`Y8Ui>P7k~)Bi!c?#s`8F>PwkE~a(! zw=dmQfAT9=n8K>xb2T2n4mhD6_)1zP^O6=<2h*Yk4FxWqxW@m??PW*4E)sxme~UTW zCw*K{ZmrJmInEPS>z`DeU}5{8`N<=XX^W3c<2h+0bHlAYDoFcSOp#;J_0=&&=e3_q zNk5_J{~(-WLi!2S{t4@=ZXAA@6{Z<s>DIurP;lAn)$e}3T3)@X{j}$#kH%FAUk<!z zyvV$m-HpFTPQv!X|0j*nO&e9dA2*yT%<*!<ulsCn?JfJ6->AuF+MEwP<i79!%<ZcG zd;jtNHGlSghaHb`(wTNc`C~N}6$yLdWojJLAOA8~$A7p-;&UQMf=^E3y*PXPg_}F< zdR`wkP(D@zmS|=__WS5T@e;Fj{EvSboar!>|7ZR=QDX7OnlA@t+kAN3Kk13gar=Th z|3fGJ;dTF|;rXxWvHE_$NveJC3;w(@_x$88^Z&@l%l(s|lw17kdHmj@?u+Lnn}Z+K zW$r)pkW)XYUl8;7hjw56!yi9|w`}kJT)p{d+NSEncs;Z8;oWm<4eL$!&az1P{wrx- zPWk1lIlE@6?*92TZ=+jv+Vt0(kFGRbrZws6)1NiXY)4LBy%aP(H>vz}_miBTNi%H@ zP3V5P$;j;O`RMFFm9e+Z%om?}c5?gcv*w>?X68<`nfHF)x%10zzkQSw8n%sxb?aIu z$K=-m7jCHuq^!)H+`RB)(Z*TP(P96V+?yY=(*C6Idy&a=)`jmrTlF#~WslQld&A6| z^M8KydBtw>(sBLQs$=m-B_^-Ye>VGNUZLrIetVO>CyZv#-ou+?=z7;7YRO6Wet8y; zxTwijSx=rk`>kZB-QQz&zn*?>7d!4=w(-`xCO%hZ*;N5oGv6kMFB3~)_A)J9c`<dP z)!iC{*}2bd=a%=bQ-0DtSEatJ((c0FF1DRJ?%2+r7q9c2?}yRfTNi8WE!m`GO|$O% zxE71*hHME5<PEW0IVHqRYoV8NNOr>F#<lG7iJddf&5L;_aMN*v^~cTO-P7HdI7ZoQ zI<fL+!0XlO5sRkn{+VWX`}rwhl`o3n-)=@-G1LEc+GAq$<mJb<8yJ5w;WoaR`J~g} zhr;!~_`5Qfd;=_8!UHT^A_6R2A_FX3q5?hy3a+UUK5A}QBYe>OqqWN8!hh{GHhuCz zpDgaBKNYUt7&$*_ZPc1`a%(pJ3TE56RBfW-Zi_qXx(-dVo13N6UHS5TMC@txxa(Tq zJFjnDwIGyzty;#`!(#8;u9sErJpK0P)6(Sm>*f5<KR33XP?_uX)X00@-R+lezIj<w zz4MN$yw3i)`|gRQCO7{0dM8C}kM)YY=xDLuZj%=U{&H)p&U-2yntS?|`K&9`dh@q@ z@Z6ohWykM^0`rn?Ywy$=W>JT!KizuU(l40Jbd}xs{_>)Sk~2(>7R+n%<c*rw>{*;q zC8W0Y!Y7@A=ce1fnfTmV^t4i9^3!eKTzWK(qN~+bq<eKor@J4OxRdmHUU#Ne_wFZh zr!U=l#P%Y3*@n{ds;4i!+L^L+qwo2pKHRsz#GK+X^*X=w$k$o(yl*;eejc=KX>OI? zRL|VY#Vd^xY^SecxK_N<D`w&IAVrSc^GjD1-ToG%b>rT*n5^KN+nxs{E9P>~w~y?a zc0M;b!0rjh=M8ozf}9T(th~$px8<bvEPIZc@Q;ZcS#7RzZ3+wLTUv4~mYh~8(bST- zvc1vcg}P9igRtbo^{u~D-Mx4$lR1Ri<`}YYeh#>NHvNg`1=*=OCX)3oB8N5voXs{@ z+sqWqHS0`QTTN34mtM=uq@t7%4VCi>8=Vv@*?x+0b*f*|5t&p!A$Q?~JuOSPTT=v! z^hGAQdK`4PG0FABkpK@dMMY=D$d)}ktto<+j7287p11@Oj06eJ;cZP33^Es)<f^-w zW3^JtLRVKWv8@YTTfM)UZCT-wD0rorTXcq*K%1Co1iu20uGWS(juKHJOEz%4%JGR{ zDOGE64M=y22ubiZ+Oqe66_euT6AEswr*sb49(I^ps@}b!mh-quH_v7cZ}XNjN>Nr^ zhjk8J<9A9E+?FR`)NS-AbB5~;9mf+ohbHkWZsu5R5>}rkI4y`{rt1ztrxQA-PJEW! ztfAa(loseFmU?E<9Gz1$TrDG~7<L!TZ=Mi2WwNW}W)1c3qBOy`?A>!fd`k#F&`)gP z0zRiXlU*%0b9|TOnCZ$IImNiU;C$<ZNSCcu2g`P{zS0d&m@FN$SD~9LO;AqG;e^hi zI0mOQ!MEjx2GWytv}U+&`KZg4CYbkJz^L16(~1PKsVRX6lR_rBs%~DP(j5@NcQz*= z-$5ekO4+Q1t{RhHc&&KU_Sg5-GNw~I1EMA@UGbVJ^W`&jE*rH{5vR>QFQ3VJ?F+bm zmi<cI!YirrtNDCh3N=h+pAfVqK&(x9hr$A%grH9ntHpdejl_fUq`ee8cIJlEiLA*n zPz_aNebx3LYwGM=4SA+a-|fv!p@Pen{dPQfiX&LMOJKFK&)weBIjirQq)lZhIcXN= z<>0k#p#bm9t5f>c%rGc@YhiHvj?|e|oEKc{!+a&oHCC%RG?+x5$vRaS<}0zPpDWCF zfkb(DlAw0fDeEx*8JF%#MmRR+EYaA|#T4|3L0eNnHEGSVhAA^PE@BFLbYK;ihbGsW zH3mzg&qT&DYW{2!6`Nqir<1fadO~C-V{Eyp*v3goaho17ZDz02h%9B)To<-z&y2IX zpRf4#>#KZ*^2{@0x4WNyF*VyCe)(xq+I8{guW#Diuwj#qpYmrP&vGZp-!p0r?{585 zwjjH8R_XS}voChJ?r40QQpemKqY?O($9%()gZU8wK8uyCZJs5*Ta+1hX>yoNVNrOM zWdD=)Lz6NCH~-vtVe-$(*BXUPFVt-~CMS93$m90i`R@NZY+dhc>~Rg%S(O|p+<nVK z#JDwh=j_1au8VHST`8Ctmc##QyNC8R_N~!NL~l3m>dij7CE&QL*GAhF50%#5kh_u} zbNYSDN{@q!CqK;c{vPaee}%V=$D7GJ!rx51p*YcAX?0Tmf+eYDNB21xo-S2V4megc z_XLN?h8T4trlr~6-?Vr!mhmrTTH7-Hrou*rF3VFf_cTB8a>c9@j_kZN?X^qTq7_fA zCQj8zpXpbkDY89A)rcuo_j+fKgCp3qQ()6J#;6-9NlARwdEJsU&09(Nk-*}Xr+Xh| zySgpNdAe6gIbfa9+zg2;>p;3qwXb(7HAYQ+?c%n;?Wxs7!4<wc{Zd@6aJp)}ZaH~W zb)uk#&rZJ-7OtRm!jg?nQ(n8cJt!2r+!+$kS2XnmhsYw3%T+b5clI1utOHUqYw~Ls zw<Ap_I7H^<NQlgh5t3|70tqcJc?>dr+77?jHDBLo{tkNU{cF?lv>&fbn?Cg3k=&P8 zUBAC5*?vOfoqvKB+iWGZ65<1|X8hEeP<BT2w#?<pce>v8zTB8~blb6Y`eMS3y!+-0 z&wRAnl6Uc=H-BrNdd~jT^)~k9MdNwX*}qpEpY=BS<wxUrOWLb$1cv0Cf3+srI_y?@ zXwH7G+iSYshFRD3zG(H?XcE4`>7bYB>pkkOuJ2YEgm&zj<FcjYwPu<|ScuW-sOFH% z(mT_4x0`)_ne%_S;Jee=Ud~228q4#i_Mdz?`&RO&h0Se`f1KPif60#^_2Y}R?L9w= ztJFj#ab4T-(ZKHS8GE(wmvahYm%n#D`_%4S^e=6OKaJ;;y5E2K9$s*oJNAjjjq~3g zuTU?N`C8JqSWEM<@SBSG`N_vG)?Vpv(o&x~>6C}+lgs}!6zBhNcbtFQ-Esb1!;Tf2 ziK-!;tDB!5u!{J$s5#Z%D&pIc=G1trh#tL#R)%w@`+29Uw$F$-X{Z*$XSC33O0QJv zf*1F7OWcE65>!vIXrEJw_^5WU%bGLnkLL!j81-eJFJ-=5Gex6$vry^+uPKdMf#<Gh zFkfBdv*D3<lW4lonu^H@N9VF=|5J(RGYXhH<DB>MrJI8m@q~fZvaNEl3}4I>(rt9G zOP@1rj^~C)zD=U#LTi3ZPOv=XP?}_=KX=+WuTG-~%|NzQE|M#Ro;vj!1?Zk)(cY&L zQK)vX%bYXpj^~C){!LFcn6Gxe+*1-|rLB4@VJS#0$U)wwryRCw2(S4uDdFf$7VUc~ z5rygpyX-lqE#?V9IDRV3@nOw8{+e6G1CCB~5Orq?mEjC2Q~`0GzF2keuT=krBTk=R z>xa5&DXMPBin+QWEAXgqRG+ki>Z+Dgd@3P*S_?OEb55=GSn<Zi>F5mxXzNVTa+QM0 z`LKphDXbdjL+?y^x`HWWk=Keh4o<23LRz~g1l(+IIpwDk(xc@tb+VUd>Jp(zi@BVN zLKsg4vTC@CnkQPWVwk!@NXvRcz|H2CQ+g^P-xL>a66O?L%oXr-Nl=jF%9bZ9nkLAE zHh}dU^$qXSf;s7%0<x1<Fd;k1U339hkG3vJ=C9pKlKZz_T*MX7skLwuGv`!Wj}>p6 zoKoe5w5%rv7_MRn_0v+FI?>CcPmALu*slv@u7-7!;P~1Q{qun`vith)E$ZE2c<{sQ zoaKgpxNdW{-H)|q{5$7H{mHj1Uw%JyaIlx)WBI}jVsP@Ye35?OaNw3?`n<wt*oZl9 z=Vm^iQz=*a@J#VJo9Ab&5B_`Kq@h*AVaFuj5PgpKd71I%W_!m2Ut@o7@TqXL=iIws zens2`e>43T>NCW@Og_c^tMdu#-zOiN>JC*LOqf*S^Y_t)aED!Q=Lc3YruUU3OU<#o z)SFrG%)@-y!I{c;XRxK8%T%~~NY2Attz#ER_*$#+bK45`b?14XmK7PFJazv4eg1!0 z-21m3s1BQz@+qs<?!Mo&$c<5R)|F50e);a|liNqXT}>%3{Ql}{PUZI+yZJW%KfL4G ze?(n!@3bW2{nqzm&xu{Wnz!lh9KQu-6)Vr#mUydG{5<ep{kp!M`01NvmmU@CZ|#?> zPYeEaF#VRu%a(idjAHe+3;#*~_`B!s>95aftbf)Nn$BJOJyvb=%RDPZxpz)qtmf(X z&hD)DKhIyd_FbsM|D)Qv&pz)o&;GGXao3Yi`=5BeoK+sbCVhSV3~v6oWnY@(3o@R4 zH)Z~|-v5=*)8A4hAJmrRJ;;ljyDt8$0ejp&lgW3#Fe&byQ`2}oy0&mvU7L8s-iY|! zFPq9Ec9uuSK3p2AFTOla?q>JPm*$s^&;0y+)b!rkjdRwWdvkSCT<X`qM*MM~OWwbF z8!naplRcvB+WbdH)xIaZV`(>?eAs)B+7~IkUDGb#JtKDaSK<H1Z<qLF{}D2|tFKYO z5%>D(oRXbU2{YcZyq~Zu(ZA~I72e&KR<FvtF*WXa(cyLS_WyPECthbiZ>Kwp|159y z<3l&k?iMqDA9Z)bYxVQ{D{ky@z5lDNR^D#M|A)N0@BM%9^78$Ct*cK-$BB!wRk_WN z{_S7%`pGp;yX}*rx944ES6APDf9L0S)$gA0*}Z#m*ZO^#`un>(odfRw{l;na$U(nc zW?jjJ%GKTiyB|Exko(8IVL{>VCoKPeoqxOT?)Q=hZzu2bUH&EigXAJc2dnnJyONv+ PYH_VI{z%sz`FIcjP7s-_ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc index fc47b423b3ee70d11db834f8c2a490b5d1c6d05a..f709d602a57a13d2c1804daece255895dd5fb06a 100644 GIT binary patch literal 1155 zcmb<sVE}^)#=X-uFDwZYIDT@cO7+CqcV(;%Pd-t*DWfvg>qMxj(9e?-PfS#vcw(Z5 z3yV;vOs9(yi2wQ5>-fnIIa(oa_x#)2)_?u(|Lgs0&F;OuYRK1f@3+<a+7-#)7WLV^ zxgXf~P{{AWjqv}B>mDf2*|X%IP~HPBzxc3v{_P(a?%xUhFS;%<fA#0x)mHBwK4eb) zuPoa;Tl9X-o-ft&HO_xLT>R&QjJ?fqeoW^7<-6j#?VJ3cD7P*CeS}s1A+wBKKt1dG zhf?3<R@FC@f8g*h_%QRHIIC4#0ss34A4I<{FW`Q@;rpsz+&h}wZ@e--7&iYyaqYQR zn;X~KY3BUg->CbaHRq@G!D;)AbAG-~IDKDq+n>t#7gaj-f;m6C6HmvpMgQa5@N|8X zZoO&FPw9ly_c@~fHF;c5{>7Pi`8?me6Xjgu>t7aI-Z{)#QxW@D_)gID%hRp$W$L#+ zDLi`g@>2U>RVNR3ea@fu@9lQ)cL|rh`De%NdH<#EayS3%xTG83ikWWL-8;OjoyW{} z%7&K<n|%3gbE+f~E;q}+{^i5Zm{aAEaQQHwnXOFXWoN$Ga#A<G+&i@FJLk5#lE$_2 ztoJA9zmh*>p8w(xpWgitzlT3`pUUXTX^MaNwy}s;{vjLR{jhre^bZWb->>+eRd?gJ z<MY4erFRanS8%hxUs=yC|4@W){)+z$=^q5YnZMe9Ky;V&-xZ&aUG(>s3!C$Q&lmTT zla7mq@7MWzbG>(8UG%3NkD7|_?_=iM|N5`tZ;N*KM-~Tnu9eQK%ulYnclqwc{oA+y zelVl>@19?MFLs>YTr4FQdHzJX%jp{a+vUeL{95<o-K*bktsm9+-~1QHeA_bWUU>a6 z%jxz3+lq~<SL8fTlG3<sDN(%c=FaU4*Wa=%k39PL@51xqUyd^Lz37&%Xi&CTuCMlC z+P)cwZ1=SHzc5_V-@oQ?`&*eE2d;fr|5iCqz&xjRLGt|XFSfO=<=@i(WhcknYa6~c z{`z<+{?IjcuWvtFpLd7KYutRkS$>;cz{c}u>!ZFl&Z?E0*e^8icf-@^Ke$fo#~hkA z|5N9&$%gR<u9;u?{r>*6+6e3L*1J}}{oicfoc-qBP2t}j@AhwKmrAdG5&x`qi~i^E zoSE_$_uP=J65s9kI%)cgm^U)L-E$Y_Zw%g|Th^0z<lX|ojkV`mUrBCH+oJfbfiERj z)>M4=(tYV0SEfFHV_Yh{d$HU0?~~&zum7)2y}kdw#E1Vp;<K(N*}r~cTl>Z`Jzi<= z|4Q>IUp9-+nY-cp(mlf4rhNZ$<?oKu<^Pku?cTCmFxo42!}+Cq1gm3CRX>%P^l93c zJk5Nc`_n%4-Dup*{YB?#ukiQBdYbR2bUdvLx;M*K<Eib1_e=K}?u|QTop`!1e$n*{ zH}|qU)YJUk;&xQ-!qOY2Q6j%v#E#l6a^3iSQ$%^^Ugz#4_l&?C{=au`9s2+As=(so z5|g``e`X5BotQD{_N<!fp6XXc%fiC5W*S|)ax;04BR{XyN6GIQD_!HGO{OnC%sAKW P|Ap0SKIpUDH$Mvi0@iqO literal 142624 zcmaE4#Q+8sjInpicWj9%{a!d}Mu+afK3VIm5T8X!-W!sS_sLq9y}7Xw%y_jp>G-rB zS<}FtV+%C<+=LftE}7|BzyA8y*zctq=hvV6YPo;!x3~jysz0BZGjnpx>p9o{|6jlB ziT=KblUt9TJ$h7APfzLGhW28c-IK(398%>gXzdrD_R+L2V*SC}M^*9*+pXt3kc+N3 zaM*Qe-4RK<1a|))2R=?|m8t(@v~qpHm!MxqA9^3*<%`pqCx85B_121mUsoS-kJ%fv z=g}w8M=PiNdRY2M^84C~gLku!y5HI77E>4T%6cDPP3(`Tx}Z;wuBX(r&fVP2F7rU} ze8o}idj+}Pd%tVW+<)Az@4RAVSMi+0=u>Ohe(yLcJnutSddz(9XWQ*`9outd=0<-K zSZ;AhJtpC~jnTd%%<n$7u+RCwtH=Hk*ZLd3c~9Ow?@|AH@%eAv`4v0rdMpmg`W1eO zIGH`?5!?I^jMn{=KMKoz;B5brTpRW)vY^3S=Fzc<|BCNCW;*_S>Yl@r?>3g&ofLob zNLg;<=iiskyX@CHC$E28_%WCIjq0W+qI`wD;x><X<qJN$th`^)?{A@}`u)GduhRt$ zYt=pHC5k_<IHEhRu)SN|`)^P7o`nCJlWwy|+8^Sxd&Dunpy&2-ui8%bn1@d?C-GYx zSKc;Xukwdwr2WI^btW=(_fGJ($KQDFe)z2T_sTCz_nIHRJN<j*AJLCz9%~*v`t#|> z@Wj_lkEF^gj(lTPwSOQdzp+~JWVlVz^!gPx-^}^t3tIZ$lpC(oQ~dlj>inLQ;ZG}U zYK-pG=FUGJZ{8<A-?Fae*^iGiA0JOg;{131brB}A=6L+0&d-4l=lq_`c)sGu(WN`& zDvn6|*`C~MKk1*<o5wFMO<KO=nB+Ho<;rI6J4w$sP4c%mYHXMIU;6iJ;e@?*lGk2O zPTp&$d+qc6MBD$y*FJAgu&w`Q9y$N>^90*^!E2wzlWpr&uYC?rw5?~p_SrniwqE<% z=k1BM_4joCzWn)l<GlSMuOF)){`DiXpu}>g+}gh5!r%1ufA*-$)OcUpZjs;p@Kyi! zo&VqNO+Wm!{M*j&>ec>j-S6KOKleX+PIO7UIKRa)_c;$<bs6nDq`R*0g}2?SAFEGU zvA>D&-Se>Jqxzo2`iX_#rl#y;_-=7{dG?7NGS~O3=6!7ZD0^<5&gZi?mew7#-L_x- z^OT<Q4b`G6`#&72GCWs5+e*e@-yvhUf~rzU`$wYdvySEO_~#pW|M$KtTlXu+*S?7l zp7W^hqh#MYt*^X%%+C%A&nr0fLvsFxujxVNMSINpUaB8Cchw@k;sEQp3Y#evhi&Ic z*gyF5%ILmec*W5;g`K~jUy48ZzrE_lzHK%32NfR&?|BgQ+H!vHHRJn?`PZj>Rl5`8 zU318G+drpkz3X)=8;oU=w5Qk{*VFsZVQuqRPW<}BU#!=Ebo_no_3qEoFT#o9@`XMA z76;#cU9#^G^SZ*K+LP{c9y4#tSNPdxTXTs0&3}hq(FF~s?@#^3V{UQ$;Cs(|k0i<~ zjv8-MlHC7*@3wnn{SiLDe2vG-F%KlH%_r?SVz=%?3wzBG<#l;FU$yf-wjG}B@$PTH zFYgWg=5vyMFEg?`Y@7Fial6ItnLYChI@90Wiz#~TzNxMu*XFUndW%D)I$v4e6?86+ z_PF<0g#SlN|BanXd*VMf+}%IvpW2&;f5MFH4vPA1EYCifE%S&?|3`zl&BJGALG_1h zx7F$VJ+ghO%>hZijiuHni{CtA>fdqL_+8;dkH1aXHAnuvRV}Ym`s;GzsJqN#VZVZE z_Lb{DG-S`Y6ZlE+`HzOT)}H$k`R9LN{9Uj6SJ&de)45CS4)dNXED%2#E%TUfeZ{eB zv8jKWtaJYNuCY&IU%s-Zzo0Ss%^lxQLcez$5|;ZQ*uP`f?knqe9F^vKuefu&S3T#q zk8Qy@_k)k6?>NqD_dqQD`=pP;dLMi4R(t3DUAsm;LHfMK5$(Q$TKSdw1?}E4sgu52 z9A&n9s9g3=`*FBU!uREu?zh;^x$l2Wea9iqZ}0Rgd!6q*V3q&Srhdo9;g$c6<JZnF zng64461&A=#cgsbm3^@>4+P6Aj@jzT>3rp0_fhco&L`*Yd)f<@eH1+Yqeb|Roy#k2 zn+H<r{8MX=SlT80PMnl~<FI<ofq(bC>$&*~eip1eU(j4EW8?Hm?D&qu+VeJk^#I56 ze}&imHx9mBoKn-mEt9-_0#Yo?=|W=pxW~J{i@!`ykk7X``Yk!NrmgzU1LnNKZx)jF z4~5R(sNM7An%Zmj8^?_KK6WX`*gL-BzVlGX-G9oS<C=0GIOR76pZX!nf8($E%lt!f z=jN+C7LIu+Qtdx&&oRZk4^8|PhlTUzDScJHll*%A()mADLcBar4av*%^dMe7KjF?# z@t5j{^z0r<%r9uV?FI4j`6&=DN5Z_k-wW*J9H^Jw;a-k`cv%hX<<Af=KmR#t`i<kF z^FB26R~!k2dRg4#-QO5k!fu5p?COb-guO4K==J^7zg)L(RChj6Tvyogce+>H1GecF z6*HgM=6!59?Dk37??adQn|Vv@e?K+4ci7hN1LJaw>ein1A6um7><{|HJnv&mcerQW zA?|JeoPTM?>sB`OTO7HXt#bZj7kAA5uupvXJC6Hm|7`OA(Q*5_=es}4za;OCd-I6z z_>V7aCx!3q3ygWlc-^9M@)PBA9~p1wPpdgBXZMi#+kbCxp5E?J+a^8d@q)>FjvBuE z(3PIE*ZY|Mj>F&TPyc%STcy09YMRBNqwPlw%j|UYHvZ=<Y*n{;@HkyXzVK`0$FAGw zyUk_l9N+xy|1#Yt2^{O@D(4IOrE7j{d!l=8pYptdpSB-+?!NC{`+w@!X88_q{<fcH zbLgx85!QEgT6%?Fj0^iO``SDZwo7{ceA4=g1MmKO)?cpoeE0Cr{-d4G(*G}wuTj2w zN9_8JL%iS4DgI<^uQ<eQ_fUA-n&Q7nbHB|Al7GP1Zecn7iEi9}?c)>Y`@Xt*bA8v> zpTA{ZfBr7a_cQ8`)a&@bzWqmj)%<Nr-WQfW{o}7c)xBSTeiwZG`8#{fv!B(`uY&j4 zC(G_nkj<a(d@MYC>f_Q4`{E29%-i)~j#1p+gxY=oJ2q>d{%G}l(#Ky_jon|rHVN`y zX?;HJ<11yonfXV5RW(%0Nv>b7UJ()RZ}DK_t{2x+_f#GIRdulK>sO}t<|%vj-FuK+ zyEpL=`>Q1PW2?>g#JQe3&iVQ^=fBF-J^SPY6KZXfjtQ5Z{F$=nS4(N#>pzoT|6#oL z(|OHj{fe}CUzmc{Z`0Gb_A__Q=k|!_{Uv{{KK)p7g!^-v_@tj#zO{b;Y#s5uf5SQX z*A>s=KTfPH4u2MGEq?R-`=q({$E@lXJ)T(~Y@A;h`0Vhzqu)MPM?Cl6aBlvqif8r@ zdn-?OpRUPzFZBCo?V8WaBc7ixvH2W5eabK4&tYwUz283P-*9ez;#~XdAJ61h2jyqZ zefZ$gZ;-Q|M?BXrvH5I0ePY$@_vUSfPrm~>AU<L4{wp8PypQvHCA;swRG;4d`5*^$ zmj3fPZoI#ob!GX_x^#<ukLv!hy#6D4?Ps>^`9J&gzslWz&#NAO>-_wrx%-b<)h~WL z(|*UME5B>%4d%q9+Sj+0{$qRnXKi2lzYpe1?H>GI{P4}H-#?4jeBK`M{C$bdXZP^Q zzb3qw=XoA}|2)VAhpp<@Kb~pNx5Vzz@5v7zNlkkF`k?By>&?|`)~oYJ#QXczdA~~D zXD_W+A6-<tcgLY$RUaF^etjnR`t{kD?>%Y{eHTA`)l~KR^~u?5)~okN#P{1J)Y@9@ z7W@10@8XBQZcTdq`Yi9Y>$CYI;@8jHurF?Id(ixkf3Fr4%bxuD^@Z5$*B6DaU0<BO zX1#m#^{KxeHWk%pJbvQzi1qoErPn8a%zFK+`nko^*BMW4@_zgKEaG?W#&5S1-<BU+ z`)&DSeVxDG_G^D`uetw!@80yoi7GqKU!S!;O8!p4{rrxt_hqv8vtFySj>)XQQM~m2 zCpV-0XTSTm?UsID{9Emj@O}S{*P_kue$P&RYkuTbe#_STOwVu4zZUQEt2bZmv;G=u zNxi&%=f!P4UhV(>v*+u_-3f26?`!S3UMIWeubaiie;?ZJMtjws-?R4Ni?H8&j_bzz zyWP7Rbu4?o?X^1bHGkzcetUhV?eWePbNt2iD~_s`{>+W!x88nx_Yuo@b!GiYwfj}C z)m5+g%NOz6HtBuRrT1O@6?^uck$-Jde&ctB#lFQ)6yu}!W!07}uibBWt<HPR->`_^ zvL%0xF1;`KeaF7dXWqZsvHOj!MA>_-ooBDlSs(R&$?v+@HGhvq{Px}OE&8GF@55(R zm;C>dXY~KnuI`7g-u<pQ${l~W`pswMYscSrZH@mv&nWKq*A3rhuh?oI6BqRB>wDF& z%AdcrO|E|bS@3(!*7uvwv#<Hv7x6oG<G0<3Z?B(D+1LE7eY3ace)X8wR^O+7%z9r} zeSOE1*GW&V{!QKUEjsya`H@@i8MF6GzuRgrQ+?_E7lxVkSKr$gygys;OW|blzHc>G ze?2+)yDoUm-)j-Sb2ogeKG6HEz1iD)f3Du=-!=DZPuyca{Lfjn{Abjs(ARt0-~Rr( z@!RaAx8{d$<uCqvOTJ8B`R_CS=|BC~?3T)t+j!o;;%WAu6SeyiYi;Ii`1ab~>sa=F z#%p!Pb2961>{|0hS}e%k@O_3w^%uMOA5MgSH~jslVe5U)?EUI%{zmmrt$J&IVB@#; z@Em);W82RJOY_O?KOY_QxzzO2Gfty@dzRVAUrzcR^@#KJ``~jC_46-PExN)!ee&nb z$CCOM%k^|q_uQ(wbkEZ7r<GLYw-m|sf1gDB&fK`K?owjy-xRY?M^C(6e&SEm`-HXq z8;<`@PO3Se8duPII(^PtasLmzH+JrsvtE$D!t|B-;(OJrzL*={JN{ENWuM6FUy|3p zO2-)PJ9<xaX`Rul^u_n8X04Gox_6A5@2X6FOX**x{2u+n$ESbo4YZf|>VC(#?&!y< zOYYU~`m+4^`@~rLgOZPfb7CW3WiQ@m_=^8V>Yo<(8lzWh(>?5W)ipZHmCt^-?$Mt~ z>gVs=uDW)w`Qe+JzwcC@yT^X`XR+$_`OBGJpAT;T`teqB?H;`d`F{5`{qD~zjrQB! zi&@jZUE=j)&4a%xR&B70%UaVvUGVkehYeppZb;mFPkqhx+x7dtEWd7ipvu;G&40Nk z5&vy7diNNAx_LrenLj(y{?VS?ifhM(_0}o%`~PP(`d1NJ*sywar|}-vM>6Ku1-3`k z+ZHbWdR_c{#lD#p2aldT`i(pF@8P#c`Odvl=-aTbPAs|h@0u%r<{9m~^e65SV>!QA zzs0Ge+Ie!y_X<8lZ2YeDa(&&b8^`}$KB_ryz3%Vmw#@-|9z0-s)BdADUA8{>Wcr@O z*GD()D=t2nd*`75`}Qd|huC8tyeXSBz2dO#wd>;SYu4+__12gceOJ8{Uz|Sk{^5JE zM>wzj3|{m3y4yX8p9fE<E89!%{2KJq{W<@Jb@Eb`c~4p=mb=}P{+aZ|UAa8&*w@`n zd*q%cz127SbmWA#^7`HylTXbP^WEx<iuT|9E}rmK|M0cgr&Z5(9Mrw{PPMXqb#-_8 zn&<Tgt@i&diu_+8FZup~lCZnQ&iNMCKJGYTRo`0r@8Ah{<@nwj<D&PXzdt`to@;OV z>C6df<^0|nqfa+atPOv6efvg`Q&OI^PMq#`@A0Z>_2=2%>~*L)A{n>wtJBH5;hyz+ z-#&B*+dLK#*PrxD>iUj@|GHJ}A8`2p=<wh1u<l0H)-S>#MfEZdB-$&ET5g-C`I!68 zL%#V1{kPLk{$S1j(J?#S``sS5UoSUyKYs2Z_n1fhM%B6}qIQLQO!MNEo~Iwte9Uhp zmw%&j-kI`4%-`a*|N2@S`1v`trj6g?c%*J+xBH!kUsxxd-*JGuEKXzR-BZ8p%09Fx zzuD_??D&rc?>!H=rt44p$ej0~qj|c=zDH8|H|keDx%Ya>eu>`|M^`@6pZsy=vmFP^ zlq<WdZ8HBAolHNw@oUvO{%wW-xFqGX`jpScYgRU9$0Yp^o%G$}7<1f5!Q1Pnebj!p z@i*Jc_YJml_PQNYuQ;OV7q4B}%Y7$d`P4rRwKfkq=WqCKC7Hi>VqHOlxXhzZUybaJ z^Xe6RU^{6o^H^?u#ldURsec5^Z&XhHVw@ztJb%(hL%D*c$>!d14`r_3sOx^B{Otpy z{D(I7e|s$+K3V$def$5km-&e`4X6E{o&V5N9J43%Q`p%W*|Ipb&*yif{b~BU-E;3> zu}J%4d~t;>Yqy{NAyK~Li1NCEUwo4G519IIR4#v_{q19$`kT^A_XU6NsG5Fd`Hkll z#x@V#UKg(Z+B;`H=k|(Y4^OLZzo+$j`<nE}AMS3d>j*yP{-cHa%^s&`+8-OL*QW1t zeEm^S-S#<m{*Bt%U()xx7x90$ILJQd(VM+S`;H0!HL<8)`lb4S=)4WzwNCn59JzLT z>3ml99VvSScE6wewK(PhlXbf1y@xX2Z`AF7!hP<8V6^;%JC*HUHec-To*nM}-|$^w z)8p$NaSwT}->6y(3j^_*L#f(d|5-2HKc$Yj=8&YH-HD%vr1J_7*U0k4see9yL(U?8 z$MJ8wQ~olS6?{{alz%wmXVRWWLecA=*F5CouQ;OYcVFRgc+3M{>-;Hqs<(f6o-FTg zanyaz!&kmR_aE?Wx2W3uMEKl?p0(RO^1g1`*PUzgNZ`E1Ay@UUtm_Ip|8DoX_n3qK zM@RpUmhe0Kd|%!D?orSDt>9DHN#Qw<MQ*>Ju;-Xu9w@e&)MNGqzw+O4@LKiK`43_z zv0EHdj4SM0TYmZnQ~8d=%I^wqo&Lcp{-a~=caL{_`j7LMeQZgtIl{T_p7vMKyu#kY z-#zUfvB-aDGtZf?`q+QR@qdw*?lZDmRPXOOU(i|nrq1D$82gUH#`8XQiO19jyn6fn z^e@@60uv9pha$&+w0OU%@i-P<ae#T=hmOzur+<isR~)|QuJYYZ;cx4W<J^89+l=qj zguIfz^H}V-{N$QLlJhoxEj+oF|I{zNZ67<FZ60%o&!6y%>H3Z%|1>K5m2Dm<+dUGF zEBx)bvYh>8{t-Dpd)3M&?>R}|FHgE|ae#N;N5=IPhrXsS`PX8dQ|s}o(@wjxAz0>- z@VSkje;e&P!2Iq*OZA-pjXm)Nt^GHwJ>J#K0QtBndCx-@^ZAp1aed!$?BjD)`NB5- z8^4;KNSA%&?7#89<BR!Y2J!eQzj&@&9RD|6CBLx6y5@+n-9y&x@zbh)FW&U8L3U1! z>nD!q7RSD+RCe0NJY<&p(BvLd7y2sv&I4Zd_{n#E&-fyqEWh63=(X#qHEq^+9tdu? z`0Ffb|B&hYji1UV*Y=<OWw-5Pi*wBp!FBr-zp~~Pb{@|6y7!oczv8fa&UvG{qqb%C zihpG{v~SKo`GY0g;^;kj@3_a4e(z=5EkEt|erCRpE#fto=KNd}2pW0xw>a{4d+J}A z{v8KimaDukXsmwor}GK-w~vDUH-0YpQhY>j-9GKFhIxfehwZ2CImEf{W1D-9zR|yi z>OBvc?H<0VHoEtJ%9rp*47=}7w>ivs?qg5%o=1Gw>(#y*zx&X%*naw*e_PhfPhy{6 z&@y-b1W-ZIsUGw2kACW(25p<9_w19_TO5&&+wfiTW&UBiyuP6MkA(Y=^K6%&cs#$E z{m!E&izoS895>!(ueP&#!q??d`^wt(o`3uKUcrZ-wRTc{`!<|cKYUXB`_Ckc-<Ry- zdBx-JJy$<`HT>I7+qZWm%J%O%Z+-aR^>05DEdKnmvzO@mUoiiC+hzM(&)pCIH2?N9 zZO5NycH5ur%|3i?`?t#M8^0gd-jn9rxA(mJ;fv+pex_LboLsw4;@rO7=fe;God2#k z{pN1dH?{fm&$q39|NirX^WW^G^8VG#cdy_0{p5!a(|_CiK6vk3;rr%?kJ^9V`F-); z=7+D=f2;iPcyE8(?fGv$|5*RsPV(LVwE4?l-}`p|ovqZl`d#Pm&VOqwsrNr`{_pzz z-;;LyYp<32_xRrGhh?{)f3$yFYjo%TXFLD@srkR-zuQUZ{mY$SZGXSoEavZTJAS`v zd0zAX8_zHPf2Z2`&fn{H{{O1;U)O(=<4OO&`~2Mhx2ug}qMrXds;~Fr-{b$6?sY%> zHT`?#5AEvpZMQeS{rn>w<ed84`ODMq-`@6Jj`#WV8_(ZaexJuP{rRou|8{<l<GKF) z=JSW^-|v-tSC>3r{oK84mEYxf(x2ace(op8HF_J)@8y1HE4@x{%lT&R_q8T>cKo*4 zcjDePSCDDqx1YZ|3Nmf_jpqkdzuQUW>1{o)od5o8LHWztc`<4EuQyfmw{1TCw)p#} zYX7#=+HZ>gcU9}RW!KMd55HAydMB=O{_*gezf*SnKU+I5t~CF7R<%5jc=-M2aT~tp z?AUe8j=wJZ{o;quwtuhuVqKlzwmbXX=O<Cs(bw-)8_a3_y=O;Xgnj+WBfoxn+g`i> zU1r0-ea|nR2v;s&ul>3D&;N#Yev5<LeuX~^fBtWm`u^v?SMy`}Q~&qN^!z%x!v0~; z$7^4g$iH?El23@WKeDT?FZP&t#gVyz_ocsb&y%b_ay0(ruXA6Q%E#V6x~r}?`P}MS zX36z(ywCUVK7aTA?cWVwtNbI@fA>85bK8%@hE@BR*E}~qQe{_M^Q`8f@pJCiKVNK| z*U$R;@!O8CAD`U`=yNX?&yIUE?eT|vmB(KznAaSioj6zjxK(`ilerVmyX}+MS^Kzl zKi{>V%6C3g^cQ~Mto$?G;+SaM$A-0m$NG01{^uJpe;?c7gTB2o{Z{gR_L`s9?>Hb` zW~aKd+<4~s<972tbbS4Mj6cFYzvl3-${_VM^VOf;k9l_e{9>*Rb#kF?=VScWJl}ov zS7o^Dwe!1Y_5M1#VtaCAyuJVFgTE@H1nr9U7_PHB`}K(Kx`H2)C)wvb5}aR9z#X~& z;hvth!_iWfWp>)1<v)N%O@+_Z#~=H>ebP@YnS}TJGx%RWKkOf2FaNLQ>*w8TqEFZS z`Wef3?fiePjdlOR`@U9*MXXP6|N8l8)tdSG+a$iaFTS_4_sin>`=2HK{j*h~T_(Rt zJmw+q^&OTceoB69;J5fW=gGs|)W2cpCqH~q=GIsIN<F7O?wNHWe{|;+c}R1GJIFrq zug!nS*Ux`OZ=e42%jXL@GJk#Q>}`&`?msGM_qj4FVtsn&*Q#$3_Um&G{Hn}#UtDMU z>aMj%{jbUbxohW}y$cS1TxRiOkxYGW@|_2w@*DoDo)dppSmJ(;|9P&F%>9!mJ~sZ6 zOpl-TbFFo;&5dL4!d3VS8<cGxN6Mc18nNNuU)JAxM*n}<os_M4_L+HO-M@sMub<hy z&;GPI=2dKy@b}Md!Pn34uXX#IcBQ&}>c20azt*gozkd3osgKVV*q;2ES<oIWQ#<u_ zyRl93>+DI#|18`ScYg81CwZ6d&y?AHMZe<6x4Wr-dSqj2Vy|@<^d`Ugzwk--v+EOI zmtOg^D`tQ7i9fsQcSU?ZFY)@{%!Ir4+H36Rn_ioLUhwt5$^*OV^W6TPT=D(A=HHk9 zBopr18_N{#v9x<6Hou_#w*92f#*YQ{E&jGWIk`9GZ{z1}5`OP<pX^xhuVZfhshV@O zDSP^3Z5~R<7dEYqeAOTEf8WVfk9W??Q~Uh--^;|i_QGp^r+1b9U$ZgpJlpH9HVJp_ z)z|!fesab3ciMk5Y8y)b^K5)K-!jkg9#i}MNsr$bG*$0;$ZB1G=I0@1zxV2Yd#dj7 zq<=RrvI*S(=+AAV{l6I`;}iL-?@zQjsOa~xDSS<Rf7;<+-!t~G7yYZ#vR`j^?XU6C z*Zr#JH@utAd+qhjq`h*&*ZvkC+f^UMKk4h%d&i>WlkVCpulb$cXx_K|$l3WPe!eX1 zXP2oD|D=5GW7k{zsW#OczLY=Mv$pN?of8|~|1PuqCa3gR{LSN{iC=^6Jm6u!Ke^`c zukX!%5&!EZ{12EJ|NT6}>why7@7foy`JKMn@^|WT%Xu5$&F8-M_j28gHS4cmK3HW7 zvahG~zfR$qk6{%@nYZoJf1Z3qHtr*1zQu22$@%s%zh+zH&wltw(5=t=mH&;u#WT;> zFX`bgXh@&)Q1tnZf7~nW6aQBGUt64F_qW=g@!DVGBfILKMSMTc^!ne;#JzI1*IsW- zxNF}Z@!fy<gt{&Fjz-BRLxO(kW6OI|?)4|X9@eybAbfAbZ{~C2a)lo=Ugkfuna4A| z->}H^>$PN6{XV1mhHROn|Lv2WTO4AwOL|>sH2+_%p7iVc&$+JF)RnZ9{@2;?ZocZZ zznhO&*|M#<{<x#`f6T^r^WQyQYQOgThHL5(-_MJ@{ulXJ`1tJy-`tDp_$m$v`~B;B z-Oin3>-ej%PN%X{{M_oVE+?-}O<X<Y&hmT5g!w)Sy6^baxhDL9*mR3O*(cwspZxQy zW^VJ>pSw6-|LoZ~@BF0`+RE}hH71{~o-kMbK2PVb>X+A#d6(b#Cv7>8=lhO>>~|jJ zJpD0W>9f40esALQm7ws8e)Dhb8S{q>=PQm~yPUF5^!AQ_E3a&i_`ff<{p<VNXCvnG zM{Kxv{)T`0Kl#u9)g#`gE7d%!dSrZE`1N0()~|JG2Cv)~*B!00-<-I2{>OQWe@kW7 z_b;A*^5b%gqmp(H*>=|-|9MEcOl#);2Ya@(-A$LWoVW75$^Yt^^~X%(HvH$Gbo>0I zpGt2YD8JkA&-Req>-)+v8}6N#DXbE^{{E)X{(I+lM7&Q=I=i#p)8x45wcj(PUjIFl zaYer87w_x8XE<N~?fEbB^8JyIY&-tCTiQ#?74%K+ecjG|C-M1wmGb%8pQq26es05e z8|CA6Uv1}oXzKsbvATZR*E!Em*XWiN{?MQFJ>q}elZLPF-Q~8<+kbvTmTmp~gKJak z_Rjyf;of<bh<dwVaMu4llkN52GY419@A)Ns?YHD>)=T~O&a+rNtZ?4*Q0Df3t>=pm z*g~4SvwObBX}k`dGyUF%|4Pc?!S)I7pBvp5Y_B-VT^6tNIrqllv-`dOHmvoiyXSW2 zq3G++jMCSBOTJeHdG$xc`}CkS*NqQX*-EeZZmfIl_so+k=J!^;Ub<=Dz4JFB-lsd= zDc&=&&%W?)L7R8Z-}WcA-##?(->9FtCcZe1?XY9=lZu6PN1oQ7s?yH;(ANBa(w%C{ z<K4A;9trlx>}U4N*SKDOcvt<r4e#dv(@v?YwNp5-t3EAaeR|*5s%;V9&-1<hXDR)) zJ1~EL(6#G-FCW}h?{?!?zNNh3yMj*ln1^5LQ~oq~+a!IjSLKgWeQjPP$J@T%tVkxH z{($kl4_*E{{@F*KukSr`{UL+<we|lVHOUqn{5pHXKij*)*Zy9vT6<>s?{sJhw)5GW z>8;Op9Nty`E#mw6qbuyCzWRCF|EZM{fBjD~``kyhS&zA__p3Z#e2iJ{V-Nq1AE}b^ z`~0ruTl%vfzWBOV=K2-?8~;UTzHd>^+3WbK-{OF}%%g8Ppi1laapP<I>vQ%_th-^K zyXHK5OT_o{9IyXHCj9-Wv+-XI$U4T?|0+#hg)hEWJNe7<-^<%e|NDG6@Nt>N&(L-9 z=L(zO#!rTH;obA6eYLjDZ+pC5!qP6t{_(3fo910D+_$mUIr@`;y2T;sc^m)jeZ~6v z{_1V_wLs-}0;H$}6<r(S&U3x~Dzo9;eC2C@i%r2}aI-<S^_Twl*;vbGDKGi1u$h0y z(VLd3e_FI{lHbQnI-WoMYxTL?ITn@e6CY3b#j1a!Zh6oB4b8KQPge=PE9`mv*<)YA z-`|hiW$w9r^M4*|wEx|Fy=#AskL{{gi}((*4^(ZS)KT|!VRh7;!abJT>SFu$U;Vh_ zu=2c*P2w^C+ODYYU1MKxPweo^>K+;MEAoX8PSl*MPOa&Q-SbEy`gv;YorlVPJL<IW zZTM|BGyc0j|MK*cU;Q?`o3DB8@8)ZB{%*P`BfsI@eBo<<Kc`%YPWQC`Rl%|0-~aTE z(*I{Zp7<zc^{=ML&MM}Cob~hRH3u2(9!V~L|NKwEy}q{1{1TRNE8`1m86(gCRXE;m zD-%26b$jrfN5XL%?c%p5*6uqeol^Jr=ckSH&R;oUteoGo$Mn<56Y0v^?NnY{i%)vI z@neIt%|njo;?q8|t}E!Y4gVx6Z}INY;Z5_ZjALqCK27)~q`%|1v|K^4VC4Ib>OGHs zESfZ3e(KM+vrnD(ZmqUSsI5&tQf0q6Y47}-8}6O2ke~eXe2jn1v#O-M^G|NLcizOU zHv5Wy#QXHLHQ$Y|9Z&t+^LXpVQbWss0=q2^DeHY`@{Wm%d1XGQ;LcO^6x**S3oO0v z1;jsmAY^pEA=&1U==vMGR9-*k3!gvbC%^gB*O8Ckq&*5Oj=OJ>aqj-{I~(qupR?iK z`5*Hq{rp<R7O_6P?Q5Od@hbbvQeWo=+9&Uw9|<aR=TH0U-go`r%cW0hTy`8VEVDW3 zE%Siu{EwF4zTLli)-2y<x4XPG{8e^LeAG4R!ghX(T|G~v{XTNeFZla=Q{2g~*&C{h zcg|y9^W9kZ+V7d*f{Q=JZtr}~4RPlMUVlBa@!olni1+DMi}#to5?}M(*nQ1+<8zL` z3iY&~A3n<5_pwEIPQ2f-@EylYzv=7m{AxMV{;|!Kwzub{E&EpFe-M=a!0EqZZ_}Fc zL(JRuDSTEBpR(s5<Ms7NjpuE+cm9lpt@pa^UtMSVzfaFu^WAvivpf4;W<6$l{nw}S zYuz&QSL}=bb$qQ$J6dJ`xX$y}Y0EhN+xukdn{4MiVqW)A(0s?PNmp*ir`4VFPQLN8 zYvSW+zr@<Zr~Oo$^FT8H#;g>(-&$+>tM5FT`uh4qt7)%IlkeJ#ulc?FZzw34vcLW( znfUi-&&Gc>)4&<ypQXgt#ew^i?%JEL`MvzR>C5*AKK`=!?Q1EosaM!`ceU5O2LjtG z4xYQ7`p@b7<izj%y)yY%%6IIXwZ{ChoW8|AtLyLkQ}*##-{4Ytzv18Cw{oxV-;XOe z{?+!;3$dcTbMsI9(JcGOc|2l$dehgcup3L~OZ8VAReddXf6CV@?{u#Hvt9F7{l?Cv zUuHjIyk2pL_guc}WB!QW^EZ5}KV<wj>i(p<uIv*(&wg;bzxv^`{_lUcNBo{&;A(ID zEl>Av<o#*C1a4OxRJ`_2dCgz(9n0<u{ob$oSKlK2?i=e(^KShU-Z-zGJ^R1ly%*}& zK5n1*&->0}uH)OM)*QI?zhmovQTH#qA1eFp)BY>_vf41_@5_DvrLzAkpL_AVVeM_t zddQ$ld`jJ0`vbS?+x)-Ge*A6w(?9+esrQ~#8_oaK^7T*j{pm5k`9JvBE9wcov_EdD zx1qlJr1hG=-y?p<e{k6EKIc#07x}+4zZhSBp0eXd@yV_Kg|h$K_Pt0y;9he?eb1wB ze3$nB7B|}e?S0}~`-7H$m)+R6?2G-Qh~GcFXZr7bWc<Ir|NNi-fBC<?{vC1Mzt_h2 z)3FoL%JX|_%!*!Xysnn%@8!2R!rfO;scZR<$$ZC=gIiVOKQ=zrtJ8SB(){qzevA4m z(<_eJZgZMhe?Zpmk>GlZy?s6U|Gl1FKOWrr^`psy#^c93CR?9+oO;yq-iBKBlY8Y) z|M^w%>Eq1To!q}pZYYj@`MyE;S#Q14=auGb{?6a<?fw6)J>OrbA7G#JkoEbFJ)6JW zPkOum@U8znwZCFNv>e_)@vHhTD~WUAC%67*%Kkt1*_X@Nb>c7c4;tD%61A?^{+#_^ z@7llQnkDyH!mmI6*rNQ5w_fY>%KnJo@f*Lr|JU>N{i(-{<rW8({R(HD`VsZ|>(B7D z*Pq81dhZwJTd)83cfI=S{x7Bye*fc&-r66&Ro~40D|2J9`OEx6cJn^AtgV0W<K^{Z zRsWplT*z-Rzw^-H`cL<n{<g_)>o4w^{O^tLG5MFr4_>{i+F#I}EOXEKlhEtO%Mac9 z-|$xY#80`x{=4;)zE=Nw#dG|>&dzW16KwyB`n_0wOj>SZt^dpK4b^)R-~MmeTK_*j z<uCJJHjCe}mh;%Euk8G<_4q3Ln!o-LzwI9~|Bd*s_Vwo8CHEPoTO4_}SM~hHZ}ACl z_g}91^>*vO?@Q``Ki?F$x>kzse{9iP`$M<t7cQGGbbiNiZMlM4`Iq2Cnf?Er=QaKp z*AE-7+u%I+ue?#5ZNlIGYXx8b&tE(1^7&<zYJV&LYkppO<GA{qBuF5H|H>_tJ^9mq zW#sl>`jP98{j2Z(TBmWK%6>{>to`v_b>L2Z*00Ed5@$L2|A#*&gNDnp?c$Y={p)}F zS<P$lKBHIcGKO_W-1kU*ogMi8@A@z0NqhQl&p+`?)9<DE<&QfKGCz)<^JnW7f49Fm zSKR-rzmA@>z4_rGtA3jaC-%nQsy2)Hx9LgMUhOs4s}EG|b6@kk`e>D%`I_gKe~Zri zf9B_*^mF3ZK2J=vmFK;7Ji7bqM>ENvIZqDWO!@2b`_QkN<6U2W@_i3a-BTQ2C-<>I z*yf?g^Y4>CnqI4uUh`LOL$Pw@9{-91|JI-UrCiI~c6sw<`Sax|5%c*o3ta7WzrEA{ z>uYhuIBrAg=|8vj_ip_!;QvMX;h$qgHnMy(uG_btSw3&0UHs;R+I`3DmiOF$as1#} z<|Y3*zFQpo$gHZr@mqZ2+xi2dfBSCi?)>t8p7*u)FIOAO%#SXrwNpQMZ*A&duJkX# z$*&zJ_1`$kZu7|ZcyWE%p<Daw<s$8u+-K0=ad6(c&##T*Y7Z#>^{Y6jZgaW+t8`Gj zO~fz$f?nr0&nG>PIA7nm^*>+!m)nn@Ei3w$>wYc!<zhpbdEuXW>y+=@al58p;9{?8 z_egI3hlbnj9)AV1|7&0SclYzjU$%d%EcVU(5}(*^_4|)eob7{ob?$4<PhVTHU_P%p z|I~kbxKGqre%tuh;AHTczv&Ub^9$VeukG8v^Gp39)7N3*Q@&pL*LvhvO;p3zpIdmU zzf6A2ocFQGI>s*M*Zhs&_9wi(zi-2u_m|c;yT>GS@0$GZ+|qf^FITNO|6O;-!uiU4 za*BT~#V7uf*j;hd@Y+A&HGjh^mfe>U-#F*L==3$wF(KE2cZ&<Z{@f^c?K!h>j_su5 z%<l?%-S0g35@_^q!Ph^(jWhTDt8U5uwN+2=?~m;h{u%GN_&saK&X%6*zk9`A|2@|7 z_0QRe^YcqRS6;t!Y*xFQoMdI<lcf{CTRf^@t2yFcb4WWcU-S6;IImyQ8`>vFd)|96 z=W&s(<oZ0`-P6^U-#h$mZR$RjYKx=nF^^fzckJoCQayd*&#ym|<zIh3uBlg1lX64z z$;V4CD(*bu^p9A7z2|FH<n*aurGITKEQo%oe_Z|7J)Z5;Cs)<h?L7SJ&!;uZ=Cg+P zPy6@ww0CW{tc;!8Cywm@hS&ai%UsTH4X-)E`ubV;gs)f5i5~s+XM6Y8pYJ8qzf69> z8-Am1&6nuMa{Up%?GxVCU;a~8w9kLm<15quh5fRVTDM+hr=9%mgj&0A4g6n>AHFHP z^qzD2ja{40JhzMc#E|`8@!G#)n@jnPmtFeOk4e5>5<c<g)ob}(Uw<Y^zy5qwk?)22 zA!EG{UEVSFj=$b-{AQo<_J0TWuh@;n)i3S$t@^V4!uOmVduBcPRa4aZ_2(1D@-N1Z zUUXi%-xa*)q42kLdY`lZ+g<w?{N{3gn|jRw&d<jh&n&;U!R~(Tp<gxI>v<*LPqC5v z&|qxyQ0BS+q>sAS{#md2d;W*Ve(rVe6rS7v(T%KMw7&V_pY5vo?{r>^T0HpqjxYSn z<>dD#jp`06+C5^m-meTT(eGT!Z#r!4^`E(~WN!AUnpeu#p1%%XbN>47>yti8|E<_j z+4W_9!rwiIMP5Iby%zC1f5W%>1Dt>TDh~hKn)-L*KDNV|Cw@Ns`HScE=byaS&cDwt zt<m`F|KrT_gAa}8vzN<0tKZwRed9Ozq~Cr;`;_lI=3_teIzIea@cD@M?P6=bJAeE7 z?X`8p_5L0kvrq7jzNoy#Jl^#3X^+3I)Bij5#_@NtD*lBH#x{>7zsr<YG0*hhE8$lk z{wehN;jgi&d)dl&91Ya^*%EB?SomC>|Fi4Y>x<T`|G%Z;*~iO?fA{Q^yng-RbLrpL zpMDfR`RCW?2M>&|3tN{@u1mIgAlH6I`cA;JVEu@Ad8?$_+7mk`_FO;zxai}=e@ScR zzn_xuw`Mt4_J7lB|J-dZzGr-1KK=2>Je}A4U#?2~<tgplS2s1O_V21=zbajMubtPn zO8EO{Hs|Z-_vdW*mVY<o+U0-DF&FbY_EvlR*Zns0_-@I+k2qhyjyAq_efyf(r+*mF zf8KPyW*+yo^NTw+)XBLvLK+!-FUt>bmnHR0-xqcGqOOYl=U>uxpMObTJ0HGcL*2eZ z4PXEMQoi>6_4<h4_cwfde>dpb{TJ7dpS6AZ=lYGM=XOr*3Ga`HpKqN|Tbp+{OvS!m z{Z8WlYex4wnAf~dKeyrA{N%U$kJ|n%v)DKNOa5KAXZaD^&1CL`71jPVIP&XPV&B)l zJ6W%Ne_j@G|NYJ6+WpU)w*FVX_HXX(lfNYY?z*vS`<M8n=;xj<#J@+x$IEQkx3A*J zuYX5HU;h@rd;4_FuR06<*S{YMt@*n=;`jQE-urpwKDKFJ(|xb-dgWg0uCHJH<zK&^ zuX*izd1}P{`&ScwFZ=W(tFT{v&ENA8zqh|rd42v%_Txu(MgNLx7QH`PP@TS#zvA!a z!@qvL=;8meIiYrcYpr<S|Flc{o4<bluDs^&@rd90g}(bmzZt*$-@$$D?0c=(E9Zu+ z`R=TD?e{{7*S|QgeMKxNuvm0o*e>aR-lhAT>^t_Zmx*sHjyr#P<6rYnzv8m5l)L@y zu|1~$qv7rD_t(oetdoD(`q5A?JaWIuEA7Q~2Y4U5%luh;Wj%Ok_WOi?NymOy9M!z` zd3xg8{Rgl4TgLA=JUP%_`s+2@)V~uyn)%hMeQu4o-oIg8{DY>Cs%8KDUR5uyGkCSP z`s6R|{@3RUir&}e-A#XguwdHT;^+H7vlriQKY#c4=&#RD68~0uZLo_AmOcM?`LSOW zIUDTc_#@==g|8jI&H4KA$*P7gi~E-|ynd{D<X6SXjdpTeYx>*yUVnYJG44I<>#sIR zd*_{FUMm0h`;nu+-h1Xe>6{qu7AO6)%Y2>pcZGHR|MqcRyYC;lVc-2{9bf;NooinC z{I9g$weR^yBKp-2R@sQk{rJA>*vY?7?ym<`7Ed<KyH(q<<MH=!8=2Hi@hTDa^$JIS z{bV-1_WiYK#QpW<2X6gu+4^7j+V|Iy{8Q_`{H<cV_DdkUw(qguFWnDa)^m#Os&ekf zpF7`VTXTf{jg8MQ%Ovq|{t3U>^5=wqfB2<8`tUntmHd_83w}7v)IZ4S_pvQI$G+oh zVgFwDQ-9Ly?sLrlS*yI}{q@ru_QkJ1_^WQO*me7fpRE)3${AmKooMTI?fUoEHzV$^ z7f)K-zu}sA#QpW*Nwxdq`uSzvH*97<{nH}LHg2ok^gFss_6t;39MrWdjN9vex}r4w zMs?2<>92Nqa!Rk4?l>;lC$Cl6Y<wr_b>gJo{ZoH_{T|M=X8-<4iM9LV`YvyNQnfYW z{`%{Qwfpa_u{__dJ1hBj;hO)&GtYxu#PRxT&&Ig(La%>+)mroZy7Y$AANwkfXwUoD z*4=*k=SMmHzos{iA5>O(zu{=%PyY!YU)|gA-{oZTE9O0qc%u8K{4BonP^^E)VbF5t zfKz{d{dQBlcHjKe#(nYY5B#dz%lG>C*Qzz|uSai)>sP+^`k{>{$jduHUQS-?zu{Uq z$jiyK`|qu=JYIca&xFVKH}v~g9QrqT>3&i69d%o-e82H*N7M2X6<-r9Dpoy_{i@g} zclhgJO}!7C<u@vIUO#4R_n-Xp>-Wt{Yxd6%O}K0Cz2<j%f9ZeFD3AM^>&Zu}Z0{^T z{cBf!RmAu6EZMbPTlXC^{GHkyUUNYBxb?$7g++FLI}TklULybg<0N*ALyC5f7_DW( zBRuz3naJ1$e-i#Gm^WYHwP?iwRlj*Bz8<!e+Ysv|XY2j!`u*kI8~@qGc9#BMv*F!* z=4*e8kL;>n7qR|$+t;d!^V7bT{{ONOG$`|nC%d+}^ncFAck_k6S=^J9-|$r?vi_i% z-h7qk>PK|@3Vx)VbbnJ6bFnzp?(4yVFE%HIUnTE(D0JI@;!o{6j~V4ZbZlOJ>c@|t zM*IK$OKkf3onL#+@ATf%|1ulj&1YZp`}s1<-%mY@-(26cZ{K}e%)!IQlOD%z_-$fY z_nUQ7U4yL6V~%`_V`ncf{nudIr(L)3%<_3W$Mq-eS#Edmqw$V9i^Hbdv`)IedBiMN z_$lex^Y5;~GWG%Q{Ik~lPH!3ahpeEoGpoYL!;UpY3so3D87ukrDyc!{sFprQ3$ z^?DW0D*CPd%`B>$Gu_MHv~1&los-Ejk6G4NT>2=J{>f<O`Qw`(E}3|zYTKFlkNB=v z9O3nwul$&Q#{uE8d8cdir~ll{e(LM#4gczLLE%>Vr#$6POX>eP8{f^pru`!Refmbw zNXb9R#Jl#~i}#tls+QRt&sTBO{Y~*6?Rgu1d%b+$VH;!Xf6l+jdd{O4KPR2Hc(CJg z_{o}AM+<&soeX|uTyu!GENkZeqs;R@w4~43?{F-AJ*WY<Qs(vNVBKr;`}tmfozYuk zSoC|V(f(`J5wDe%`+N5s5&au>qk7>N`v(I36$iENeQ294UifG27x7Eqvn=Z8PJBG? z7w>WXX+QT|KmCK}_l>H~Cz@r2ZSH$A&%LjoZ*u&fbV?m)kmug{l!*7~Mc|R-*B8O% z!0(x?umAS^b&HIDExYEc;I-c~B|rl*>3!P|9rfNhZ^tp^Z}DnByOQ@j6n&mQ>7UxU z+i5#0Hcw1mJ@Kdij>G?=Q~q=?&)FAqEPclT%d)tGH9yY0|6Fc#f9Cz?$E)mxK|^P- zF{QIVzi+xOqaX1;y)WW@`kd`v_EomAYpxp~tFoV*uy_8-4d*{zt2m@=_lS92oaXcN z!?Jb{UxZE)&!7A+?cC#&1wYG_k86ItX02lXkimNWw4cs44`lpz9Qc`?vgfPcO#l1! ziOIG9T|2(ksU51a4^G%SUvuNV^Isy?A8+_tCA0C~`85&m)9;jf)<?ZhT<gE#-uWdF z@6)ql3it4Si_<<{e?W2GhbI3YEo;lY?Q^xis>WHY57Xbd%P42B`=`b#Y4!Dwe?63O zzfrgM3G26lPW~Hp>(8uzA6~d&U%cv}DtqDNTDt{Ds_cUk_s*Bx5Z5pG`fJ3-d*^c^ z-ly;R4ze<Nt$*Q}zus-(cOJAp&Mo}OW@#^+_o1iR+$(Rc>TC5I$E4SN2t5D$cj}&9 zW;yi^MZbNG_BU6{JY?=G{FWve|41nOMlJW}+JI-*zl-mTxF0V9ot<h0O$>rYlwTJf zsIoO%^ZPmH>who#FU`Nk9`V|E&F}QS(*HSy2R@cn95asl*!0@(T>oFi$o<Fp`tEC0 z_J*HZ{W(!`eIAds{6w3@_l{n>ow842x5Yu_bsu`NWA?<py4!#1&#yWi{@1@Z3c;o! zLDPbNKQFNS{d9??UE*E)wfz_Q&#z~XSfAeUwF)++_HfqYw;TWSS^i^n|IyKZ<L8bq z$$KY%ZneJiz<2#&^^`rkOyBfP>c3KLQ9J$2{0B_SZ&Yr7V*0JHS^Z6I&@=nb|14{s z{Vk68exB#`znckn?W5QHe%|u6>P*D?_OF_e@!$QWU;mSYR$AZB3%&k#^Re=A>j$f* ze=a_v8&~*4Zr%OmOZSUZ|7eLeeSY<KPmle>a~r-#o}Byr)E_&!f-dzr5C7B}?K>!% zx3OI8^WS}E*1tFB*|6`vL2&);Uq|aLul?P8bXR>@#P{=(ufIlYh~xjwpHh3*UUtpz z^p>r5$D-mN&G@_NkhWaGiI08I?D+lk&yReU?l;uVsd4zk7cDdWzoq2*cf7ynPq$fa zci<a)>OP)oi{tEf9<i41kXd5)qv_20_x!vY_t~2q-&HRLnQFQD_?LRoU-329A9sAM zvWTDZwe)|<#&`1#ul-`lu7yQ)p2a<8K0B4;`yWco|IqbU-?RQ(yJh^2<9naUgnvjq z_V{4I2ho%KSK2Lpcbu93SV;WF-xW_}`3m~eW&Dix@0)LS=%DhGDv=0CuqEHM2NmLh z#otbc7Qd+r1x@lC{`G%8=e5@}llIOtz4mwW!Cm!oA5MMr+wpJ4ocY4<HheXGss792 zI)A#wk!$KI@*55pw#GlN_>l4AKjWdrAEqi=f;YM{fOoonIT985?&F1axvCcn+spi3 zIP=%OSlGUZO;m<k;1>gvV}QE@r-H?o3w?_hvFY$|NI8~-ctI5L|6O<bcWq4e``_nd z=YM_kW^U#Dllq4jTGW4MZT|oN|047Guc!a7Z!6z%h&k?IR{HDh6}{GT_O$-Jm;C$u zyuYX4HnZM)B+3_;RoNSTr}F2y)BSOFm6d<utmA*HNBkDIcwFag1KKpomVYm6ety5T z&4WMjKmNQ=-goEvz0XM>iuOGD#d!W++HcnP+rJvldnkAQBV&5S*RtJp2fE#F?BV~> zkuJaCulf58Hb*{}&oZ{zcXrQ#ueZ-?uc^Eq@%dfBr?lw(hpTJ8ted~*^<(~9e?#-P zycU+(cQWQd+xh56U*g|>Hm_(j|IzWi;#>Y1?);CBoXh@A+sQlcGk>+^yPf|UPT%`B zzxK$r^#vV^>$lq+){J|=C|~fw<M$usyuu%WxA*^F@KjLGJ|*tK&FZt3_Z~^DK5rT} z-+Ipbx4-24D?Y8Wue`3#zI(rG_VwjII=p9|-+knD`HdR09Y=M~-Se#9afDswPy5rK z$KU+v+bL@!F8{qqrtoh^xy7HBwekfmtIKaza_>22>G$vQC&BkG-gk7n->g~Wf9v1d z@Uw>J_NATs_>ub=)B61xaminACVaj5_>1wjx_<VWPwV*Yj{g1d-RkJ-{+nNmWoqy2 zIU+fK`yu7LkDU1*pY6}FW8ZUFS?}94@%h_+-!$BQvG}K?{&CUk@`XR{Z;Lm%^^4_r zMSro)Bc|*BldsDcy4DNxY0q~56Z!6W{uxfadkK041=DsO(aoD;{J*zo&+&)+Z+<bB zTl|<acm4arJg<Kn96y?JJ^e?E_MV5I*M0a?|Mqi!VV||lL&5g<X>mIaKYjl8U)8g+ zFMIwpO@C~A-M`|e+->`Ri`K3$Y<m1XD(-=$-bY4%i-r4z?>&Cvy>0)!`I^^$Z$460 zueb5ueCaiIQETkj)_i}?`1-Hq?@e>pzjj{p+IY?P=S;8v?mQ$sfBUhszjN$l?>&-@ z<N4A)z2ea3@6r2gZvA~b^Y)XSzq+PBp7Gj$$MKWlxqlg#SA1o(UVnr)?$MX#Y4bmL z)E~T_v;Wt{Z?*m#@69h>^ZmKd>%WnSd+npw*lmlbmDzA_zVfx-#(#F*?OzA(54mQ4 zpZ!>Q-PF&O*H3-qW0&7l`DMpZ;ddW7r^|2qde!{RKelHTpZ5G(HvO^ab@uz4Y<_jT zo&HGV{KtmrKRUGc{96$#@3ZZG+rPAme-g9L%e?+OGjXrI_L}d{xnBR>dGyud!>^K$ zRMpFEyf^>i_P75^YI{m+`@a6u*>G=u_S)y4%`B`h$m@NOoBx5+T|PPP{>I-oABx%; zTz{~8+Uc#&A5>4{kFh^|^?2@o%ecop>hm}3IV2hPQ1JY|{NK;Nh(F>?uQ>j@xbf@1 zn8dyI*=xS1FQ4=Msh_1?(q4P@HQ&?!Fz>eiIy2#GWWrv1?=|1kl|NUSSA6!IeO|7- z;=s@4FW$G8)wQNu{Mqu<RBq<$Hz}{5Zhd~UU&nuk-I3}!4+ZrL{z_Wsx0>%b_W9zc z568Ftf3xwMjax;xc*J{<KO>X(+Fy@&e_l3B-Zo5L_}cH!Enoln)Fpji{yKQgYi~%v z?>uNZfBR8kxesqPoAoo_dvw$P?H^mdkDrRS)%EtDG5s@h?R4q$56|na-)VQ~<MZ78 ztn(hr$QSgg?>Tl>{LQZy;@j%|>kakfkN@_t{Qqlnz`6bZVv_gTORxE!-hcJD-0FVe zYrl;-^uC;K{`${n<GT6DvHOp7UwgOxko%oSy7S9de~n*aAM;3%J${po&Fs7WJ<4|; zv-zJfwX*)+Z$58vaYWsNUlQy0<vf47;~=YF|FrcVnaqE5JTAWV@7MEE&TIDTwKqJA zOgg*y<EP%~@AuYx`1ByAY~Q!}iEr)0|B3##nOPL`P&V%2qt)B$y0z~-{E$8E`15U_ z{m-PnwzTY@{<!6}^`7I(avue+$8Z0<Xy)7P2My=dAO6I*zG7aPMb)0#`2L9f>1u24 z8-J|Kt^YgEb3@&Ek%-TF8=u`x_&e_=2v=X{zxVav&o?!H=gmy~J8$OWIp=3T<P5L) z<~#d1SN=z__l51#?{E5g^5@$>ro}I9mWzKViYflfcYN~O@*~AI51IS)Gk*J896Y=I z?Jrrsm+Ox+&)ZOUe$Ixv^D5$-{(h}iJNnBuSnK)s(?OQ^68_H1+*o&>=iXQL_rGqo zzODH?k8@+)`IL&&&to4PEq}p3eaEqb<+|?+ddhbk&&+skK5O#xpRRjk<ag{lzWV*m z%E{Lr3;XTQuPh3@o!=N-b7Zeief6HYCfoVyYwjB#Jep(wcb@0Qy7Ol?)}7bcIPW~y z>z_Fr>&}C)%%0co?|*%GRA&FnRygtRyvPR+*v<N7w(mG*z313b{@lNO>kIl9Pk#E@ z`^Hb{XIHAv>Aiev@${E1XxnUIf#&YIzslD7M|I~tesg@<`>B`jFZk1WEnVPd-LL12 z#%t>3432O9e(M)ceqrm?=Ul7L>t1_5lkfHSGcRK6zpU%m%l*FmzOmu8_cLY0Ywj`4 z{~*<Fzp>I}$6;H!4|3u5Tfg2+ee-A9&bPMudas{Woc+q|SC{sib^7M7lJg!4p8v?1 zUh%njcijQjzp`88&g-53`{Dd$=QZEc+rIvbN!V*29#MNOqP7f_N3Q+;{36!A^7`@c z4Zkn{Ha=KYFSg_H=V=!IS^qv%z4sup;9Y#3<9CaL%=aE26@B|hw^-Wd`NrvwC%)$2 zVK;lO`R++;?>`VYe?G6Wt$5D?VY>$fspqeESj)uS{C54f@u8}Ey$$!~TVDIUIqcl; zqO~&bH{6?Vd+m2Iq}~eO2(t2MRsFPzGQQczh4MeLecy5Psb%iphU}UHA9w1UU!V27 z{LI@2J9o^Q{@C(*|Br^lt8f3}bl+jQeeU)H(Q_Ujt<;hKIPYIy#ZQ&j=DXV7uK%9i z^Y!1EjrZoWUi)3#`?ba_;(U7l*ME1C_uBj4`|6nVeevtyHQ%4hy#BlMi0b_9hYp^x ztM69cbJV)#0K3hjtCeqmJ(^W!Z~e@yN^kwsr#l}0QuaHa{@eBXwy)eWkCo3A7Sx?P zu50&b);~2F`<uUd)+hgd{dZ=<UVH8}-_tw4{>$0$>rTSgjmN9%`6Aw*f3T};-@5sr z39734w21fTx!(WSqa71-;MvBbqVEbS98bH?d88~?P|5Z=G0*+{Y2&>z@;mDez2?4I zS(x=Ydd`D4hrYCP$2=0A_hHUIzaI^gUvIR3e_rJE-^irB_R}NYpXUve*A0_rzV`cb z!`FXvV(*{3{#EhXSIKLTlJ}v(mdYzT4$IzqEE^Yh<eL0PDSwNdE1sT~z5%JMb}gL# zxaYNh#gUV}Ie$5qR}>psuRkIi_vp`~Y4bn0)F154-TzGUTg|eF_vbCI{Vwio-kek0 z8huBre&y5Xr#~9L{*&2wZ~jByH+5IiTdsnt$C=4{?X&kh`MmDq8=-GM%Po%CzWdnX zUUTTH>f2vgdEd<qj!%Am)pxH<{tmkxGoOC;zFDVv?xT!<MQ6I;^T)b;d3nFT{(1f~ zZAVSt+4{cO>9dUI*meIas9jds`uNJ8qqfgWckJ16_k2hAkB-HsBjoNLdZ+*M*Uh?} zi9O#fj_=xcU{_tQ`!(|&|JvSOufG0%?`!{x!@nL(JM({bS#4M8KbhBmRL@1mKjhqg z?`!n?UoXPS?ls;sn<u`#?DOR3xzC>c%sumJ_kmZ{y{7NkvhUemv-uwJ+2-N@+1GR5 z?%DaQ)wJL8n$5I``^&jr|Gtt`E5AMB{_&e{-|T7medD3!{o``-A6n9{Z+ZWkGrzE? z`u6(0eNQ#dt;^Q?_>bqakbb3{*^YTPzb`%dvGOdl-_L#W9~#ojH+=55IP&`Rt<Mu5 zis=`WS)LBJ_%m&8_+!5EibK|Se761T;QluI184sGjPKVCwtw+kS3d7Kx4FEnd3o9A zH+t*(BWnF3YLAs~{rbxI;H%9CUTr?|YV*NY#m!fr%dI}oxcdBqyt2Bw%Gv*U{44s> z!xz}^IV$?@BiHosEnlPWT=Z}MoF5&pocBR+`TdPHyLR8b-}N_1=IFNnjNi{}-P68y zdjGuX-%CCll;5ph_wMPli+j($GCuao_&CU-;@+$2%~#X=!4?UJ%|BQRN&;Ql&y0^h zoSGBoJ?8<}`@(MbJx9MzooRmVW%?0ezW3SRC)Z8?_n|?&;&7eiy!fNv=HETPZvKqw z$CkVH&(60==P&qtvN(Fj&qs~VJ_J7h{Z#%4sF<DgT`K#Ywava0|K@#*`k(Z<<?!m+ z{yPqzY<}~{H}&~)i^?rKk5ui~*?3Q1+Q#s{nECz9Klv*Tu*cNi|8%qY?H^OV!cWYn zjpsaMmHWswzo6ILe#>j?I}c^g`A?h9zwvYOGo#<4*R9W=jxYWE;(E+`+x1@N{&KH= zJ=poL^z_%7^&98Cm%aA+ZnEut;cK634^{1(e1F5=uQkgf&X;$7t&L8qy>GVTVP$4v zRcLh`+nxjLHAgnz-}1S6_03-b+bs@@{?#e?q5L|#wK(Q6XL-f(I_sF2yXRKRr9Brv z^YqEix*fCG_dl(Ay)$Ch&o|p+%Oh&vZTMxA^wl!)tK|Q_x6AE>*Vu9Iu|GWTz0m6O zY^%@nhRv_OV>qAj`SlHztoyT{>wn}qFLe63#WBfug{_C>FU!CCAm+d0@LB(yza9K< z%8#thzV-9%`<s8)&W!%VaeeOdFB9|XKJ2NRGW)#tb>*=6?rZE8yWg(*Dw+IsX7bm_ zgs(e~fl|-r<FAVQuRiDGKcimJbJxFAcXoK!^@_g9+0pxsNUr<P5q;<4d9mmATlewp zIH>GbpH}%JV)uOE->wzKvexwnte-{MoV#{<@$*j~^X#gdUeA60RQRq<%*?Cc@?rIP znbqf^y_Yq1)obk3*Vy?-)T;S!`aOGwf7k5SxAu7LIqJQ~;_xS4y~6HhV^HFG-k@$% zy=m_Je{=qQXfT%9c%SKg!3UAu|4!VwKJW1T^3TUU|5=$=_hnDztl8=Q=JS7C@8;fP zIsfi|uIziJ*Y;TNc{Km|n}RPfzkgR9`DJUG_;+4cwzYjl&)+()f@bbD_k&fhy<f@Z zf2Mr#>-xm+`;Rlr6^PEcoA*Jo?4Q*6f+Dli&n*s1$`!WySG1a^Z-2dg$6?uX=MyVG zvX|8ziD^pT_0DGc`J&Gs_+!7%TWw$T`A2^&|Ge<*(_d?@N1QKj|622Y!@T#(*FM`O z+TQ;-|Hi+neZp&=?>_Kr-_(fv+u7PR&uhQ?Aou=b!}RN$zaHHD_K(#0f|}aX&vz`E z&(r>V%Ra3+k45Kw5IcW!{bBY!HGOmE8&5y^`PI(6x=(lht(^V5{+U(9yw|#6^JT;4 zL!<QAx8V7PVe>8T%}?wPZoK+j3Y>Q2mYZ+*`Tk>r|BsI5=ePf^$<KHleCLtnxxAF0 zLha8N+2466=3mi0yZH7mX1yEvN7VOJHs19=d*_k(u6tE}|L&Y+KmWD+j!o^x*UVsx z9>(9O`YM_Db>{(afpF|qaqrdVe5=pPtv=8B+<e>5`5#&)^L{TE{&hGy=8>F!q2>0k z(swTUcYppI5zn0WfpNL<>2&>#pTpmXKYFoj+kd(8Gp6+`*G}i3*FAk_<%go{bDw__ z&9kdsTKO}g`hV+>7UMOQ`Wv3@Jyf;-TEzS7=T4W$)g8I~_{|^A>;2}(*Khi*J@3G8 zN%_LY;%Cv1IL$3=*PpF#X5Vw%-R8m9pE<P`ZvE7q_wdc1Y3B<YjcX2x^64kM4&QP3 z<Lcc16XU+k3twOO`N`IG{PV7_FaG@Vb*#K?{<)&hZ}iVr?GukU|DEgg&vhH;z2|%V z^IoFuebAzhLsk18ubsR8eRR{;TJ3_PpMxHKNc;B3#Nwdzp5xUvj~K6;Z-3pqf7567 zUo#)RYWmV^J?G&cr)l98hwHR$_P4&B|8MJC`Hwrx=WX6!`1#)FPrP|@f7ia=`~1~= zo$&eEYwWgznq{?p5w&s~fA##k_wD}t#P|0lz<Gyh^?B308UD?eqi^l0+H;_~=7_q? zovwdYyXT87-?3=EC7*syt!`nTw#`Ga_c!vpyQ6PcK9zpEhqc(UYTdmj&n7=VYro^? zBl+0*HrxNF?EG~n>1*YISH(wO8UIP&9arlXQLDG%*O`sK)@=M0ll*n(VcE|w3+LV3 zqqgUWy3Ow1rzdB>{g*W7k=%3(o|pXP70v2*9z9dqR(F8?oAraMxo`iZr9WRkBl?Tj z^|jA`tj*i^ea|m(bN_qKst&yR+;H{zo44EIYTF`e)4;aGBz}!d{(3X<>(0ZkK6kJ` zGk*B0%xwLS__zPASsXn1R#(5E4K!j?{$=yQpRQ}y+va^}xZE8n_h<Ip`lS7m_wF1# z_x|IwoqAQ#`uy`g*Oz~u{QRx;ojn!HUq7%v^Hlil_fw!c&-6V{_C3*e^YiQd!*|p) zR(5}_UVZr2Ueia9Po1-WbanEXi@V?a`*PkmX-)mSiI3;}6stItJtywYv-=Mizk^0= zYB{6p59#v#yZlal_mZcYdLLxwe-LV)zUiyzyMizA+wM2URh_?j@9g9MWwsUT{vSVk z`G2WxRo=a~&pv;j@wFyB;(U4Q*P8Vk=DpXw_W5q2?S18IpKFg)?OXi)*3V!2jz-+y zF5_>b&zkox<NSH4{K97O@<%@psor~>koc36|BLXR^Y4~-y^t=i==<y)z3+(N@6d|& z$p^o2|GYEz`On{XYo71?(=fYTzT|FoT-}MHuaSvgZzg@+c@!Mj9aqzTB;JlkiqgW0 z^k0W%?>)4A_wke7wz@;6b`O87o2D+m@%QQ%>PH`@u30biyrNTm&%xqv*&i9j<+uJd zer8k^CwJ%1i=97b%ubgtx2?QabLi9M({J8qcbn^*^GE!zJk5Nq{$9~H&)s#Uy3z8F zUfg=dv%GxsPu&j<;XgXE_w3uf_Wg%9o6PRZ-g~sT{O^-k`TA4u)~DAtoj!fDj`iA4 z)oVX_<2KecFFt+yYxVnI2Y1{3*fMwirn)wEo8wmYhpqM>7A^L-PyT&!@$<Bj&u=z^ zT0H&{wa4dg{yM9?$@D!__B_>VHqw9UKOg#UuD_;|C*m`QUH<dezfBJRvOBwRU%%wF z?;DTW&e#6m^!ED4TD^jQT)*qqZTyzK;oIxIHSeFQuldcpFXQ>ky5KvXYUe$EBlqoZ z+#{y&A1%=}PkwJY`da(O_xBHC?W?Z0$8Y+b87cqpO;_1prq_RDUjK=*e0}}YM?cH& zx3!N8^CerqZ?T?JbMu}5^W_oGr&pZ+r5YD^^4sl->)Y>rWnLFqf8gWPx4&*)w6#BC zWq-K%n*ImI^?P1tzyHPOzvlkKXIf?dF7B@Tv`&A2()Z~fY^80@<4Zrk$zG>^{_XX< zHvijRKbXDF`ux%DI@dpkB-#4&Ui)6!{I&LOa;-f3n)k^DH~+ETUoUC*(DK@M&BMR! zLL=@^7rpj9wfk%Biw*numBa44ui3Xa|MuUN=Ru{&>-Ub0U%w~x{(t|T_uu~g=5hPJ ze|lnFR(I--+ny)yZwj7|%dEe@=>4s#x#G!lr&m`#e44GFzh}?!Uwf+8oUcCg(Dv>7 z*blb5=kqPE=zm@@^YeGX>pKp8+^Zx1(XaT&zPI}Fw)*u6Kj*yGt~sDP?}M26_idl~ zD!SeG?C5`bl|T3YjGyZZ`nMbX|M{73`ky+fjn68N%t|lW^w;}bcVR)iwf%8Hy$^ir zKTg|k&l?wW^`G3=d!VEttQRT&=fpep9kXKRC(X4#ZdJc>&ey3$ceI|@Z9nyqk$;VR zVQHzg{hy6@`_J`nI2ZqzwRrB|BiBw_pFcf+SLGMu>$YL{tJm!FkNC`2ckb&M{iCy< z%Vf{<mdT8(SYDZUHo4ohpZVJN%p<?-TK8}G{qm=sTg3h2qW3;nPI_=?|DVG}4}Q;i zbanNc_r9H9zo&ul<ELw<^T*YF_;mB;o0_-sNpI&T*4|^i_B{4s<NM&=)xU3kzWmVi z-oq#VrpXt!soOmI^yZHI_u4OeY}%(kp8W0bZ1x+KA1!lh+lA+dPZO``^tP#9@YJ^N zqulo&E&LW1)8}rlnh-nx5!?B~UkRtbN7V8})UrI^_V>$q=Cp|aHVJ)S|D;5m_iz1r zo;eJ}@BX?z-D*v}t??t)@6|`#W#+cq$tBHKKc;)`BcJ$=AEMFwkMY*mOU;RE-oJdu zp=uemY4fLSjyKr+o3sAvxBCyL?M!>VHRfJ*+??}g)z82EdDmut!)s8pp7rF<_vy<b zYR_%_6_bQq!hHQ*bmUj9WZa2&=_hNHY<`>0zRq;q;<)X)kBsX-u1dLQv2?y1f5oAP zrZ4%+Ep~3W8-KV?(@#F@xBSNgb5>utc3S=X>h2wtHG$WgpZ`kAn-_Cuja~4X=bMkb zD(<}coNe`aF>wEdIc)xB?;AgV)k-D(wLAM^)=!;+Pp{3^i=G3mz<Vfs@8Q{egM6C{ z=UY~1N7jE-ef!U9&VxU<riq`rxjy9I>}~ZWn$J!@&$CsZI6L3=`Sh~S4~#(BDD`Be zoc9_#={0ud5w-6&{*u}7i$^d0_v-VKVW1(|`nIqC)_<7xbIpfGiDv6{^$OdY+oSCs zamat<bDzE)R9YT;Sh{At%<~-wgySAJ{*{XPJ8ka%9ZhfN8%;l0T<B_UU$HLP+<*O( zsxN!?ZF>6a{^X1e|GukKt+D$aQ9G~TV12ylj;^=mhcrR9*-ig(!hZeQ53~N;<*a@^ z^L*HK{oFYAn8$+0_vcntgzlbi+4q4_zn~)a*J0UpA6u^PusnS2{gln|>;II$`E&K^ zn?I8*S;NcsezV!#HoJV@=_6+kXYaa~`1NKos25Rr=#}x#X>XU?1+TI5USl`CqH@{a zfA<nV)r6%+x*hw|{n?*q#oj)bU)Ztv{$`uQtZ@&7;~w_aWzCtNSzEf}p!J+()8q?( za9HOblD!vq{N4J*yqBf1?`_VXFZg`1xOmy@^p&rb!{!Tz&Hwl{XYVhc4Zn0Y{L<O@ z3o-(-^Z2Xc1FwvC*gdzO^zVb%`NDqV7`s!~!Yf+CEmrB=e>A7g%I2Zq^6QK3Z63T} zE34Q1eYD~L`yJ!!pW3#?*;U2eJ8|}~^zL`I;pT;(GxEZ~joPsJtYP!B!Esj&3C|6` zc=EEoFSZMwV{_}7{qgEOpc60tN<`m(#Cz}2&tzTu2c30pcVh1U;?7U|Zv22F+<Ze# zWAV4sA6wGRx4%|@cCVr@@8L7)^EY+x)_l5i@6=iG?PlPn7M5<x%(?5qLAj@5&e{5v zUn`oMk6)J0``A$aqoG^<#;=>XZ~nB^InB9u;n%5xR&k3x6Q627eG{%-zv!*~{<UxA zKkuwQ-+g=M&wItC)#m;0A5?wVvup9wSDTN$+I;v`ar@Qhf~(JSu0H?6Z`*#Pu-r25 zXU>P5)X%pbOYrYFe(=ya`v;P7ww;xar_>qQJm6|KUuJ*jp>E!d^@m?h&i(&%?%O|e zcKXZ<FE9K&`T4hXdGqd{EiEX&9=*oSdX1g?8oU08TDR{T{$5G%xtiX0H67kTh)c7p zo?~<LS?M83zIU15jUS23{~(iJH^1_6W1Uva-6OxU3){C_%#W@MI9Ip$)AC#YX5V?Y zJT33z-k5w__3ve$4;I(ToBO|i{j1^X^Ny?O7535V?UdKpiAU5v0}nK=+xY7aBwaq# z{cQR0=;fTalYig-^P2PM!OLmWEe?6_IV>G>(ZBof?5KF=a~~P~E50#U*C*^32;Vw) z|8d#kv)s}8@;2i9C7&NSuCqS>wE1q$H-XK+csBkzv*A}v!q=ULFat9D&A)GF)DNCc zzp;la=CRQ6`h?2n=M~NT75#^2UzC4W@L_e?zn1hJJ9n;~ubsZ%=cn=8Kf-b|tN&(i zn{T5XyWVy=f9dCo#h+j2+5P9;46<j<hF>v>pe7b5!j8Q9+;KJi%j~j!zj`+QIy2RH zzSGamIdR!{9<im@XH@oc?>Vksb4d0t3;*WdC-W0)m+m-NJm=n}PpW+XPn=s_&_22S zcAet<-Jfm#>zd!M)SI3s_ix+ld!Ij*>x9o24V$kUHa~ie9e)I<Bha=#`}gYe9IMZ> zhRwGOn{PVrui@W^y7wN+ecust`xoc>51j7xNtK_f%jzxvE~z-A>X+|YUvWVC>6`0X z$B!!K{O{S>GjDo-$>+t-p9SX43tgvveztq`I=kpKcI;p)&dDWTUwvL+^?4q!720d; zve(#I&#}4v3^c8IM0sApUzuom`)lv=n}u!a*F9yO_hR{xvuoGxXXCGEUVY}1?YW!z z2ZZD7&z)O8Bl<_hb?NgLh41Y79%Fm)?Bl7gZNug>hs{4YIcM*$If-8_kH0cL{A%-| zSH-PYpNp+N&$s%#p#Hxr&+4yK$=Kd}r~kwF*I`JtRWWaE{NuJdsX6zK{d!f{`rTsB z+NbJI--z2BeW#w2^ItY^X7;z=(@s~P?_R#^=aZeavt~c{FRwlH%6Nrt_<U&T8c`b- zQM)aoHgDrEn}n~HNna)H4nwM}>V0Rk8<Xcemb?C=;d;earf0puzg~W9P?x#a_phLR zvi*kN**El$zU0pRuPisC_^VH}{(PJD^PWEYD8Eb2=6d~;XD8)nUrq12n%;T!xe$18 zMi=Z)_BD3t+1Byko{rJy$Oli(=f-Kzc_`Jt<FNXk!?VQ=Ar;o`==zWIZ~QH_IQUam zM}NxZ_?3T-Zma*2v)k@L@%DL>pYQm2t@vMzIsd;arO$W%Fg^{kC%qY5H$ubnb58wN z%fqLQ-`<a(nf!HT;@8NBx}PH-9*w`TXWNb=vV3OK`YjH*?>QWp{5|}lf6rm-sCdhB zg^lWajxql>-BHy!cYnn`NS*bO2~=mrzj*d?{%$$j_3<f{1=G%MKdAd|<F7l(UqQ7< z#YRXi@;steZ{xr3$F$e{-}^D_y7BzA>*`mYech04^XNzFwD$VM@5aXk?H;i5y?3eK zaoBX;2Pt#z=>5Ux>h^w`e*5R@-nsEc%O9w&yFM>nzx4CD;-5z5{PFLq+QB1<Emxm& zu0H=nbld!2J{v*RNKDe#od;iSKKiQofcvxJ1Fyqx>`7a{<#V*mqc>BhrSCYvU2{nL z&PD&;&(_iLvUwl)mX~k*({VWbW~K1E58pz|>UoR3qpw?^{~W&i=Zl?{y|dHTm)0J6 zReb1`aoyat;qy7cm5FNDeBLn7l<)V5TE2){w)M~dOoP={`))yMtE%3o(Q_{Qx2xMc z`m*jzJG;!>)bG<b#rLq+9I!3c{eS7&Y4`J|*UoOQf4b{?%-^lE-RqO_R9E*Bz}3~B zYl!N~3R+#ghE`X1&i>;5f9+lR0l{_e@_sTse>43t=lOr<Afu(fs?G0LoU<~YZ?iqW z<nz(lXAVYw`*)_WJ9~}Yb?^}4n~lHbZ1`o9_;u!=W>}47ar)W*Bkp?+9*mE=_ehMt zqMd)oja$EN+TZ%g`?tknZ~ND&54rRUD*R7}pSrm|>|gk{|9|G^{GW0DZgt$B&9mRz zhUb@ku6SPcVNX>zmKtj<W{ve`eQsQ}%wxvy7C*$T|2L#pd^JBKz5L7Mhm7GCXF#I| z-O}G)7yM$oUH{X}+CE)x^7E^=@BVqR^KZEM`Tu82FYLaT1R5Q%O!``R<dyNS^4tEk z$G~-oSVZkQa1-Or$5}t$6n@%nwqE#L!3VzG^97gxXn9`ox%`YY|Civ0ui~!7cU$i{ z`g5<Yy+-=}z@PVT|C?QY>+h8_y8rmEN1s2r`%cY=nE%UXFaQ4(T50Xr{`Hmdp;yI? z;Ht3~Ja#4<Hs3aEzVJPx`NDA}X6v8qhtyfUD0SBF2w0tEU-s`no#MKCDbL>*9*_C_ zf9uyz2a7-Ene*R!yz6t!zUybx-y36=v}^3jD=L@mT@M}5Z@znf-cOZ^pZsR)nXd0R z^3gu(-UG{f518*g{;=;$yS2=o`{(9=;4%Nc`D^jhH`6u$t6TqXaeo$9f8g8Xbor9K z-{#bvIO~4?Z2R4se}Xvctw8H|aESgmQNy+8U;6CpQrmYNyg6I<ePQGGA1(en7SDf> z@O|?A`@g&jo1a_MHazu~dG}@ySGmPciTVBK?^e#MzjL;H-f90Gl|MYM?|uGsIhJZ` z{<go!1Hb3*In`Hmm+v@kt9L)^wfdez>N0=3pPo#A^RMWa=f@WBIbvVh+4lsVt6N(X z)3dGqSd82G)8}{nyjc9%()_&L`>IcSel|UY6bRr!jyK(9dof!8CvWHcv)*&u-R2SJ z_5AH$KTd!1&&=ZB=lZRC4ha99vZHdr*Xs`$^9#Nzoc?Z+zMs**;%}<;{-nHz7r!1W z{vvAr-ZnhG^z)DGb<hGKe*4!~#cfxg%YbV~uGQy7!4)&8HMQr5`RxCK@`Yb`ckf@Y z-2ToZnfC>a-SykQX5YEs-+Y-pI^K5O2f23sjenNR`P-C#>u<~Z4S&mbq^`TUU1$3D zdE%32`_H?bzq|6E>~-}ucFpf6%}?DQ9<;^|T+04hv*B0HM&u#7d3VoG`sq^f%@4V+ z^~!#Rx!=p>$C>99{Luc=Zat^w{=4;w`$d+&-}JY?BH`Rs{+zuvpZ5G(IQzIdsI%ps zH}B64oSm)tH-5e{KJv==aQ3s!2Ts=C+7o7R*i^2t-M^yU{QuECA06z4*VSG9)m@+U z{rW?e=ljzt7tZ<X^|bo7{V&PSPeB#e{N136i`$&P>4Kcex7Rk}>B{z}r%jEhHH)at z+W@LhEEB#;&bj+7e7-T*r)d$jVHKxq!YV%AhxWGqZ>%{YX!l6A?_46J=DPIjRAC>t z%|lbU8|x2V{eSCcck!)%n#Gbf;?IjdH$VS%CvV=o<6mD?+HQ{d|9y(|n*Y_OY{TYP zud(xws*n9`$MSsZ-z(`|;3!nRcE24oefO&B+2*TX-*2mwE$DTZ*?;`ghnh0`Vws1` zaSuK${L*e6^O$pe;pf-e>Xy&>TlMt)*3aS3w}1A2CK7Yt>#fgkn)2>d>ghju_OLbf z{f1wN^0sB${CVd^R-YGIeO?t@seoEoH7R!Nd+O$&%`OwP-hWi_9NTH*m<OzO4@KX- zSbpqhegvfM+MoGTu>Jk^&uVubzIA>3PwLsUxHDhReg5+(&#o#@@8PqXs<HCma=k}C z_4U>CCQ#Eoz4Izclfd!FPx%G*dyW?0dCW6ie#=+UxQC*Bb}2u_o`1Rgkh9;SR^snN zM)&F4etX~4KgMkLVBXGY(>H$obn)}2O?h&0=Stu2+_h)6d$_@B@Ho-x^GvJHZ@u>U z`?iCxV3R_t&p+rYvwvlL^kjSPzv4Z|y=@+GU6<eZRrlTl-Ffv_J~7XGk$&Xt;kEk> zw*8hYXjy%K6RfipzUei%v$gIGsIwJ%r{>e0xT|Ny!;O(UTWgntXAoAO7Y>_$^6J}~ zuQQXrMm{=v5L|a%{3^fLKDM8I&tdkMnmgCduS<Mx{zdpvNoH;8jzios|F%JTTmM_$ z+WSMRu9(Ybzt3Bpy|c0=_j>d5tED>O^G(5XCDv=~`lI~8J=fCQddM=QuaQY#Z)Vtj zzWLy$I;`%pI1KJ?)po(VTl<~scN~tsvmw6yvj6RWr;VZAt(CLq+ibsH{Q1wXb<NT{ zQ0lH-3*UxcK<{qJt)F>bPJRBy%DDw?&C)mj_E;QMw>dt0BBZ<Zciq?PkNENn|5Z<u zpR)P>ia(pT{eO0>%-*!PJO5GDYs;Cte?Hi`Z{_Ue=Eb#;@%ueH*M`p*44cor#;zFL zdPg(`K1};L=fk67v-MBJ%Ia193O`hy_Lh05dha3cx);ljK2%<_p7HsQ26da=OXu2e zi0@dff8%HM9mDH?!nVcPRp{ltedhRV*XNjf$Ihm2H$|?x);|BaX5+6n8$kWKN=UnE z-&|<T<vKgOF+1kb5ASK_6@BJE8opc9sQi7%$G(00XLXyyXBX%Ejj{ZldTM{p^Z6f6 z+<9$%qwdq2zIE5<UAEr&^Tp1{9Y6n^OZz%A5j5ho^U$l})nC8dOa!&wL2ZFu-E-I5 zC9kn7u1T?Djg!xf%U*k&_uRfDq#jrK*54<!Uuz%bo%i_5#cBG5KSHh7ACmQZm-f50 z=H0o^f8OQo`y6xc@Y%!FyWZLA7oWbG-g-5?8{8dfzIGYhf7M4BJpcG6`%C-wiuUR| zk3YTMR(D9d=FmlR9shT^-=|;p?^2I>^u-WSb=^7s?fi_>FDfhJ&F!o5-aUVI^KY#G zJahgHF}2&kjZC=>zvhUR)jG~s44W?rjx*?D9E+dJ&epeo|Iy)Y^YB;nHoLk7cki>Q zSJ*|yJ?O0Sx?^+gSN4Yn^Bq-lo>tG<e7`|`Pu+yM@rK(!99(BUZ*lvM%J(~eiJJG< zU;Qe#8a(}f9Z#2QU+!o7N6LL(yZ1k^{?Z;j=dr9_!N*w8K;d5#i`qS3uRi8_U-*ON zwEwA_`V}kg`v0)KUEd!4Y}VghPe0xJ{Ky=Xga0j?{hYrT)a9z!@${AP(O1QNSDy#H zuC&u$1L|CEkEoT~@JnXnFP{3-kWSZc)J_*Oywhbj5!C5g3K=J?&wz{*-rx51)5*`T z%J10xiv`tP-|yH|wnWx0i>RFj>WBQglVOV#qPCaLg@Z#h&UiknpC8IFVgC$sxfkh& zuAchUEuRXmy8Jio2{>1`^ppJUe}PAzKL%A@?%=9R!kl03^{&q`Hs^}KB|-wI6H>hX z>laYHWpfWpy(K*Ry4ds`hY$Me$``iuSF|ryzwtNpi}2$QC$EL~6~{c_T>tSv9q+oj zwCDQsGJY>VllmcM&7D6FcGgXrz1+Xdwkpp4=%-h`-`>ZYS=3Ahjhca`-^IU3{@I7r z>DuQndhPqlt6$HguYO&h_u1-!RKLZ))~64H-_|{|IK;l^z{B7d{N+223CBHt_C5En zpXKkbr<y<iDQZ}~{N_*9Vy=1bs@_(H?XG;jv!-o!d;J5{PS;GFovzI{_oSWQ1nzXb zLFsfYkAhTO{+s`F94@{A?sV~kE3SVNU(bF1Qy$doiriiKO?@4-S$lpP&UGr5bL#zm z9gem+ZhNkvQZG9Gp=jL07k8%R7y8-D&il}DdHH2|xq>e-yZ2A|n`HBV`Q6OypUc66 zg#TvFZa-gqw|bqFdH=k8JiV?sNUv+oad@xGb~B{fntvTqZTaT@ZPnlM8&qwjN9;Rb z`TJ?bq0RQ&A=Osg6Hv9)4H_f-*E(C@EDgM7A{n%1q8O$7s#>-W+<pD{sK)HSs@)@A zzH|QdJB~8nd&sP(m+(4Wf9q%IUz~-%*sq1RY417k&{#KKGkt&5PuAT3KTkuetvz=@ z)mHe9%0FJ9YHKx0wFO!8v-a(J@bVDwz^?S#bUSIA-4o7c_k*_SvajFvnZKefTs#dl z49~;A<Iuy+FZjzVz&$RhTmMd9zwy(uc&5$zxYy6r&%b?r$EJSo>jyfhV}$jYzai5_ z;AVg+xR-1jXFT85PcP}S)k7ZkXjq4<IPh67`>&M+U)!M_F8N5vAYu2-f3x>*`n_^y z^q=eNw$Gcqzwq<H;=gR>{{OFiWn6t;aP|2Q?{oJ4>H&}6%}fT(2k$%v8VCigD{9$( z=6Q>_`1VTG`uy+Vk3`s~Z`gB0Fz%7;y$4-&Q8NEFel>j{16px47gB3^--6Uyz2I8w z-jins?RUJhng0L9v(5EJ$ki6OcC=n&R}P+EpR?gt&;Oh6^3T@@?b$Qs?CJxidWABP zu<llYZ~czL2WP+i!+E~ov--BWb#wk2J)OSovwua(yRYZIO-|1*-1}|LzN?_#*8Uwo z|KuW9THROE+d*TYpkdt?Z=s`vA1D44si>8N_O?=ydt32u>prDN$7|+&kXe3yV@>Db z(>E(c-xYky-xh!3`8%8Q)u2(r|98(GF5mIaR{wtHPRt$xKU$^r=?kpV;(}IMqPqU~ zQsI@>PDG{kXZhRthSM*8{<I%7;d^s;<+uBwVZ#3#kt?mg>tom3Ij^xZ1`q76+W=Z9 z@TNfM_Mh^K{{D(1!t2(jynbAM`)_VR)AR6ckp9-s;MeO9GT(df>b%bV$8-L&**yGO zJ!Ac|UvZ#O!r!1#Lf$;N{rA7dJ)3_YGyo&N0e63EN7vc^9sL%+7Tk?52!#z3?wAD~ zCbT>M3p`Aiqhqg`zW;%9nf=47xsYMP#M4jrK0oRXs<Y~@oc-MVTKL*$$(l1opa!Pp zanPg_q6Gk5;d1<K-Ly06hc;*5*du0f%<^4fFMmaEIOpeMnt#7k91!N4?_a;;@JaPI z|D?Wo7gqV+{@=lV_UGhnadwq)wWrQL_TMdMbA5i{=M<CG7(D{Wn5$j(b4a>eKl413 z`t?nfYYW=4Z65K>|G<~O?kuFQ6_@b$=={yUUl%le7LSV4NZ%jyvoz=bi_c}X2SD>$ z@dcmPKL695Cs%V4G)lPXDdq~m4A{IDDAKg|q}plk*|F|ycDMJPhYxs8yURROzW4Bj zc1_){&cYwhQR=I6TRw}&Z~Ytm%&0<d-?L}z=f7URYxB45^~KM>W=~Vke|G=>k?K3i zUw0mV^||G0`Ul<H{-Bx}JX2UZUu(_#;ML&ytmS6wnb&>nc>Fq2&JW(ja)o!XlAyzb zuW$ccoqrotQ|)_P^|ta`toeJJ>Gz92fB3zwJfij+xYV1s;g?Tc!ta$B0SfM7?Q1-n z{mmKN#mdX5Y~$W@Kz+|4*}qpT{&T-xeVF&&!;<8mg6-y;z+J49;K{81nD;j4>)$+E z{QQ}E-n_q8zCveU(znIcszua_fg2hq9oIi|KIi+?S9Cw$aa>X_Kk2pho<rI)XLaGT zS^5h>vsvOuvsp$vPU!y^IOTr+^yZzF6=m1=K7S>XCpY)<8gLtSjU6|5*v<^>$9Ee+ z!*-H$PQP=nU1qU!<=Oha?-ihP_kN{q+jmrY&(Y7bqw5X`|LxgPx$>*{yxZUr!nicp z2;sTp>;7l<ev~<Tr{=Mx^?K0g!sneoAN|2uSuw#YD=}zgmFEeotnyM{r;BfaR8~c= zwGWHNJ@_CxZT*zZ@oWEF+xGvD)3*9E&)?bV>%DnaeLnQXvyZMZ{PV)ax5hx0l_RZX zj6d@YX|%QXn(=(zd8uaWZTmhpRLjKN0r#-(w!wQ?>zBYMv~qL*KUIALnb4YE0-DeY z%A4oDuGt%PbZ}SC+vOOcS#h@Jo5jw#XJ5A%%fwuJmwrUk?xE$qJM$ntti7io6I%Ju z!9m%a|7V}RsmppM7Ju*Swc;XHb9tNV;U%9<%3vL=Nd!7reP-*QoC0^S3e-_LSgY5< zI#^whs;U(-p|w8i_0#6(kFxUQV(ykc-?^u4_IV)!6I!#%>Z_kke(-c|ZXD=X`p3H? zU^UeTQ_#R*%xUnz;QFnQfx-Q&=hh!mE?&Pr_xH)ouXn^%`>lTsO6xr4^XF%RyB?xp z^U)Wa1zE=<#s&AyKAYVeedocOchkP_I8a@4Nd3-5{{q|H^Z%4y3-6M)IsWpsZv3MU za%FL?)^lR+L)Rwp-l=)MbI-!r?dC;ytK;t7z+9VDA&gd2&4blcM%%v%$2}D8dzV^i zwEg$#m+D7_^$M%R{yt>PpRzfAwT!qqbYarHGiUqf&7QrxvW)xs<mYE~b;ChZX3Syp zK@%|BH@&`^-f=a(?do$T@Q59#+wb=-_w)USwswyM{der^U)!Ib`+fUC&UyE*e`50g z68z|yK6E~7Ev$ES?#-XBovqso_kNVQd+luX`Kxd5*zDU2o6nNP)46(=4(?pN%lIyS z=l-Yv>`Sr_Eh{vKZ<M@~dgXJ>*7Y9~W<NUE&8|Oh??w>KFSn=S1BjsyV?adGWuATg zzv0@?qGRvR+{s>B9e4h4-OcwB>btIGHvj)$|0;UUm;L|CyUHyN-_*_BckJr7mj8eE z9r`GJMpy5HTzmeOpMk~axYy-=Z=X4L|KYEuZ~ogxez4o~eb0_QYkAx2*Gr$j{2C+w z@y*`<e@mMl{4%@$Si)Z~<+J6zN2=%a(mpfE7xsP^-~LlfUqA6(;m5Mi4TsBT9G1RO zJ?%|;m-_ZiwYmi@!FL`BeXr;Z-=22gVwr!pwaudszF!XW&bxczUU*?#&*R%SezM=$ z`23OEx4kuA_ITZ`EJ+3}@l<<PQx?3r>R5zr@tS$wYvxI>nP(byo^N$}|JC9m<K6ML z&THmz#%-R@I`8haZ(9#>>V0gGt~p}8XQ%A%W3shwF?(+Qay8%n-L#_Z^7b1xm*;#g zI-8&W+&@3jUj9S%^?B*rOP)uc&wO^SIeKTFP5Sn2JFA{W*lL6Asa`WrHthTZv$B0v zejBRJ6@D!H{d-2jSDg>j{;v7(h;Q3^-E)P_&3B$L&wIpt@8Qebx3#RbN;3PdRxPzS zDk=9-s(eoP!$*B({~wi=)t!m6I)C%+-OBen_cUAg7hi9F{#7>DuI9qG$cLYtpNXx0 zF1Px*;Og`Ob!&gy<Tdlaezo^+-S^!7efG@1IbT1QZ>s&e;|O!#yPVG-&V8F_Iq#92 ze!&m3Uk}-)R~*TXG5z+}ulQWH>HhA!`*(HUoxgecgLUh!&r>fie%|~1&%1XvF`y+M zIUB3`B5eC3Y}3DQ_<Y6q*sGbzUt^NK-bwhn=Bur(bxnf3ZQT8<-%NKdzZ?HpK)rl( zWs~uq1MYhc@z#3H*>|_fbjKlUnf-^KvCG`M`%b#BdHeTG-$UQ%?%NLP%+=oc`C#X+ z_16CHp8smNT72Y{r0BQ3Rp&NTrGev4H0(TY*m>Kq^M3bV&p-d}{9o^14|V4~7E`y_ z-(DO4Ks4^*OWWLiM>T7uY#uQlf4@Zj-G?uX-}cS;taRt0-1ax7^$TkC<!$ukpPW0) zzB|uWoWJn-<@Q-ujSsz=ne_F{hN^WNtHL5|qu0!<UNg^q&AfxB?rpwqAOHB~-kkr% zXFm$@?>O*u@7v!}`5(m2zfb-w*Z)Pb;H%zial143E<e=NyO;Z!)z4D@sPa6UJ9Tv_ z@25V0seI?>vEol2<#tu;<e#1s?>AeW-gR~Jkyks9z1n&B)y<@@G8?PpHddXJOa8t( zz2~a&@$TQZ{!RbUU~aKP{%=9A^`67F=eT~?1>O29TI(dU|9F+^jsx5>`>sFJK5M!D z%AbE_|Nd+&t2^@kZdIJkxw-Fc#rw;jUlfihpBL`GWoOm22wUkj^Q_m*V_!2*Iqduk z&$4~6u&h0Q|Gd}z&GUKZ*&O(`^|;`>g6?MZ+kb86J-Etz)4cEH<Oh7>7I!Z4n^$ye zpUW=zqhMXXbKCFWZ$?#dwnyj6+g|rCeg5)t47-2%-lMN>CVZ9IP$jpa%5Q$+^Ofl> zSB;Opnwj`DCi&}{FSfSPd(!NyYyT(QzAmV4QL$`q`T;|`N0#?&T52En*|F-~OaGg7 z=Yg)@{rt~y#pk3=>Q~I&UpZ~>@|66*r&X^jzvYzQtJItS_}t^?yWVZA;+ysPe)ys1 zH^0BKJo;+q0Z_c@Yy`#IyA4&_e$AVwd~efy<+wFD@tdFD{#_*V_(7uC`Hv#{ANleN z9Op~5%WsI2^(*Xmx2bHrd%rNQqr3g~-|9OXu77F$w)al;IkR^*6%$_{EB=>MZdchE zY3saZ|9sA{^Q>X#MZ?Yutxj*gTHJp1bHml*E8oBL#AUs|y?MT5oZX>sQy&UFx2Rfj zR@>&d^qt4HeD)spcOE=QE&Jc0zT?+~TKnsBJ`0_dPyH_c@xZ;)(>LeaRJOj}`g}*( z^XBKTroOBBr*-DPo#Me)kqKX862IO_`kJ$$iZ8;JKf-qT`fb0j8Xu~zTK3~Wozb4U zEpM}Xvtu4UF*M6BZ2SJBqkqRj{|{2t{=X7mi$3N$U-(CGwtiv6ty<Q+4{x@X#hrM6 zw_@J@b93L@JYQe_{Nc6I*OfonX1{))-gtHMu~$2fzPgzVin+G@EuXIzcU>)RylQ;) z`xl*$Pd0uz9KGkTZeHO(i{Euev}=xBK7PCAn_6|e*L;b7i{pjf_IDokKARJs-M88N z_D{}gNgMt9kIwPWTfKeP=O?-A+|SQG_Uu(;^4B*Ts=h_os^@Q?AAVk7b$S!XisII* zo3DKTl4DZe^tOJvrQHL@{v9=oYUTGHzPJ6Lu}%G?v&J@;)Q?|vUmM?Qz31T3<2n0| zYd$ZnIP$vu)?e0Y?)y)x9#{U#E5BEzckl7J#ou?`1KY!9{X5Q9J;L^Rgst$Jd5|d6 z4Lk34|8ntxZuQ&$_<uAkf4})VYxb{)Qp+pajpx`N-53A3%TBb<K5uVS%mZ6K`^3+S zpUn~9bN`;O-PLpVAI{pVCck0lp6`2pEC5wt@<q>Iw#UkEtYVYjxD!;FJdd!Q9%0K3 zu1s{p&daTSzTo{!$!*`}ss5h%=;Y;`cy5`8BHMQyv5k9t^)e_^U4F#ZZ}CUvZ$Wdq z{MPTGbHpG2kSzPhSpH_`j~TW4^0w>k-kn?Q4;oIGU-Epj|IDi>rR&D3vIyJiHS;F> z-~Rhb@{z5r@SZe#?%Mx8x36=l?>NGo_d)Rehi8#*q|3iZ7Jk%xE&ldxE$_UCUoM-S zH+jA%=JTm@^Xrb)***Ba&i1_B>vP-ZT|U3_^MlXpq|e_J&sjImGVDCp>gQ~rS|q*w zs_~CSbJx!k2G=5;`<ACSZhw>BDt>-jZEj(ow2aMhScNtH4Y<O3w>G>hc+Nw?^@YbP zMfK)of7iFNwolWa`~1!6cYD6a)E%9BJigSnBCh`Iv)TP0-`juu^Z3tie|R~2{jJT{ zo{d%KHdg6v{AVK?Q9m#1ug&k9ub$6O|NZK#tz5yEn%V95H+(<yQRI6?<Kgoc_|115 zQI323YBRLTs&Blz|JO25m9+r0Nb%=1>wKH%_sgC?ERT7=p=w)%tvk3t-~9eo<txeL zuV+9ORpo7{x)uSgvG!m1X8Lm;xW<y6t!{CcyXJ`YoeRs4ynMbUyjgqC;g8RA>kd5Z zezQ-|yyAClb^OtLkK}jUtDMLGuI59`-wSiq?Tcy;ys}LC+EbTvfAw>o)#+_ljgP;I zOaxVocOVJW{^39I%l!EtWwu)!{OSGXH`DqLT>kM{pLP9SUVb3RZ}E@mZ$bNV`>o%P z&Jlme_kBm|`k&|DRR4TX`LnoOPA+EqyzclNpI_>qeI=Rr)n_B9O1utkX6S;eMCGva z55m96eeL=9CUx6?%X^Pi<q8(guisf4{}{AFQ9I|}<B4|6>-J^*JrsYt+REnW(djw+ z4ro4KT5)9Z{Tt`|-|YQl^LMTF{R+Rj=jYtde|>RIe7`x4>grztth&1OZT}JWJqHhJ zziiLG^HBC(;Ww?{b)VdK?_cEK@%S91x~jVgt*)+?{rlq&t*-vu1XWk{h0ia>$Cl3v zcb~mFz4PkkgP>}$5)zRA(w-v)<jd-Bn-5ODeq-M^i$k(`g&)dy?>{cQr%LztF~eG+ zI}e%K;}^>3eGm(ubN#`O(z1V_<jd+$#krkdUBBz|;^)8O-`V`#{`!Iay6xa9kvZ%< zbJ+RJf?farHE*bLi?9t|Gfy(?yeTLPq<3F`lir`cetWHKVN<j4jcPWT$I9~_pPdG& zuJ&a?s;m8J(CVsr?taUKpz7*kXH35BdA~R3tj|C7yi@tdeqHsNdCXzwKP>sSx5{s0 zmEMM`Wf8XCYvyHx>tx-q^Md&|&kO3`%lJLZ_f4&3U*QL}+0QGwrdPC^?^xj9dw6~H zdcNg5jw{cbbLQQ93;iQUXTSYtn|C9-s_1vzJKOZ-1<wy^#+uLj9K7@M$(3hcNhW>u z*#NR--3E-B-{jjq+ut)EK8?<am((llTfF_&o<qfZ4%*&({6YH5VO~C`-StO=V`?w& zo1cBIvh!K~=HLAl3Gbg~zO|_=vbvsq{^QHLKOgM0YPOy~|Kgf?oMGpgRzK%j{ag%O zB_4PMiZNT^_Yd#y2Zd!#g1uy%`L^|@>k3;ZZ@<0gsN}uJ%65<1><-R+Ynz$BH!0?! zW#9hP&#HX)6Tge@IJkM`T=7rU=JTGX7e2Q>|1<J#Wrf-Gwa=g4%v}d6$ECpb^j|GL z@Je#t;d9~VHN(!cUb}x@WOaI{`uipF_a4dS6?T6vzxiD`?xFI$eYc-&F240U=+}{o zBbI&peGxTP@l8lgrCeV3A!hFFx$4ggZL99hxsF^@J>QI0QyqDA^TEyPod4E)j<f%@ zk^B1j{nq!3KY#xHP;%b;oOur?+F7pKm;6`j&O=_kec7LF{VdlXR*s85@J|0mbcN$} z>GPLoTIbt}ix)k=*c$sDT!c4w-}wH@^584W<F95WeAU@dwQfUI-o`4k2wV0&74zTz zZ{V+}3apO*PzS51Y7amws{QGZifVs8xT1<Vb5FSL0;Hl6-wvv%cC>&hs*TqE|F3@) zT%G=*-YopQ#OmiVtDg(4PDiWrr*HYLbMw52zg^1js0SR&cN|rH_fcxS-I;xbKJ&%O zD~>$mf6*>&vwO<k^^f*Q9GBntnSV#}`J+qUR{wlaSt?mB7kjPr`OZ1JKi_<M2BSz_ zGtV~c{K=<p>%Q`AtWv8uTPId=?bg09i-W3i1<m~x?dI~S_jfEl-_d>WmuvV2aK}pi z7NlckpZ45;eFn5+6<+i_`uxkOcPk4-ulGLJ*@)S(S_SP`ZLCs@u;t#9YOlTL$Bwtz z-NknvJP<aM{~#j&fzN+^+I#I6!Vf>VU(-ISdG15U^&OA*aEZ^~@>@K7`{(3umnzS# zdw8z;{8Q7rl{LDcZq?S@dGCIHpI>nVUbH5F8n!)Gi~Ft?cZzSl8~=cL-Pg}=(pS&_ zKjrP}<EnB6UovO6U*8C;q2w>aYAAJR4R!za-_@^g{k5#-TKBx_ZDmny`MrvB`47$= zmPYMVnQubtRBfy(Gy47V<1gcF>pio+U$;1NGCg<R10MYkg6j*M=L;>j-x|le?gQU( z`^`TY+vjio?RMwE+upaeqSc@&{O6T*!R2-p>++tRn>>5BT>_}T;kJFl`>VxmSB($9 znwk7{%?40C_zsdXql^AOf7!mhqAz;RgFoEgY8%5VT9?Of`6;&iOXi0TcA5Wk>UJEy zeCD2baa@b_oyXJmKHI+O{nO^>PuJe9tdPFG_W7f2?`o>7H)9m3SBv|ve(tzxykqZP zciUu}-;>^gM;zH>9&FnDK6}r>Xq*3=&Q{x8N<VP*;IG#54c|*Dy1L_U)HDX4^ZwYO zzVq*&6Zf>wU$)-$x%c@KzjrlXV(#CZtN$)33|tCaE$#(1%r_qeRlqh$U+3Jt`VN%v z)7SkB&-w3t_9Gj6{8IUKh3(}#j^Dig=J(0FZ~k@JDfZQ8?iGr8z{*#j`g!WJIpJCV zO0Dbb%B}4meb2MipZ2ci{mvcj*8T5Z?)toE*YvZXe%sB2uXhr^+Cakb`?k+W;rPY& z_ss_<&2!?bWghZvx7g8t_x@wfxZ26Jk7w9%zAI=v9Df<so4RxR=jq>@;z6CMyXQfj zsa9+M_wTJL8eXqGV}Bl05P-XEm)SwB6|}lOZu5NGd9|m%l^)^blS_FoS<us8(Pw@? z?f#C%{*B%DBlb&~S9D*#e{0Y6IiK0i?oWQ6FPHOP^JerHf$P!dH@ENle6DzB(esao zW4=e!+q18k_wexB>aTN>zQ!bg+7#0wY_CVymV^7ipsv*7egD_J&Hlzw9p9HMQ*-{A z<-A9r1s!@Xf**e<zqb0Y=DUv_>it`OTc6`D{8+oYzF+$7&p>cjO788s=Hol^Y@RQ* zo^Nw)%{;}h^DL{MgR2enie4`L{cTW3>fZrKM@lLu?xE$q2XgNVEiUn!TU6}1YyXII zU0weD^QO=DxO|qs_1E2I!}m{|W%Fz*7roy4{D&u~5oUXH?)mF!pej%-!Ztj@7P+Q> z8T_X1YtM$NWk$bGe)#1Mt(xSKtES+a$K}_A_h{Q3|0tik@5n>{H~W~(E54an>p%IP zXLEl3yL099KBw>a{9)$0=<}!3Z^ocB{2Q(suX$606pj_2f`5Tl&Wmlg_&>AO{*kEN zqnGD%?W)c8+t()9Jd!zHzexVw2e;yLt4)vJEPnH+WiQXX>E}zIv!B2E`A+4hn(K?7 zpXJV3hZv{&<n+4|T$)DMii7><y=EToJXuJ^Wb;69`u+{?7x(VXZ%(iH=RG^UVu^p> zYWwK@T;&zb-TQCU^ar1N{h{N!{-)pD-^BLa_<HQ~7u9!rzQ*jiGS^+dc<=F7Hxs|| z#O2*z{hS3<U!*r(-F)EH&O_inV!~G$lmAoS{$F4@Z{MMN;(un<?Kq}+?;%h6{*CXi z?^xvD`gniTdNJ{e{=<vU_RlJg3%Ff<=>Dyr)-ju}KbdL<T7bB|==tL3zplQssqK9Y zYNu|+Q!lOG45^pu(vj;Ww{N}4zqaf+tjzb{&Hm1Vo3G#2iatMT@o(?k`UA4ndfUJQ zepSuZ{r6sg*1w*dd;VTd*m;T7>7WApYH>5Dzk4(B>zj>Le)p2TuTJl{YJ4#J+vWqC z?QbC0OZ6#`dTD?3{ugV%?PEJ%@QZ(T`mEx(bwB67`SbGjo9E1R?{9rxQwXY;Zr`o^ zz<0g(`J1_B;pb&mr?-OaDek|z`S2^rJ*UrwpXUraZwX5F;NH{H_y2Q#-+CltZt<i0 zEW6E7_C1F$m*4))^~=TL&+@Ncg<Zxrk4)cLtUq)#{_Ve`)o=dH*jqAh^Y>k!?-lPX ze*W=y4FA0A@3;Sa(*5VR|DL03pZ)o-zwf#XxH}3NhqFBXYUhz(pF<M<R<457P4>6; zRmD7Fbg$oB`NOgLyo`TgzqrL8@xKMl-Zqa+*Zs@A|K8;Jp0LmRZ~tA*e-l(U*}bWH zTlq;4R5wMJ%hg@^%C|bb`)cu(n7<~+H}~Jf(RuoR_?zj^9d|*)egC&05BL2$4jJxa zSO4`szJZzVf9m(%9S3jw-}tTdO|1SBXx(8jDDT$ZnyX%Y9Xd*7{B7^Q&t+|2=Wmw` zLl4%PX}|yb+JjaeK4N+Pf8%@ZJ%_Yyc-8*D-3S}>Q(piY^eaXh^!vXDJm|Oo!MVfw zyYg(s<BOhOKDTC`VA%PP;(vB&vmQg6{jfUf$0l$cW!(s`qdv8KtL-(f=zgxgsj}bw z7tao>g<rY%C%u39K}1}A(@&=5bEZEM^xt>t{b5igwSFh4l6noQr0NgP)!&y1uB2A( z{FT`UEm*_OD~Fwr%!l=%gl|KJ{46+OLw^5`@0(w9`rY~$!jFC&xu$)PHSWO&6|?xv zbCpY<sc-%K{QkDj%60FreSTyOs-%9bv+m#beAnkazxF{Ysf=}XvmP^tofll4{)O2( z-ZnkL)_4u5gAW<;^MTb-5^w&=`G0to@<#gj7texrZkd1le+!zo?|8I_?YR2(&(rO< zKt}xjo&Z%+_GQms`p2-_mqJJUOv3HYe*BVNHV>n=2X~;BZvc0o=HG^Opfqoqzk3n< z;L(z6>yPo?dsLDH=|I^}ox9)iMzz;BvA>7DUi<uJ`nx@!WB#3*dwhS{UC8Lv{*CXy zo_7vjQ!f{VwUSbfn{(@%>F>3B^^Y6!`6Yjr@&73F{sWu%mz9MTMz60v6!{M7;@<o# z`@D4r2c!#Sb?bZ4d&}Q@t@-~w*!6MF|BG|||D}Y1hNu=<*2{~}dW<&ScjT4i-<$Wg zr`sFvv03|8`>^V{!V;_5;T3)6JC0Y&%$rlU<KV&gH~$3v3raydzb&7u&YFMko&BT6 zz0>QretvTD;@4Znf6PHGv48K*J^yb28VcKd0hFtv*UaM$JI}cKIpgZ*U*?zX2L~p& z2Q~lTx2?xa*A*1G&E9TtxVq+u`<)BwKg8c#F8$h7*!tYUZtB_T7B-XbhCg6C|1bA> z>z{kQ&wtk6`FXBbZqC2`|Mz;?%g;Md`ns~xX*Rg=e+^`vEy%9)3MK1!+vVVzBzVm{ z)p>Jf)`8Z`AJsj#{M%p9p-0QpH~xHc<lDX}c7}3=eUF1L^OsjFwtRkd*5fxSWp#(* z*v_B+UkIwKJnvQ-fqPMBAiXGXqImM;+h>gKsEzgNx1cqL4eU0LKIMF?E#a!Z&vyR9 zpEqXbKX}ZS317akPW0UeHt~gLzgs-r!`EL?>$Q7-LjJ>*G5I$B{%_AkpFf&;r&0tq z+P8cwC{YNnsh69Tj8W;w%{lz7{s6m;?cBZk7V3WsdV}{ImOOVZ_5S)o*ZEw>mv4;& zPgyPB44$&`%YV<QY+c`;{cYBqgU>*V4tqeEcmBz_%hSthkGzuf$z3<kFzo!!XMg_2 zB!PNt*TBsSX!l+RI^ef67kR+1@`iceOYnf7jV)-vFC9AIw+AxdXE7T*;CJrbxy8kx z0l()Z&o>uC2K=%?xtBNWywvLS_N$wZzq0IngVc{IvqKr~`|}1o+*io<T72&9d)FUG z`kl}C>{ER1wCVnax%RgGe`^lBkFB0J_xjv?+vU%TpC9}gYd&u?_l+3PRM^yKua;Xv zM^D8;L%>a-4vz7`SCJ2Ne@8w%%9<M=J?AkSyYX!PiZ1?&_T|Ra@s9I3+S511Y5IXq zfj%btJaos733vDJm@rp<^YnAYWr^kYs`d0AoqOE9OU`D!e%j}6tN;A=pHqMKPSW4! zZ{=Rs*U4?HViVsE?&M(BSo=3XdQ&BWv)iY`$NP+7y{W7$XpPl<>+k9t@6O*0{;(f3 zL-qgpIqCC1Rqs|-XkNEoGjHv)FVJ}vz1-(3!SgDwb{=`P^B{Og`a|R2FBP?hx351j zDy#2xo)dHD8RxkVO!6PO%)e+BR*Aj7`he?wK~30f`GN}0-T6m&@5Noa7rt?O#k;EK zm35Y&Osx0*++^(?;O^AFI=ApG?~(ce$o(np!g?QgZIyjryrMO{;#a-d{YO*mc;!Ae zJl=efzr3O<wL1PxrQo}Q{^++~wZ84G`LM_5PGv<Xs5_PQuBNK=Pw4OS*Ev8#amI&1 zGe?n$UvoBAy#vo2v0dNreMNdt`t&V-&wrHD|JcAC6L)Ig`j2e+AOBo3d;ig6K4W<L z_PU3rZ*67gJ$fW#7XM(%=OCL$C%3+N?*1*Va$el+x%oEg+@Kk%^^ebqUpIaI9{Uv4 zx^!55b@~RRzS^M!tFNAY`}^cqS-tCgf#c7&#Bu6<U}}H9>8DrmIc?MZbLQIbZ=5Ut zv3mPFar2Vr)#s1)-l?3j>z&Q|yqw~%I^cnia`0q_`<i(deL=10M9^FuXbQFVe4W{^ zf1kFkx9tPnvU}&syZ0Yi)~`DN8lzw--*F((#s1C%-E|i04}KJV`{(J|H~*&W?U@&D zUjE$u{8!yOl~vx?9iLTogT@st6F}XzIrmPT3qFq)mLF&Ree>~+*S7V_egy^D)#n?M zYmOA}*=Yot{N;+7bNkoT9S5W5%sc*U@3T4P8Fix8^~KWF{wMD(y1naO)x5js=9bSp zeS7!kAAHaOKZEV>VY4YmUqvQ?=E3fOqpZ*9x8)<(q~EjdUc5K`u>^bg*2=!+6`kc3 z&D_7PSlF!p`l_I-+UC*8xo`gUeRhh8Is5MZ<F>ua%D26Ldat-lyj<?i)zZh7`)6Cv zzjGIFk7^opxbJE%WVkQ;27I`$1~lCFF7bPRMbqlfx4##kwbZ}(XI9yNLH;*;_bi{Q z?*Hy-)mzJb+pXno!oL?j|NMK_RZxL|IWzTrGiYY&)yzlCpgvU;v`>|d)TeSxeDA5B z02%Jn&-kp$CteocC~dQQ|6Is$AAbR8xR3d6<qrkWa9=a#aG%`~=x`sT3H7t|#d6Dg z55=}y>|Y1%Q+>^`t6s5Jf2n_u`<%xWZivCYsdMLVn11l{r^I)E9_*~zVeK#Xu<Fa6 z$|Yx!dIEB(_Ukb^rkh{i{#Rbn&tGvsSua1~zI*?+@3|j%&gW-*=IQ@(vanR~b@)TR z{DQ*7+3yQaRm#eJ{AE~HfAHR+OQ1g0Mo^#1=G<KO{&HxaYF+}aKGlauZrlDd+dX(G z{I=H7%Rc6@$oqn}&C<8OXUAMze(0m?n(%J!J;xuq=GI-A^SMd=X7!=jHz1v=li*HO zHYm@|e{}Bh@?CaGUwP)G6~pIHs>C8}v)9av29Luru1^0^Qhk2XU!RXpmTg<l+E>s8 zIxK7b$5&~fhF@l3ySq$WPu-4#o6~Rn);iZ+SW|5Mzrp%jjLqeJ)BERzpD%hI_;lCj z7`xkZ&(BN7(W#o3johh<M(I>-hjyyEqxZk41b3>cI3b;?%CzVH^YfvDefkBUP8Ijv z$_<5gE6&BG6oaN|!Xj+VBW%A%*jlfdcd+|y&DS{zU*|w7d?Qd5wKfM*MQz{qvtjv< zhVqJELXbLYBTAPl_szef-f#bayHwM6e!f@yv#4Bd|DmrBDr0ZgeAWH;SAAaH?E3$m zus%S=(z(k)Rla4|dDUz4movRSAG~&cx_$KDKe@T_wt62M7T>;UQ!{mMdf)OL$GK(x z>BIX}=l9*uJXg8)+4k+f!`E;7UHnaC&#|w!K0n#|ZqLU#`_Ij7Uthi#dFFpx**wr( zB6zU|Y+;K04gdb@<r`{!?<If+{5<nMv!quv_E)q@|C(a4cgfeRg4S}2eN)czTkKhU z*ZdJ%{{4*it8YaAQ3LHlHsAgEVDVS6@_Bo%eq~(!yyL2I)$H2!^NhhW9B92%lRNe5 z@D&uBp(`k2bKol|rY{3^rrsiTrs~_lovHgT&mAt`nP)5hzU=ws?=x_(ps0Rp`%3ch z&Dh-k;9Xn~n5LIQ2mJQ$J_{M}OI;JcV>5Wb@ANI$fZzMg^W|?=Kdt;#SHAD#oSFl3 z`{%vp-}U)u`x(?3fB3{6KWI)g`RkdFNtwUnA2Hv1@Ida%VbgaX_`X~0US4bev*T|3 zMfD>e??7gx;?6;5q~gl{?b!<LODzWXrDj<7+r0zzrCK0;sRX0}zxkjx5`5qkGT^s2 zHy$$J_hSlp!0&E5e8BHCe88_J-n#y0=5G5`{l(9(-UD@}<`+JHnI6kNzZlw?f-Ik~ z&qrQ9@%Y>7Kc7v*&Wo+Td0y`PyY$~yk675_H+<)@IOcA1e0CFLLTX(CWI}3v2Bbf= zfB)S5KNo=~q^5%=q^v;eC-%QN_jvsd(E5o8+r#T`eaE(XBEr^vPpZB9o{EOI+22gT zBYrj)P)7W`U?YC<+kb;c{1iYVe&1%*9o{#+`ut7vyOkGq=h-~JU-W!({_Ly9M?mu% zG2og5y_zq7Q(OJb`0#1=+xv`S9?7`#Z>(&6UeR2==lH{^FWaSMYOcSN|Hu*^zVZF@ zS;cXSemcMXr!04)`lqe6|Gb*}pw1LCs2#>uZufs-B+7h0+v?{H;3XQrly}G5DzBNR zX}4iM&-!p^{nUOFX*#O^t!-u!q<&hT^;wlqJ`X${HUCDX;{Ni-mH&dv_kEu8|IFNW z`|`V0adJ5r(^3BpA=gix>irAk?>(AZC%66APxo7p=_tEX&o;-yr=!**)ll)!>8Sd* zpoJ6ncYJ=b9kg)b{>>Or*)0oRP>`|(+jP|8T<~<1%>%*d{TtsezJL3-Sw-9PrDq}Q zCayx)O_;*hP1xT6t(*A&0<>;I9kg!Z-<xxb_wRtV{Oh;Azgi4$`Gcbix&)=-W5n(M zjPf78WW48=bBEPX$6+;;=~_q)wKWG^L+!s=eMlWzL%j#rQ2z@+!+!JM+5DXj9`?JB zXV~xmM#!+=ybR=Fzj;SMO+D~*)Yg~n(l*@ZKHUGmC=|5TPyIGztse_`=+A1Qb-&+} zU7us--I#mcF9}C2_3!w*a8M_|>H3@W#`J8Io|Gn}C$(`y-9=Bx!U-$r!inX#eqQc` zb)?RKI#TN8&kt(Gn9tiBd<)!>g0D;CTb*8@WgQP&hcc)B?7#2atoJs}7mc$y`)%vh z?>i12yqs(IK-2Dl?7Vrm?uB1aKlHE^b@4>vcYVL)=f~eH{XC}?w0L6PwYkTa7u#0E z&7b#^|Hu9F5BRo&YsCHt+h*e%;32s=pe1;JE44QKv$^`K^4sRC=j|_lyZTs&-=b>T z*~7-Ss-IPKRqr|Q@av0q>6k}?>kCV3f7dOye7<#7c-j9~K4tai<~14bj;o&MbGP!b zrG2+G|M^!{?a5yc!zNVbo;??gKAQTF88j_*bKClpH{bq~YPYEDJ1acrA*<aZ(YzPI zkG`;7TYXUU-oqblX7&$0>@2(AA-%`8eee29^Ul8n^`rDa`B$lY-kjUeTFR;)S1mPX z`tSMfux`}(?T|sg+QzfnEtdK>yW2eY;r8XQ?7VsBk*1}dZwF6HvEHeyaJ;_udD63U z%f*dWqpVB=FAMn&Udh<ZeY^UK@v+U~xBfk^=$~G3fK|^NI^w4f9r5#88($$<?cct9 z$BvC>#Vwxh5$Ufe3jF<Z;@<Fi%f*YIOP@b^^zP3WJNLC%#~(?<m`Vu)jriUCf9o%J zs`TTdquc(g+CAXqi*vG%dCd2|pnvo9Ti+-D-~3zqSBu5I&aYktjp7!2R-O%?RUEhS z=jk_peyYCx({X?I*F8I?Sl_SK(|dbv@#!7!Y}V^#WA>r$oC`lM8FrorH2A&w()Ty% z&FcEwYu^^OSl@Z{ps1|AU%lch7ic_PWyfJwzI@PlI=By2nEPB`KmYskH>qFt>}s;M zuUfbM#ktGcJNeeVLFq%q9mUm$nhNeiO@ObNSbYOB<o66R<o89)?7ivpJ&vElZ~vUV z`W9%+FZL{G%<mOw%rEZn+~e0vpksd5H{t3-Rh+KttN18&`}&((-}cEIx2RZqw%Fz< z_*kb4%a1+OT^rsWeCM&CzgSuQ@k&L#xb*Mu3lGO#etiQp;<tO9HUGNjyFSi|zdzSM zF9AH_2VazS1hkM5F}-KL<@?*4=Xvzw^M9Wz=q#4W&4o=%&A7;KZn0w`cv>ni6*?_t zegix$Wds`T>y2G+d)&Mbw7hTGyP7)V&EVPTHS@H=ZF0nT-!tU#zWo;=<9(mhW+TS? zcC_wQ-@6qu;J2^$>~xEo&ENsQyiCY|U*$8<yj1kg&mXRV=A~}mz?hfXvv%(KdBJPu zaj%)D8FpUC|DKcmo}<=x9*I5A-}>Ht&mni4+SzB*w{QNw^h@W*j$)bn)9Q8{mOg8_ z{+dks_U)gAzZq4;-8(o}-X?sfwg0;pRbTe(oQ&LwdY6N(6Lsv{{=?NZ2bkpwf0q5W z+tm%3nBs#@Ox=!zOibOr4V#!M-wK+Tx_1`TiFya>MCshEEaATnT|PYzw1Q>>Xnh!H zmLEAB_3vf=wtv7p@80Qq;(NMb6I1WEK_{lHBOnt~cb>VQwbb9SeXn{=|J?nDO{;z1 zB^Ot|uPlrQb)w!sKX>^MXu(85{cO-OT<|PEXaX!U8MGSn%|_5_%){)^rReXsA}>X^ z24y7h#MFDxqR*Smkcp|f`H+bzxg&KSFMfV?@SROn$Ln*&KLg6`q9Mb4pduTzIBX4g z>b-i+yvgl1|AI$NKg{~8^Wn|kZR<t-3Od>EJW#&(@GLthCmBC_<abTKYRBI72aV_4 zyZLP9vpLhV|2bOM|Kj-lv*G^kZ#LCStnXL($v-{U?7lnCCcPhX#l!+o8x~&ML&o{q zp`9pscqb|X(upeHh&<5uqvP)W3Yp)jpk;j@K{b=z!MVr%OKdCR>`y*xpa0>#{l{O+ z5$n)Dq@b)rXT3Imd6fP6x^2IHUW3$4zgC<*ycO1kx;M3M$3a!Ok5b{~o8OnuDvn$I z^Z1*8SA*aDn`6uBU%L03P30`mqCWrf=MRlh7xkrsrpd7_>Z`x=&9rhFcwTD$2IP6E zdv_u8Qu?4ped=#Pi~6E(g65>`Z-eHfEJ1Tpw%6yXp9dX#09w>HFCW*UKK%#({4erD z7xgWF3t80n9WlgLeSyEc;+GSAi0|91e8`$UrE<A_H%edctmw3!zwZioK1u|%YOuKX z>gGeBrQvsyzuF{y<%vssA9!Bkyj}9|`HyYm9x;mV__GGOrtdj)P2aoBzlWxOma{o} zbT52KUpRD0-`cyN73f}|WFG(YocMZNE70TME6~qFr=xx_!G`ztW!(3V%l;nzg|o0G z|Mk=dO!@^C^0U{QJl_-aS^wtG&)0AM6x?6_y7FUH`M&QlId?0+uw9?~{Hk>Bx_PQ$ z=h;?2=L1h~fV!fS=OT4Qm%hJwp3UFt_H{Y;9fx)K?&W;{UeOdD58m9-WF7P1!@e(v zdG9^y`Ya_=fAn1ZzN7E_Z=QZp`8BM(?n})6vvZgCzJBl)Wl7&l>o+!EK@<HEpc$|1 zHS<Ja-KTw7;O^7=EwJv>`i=Q_E-pWC^zWMZUg<qYGZP`*r~kX=?ysB<?mq2~&9^;& z?=fgSU-jL}J;l(f>HTK>RTJ;+>u>&otEL~zP^zZCu&T)};d}WU@kfic|Ng!sas5m7 zx79npAzmtDT>ZpAtKN9WYng4Ujc*4aVUF(WTMKk`bl_6lSw>d!RDRMeMT_*9f# z186GhICv`RtHbZQb)c!J`s0wPDEaN5pPW>WS#NuMehH{<3Vv7fFB3=IR05iw1g+#} z|2FyI*Ye!>?3l+~>i0KSb{p?G?p||*x3=m0jp|G6k?SSGEBYR@->&IA{Qfq0;rV9h z!t;9Y!t?p%&mW4%Y@athe#=gbaVb^Qd8j`Puz4tU=(2P9TQ%2i!WQ$%J0ZsR^r6en z_k)+6uiy3gNj9kWwEi|y@9Bv&wt1-E6A|-J$G_En@rBGosYB<X__splp|oM+d%L!S zs;0WrpsMLMsA{S?KG*$zDRg{qUpnsbz2%Vcz3*>p51xO~o;~N0?74z(#lP!%wSR?J z)Xn&sRoM95qGrcg(4vVC%+>xC8$OrsNLzn00aP`8bOz<w`X}cu%kKt_@6{#Y8Q%+r zj_--1jPFf{kMG$vfyVdd-vp2E{n3Vu@BO(09^Ydxm-~CD^!d&|OReYsJ+%fj>;+yh z_?&HZdM{`(!_DNcbN<{y?m6w>R=c*K$=l`uoBT(<{JO*Y3f<=miuZ4+yO{q5yyiR* z+I6~r>*wYBx6ZTwxwrNC&G&bHUMsfR_4&bf(3*33ENjje!`GaHmYC+>JkO<HmkwDp zApz?({W}TiH7!QzHPz*R*Z-FT={5a5I`{j$)BAUQ{_&#f!=8V$&%TcT^xnSkllH&A z>hPt~?;+!E&p~VW>*sN;vG?CvH}7xG*U$AEAe*HAWqkf158osu0ox=s6}q19|Kz*- ze=P^CIDZho?!SD)wX}~5E#MpP?v`G8<2Ci)#|ORa{P!yKr1pF~*38Z?=T>d=*p`{+ zpfvxzipK|=%|RrH{o%p>ue*Q$-jf}A)_$|y=Irp$Z^6%PpWoZe7FKVQ|Kb1t<v-T` zeSdO3Xbrgi?ym+G^Dpl|I$M6uuX?_+ihs_Z8`PK2aKH25hsn11I@Q(c6-QX_#ov6z zy=O;PWpDp|v-}UQte^RPjra5WYcCV>e`;k>ly!Zxv(5jlSD#xP*OdDxwEgN!zq|Vn z-E=;qyY8cyI{(&Rg6;b^erCJ#=vhhG{#iR!mzVGTYGd6T{oeL^ddch0#yjnjo?0e6 zwVZpS>ZxS%)0xRnBNLuRCO_SI>{N08)b#eL=>=)$<WKUOSG1K^^mX6A^-D<qqmcXl z%~j3DpE5r-RL`;L`BTuoc=L_FLf>XT;*<Z-w^J;AE9j7@M>%=6HoITXef~)%&(>;r zWToH6PiHoKI<xUpOd{Bd!yqf3cT7zexK%qPz3K7&+ket4`o(t~S6%ln;k9{1ue8nY z#aH<&6z0oa=imP4Q1Q)LE18F%1jGCbkJU-aeRy)`n!UmITg4@E+xLC5v0oo8Z<BxS z`L)ZRXHQLUo0{G_^*NXJdEKyi)@y9o*VwqPv3bmW<L4>kgQqqhcsW1kANShh*)o4- z{`tUGUeV2O!GEsi)%ok5DbKGz5q|V!;<W2B(=CoZT)bvq$lSkLSN)TJpV!Oy?f>)L z+~;4M@@n7j+_NEie%z7L=arR#tF_N_X`dGZTjmb7Y`OU6zf;qDrl$8zO>dl<zV_>x z^j`JlTPxpw6e+K0Z|=VRlilXv>C1-sb0(aZDc^DAVb`C6Zt0lK@!gXz-~QLVa_)RX z(4>b@UhS7XJC{VC*L!NUW6NtcuqATZ=as|eT};ife`<O3l=0zH#>Y<;w@yv(o%-A_ z&8}Kz{=sLak1YB0vcJD9Y;w0b{My(k|IS4J&eQJEb<%epv8DHK{N-5s?RBXA<hT3x z&AGcgDev-)(#Mu|N7t6mTYY->*C$--_#-O6MO3zhZ~1!4_|Pe1ur-?xfvkBhrhQ&E zY#wvojPo6rJI`wGn{;>m0ZzViIbWIFD;l>~^m>1~`QXFGY2oe0H3yjI#oRpi{)YaM zr;%^|*yhd5E)xZv%KN-Cnt$D^U0-8j&aU-emlrlqF>GG3jpfmQKNXMi#w9(COniDX z>FLg+r#^Q~ecmwj`4_3O{kESwKR#Mxwq7QD$AQb6BljLN-gAt7&+&(kPw;QI*s*M` z{R7T*^7*gRS8cu@^L6v>pUUrMR+sAD_MaDX`|GJ<qvF?lpZ~JTt1XM&{Ao_|)5xT! zJCB^&eDKufqo+0>2icQeVrv}_PNE^{b~|4^-%z>s1KahAI=|KL3;MoS98u0YslH?1 zUG?gwr&AwDoiF(0vRc1D;#Q?<USSRMZT%;^qt9=a-t~3u^G9xZwsUshtBkvI<JGCn zM@|(tPfc&0`kYbwyqxy=4{F=?f9l!r$p@Sj)_iyp^Q2vR&r$0=hmY>e{o63T;>g9y zHFEc_yqiAJzllBO!4ft5I}f+Mdb2%H_PP1yzt7Js{k~_%&S?9pb@>mkJ>L#$vo8+| zpC`S>#(Iqne?+BUUh3ad#z#&S_f1Xjn)+M_k}!`y-}*EEqnvzUKYPsF^Vj_IbH7JF zmN<Vd|EmoDli&xxJg3F?TJJgbbn@DL$0dK4RvcJ;`^HbzV($FcRc|eK&5gcash9u$ z+Vb*(y~j^&K77jfM9$t%a}u6f9tPXf3AP8CHkXTU_$j|t&b@rgZ&tyd4|&gh5PNR1 zf5F=LhqG$3=GYwlbnBte`@$a~tNT}NjxSK(?O&mIyT03e*6X=z_1@bqFE4!E{QPX} z-KsM4>x<9q-_QFluk`6mh*gbK(>td=XVX5<rhT3{Y@Th{yu`fkkp}ZQ{Pl7^dwmp1 zuV~k<IiS5~569<YoIl?bw6n|D_5La7eq4RyZ`L>Of}+6N|2wRo#oW6Lx^L*|-aEg( z+*@aT{%Y-=s+#!Cb+u{{m0}T<dK*5)BtPAG5aiL%4O5>#sVm#}iD%=dIj7I4AN_bV zC(hgExahk=&|OupyN&bjOg!IkdU<r+<wNhTKaxm4zoBZK)o;;N{<;5O{JSRq;jZ-g zkMTRc9xMJfJ<s-s_Vu;T|EyoT&W3x9P4*g_>k*ajHhy}u;S<llW8cE(39qq9kElGi z@sm#B;hJd{dt1(~KFFF^Sm3$(JLp{AqZjYr`s?*+=A(k#%2bQPmU17s%HM=P{uESJ zw`;>+;b)gB&;38QR^BGO{{6Md^#ymU<Nhwb`uX6$pPP;;-%EVD^Z2Ra5c_(2u|JdF zF1LZ$rMKZ;aOc-~X0OuidVlHXeztnR^}V7>a&`OvobNLqa>y5S9ZsJlpI6w*zUR=_ z%{hO=O26G+Sr=(t|5LxL?%<rJOJ7fYeiDDT>h;ba=G*1|?S73?*#6(}_seT=X?v=; zaq4p^?en~0^JMLe<}=5|o_l8c(;r-S^{=%%Zt3^2WBLx&`CRGex9y3Fd93R<FZru7 zpFgDH+W)4`wAlOK?XTB9=e)dDeg5nJ9bbR`-vzC>Y8%#u&od00XBjq+HEf<~*u3mD zHs2#E%OWb-_@DeaJy|~Q1JC;pGUDMIzN*GOQtexp@s%z8$>m3k-z_Tjem>-L=il^O z^^N&aS-S_a#k&8_gU;sdX5amFL($&PF?L7Ry7QZApVthVCkeJf`|Ib=+rsRj;d$hg zam9?g?v-j5`zD>OZ~I=+SRM1|)v0ZD&DVDv+`KyCZv*?MmxX_APV3j~xV!wYpx*ra zuOjJhraxr#mrMN1T)f`ay}#sj_W955JHH<Moc8qEWq0JNYwfS8^M8G8m<p;Q|43NJ zSAtTgg>TM3?wTXnGBHzOHP`-GYv<csJGcI%`jL~zKFxY8wA`Ym@#^$do8y=Mx|;j{ z=Hs0In)mm9o?~}!?R(ql{spfutM7Veqo0jZZGF|Y|N8jl<eYk>W<a*>=g7w=O>^R^ zZH~&`d-&jF**`}A51(e8kyd|Vd|Xzq@Q2f<!@79|XX|wR)}{W|pO^GJ{Y>hIJvFPM z<!$rlJ-fDf`EI^>4|gFKvs<?P{qp;{$m{Rtd9=^VXrC7aN1FAXB)e*v_}kA+vuye1 zWx{GM$<N1Re@@v^Gx6#6gSvSI-;!3#hotY1`}+FEzt`zEYqg%4)oy!ztoV=2_Is7< z=Dof)`T0(}gr_%?pGw-@zovbjL;JjlHpm_>uszXhY|0}l`F14QDf_L@{@i6=wx3De zV#mCz+%gYY?>#crJDJ{mczQ&f?70t&{1u;=toJAH7npv1`{(aFQrF$&&fQz{Vb1;& zYuWY7?pEo=J-Vi@Z>oJ>K>NI9*gVa!dEQ??f8H8opSSVTousFgM@|(VI92@1X!rej zKaW%tGS3e0(~f!Yl6P&~W68M3pp$u*K537ZF)91kpuVGS=Gyry&(#%Q%};sWza#zK z)zi85m7ln-Yo9-<eYfiU&bq15^Y`9bWAi+sa#}>C-o{TkSJwZz(qFyCraz+6ZT-g2 zQ=fzUDL>P{FT8m6b+5lW!v6QJ-FMjX-ebZ39jfymY%QC=X5${An8%WSad}@^`SO#V zPu+2}F#C6FP5JA}Z&us)eT~_3Z*6;i!QRh%Di&WwDruWRiLmg8+U@vCw}{GR5tV5X zm0=Y{!Jj}qdf9sqAEj-pJK$~e=*6?J{`)!KPoGdf$gEfJiR;s0*|^o`>ZGq0{u8@h ze=er#eD_*Vy><W9wdeVuS&;pAUxDk!c5vNz()vYsUBv$Sa~nZ*V+^DX@aTt_*?--8 zkCoqjY*-zA>(@)`w{_Vvk0Z07_108qy|sD`tllcW397g5zpZ*}d28p_xz9h@=GFd+ zyIu{hADh45ta@sB@KkZz*XIi@!{(`m>MkBfZ8ZdW}u>oO`F9)gN(}*?a$;_?=mQ zKCq=%bQjOLf9%@&0$)2*zqs7Ww*{TwEoyqNx?jDi|D)lq`ku9K_aBvhX7zu;)u(%( ze{s&M{jlfe?yqkSuXA5x)7&2s3(BwOHhhXncpABCe*AiAuwBrWmHgJ<Iy3xR)VFW0 zTw2j(yyvi}-2>6Md-EzEx73vFILyo!?_Ia!=*Q!4>P&yDTI_6oTYp5hSkFH1_0x-= zKMCj6{_?y&_xY;g*B_UkfwXABWo*>nQ~rN<96klExGqC0uE(OEJqzdD+%qkzU;EBu zvHptg>*Xo;b|}whoWAy{`QM(q>yH|qi%b6+Q~FIiWdG#1^0n7~G4HE>Z250abiPgc z{PNcaLH+*E%~!!~*<Nr_z89P<KDgeFuk_pSX-?u(%j2guAL0ER`RL0zv-ND^JB}WV zU32d-hx|t|_xMe}r#>-0`tY^xdY*KP<IHgn`~GId+`D?te}B$z^)pL9BOs<`4y zU!S}l^L<`;{PwCJ+5i3tZ?9;d`dm)?yx{BSxfgEN{rYPRioB`MnO@sYef9i(y!k6o z#q~Z7T5*-HZoj|b_nCqgev6|IrBCp0-vPS&{a5d{y46;{--euj`{$|o+doZ~toMud zezN(wEjr&;{C?r<hvl*P8$aDi1owlIo=VoA{}w!t6I`8eud%uQD!lI1aY(gw_wX}Q z%UeICWgh*sMX9#(H$kecdpTc~`QE2K=iPDi?Dw~zYOC%6=<EaM?f0tJ)jzrR+`g<9 zS~3VnueV8FV^h2asWEUBl&hQP7ya7{?{NLT0qJmk-wN+=?P&#dxDLLXzTv(|`HzP3 zGh2Vm1YN!<ZeRZTp?=JJo9X*YUSC{)=2USPxF6I9?gvSMo9)$WY}~(U?~lJ-`{j3e z)8pSa;XN*{==}$??>xBqcWvDP=AU17+>5GvnE%F3wy)r4*y`mL$@_WuD~zK4AGni! z{$l>!U$;L0DF-F^pL?VI|0jT}N71l(+G}jKM^wJs@JVLFCz*|(dj4lTfBpSDi}rb@ z<@Jf5;~yx;J$|5nl0Uzoefy7w&-*uhoos*er|HiYi^|zgO^>Vk75*q&tsk1c-|OrC zZGY42xBXpS^Zi`0)SUlU?02l#_dRCbv9<pGuXlakV>9<EsDqc@Jr&d+Q4X8O95(Or z<=g*G86N|6hc+KLwfS1rv(3jQ@81aPa~a<N_qq0`-m_4gZ~3mE^KkhD`MkpB>~GnH zn>PFvPv7#{_?b~f+`N-(`{$VpZ=W~!W@&-gb>6Ufy5Pp9`x=|Yn{U-2g{s%zKYP|* z?Juu5TJz1~pY`nP9nUQ+X5U?YoKx;2&-(xKDj)aNc*X3y{OR@sF>{OR=~wwzZH{06 z%l^i{*PCzGYCSWvZGU~NxW*4uc{y#LH|O+MXeZ&$wz{IOzn^_tq~|0(otX&g5A8g3 zs(5wX)8%zG588hASp0RL9bO~1JHAm^=Feo5`l~z|T7MPALF%vnInUo0o{ri4`DWG6 z2bT8xqxtn7?D`txl2=>gxEb8CO@2BP(j7Vk>JAlmPkkN;Dn}}+6d|?OM}26w>(VRJ zc@ItP9@z4ggDS6>8|UOduv~w?@vHIGoB8X0?Y{--bqRucU01%IDlRevrF;E%*V2P= z_PRK&<M-G5ZLIr$=EKCFPb$9Vo2?hU_dx3W|GTfO=RCHR``8iviBm80`^kIRm8v_A z8PC~s{uQ^(zxKJ|kHn5oPud5nz{)Z~6<Ex%we8bO_kP~9L*{Gz?emv~zzt5`uzBoj zY^vAT9KP`_e4Ze<s+J6!Cpzzs`p<`K`5*b-7krF|R$=?s%FR!LS7CLCDy*&&T7_xv z_`3M{udck>I#tmA(y43L#m`4{zSboncfNxD{;64er9WCmKlfksp5yL!9y5mjPkz0; z^KO1`vd!=K)z2#w<}+QF-|#2v&O=i@`;4!)=WeV&%p4bc^_;%x^VK`!s{7WxyH-B$ zboP#~FHK|LL;4EqQeRJf&Z2!@GHhP)8XNC5Hq#?2&A^3}t)F}X_!y~mx!<|vJnAZ% zjrSb2JSU&>I(&!Pd?|JLO?%3A9I2Lhx7Kdexw?aA-U<Jk52?cT_kpXhU7#Gjb6)iM zb?>W=JY4_wd2Rvo>g^WLLRb4dB1FNh#Sd*|^I1Q4et2@wY`utoVO#l*L+pDFo$i9y zVfH?tI;<B`hgEh!>ageA;^b`g^WR)sZeDn|a$Vl5YmaBooSNPQs$8BkX`eR@L+;Mz zfeH|T*Wb@u#u?5RInKYeQum`w_>SYcav#Ok%OBfQ=x)cXXP@-bH$U-vc45!v{wUk< zxqrE?%IE!d-@fHv!~1&`eZkxBRh-LvdaXHncb@I@?HhM}(%JB7%|>uP57MFCvG(nH z8}*3Fa~r^e1CQE%>J<Lsm>u5Cedp1GiDB<S$MkkDzI_wi|N4+TExgTm&SS>)1;^`5 z_2g5ZFW<f$(gAz_;2QtD)o0eKmzUhFj=OvE)n?=Q&#uVtFa<3WvA<C#_r9>-eNIf{ z{Ojf0{#wm>V0+*EaQD>O_e|kCj$C$+u5DJA-~Q8V$3gb>`@jD>y!%FN*_!@>kDRyl zkAiPEJmh_CeZd#c*Y~UbTCcA^!1>z!&ch$;%IxRPPnf&^AZziwduOkmp8NdOyFA;I zvGN-}z1#SS?|tHH)At<N_cX8B7_X@e`;ij&BPA~4^Nqx_$pLe}8?WknTz%{B7dhcI z-y<GRdq3;`>1(g6gV(%|ee`MKw>oVZyHj_P_P*D>_S^1}<onVC>^6J1&$WLbalL=z z_qmUi-!D9{`rN(nw_n%q{bze`!@k3x{UhrO<iG#ny!O+0&gQy4_n4}`-s|@te7OAW z9<l8^zW?fvtFMdS9lvB}W!&BKYtM6CcR&C2@7i^Hu18e9-}vlq;#un-+^?_Os7HLB z^Kf$I!^xG2XOlZk``KRGZjE@q@_1Fh`<m}F{w=+me{iq+n)kf_PQChdk0oC|@qJ}m z@x9+nukBQ?)tc>ixYx|0cFo@V%z6d=&Br5c|77nzKkvK1!=veM_AZI|D;ZYbs(o$! zhcBD2?f?3#Jnnx(`WpF<jK}MfzhC~zdF>~wT*UqZU!TAEv-SG^kG~G@-}=|OXqD}G zaZvX7X}x{kzrB%_=@FIT5uf7{&sKMvo|nC5!(L;gUt_ese#2+eewJ)G)@#*K3452D zUaP*7u=n@_`P~1S&$mU~-+lDgJ!QU%`^@(qytKbrx68k$biR!Hp6g8cg=@c7^qxL` z`}e)aZQn0E{POvmT~qzG&(^=xBmNr4Y^dvN){m%v`Bi`KKQ{L@`5(o;$Nj(fy8mD6 z?~Qd`r<>=_UwQ6t@zt+?D~{f|eCgX{_w#4>@A~@ZehmBnys&%1Yxbl^e4dwlcK4B4 z&xNw*@!HK4x0@;cZpLxJY`Kf|H~xN^w=Ckl=HaS-%WKtl9x>nF`@{cxwfCC$cOQIt z`0exVgYGp4cKP?0&i}A~hJK~1MP1Y0`y%HH+p1$$&i}q4=)d^e+MK=r=3cj7aqd@O zsm%ZFcm1EMM?4p=NcqdOet*jI^?U#IUcdiv+E?~DwKspMdw>5U@cNHe=`*Q6{I3gN z@B9(JUC!>nSFzW%?>Bss`_NU{Z*gsp+=)7igSL7fPVV_|a?gfm8xPKMZ~r=vFXDaX zCk5;H_l8HR`c>EM{Ql*C&fb0I$9~<Dxz4}&d+dXj@3E)<{H*USoo_2wxn4HT{^Gsz z4++-$j|$JZ8aDqS>-)q$_4tk710l&W_!{Vp_Vxc?e086C_x*uinsIek&z;}!ZhrFJ z`qu1g*DZd|`ucv)zrEM(t2^e}Pr7~W^P`1%wpBA;?|uI1VOaP*?=^d**Hmtg`221o z_*%*@9Jl{NGuVlZ&o)k~^f$d$eQV|X?>nwn-An%CVQv33j(yGhw;T7F*GyTv{>S_F z&JQLVzt2nhTXlNFyWoec?@L?N{WpEzeKdH_VQZTQQ@$HKQtk^cv;V3d@t2ivU*7x7 zM)QidU-S3;<I9h%KTw(f+gI-GJbBO!m#VLWW$F(9njTmG^eg`k)#;xM%jVhCu6cc~ z_^ZWsIs1ES_6V=p!wtC?`Pi)IY}xZ<!O7sd@SB>m%134$mw9cwG~#_`=T|xHHQ#SM zZYX}OzU%((W54d%UaOP6R_B=ech`BA*LKD=5B8q>`03=gecmyTRsH5AePuhor(NuQ z;rp-hd;h)gEZaZtYj8~M<$K|6rFDI!|G3si#y`HfeNFxIukZK%6HH&jKQHIIWI<Eu zKc3fr*z{*q{}FjToqt}qf9dPZ%Xhxpc<;NQ_WKtvx5a&)mvq+p@T~Mc)AOQWmx^Dr z`4;h6V&lEw4?Mg3?*%t~l}nF!U)a07CVbbny4w7<uX{zW)oHK!yDj4T&BVLu2Q=T8 z9&wkkzkH5=Ue<fdgVHe%PHul|t6R`~J?{Up*Y7{{ePxfSIsR?7&1uj*tMz@;*Z3EH ziG3Yk^>^y^`;S__M&Eh3__}??-=mw~)&)PiR=sZi<7>~)gU&y)KmY1fb@SBncGLIF z*Y>EN-(Gjt_{gm1GV{-H&p*SREhl=-ChF?X&nLr5YmQX)GheGdV^+3*QZ>7N!T$25 zuX~Nx{CyVjduqh{>dvqGr1w1CyUn70*52oG(|>dvULI{*weary6V)>wUH$&1HZ|g} zW!QhN{D}C+KQDsHs(Y{H3(x&};953cP(9-L`3>jdPu$=B+3U`u-s|@>_U`+CwCrBv zoon+hPv7zNV)5so?eq4YS@V5v^3%PCW|jAvzL&jb^F89T%*T^^q^#ek#92J~ogVQy zB4O`xq1U##8}21Hf0a|$-~Ku2-NvK8?#W*J&KL2!H{$ov^&9?{%x8IR$J%!?yYYKP zZ}pyoSB>A)mPehp;j8$_T&+Jj{MOG`{2PBgH+mgx^LHVrpz?Zcx&Nr~wfv8a@_YXs zd_8~PsdwfZ?2diyfAdG?^*`2Yf34T1zq`3QcfS<-`a;l<%Ij<DYa=V$BP!2-oL9L# z;&a@iuF9~8&p98Vg@9qg+2mhVyXW5v?)f^eXwCPCguTaQ-~D{|>Fc}idK>p`Ke+n; z=K6U#g=g-c{~qz(GU={*RrA~Z&-VI!%*lOkepvF{$A<774{fhl{N1>>fA01D-+#Hs zY_O|Xd{_NP=T~T8KIr(WdJhzq6@S_9Y}|MJssGzQAAHN?=gKF}-G4~4c<!E?*G@;D z@7})SYsTxU_OJWCM^yGleCE67W&hcG!!ygnvz{}-QUUKZ8@`D5E3a4ms}b6F_TA#| z5{Y|{tHynn{dhZf?>_T`zwR-;zJ3~nrC!@fulauW;Ys7Sw(<qd>hCvvF1I*xbaT#M zne`R?ntklm^4{zBfBF?|lm1ui`;Ql2`Q!e7nOnAh;#b4C|7Xsf-}o**;qLxpy05QW z{N4%5XXjqe-+%fX|BTz`iht&9x4XOZosIte<kF|HiBIn)f$FUH%GYez*Hrr5&;AW_ zF6%X$tTo@a9INuLUi1A%;@;y=YRl@DRVUWI7kpj4`1|dux8_H0=^x(Z-&Z<cH11Pe zwv6rVd++`JR;;hHdBpl&@khhm^iSN?@|=DV_J?KT9%#Py-g8)b{r-=?_<tPuC8uAX z`Mh0z%kLL|I9~q|xxVK7mVe>b;!oZwfBLn*!dve&|INyxJ>|6@_Warq?QfS9cF%at z9`T6JdK;hZJvb}9+4MX!xD>eV{<h|<@*&R89;tP4=@IW&9;)isUh}=;i14}C`ZIs; z@m~907V%ql!?)QrcYb}{=e*|knU53y1nsHn+xuSi-UF`l^RvH-EwA}6Cjar`YxzC@ zUaG&TJGlO>?a_+n;+dbh*L=?2lloWc`TmW6zs1!*|H?jp%V+Ov{sk6M|9M{jejf3B z`>XVKp2zEUe2=L=wf4OI+g}}D_pz_pvwZ%>ud~W~OyA37&ttu2lU)O94(P9`ECF3H zo&Ef^t?!0=mw8^>p4)iuG28ne>)sS^o4?Qe_^*4S*Xm5K)mg9k{bu7k<41G8OV!*v zCtcWd`1#H1c@M?(3(iz)e3Z-I^Y7Se`v>#B+ODh1ey{zf>8|^8_lW1qE6)DnIbWCl zck1>1pMGt(NdC*mU-99W`}+FA4Zn|``S_ypuj}@Cbtk{RsQjF|TKhelHmJC&UQ^i~ zzp?JD@u6AiUH;En{hzg(_KRM#*%t9Wv;FHlwl&`^lJ*||v$|}*>i2myXaC+4cwPNG z;`h>s-?|&$1t;EJezf}DYcc(K`R{*rXxlt^dF<8Y^|$}3?ce@g<T2axh`+31^}Xe5 z;vYZa-**4r>-T&9El7`BGylPh{%iJ!t?K(q>pF$6=@+(3uiyXm*Yf)tK35mrt~j^v z<+bMgoq4v;?{C|6ue@<8sH`%*wq=QkUkN!A?y7M}%axz0#FyEtU7{d}F!<Gk0Z zb2i>f{t;_!f6;d1zViOBdzG)%9sIr~{<mtx`|7r@`@}1bRqK9a%>U3J9kc(yz3+_j zmHf7Ge^1^Euh{Z?h5eyd{vX@EO3wR#^OyGe`lny>3(x(MmHYVMYkyq*pW5B=5_A4t zsb`$}KukR1dwjy({|(olO!$A`+hle7lD%K|{FoTcU!N0pPcZDB=CwV>Yd{Ucn8dTi z-LNnf%$ED;|E8|WpYvL^)`okJnO@tz`#AA^+`lV-?{U4Zjt13KzhyVRGfudhZgt}y z?|ai@FS+0TeYWEOD_>m7SE=`v{MmafdiPG>d;Nap--GAh{OS5CY-79j>-i1m<|ogM ze<b;O`i};1I9A(i_}B6J^39(Y&%dp+s_!bT>otBR_3seqM(d~gcYl2eU-v!Y{qDo3 ztbc4>dwq|3#OHa5XN&tx&kMnFzwR}gsx{wBj#T+y%zsl?)gQd(d&EQD`%`<i#of0) z{Oca;wK~;nb<%5on?!uKe9-az)?;11`t0ZB7Kfg8zpY)q<DqSeh3($G{ij~XSN@HT z*-+QsY#i|)5|q}v<B$Ae$uDdy{m**s@AXxi>lXi#eEY|*bl?94yY~J6UiPnL=UZF- z{|~M`w%=t}vF=|=>C?T3PE~h<>Z?8MYbyI9KKK03ftFO|XObJepKUx=<uASV`FVBO zYt=RhdyhvJGzQntKeO|?;OlDkHSg!nJ9aI5zeM(anb&r#*M6`2*tO@HMP29K`?m8Q z^L@9lSUY$Bqu=Tlc9Zw^ONRZIS-n4G?pN=tg^R78Z(a3Ru78dFqpwHK_4}oNzx*?J z&1d5~o9vF?oVm9C>DTLV^#xV8>)rLHK7YcKxA%L@{EKVPpGyh5SG;DA_nJ!mjnD2r zu>71+*k27U0@ioVU0><5@!7=0y~|}@+pgVkFS+-t9J@@>yJu(KeK(7^Uwz=#`s26s zZMwg{wiCQo8)Wk-&S+2F%DwNowpX-no*r4-ExxB+cHW$$_l|=DvnFi!{V88L?>%IC z{fG71&+M9nzij&Fv!0j7{Xh76{+zSt)<?=edXjU^{<xL>QLFmy>8D=4**3rC%O1P- zX#evss}5A%R}Q;ZnDN^5JxlgI)@wH65ualoL;G5f8%+C8?tD|Tce%i8+p`<*C3k(5 zliu@u_ow39b)ed+I{S|8iGOu<z25)!)%BOo_j~>GuZji#=SO?}J}lYz-TH8_&2j6P zM^nCUIO2Y%;_uq)_a8ra^KD*VMf2j%xBiy=6M6lICqHuj<3BRn?w@+Se(yh7y~zE? zA6l-h|MIK(^Ud0lx=!I|Ru%W=+`qPZUhIKVft`69KILuv^lsy`+9R{dOB`?ef1Z<c z*7&gc=R@kB56yD#HSL$ZR(&gZ@B6@Kwrt{?e!s94+wth$!`8Ly-uG_UXMXh8*GY+g zt5$A!xB1Yn^J4N9>#g_H?A-gF@p{FPliF+K;*Y!&|12l8=QwNFf2P&-z17$93q)`I zezoUc^L6{3E9UM`tL;dCzUiOkwV#^TetOT@xbF~i-rj#}ugk}uIcGm9`myEy9ntfB zV)Jdqr*GKxDK6pZ-ea@Avt{3ty=KE7@p(?nrEm7&&ek=Xpf!~`8}9|Tew`P!=KGGL zRs9#2{;<0n{mlOTlEc65J-D>(--m$TKWz`5Zl9SR@qK3U-Q`C#-<Njw?>Kl+`>pNv zisot?%k6uYE9VuINba8hYk9<9$$J~^jvuYe*+2DqeDz=JJt=?X+@Ej#ckwm9UiSBk zKcV$-!rlE}epSzm={mj9o-g^j_4%iodA7UuM82=?np)lls<7^9uc^Ei@%av{$F01h z>8|@{j}7-O`;`8(*>Iq$U-eq`n-2%d!^2DVm$!c18*THn_WcJw{)q3A8}F6ZsNSwW zUF}=&PpkSotKLVZ_VwF-Ny%68OYgCozjyt)*Y*{ECu`sSDSfTIqOR`s{N%awljiO} zV)<Iz=Fv6KScdygrm}kt(KdU#?)pDJ9`SsA;klT_N3NZ&K7V@kuCG6`)>*IlUVGqF zbx~>b`pR~2N7nf0tmn{@tG(vGShk$|n(rnFdzZg71r=R}*Q#S4y4|nzjb8tLZgOpY zr#Sz{`PaQ|9@d&ie9zqY?(*Xq->Z&P%fy~O_x__u`1+0C=RUOkeBz_hrth0R{mNcn zufDmif4TS;P)M%J1%>3ijdgAO6^*6;FTKA1pz$m3I{lRA@_YW>dwo8wY<@uT*O=Jj zYt^rp-mQ*{J^$*|?qjE_+fCowUfbgyV|f0-uWj?6&3pjOVT+H<I?ndm)->Y%%Z9IV z)oZ?6>~Flg{J}itYv21K?n~dlaBch4z3*Al_k3r1ZO6Ul_nMEhzVAA?`TDKjZwuP^ zD-KMSzWI~)z2cFVt#513Z2T3x=AWuwMEt`CO4sgJ{f%D#zeD*Ne?|B5;@$Jl^=~|P zzVP@jrs?8aKWDG6fBV%xFX#9Blc`TD%Y?Vrec7{bW%T^}XV&ZqUbAO<#OHMzp50A4 zTik7WUK!HPItyxN9h>Fe_jMi@sGK#uRvnYP_qd?@oZEMr-rG<9`<#DUg`wehi#?n6 zzE{pGEb)GQy>?l|d+WoKuiY!|liqX4I_B}C(rtC^;d|O``}EV^Cx7|XeC6HZe=O%C z?GM|=CCrVl`YUa-Y2U%upaoIuL1SLgH*2G=xm(PR0##vcrT=Bu&G`N2+P3*N75DBO zTbsVU)b^2WCHwZxyFU4CcxHQe)_11td8*fJ%wgkNFE&0Cym#;2;_o7fdzXv6woQw8 zzwv6-zRf$D-!6|o&%Gx8e74P}|LZp&%&wbP9`W5W;coh*oBzD;Wp^yTe*5>_$1KZt z9QNMxz&50!h_hOL?e+a%fAv?K`o;ME!<W7@lRqb|`CMF+P}?|t`W8r#zArrc%kQ;* z>feKN?|fE|c&`7^$Fd^tolo7B&%1ZbyK}Wv`}2F@Z4s678=u)GoUN`hzwQ6IXXCS( zumU%^SNPe+>0iH3jd-uQWB1(k-z^SS^>goebT9DS_s@HS*StSlaAbe}nU7-YH{2`l zEuAlG_o?n%#aFiK`^uobv1RjrO0S*wV9NXX50&E*zD|$(&&ED|+xIIOwst4x+^_!o z(Dtoe^fh;j{XK7|C(WIocz6FH)z{$`d)9o-2MyTFzjOMW{E4++WA5Bu+y1=}G<LrJ z>etU}j-0A)Hhs^QeUE)j<+qB%HHUuR{0A#>+f4hp!1dT=vDdcmHr#u>Wy9y^cUB+% zb&vVlcfWV}ue;fA&G&bb`LOSK#COk)?=~N?e82UOa$eyNwd(WHcOEJCRq`|6d;Bu~ z#@{RQ$zPxENUv>Nefs9_ReS$!y}ti=_O<c~vFQ2_)8G9RUh_HKBKfZj|Bf%erpNsk zG=H+`hqkqU-@jX5?|uH1f9Kbi{IjR5kDanUKC8UZ^u1K}yzDiV?>>S%i(+N_o*5pT zb({xWjRkjpm75;%{$qptzUr^#@4jD)xWD`GS>`vj?+Z^=%Wr%q{Acgm<-b)b&R5TU zcy#t#Tm6DoZ=2fgtL7C&U3-_ang4k3^?O|X5B{?Gvagk6V(xwO-gxf(hI8vbO!}%V z6MOL2_PGD&UdtC8`XyLy@y~tt{B!;r&fR~|Wa<C^BzQn}{@toi`s?^3-tRts%33FP z?=#uNv(`svrMH=$=YqArtk+cXsFzmfKlZ#U^MCbS|M!LmtNKmzey;nu|7KNfe%IH% z-g9hkeOv#bA$raCy+^C=yW2e8%lD&U`TxA<{1(T)Ub|P`*T1KoY5ls4_p87Cx*qpm zE<fV_!=F>v*#F?iG9o+mEqp}w-~6)u9>t&c{L<fU_d6dnBzx)Asp{UT-{nB{*q-M9 z8|%&%H<_N71Q!hA*KDRme9qW#FSz^byed%7tE&Iy|66~>?o=Q7b?*UV*!Ayf6?->* zw>@lp4^)UDMr2n*M`X80+SV+%n|{8!<HIAZZ*f6u{_(8de@OIoc*U%U|JPpMul&nA zZ)4pNb{YE<@0NcSUh|pTW<y=$>(#e@X0NY*{I&gm`tR~5A=UHjZ>;5?XFfIBUp^`9 zo@CfP(QA9cBR=0tI$PXsdY%y+u&)=E?Rz#c;q2lARsP)I&TYT+n(q=eXTHrZ%Ip5R zH+s!`+eh>M?`vZW-}C+D;avN>>c@8Z_bK1YZrr}(TGgIoPjA1ieP4LE`b9yNX|?=8 z<u`x&{&9x==Mi5s|M7!Y*Ww`qiGr`A_Z;1Oz5dIu#qLG+*Zd1Bd9Ce(=l*`a>h<rB zT|1ZeZ~FV>V)5pp*FP7>viBQmzvl#XZ!6Dld={H@wz$*uJR8`x+~sE;cbN9S*jQ%2 zce&=Z>Qfu;CHH-m+y3J~xxU=<y8O<sd!=PQ?~~qhoPEvjFA?84<<Hlg)IRjl`pw^E z6@A8c9((=W(?0dr`poyquR#Nsj-}W7S3Um037H~@e=zYY_nv*z=I;OY%RH{WJ^Gq_ zL0RtW`8F3p!(aP@=I&%O1&zm6Op4~8|E8+@>%Q`cO8$zYd)#HR<NOot=RA-Thn2H8 z63#9@T;<Qc=DSJds=4dGOC;?*E_D4)^)Hj?{r_$2>yCHZ&j2myjCsIz{nhjL+}B<` zf8YLX)#vYyb6@>=uFLMf{j=$N&TD(pE1v8<|MAz{Z?6|0(T#ibCN?bp1Jm}3PkF1q z?|8CTw$NhpcX8Xp@1{T0lq+ak{$~1PS-$Jn?2W!}eg4w;?yqCTzjU_SRprfldu{Xc z8KBj+pe4Uj+UMu~I$6BF?vqTY`uPVt%jW(2eP0N?x=ycPi?aQmqtbUCvQ2-#@hkhD zL$-bQv%h|*ESvwq>PdU_oJWl5JNB(xyZ*zRzhOI$e|~=Z-^OPTEN}1ldhYWl);!y~ zg|C~Rzj6%=N1E!s;y-Wkuam}ghQB8xX0f)udcXN+e#miIxetuj-*5jqdHRi?ynKbf z7+0S!^tRJHw=TU>x3E`xPR#vRx_USAyPNrM{d?;D_RpN1J@Zyi-}!X`=<JO6ch}U{ z7u-cz3OjY%{C{<3$A1038B_X6C*=9_T*!h9+jBGh+uddMoI1yE?^3tpsPUfT#d~fT z=g*mVUWk9k!IQiv_{(=37yT{!@yp?D|DRng+b>$&9shXO*ExHxt(CV~AGO`CdhToJ z+Tz!_dp|*@o@XXM-5E63Cj08iV(3~7{h9tB*mnDW5u6>~By0m3=TX-C_-gSPY5pgd zAN*>YcAv3)$ML7VYvlG_e78AqKgW6dwBO|wr(-^w->lmCJ!a3%wf^@WRlTm<So->5 z^jW0k#X<J=`QfjgKYtrm`svO}KhTuEa@f3&x8KxdKb!pE=JDJ()^i`2_$zwV=PTIX zdBAu5N%4V`cRtP9bN8HnVaH+V=-R&WH`5<Inp_t5f$z1w(f6s(Kg`YB`^~0$dbE92 z-2U5Z&zGkmO?8LbSLR*ypXYw{WO2Y;o8|8}euk{r-hO8Jfy(sHs|q`>Tl|@F^}EF} z*?W&4>@BNvvJ<}ZP?9g-sSb3=^3D9t)&94Cp8TEjzvKPAs(JhGuYGT$Z};HZV*XwC zs`czsN|BZrhuc@mUG<-Lao*pP#a&;YFXREQeVclwCTq{1p0nBQ*?W$kOkZpFNQ7N} z+i#h|ChJc#AAVXk?LO1?9Y=1SU9<1t!RK%Gu;mwg6tvb))pI}p)cx+S2bF&fx6iA) z0~*}nT#d9W_M!Sq_46FBzn>2&{d6Ye`E}Szc)6M9`PSR#f0i!jcAryw@Ks^@_vi;K z{(NEj1)g@?GWYI$ih9hG|DnO!CUrmay@$Vo%l=K;={mn`??;=zJ<<28<La)hJwCtq zZsOC*1E-2VRYtG3aRx0)tC#cI_$g+U-@K`>p4@~c*2Vgh<nKLtDgLHTwy*G~YV`ca zqIv~?QdeKM*u(nsq1gM64c0ODFI{72x2``|Cw%9zY~GCQU#`*m<?}Y{?*t88r*5BD zf3);N=w_sq#w+XUYW1$3KY!b;^ixi#I%q*PXeIphH+7rW-}tlqM}x7=1DWgbTfb`G zc_6hse)BKB?N2rzkIbs<-Er*T^|y8>*RGlOpy_X##gAQY?H@1~$A7z~&wjrkwz6;k z%WM4e!tWQoKDqtusp7V&powT9?eo3APHqmguapZ>KOa~6iD!TEbI78?=V#OpE3YfK zF<CzEquBe8eERzi?kRM$Q<f|E6T3UUDErf4S-pazb=)?8m%X+BJMXLik9Wt4i%MRv zeg5ZnUhOC5>(S?r@~>TIBOXz?ZsVsr2~TgXoNvSbO8xxF{u}dcoY&a%pM|W0d!+h# zWx*HS+2P&7dydN5JyMqY@ap!N-^a`LH`XM@+&}i|bYVMp%)M)`Oz&;DFLi!@^6zx} zjepb6Jbke9$I9sUw(Hrp+x?#TdhzpL&%?rzmd5U1FyBTUwA?O4{rt{Xe}3s~{1lV) z^yUN8&zW2Q?KWF4m;R%JUFPqlcjiCkem-PN-=Q{NMt%F{Jz+bJnDXW4f0ezr@xGk6 zyY>2CQ|Io#AXEQ#*EgAe$JXZC>bq^1`+MyxpZ58^um1c!)iE{wgZ1n1d7Rhgx2Hu^ z>V>GE4=M$FIN@pKf#_$KAOHDnw*JHSviU;p7JnMA2FpC=d0*Hn{3-GwUw&Z)`=`UY zas}t>l-Jc|Jl|fw;rH5^kKgpKE1&ngzUa04`PcTlznXOT{q5F&YoGAcGU@NH9{=Rm zNNWkUzIw7Va4u|ZykGWn`5FEl)9p7^o-OPRp7ZC%EAKgvxa14Ftv}s-WVHED-;Sfq z=iX&}efjcD-9i61dnED;`oGV5{W5p2O@-e12iF!G@48nFS|H@F9#Q|l6I9=TcX!m) z-CK9{{QLRUS5IyZo@>)=eEa9A;-;z3dHT<!_g%N&`g7`zquz6Pp;epl>hC*L<}35P zPpNcG-}pPVqKkdbL(Sh$E7}ilj{1M%&h>fA(~DnApMQDt?yna+E!(5dpUb?q{ybu> z?AOW9<NiLeT;(^<G2{8m^Uj=M^NiQnh+F)!{P|G#-UB}K9e-Na+CLC|SNO+!b^G&8 zzgx=H?C(4h;kP*aH8!WV_4%9ahivD~zx}P>l>Pk8$~#rhD=Xu+%gsOk^<Z&n-D>2u zvai(72mXB`8Q<{tBw{6Z)Ytf%f6P}s=Hvg-@H+nXFVB?c<`zd@=C83kuKRO|#qQNl zw;nNFS5RcWdi#!q{knW^xA*T^Fn7N3^ozx%ireL4K$}hWcSO&Rxl)R<eyjT?Xo=sk zUw@6m=h|>z{aG0e-G@~C?D9iFcJb|>58t08pZAgH{YM%7y5pc62im%(UaoF`=b>Eq zoAM)<@8AA6d*$8yqjw&8@5r-NpI-8s{rqb0onLP*jr|@`DYx-ck6!ZYso>SJkP`US zlgQP6pdCWrBP#nUPS!M?zE!)({>C1mIS(Y?ePEI=><q6@xmTeupY8h2r{xD^-xXH! zuWp~d`FG9@{X?JaZ~l|LXLQ|W+t;G^J1vf`_1Ak}^}4c<b-P^L#Wgm{Yixqo*ch*| z0j(M@TUu9lFE3R6{M=VhKpU=-o=V1_e78N#j&t7Mo6kZ)2f;U7uMnE^^osrU{DNld zn91iE)xU4qqZYpHv$xEn4{>4hAItt;y2GM(u6WGRU;SrlpIZ9dsd`&k77Fgm&x)QO ze-~`w^oYu^h{|oR)X%T|b@KBHt4h9AlR;Z(_I>Kv`032YX+K3Q?AM-MeM~j(@rRPI z`;R5cEBc$WZ~f%_=~K|2Zn4Mw=R>jSJJR>7uJg-(u6}*fU*&z(uPf_vx9|JBXU5L2 zFRa#mpLhCoR4jNQ@`g`28$Z1PRij@gr<d4%Q$MeHZGO8Ecwu9>(dU&PKk=BYmtFT! zPCb3gua01unEUUFk8r*_k=}OtcSIfcokv3H-?#o^V^81oS!&P0qr7kSOxY=8JKenG zb@TJDy?3gt%5AIefp^jz1g&aK@1L4pA^hv4@u6RTjYH?!7+?MQH8SxjXmwn-`?Jl5 zUw59(KcFjDSeCZ>xcS!Kx&=+@=^LvWy+1`h_)s_Px>R~aGy9!~n!jZ~@Lf0G{CWBr z-Ca9h_db8Ad*|1^&!48{+4{wnM^wsf_%!FvscVqM-Ph)~2d%N0zG`y%Qme{s5tVrx zKiNEbvF1tp_KK?P-SO?oG4sw|dtTAXEi?b_D^ou1Plt8yJ!UN5v2Xuc{=DSp=WpgW zRqr{>TkM;k{rc&-;xcPcgEjB{wa?Z&_>73C-DKa^Z7xRCZqK)XYPbLFZ#PKoEpUWw zRE)V>eaGhOpZ^~VSFN4md8x}p&}?F1&Rq2)R%RhRUT!PRT$NX8Ce7ItB-Ir*MKMcs zW>H44M4+$NvKHTq7sOxNI9=O#|LylmySVwc-|qTj{O<kp*I(z&ocrDM`aHYvpQnGH z`~Uy`iMut2w;g)=`rY1xrhNR9!Yz)U)4uXve$AcZhM##iZr=Lr{f8v|uS=`5v&+6k zzRsD;{(Ie7@z*w$PjB6+pBnSO`tVB&XPbZhHplkHK4Cdukob4@y=3QuXMR<GI`rx8 zmHScuEN=F0`TsC&)%(Y+at}L>s~^#xllc8#)gQGTM~Y(}bM<e3`0<$H_4HP2n~JS{ z=j&~+^P5{7ll<Jf@jJuW_ivZ~lY4F(onHTcYvs;!7a6lZ-^;#MweQ@=##3*r`ZmU% z*WC6xGGX_;-mk^B;@8f9eY1Dk&z<x1<ce*XbFLS+yscVh@$}=#g8FFNIMr_-Wy~u& zr|&pCnf+76ajSiOuZ<7<RNPf3m{-s<*?H>o9SQq1`L<pD^YFFF{|U!aH=g_GnEknI zt~&erv+e8SUq7{a-mbCzwdK(=+w7d{n-7-R&UJqIyz1MB8Lut3%y}&taqg?nhS>8W zx4+JLsM+tI`L)(IPM7baQ2CDf8GY-&E3A{B_fX(@%zTdD?Jui*3z~}G^i2)lk+e_h z`0ecan-Ra|Z$Ga15%v7_n|+D7cJa?Y3TA))H!(XlareBfPw(W1-&nW&v)k9(U%wQd z{VJ2RdtUPCo%1@M#++xm{dG>_?s?oc&p&1seo3&6dpEnphVxzWcg0ig6%EcYk9mH_ z%;(JeAhWx@_-{d{^&O-8T<O*s|DOh0?bGakY!LZ6Yi_go`m@tt+t^m++Ety7D!;Mr zIoIv;&mXK^wf*(W<lXZOx4pJJR%W|=TCpwn>7Da<x4qtZpv<=Vc*cKjIeGEr{8t}~ zK9K3(uz&F<k^X|V={t__UQh3vZ2sbxZegdjjNHOI)?vq=SKPYKAim@1R@-&QSKhm` zws_LIv%in8FWr0YC1-Z=``j7Notbm48y_mOP0qP)yuGf@ZuzzIf46O$^ZI7QxvwSn z*8RQx^-bdLdCq$tedPR5qHbHqsaM!_xLtGpLqom7_YY4+&nc*#cEdL4`s(^cefme_ z-W7D%KI<-My<5Jb{^(=7*!1^vvp*-zb-#J5X6w1l<!jF#E<gS4vt;7#dBQo@jgObv zYQKJ7b?w^uuQ8Dz`xgJb`B_o^HYBL;+@JZn{rQdqyz?GD5nL7jfHUvogNdi^et%VQ z$GqroL8tqU!;0tbFZsp8e#ZQuF5kW7KiR)otSPRzbZbxK^Dlq1KOan4EB<;;t;5dP z^PJmWTY_xMxxRUG-MZ(ee(jvsJbC7P)PT14>aS0<%qwUYw~$}4@4MsM`nFh`TdtK2 z-s{eDw7b8oT554z@|*Be)xMeeeRJKj|2+|TYyYS)a&2+ht6O(|UWht>&C)72_ulF2 zyN;CEKEJW<xzO#eZ#KmGzdt<dd|f@?hQI%Mbe>lon^wI4EHo26?l`{up!S;@m*3^( zPk%iW;xFuFwyERkH|N`Fr}^#!&+q(azaFw}w@BWn`b}>2&+f~mHNtY+4@zdouG{?o z;?|!@bJgXeXY-$rc`dfR|H|v%s^{cX`<JbnZ+!2;NxkoP12!D^*}d)71F>-Z>C<;~ zKjGu&n-p$g6;oz+?xSiE|M~vOv3qLT?;OA9@~xm^md$~i$5Z5|r=ND-W8=?P@L#F2 z#XWwi^PD(E_lj?_mdD<H{Uov5{4^(D-qhfjJKyW1<@WQK-##Jy#wOz2#{UMDU5{g* zFg@RAbNK4JqR!pvCyU?M#;+^*5w!EzO}nDz(|@0`=J8L8ezVUp?$Lv@MeUPA;vPPl zyQz5Ey87ZtcMhLxPZ9quKlQi8za?)T{)wq<Sk0XxUoL*y`Aw`EyTzI(g6GyvbdI^F z;J)MUM49C8>7OLn|EsH8{M<X|(IY?0!)NWEa+bY&m=_^$`K|hs$no!!x#!pi%zK!{ zzVpc4bDw0Y`zLD4*afaD{ARNA;8VFzOxu-r9?jFxFZ`gj^YF>WPh8^dC(fzw_~(Ag z_RXHqvW@@EcJ@CmKP`98UV*=0>S?=o=ccsZ_&r7D!3Uelmd*O76!rEix$oE;)3@=r zY-LaL`;(l0c~gR8be`~-Z$B;k=8xOCjsMjvyB_a9&3f*?^7(?&^=r-7%oi%3eY*I~ zT~M$n>^wG8-F!#QlsyOD<`s3{U4Ft_#wPe(!6%!_)^73B=hS!pTR)-t#!dgSjsLB7 z_CMbE=HVZW%7)d+sq*FOr<~vH_2(<7=(0I<^JP)T>CI0#w$GoI{H88W?(u>t!gFG@ zmhbqnY|g`HT9r+6-=DVpmOpK|#lBFzf`8tXZL9B}QhjGt*|&FU%)<|PJCEH|`+k2z zom5PorhdV1hMh;>IX_Y5lhZ4=sNAyW(9y@K&vVxO4|tcTJ|XtaeusCDf23|||6Kc& zaUI`;+B>p3{2R+#WFCCTsBGE%_^H73nKBQ*{VnRbd-;hWpPibzg=Mr~;m1hJ!)IGR zu~^4XD}GZSJMYnt+eM9^qvkz)#a`68TmE$Mo4+yZ3VvwsJa$w53ETAgsp32SPQR1% zectVy2z_sv9JO+b!l$Bo+a}K~-tmurYW0oEC2x}cZ!D5O?mx+Pj(x1$gIAWD`ge<i zLM4W;K;oqGoV{Uug_SF09)9aB>baZz#E|cvp1MV4|C~pUQY;UjZGXyHW~cZ3hW-=H zzVp+$=fta=FZdd|^T=J%Pcqf_r)tZ@sb9C)?U`3l*}dmLuJ-mDv8TLc{zSejD4M?K z(9!zT=fN@mtL+mG|9YADeBY+-zqwEB`nFHEo%3FWzrb`t?HyY`xupDZ%L5NLKM_3l zZHjZuK9|0N-P>i7%j+w9nwy`nsJEZi)DyBie75iti}m{{#c$@T_!ri!ih1ydr?PFe z{|VK1cFN~BmN&*c{E)Qs*v*){f}gsTjki}n729oo!dvE#$Gd`}*?SHhmE9Cv@V8$5 z`-bA>Z<7AcFOolgZkzPA?)J~VPZ`&3n^b!zSDSz1_GXy}1?iP7n`55}O!uFle8(=d zZ{zNEn_~w({0cTrpY!O^)S|Z8*G~w)kx_bn!#-%<qaV6Ojh}^|NUpo5I(^6N6UA?y z7PTHWekzsz`~<I_y}EwEZ`Peh--SO><<pyPJtszUeL>;cH#M_f-#=mdMkhu5_x97Z zGXJB#75sCrY*@Yjq}{uJy8Ii<*R$tE*fa8(Pj|Pt9mQ98bGq`KcN)iU)K0NEb}+A~ zX|nohO}%-F={qXd*&ICA{Z!`n`U%S`zIMJflc{fHe}2kXCRSm3MbSo^<DYlNJbb$S ziPZP?6Qyl-ImSKs;$GC9zWt>4o*mBb3f_PJ#Kr%9%G~oWe)a#?{Qlv}#U0(ReV^LJ z<*HU!d~m32SS*_&?_V~py<*>LnFmjWp9sFQ_vQPT!Ebr!rAOb#p7+eXA0JIUC49#^ z!0+RP^P7!ro_)T+Iqy?tRNrgiEA~fMm!D*nyYc&l#iPGRg#0V)*WXAvys0qjUi<07 zs+srt*Q|JNTingtY8uB<)SP~PvbcrpNnN?UE^&|QG|DS>Zr^k0bNI<~JFV8A{8z;9 zzJ6+~jYY(}$5$6_YJY8hg4w2a@|(vWFMbl>@1M$i$J(*a{OV)V=N8T}pDMmqotj?J z=zb<R`Tgsvn&q=s+NsQc&|woa^K$Ik|Hg+bE92Xrx|Ge?p|*ZQ@lL7Wy}7S>Htt{g zZT<t*c@LfPgROM?zHFPad6(1YomI0Be%!Bky`sl>Z%+x=nbTJ3jn;c}3f!)*xc@lQ zp^bf)uXXX9f_aPIue3YdJp0MSyl%fUKLoaaVZW31YwN4IvL*i*;~ssfdV1G+>hy|@ zi}xIvs$P9R{BMrB<)NR4pU5odpPp?KTmHxRPJvzVoPPnIuiw}m8TUX+zwndtQ|&wd zH{SWZrf#0roHuJ8&kPWMyeIJQ3qcuuJ-O8%fBJ7ZTXxxOXXx!Og}0_{&yfCk>zV!4 zvL6+>vs<_QRnL%qEXwyH-X?J8;Xj|%Ps)Avov-7cce(7BNbc_&&x?7dKQ4V!%xiOe z=G^lR&u4%8(meS=-<`)FPsc5g?_t!7SNpx=Zn)f|iwlbyE}NfHwy9n%^XTa9Cmig{ zPZ;|7O+UWF!rQOly_4n9!`@GL`t>KPS45q(EX$j+{6_o<)^p~UdlTBU!}SWc&$T&z zudk@}u=^>~b8_0|J8CBFIq>%KQ?cFWr%t!1n0V*mlc%4!#LFK4nscrGbJSDYH!^Cw zZ`4n|bNr*>ruNU<Pcz5tb^lgazRBjuJ@+RJ?ef#zEwrBqOn-h_Fi(F{@|!wexyKKr zi<&0CKc%U+ZnE*6J1YJgJ_lPK{|73rrWZASwm)qgb60ixj^FETj^C^O(!KD|!`i1( z>9<et>TRDczT>WE-p1YCdyX{fms{M7jeGbga#OL~^?LuE?Q^G}();#(;%|$4@!tyS zCfFRf`fyYKZWp;E{i)0{`-0^jzEQC}@^ocU%WdN)61(S5Ic{MQ?pILMIOox$B+J8R zW9B6+pH%!NUfI8}ra9)pANNl@;oDEDzI!*}`HkOuV;+9++j;D!{ZqE-ee*1I3U;r& zlk~mrlMp-q6ze%}6xJ7P-27(F`LFjw-z7fp+|>Pd`N>+D_lngP@+X;N-m3oIQN7gW z$i2p*hQrQJ1kd$>3QgC(g6bxj<nMPsNwCXL5T5g-sH@xfDUbQ{6T)xis6W5)f0516 zkKs?4o=-n*922WKeaG&wdCBkHb{=}@w63sh_nilyu76_MUOy%J&A-^VM-S|a+9y}P z?0m{4KKrvv@v*P>quwPxcihxn>;LZYkHAgspYJ|lTsKeW_m1i|n<Mu=KVfLspXP2+ z-753w)77Gu+Yx;mt*0Hgu<-ILC|Wb;(IXej!)L3Xa+di`EPgXz&%dx{TFiq#mp}1@ z8}B@Fclr~{Z+cU;W$J?06_!oC^WaleW#eu6Q@UmOQ>R<}SbFE-lkK0l#J@Q`{v7ka zUgLe@^Sql!|EX2k@9KZ7o67&3e**iCsts=*zf1fiP~AU`S;j6-?qQBb`;MAzG7rD~ zEb6&C{baJt8*Oz9O9#Kg!VPmCKI8qwV(os~@>~D(<re3jFg<@iJ$=Wo2{Dh}E&e1^ zoqk%B&tChw#qNN-g38W42i~R@b>GcD?JaYqsC}~h3GJ9a5oLN0e*O1(m-sw<Q}<u{ z6SXq`L%$XL)30n;UHzhUb^q>pHb>@Zvs>KplY97PVNu6v_Y;D7^2*0=)K0QFcJT63 z7WMNJgJbptoGTDMCH%&vsPFIfQ^7LwTH+S<i){{HjeaUro<I5djq3iGhaXaQ9=qB8 zlx=!&W#euCle%T+r%$)o;qk8EQ)p#tw|2^Nr*;3!pU9Q1n;iS*zRLH)n(j9b_e^Eq z@vHaE<9Gg_1ggtVGV=Y`?k_0I-+NK;Q4zQ0p|^jZO6<OUI$7q8in_(a-pL~3{lW7d zKGXcfVtsy6@tgOW{)K;pb{_b7?-Nh>?~|(U;?&P?EZ-6H&|qTr99d8+tbNacx4)l= z?OuM$TV{@8xy8?xJ%^5_r#$D5`G5Yj?VA{#-8bf+u9d0x{#H=8)#kv}@2T?T=BJ(C z+*M<@*yAks@J)G9$Laki1oOg+dSc!4b9xImteo>8MceY|(QQR-v)fMyzj>qc{Koyz zd5?Z@7Bzlee#$t8wWxEq_=(~-Z&kx9EU!uzn!Xb+YIc8qntM*1%JzzHp_QHD;U{F@ z)rQACd|0~avuo`CkG@6x{`nJQW40H`udh?CuK18%*|2zbioE|nZT^pc?%m37m>zvX z`ObYezK<EzmWN*Y74@VuSN4eaPZqv&JJ#>xhsdI~<JG5xZE8E`Jg&;G><a&Vl2uMd z<$OWOj+jTDO6NcRvDkU&bomL>ck@-uD@s=FIiPvJTJF>P8L{`SOFm$HFR!<9{rhXb zLuD&ZS9CAGVlAF&e^+)@d12M^H;Mn>Z|cwe$1wf-lfM((U;jPa_t&@Z{5}4q`|kSN z^C#QbM)(z0EU`JbH~ER?I=?CD7Pg-AlK-3RJa*dnDXSh=W#{7ar?ub2DSh8}r1aOW zJI8O9KVg)M)8{WN-)wVe^Zb*7ef7Hih5yeNb<M6nA#79l<+I7rgK3|5)^D5ke8-)* zbsv8`{KQn<KXH1+N0rLf&*`W320gd0+IQ#pxwuaZ=i^oR3x!TA+gQZ(75q-C>{+gU zLX+>lvVXyQk;<;s#!o83*KQZQu6soD_1gauPg~D6Pk$oXS7C2kyXIAP%%$|_(r=d4 z9NW>~zQ;cP<`3?#pMxG-Op%_m-z)CH)x@H1cK4IsGP2s`6-A5o9J;%5Q}M=i)4vDq zY(MOON^f4h<GaUCr>F9N*PqT_QL^;S<4<ap9k-*OFtA6zs53Zp^6ygtasEllcPff{ z<~G_K`}g<>%W?mS!E@|`&lP;NschOUe%kWew`t2Q?)d8!e6y--JH0XH(Kn6CzPpc~ zaIwFikUeLQe_lah*Pa7sUlw)G^*`bLrrzyc!Jo>?*3Us@dKbU0*PB|s<M*;RN$<0E z_8)$KTJBulH1-{PLcbOM_O9%>d;cUO-#?}Pf=!d0WA5m>@3`smzW&&an_hCs_0g6G zKRQ1VdCouGIL0<=-h+1^i~9C1KNT#)R@Asz{Dg7LJ|Dfp-Ro_Rzsr8Y#lC)e_8b{S z^BopZaSxtV>^ypI_Y>7`>P793FFz4IXRl@*|LoU%-R}k8BX1tPC;r5aZ@*5p#qV7< z2cB+EmET@-!S+brVw(d8{htW>)#<hG_%T)H;WPfCp1J!^CcpU~?N?CazxV#kM>WQl zho@@$7i^z9Cpq7}vg@$>Db{mx+UE;?s_Z=S_VQE9viaKK78Mg?9zS_n)ZFb6_u$#q zqVBnepQwJjuTpMd=N9+y&)ZF(Mc4hWej@kIZ(8h|T9<c^KRn*lzS&9c!Ka#=$KSes z64)I-nOUaBS?=MJ-9;VUub*(3o1Zi+tJCV=_@CSI;Kv}p!hh+Ohp)zdVk!SWwfN0l z_xI&~g%$BT58O2S#54W&Y1O>)NzZTGbzN8R;Iye<*>vd`8}GOWY5qHpp7VaH`tAEf zYnk`@>kH~8ys4S`x_-x<!?8-cZ+Jho<GZg}ZDH*x_ux~=&Esz+KMCxfKZRLlj&^@R zQKJl~7Cv+~rf*~L$>cZj%IX&T9Q_LaDO(=Cn)`{Ry!?daw>o|Q!iwcF58llG#529` z-g}+G-79U5zx(@yi=BT;_MA5g<~wdg#yv<2-+A<$@Ke=q^VP~N?m5RjtWmL!fBfsc z>i2^0UN?{4Yb=s)Z$BY-u5Swaj+*W_kH4{0cHAvL&B$k`&|fg=w4&a*Dav=|C?CIJ z=`WZ3zq_dE@%j^*=k%w8YGMC^^0jl4-@8?I9d3<z@TRP??R5NU)x7^2=QsW?-+Aa@ z{Sztm@0Whh<<&ErF23VtRNltV3YDF;`y{SC{1JF6+~U4(ncmZ1_L1)%zLVV4z4!Ua zTA6(j-wM8QRW_UsKW&$nT-kAVqs)T`8kH@Rlb;HRtDh3gyQh8phNY`q^8XUcgC8S{ zx<31#mRy(iiKRS#Qt_KuE&swFzB>=x4E@A2{rYLuyn2oE8>?5xJbW;{sCV-HQ@nas zm5sCOPw1Atn>PK%eV6z3Wfu2>;vW8C++_Uxmwm*$hwl<Mb?<dQT`OZ3_pQJT6eio= zJpT6clfZ8M$;>iuK#|iR^XO56<)O3EPb93}Pba^T*H^bV_eA9R`{~9pwI1^xyjxt< zw|DbXO+I^Vaf{sn^B#T@+Ii${>L;1q_or#gTq)|EEPsMmuTDq5;Ir}0qvzH?QT_H` zq1@u%>OF^k?oWAcwC=y{mw$o$<+eAE&eQ&0xMS{{hi?{sVwm1Pq4tiQn_SX&&!UFr z<)@YB*tqi*esr=t<g0PKqH5Z``;w1;T`p=0H?}<59CWUrWTnl)$@!-&=f$h~fBaOn z^T6NPPbKB<YE8HJyVU0R=KH5a<4THptF?C?JiPlUt6ct~*Vi7soc2lRI{yUgJyjd_ z9BOVqeeU|!Ub(fo{Qh$KyDRLb{I2+!bMx?D@e|B8RWsi_E{U(~NM}F67$>9CU+|v8 z^2p)EPdWPgrzhK-ePX!ozP@_JS4GRC&HX1=-~ICM&YbT@r&S#LU3~QMl<gH>^*?xO z@0a$;?yKK_;B4Kg@EsNb@8ZuD%Bt`e9{B!V`OT-lzeTp^hx`?po<Hrmg|%B;LVtb! zhC_FYr+#05;ooZU6V7w?NAwl!nLa0Jeto{dLCNP+A2azErateDwmIB4m;KuZ8TK8A z4A&L5Nyj{3U02W@z2^wu?Y_0g%Z{2QysmNX5AQj?@0xR)vdpKyneR1E9e(`v$Icx} z@efMM{~m6XT7Tm6-K+O)Lo3_<&VN~bYw^QdH66#FoC}`1p6P4(6V{(Kv37^KKRwBO z<v(xrKZ)J;*Z;Bm$It%$v18BC)3#UdKj^9X#q%}V?qKn!4>M0ap7@LT&cyHYwwlFH z<j=eQG4x5d_{#lTsy=<W`D%Mj&+;dA3v6mu{<6I@LI3(w?mO9A|0nT(y<WZ2{y1ah zmpNO1ax8!Hu6Qc@`hfk--k+*s?T&kYdQ<so{`<xMSgQA5_{jS`W;TC;%e}<^p|A1_ z{p_mu{nDNPXqHV~<EMuae-+E>R{s6&p8a{=%sqzx9sYk<c8K%mlh!YjmshyS?eVCr zT>Pu{&ZPC%o_gQepZuA(_i6W4`yG*gS@}*L*N>d-Ug7j^Z%F0G<gdGTD8;WioxMlt zeEhP1|8!rS-|)ZQYTw1U?9YmFldTti`V)p6Fi&5-{~Pnyu&jRRU;em_X73*hR(9Ww zU%CIF<j<0PNWc`xKb?Gkh5Z59%KZCVe|FV;$d-+FefP)juWH}P%i*i;e=?jm>G%4e zdH)>$%H^Gy{`wQ^yT5L~^_9Ms*9X=2F@Jh-HT$!m-^sgwr}Y0{RL4I3$=6*qebb-Z z{P!yV|Ehm1)!wrAKQwIp$>9EE?oYk1+bz`R?{)ht_*3NilaGz3W>5X){N_*C@Av!5 za_=2>{>0Y*Z|y%W^(T`f{!Rl2j9=xhlhdUC_4h}Ay1J{T^Y{MCAE&af-2Zyz{sW3X ze>~V)*`ogB-s`FT+XMD@YkxYrYtJ$5Pk#iyZjWANfB4_~(wfE2*Pl7tsFm{t%k6yi z{si}@pSx<>#Gjmu_<Q#6Rr%!a{I~u;_^`E7zW+q@=WVa@3*78#n}4}K5w=n1?+=K} zUH*@u{K;PB>(8`59h5Jv5&c<E{dKy9n*aS3b*GP4c)i>2S@~1oYxNGr_;shncYIfW zyWhg=@8|Z_pd>S8%~^K~)$;pm?$j^&B^xv8`s+`Yag);BSJ#^b)Hm(5fBmB^KN6B+ z^1~qk6Z&_Wf1$6O{NkEl5ntPHyxj8t-^T3Ea&nW*Z#<R16=tD0UuJpT>0ldW{-CFm z)ieIv<(&+F{YmxRf7j#Ta_j4!pM0fX;9+N%m@D^?bLV%nr{DhEJ1V;K^lQ%FcBh;6 z9IxmNH<N$-t#-zrx3l)2uW0*gUHZrFvGjib;zh66d-y-j_|;JO`(OWOzN`F_?^o!r z;Fnzgv+nYoUxJT~_bjkWyR&cq)IGtU-FuGT_`AB#KJm^zd6N?%_Gx?i-LItg-2UDh z^=ZmSw)88{dv1T9y6pX>Kf=e<EBtB??x?-5S+o7s@*egbi}$76*{6TCy8l&r&-xYe ze|o>V$vy6}`n@meSKQb0lJbu=zw$o*R%`yhcGY^x?*-1ar+3uG=Xvf+sA;UOzy7hb z=l1uvU6W1zPx>gse#QF!n|zH8@1tGchyU&RCFr-ZeC77{**k@=ewQdO@Z5WRNA3O9 zYVVEhj(fkVJ@)o@(oJ@g{|z5ms>@&2u&ZnL7jzff{9W)#N__c=bM{y4twZG=eR3{p zdcORm@th|`-R$zyerun-{zyRIeo;-+?#oZP)}NaYe&gqiH~)h_e?2$l_>H-zSl`vh z#66gBT(kCGtvQR~xi}5=icdzChkxEH>RUcB=D{P=qRw>olcIY3lcjC!gYpW$rtLiZ zb>pVbx@-5p$lcWa*yr1aAGMqMCr^ILuzdMR#dGH-B-{MlG3UV_8Oy_$i=WDf8}B@@ zS^t!(T%1z4#g34?4==cO9(}m|DW7}zX}_hP``1s7wfWQf=3$w8oqf{#nMDa-+fOaL zDzA9FV&)0XcYPC$_gFce+c^0o>$|=FdK;@Z#XS6%S=7AO_=(u`;GKs*Z%%!F`%b-` z^6njW@!twQv)(-3-Tjndd;DbQJ$qvM3d2uw#>J|p-`MGQuJ9l8&I6ZcKNS++enNEK z-<Z6D%Jw^teg?fO`09RhXVCNb`<lCV{A_>o@MwLC{PyoRvJRgwZ<y@+L|}RJ3Bz+S zmIo*2KIM6S`?Te}cN*e5Zba&R%n{#t=xJV2^K<_x(J{PF`EGx|IQRXFKW~4((A)NY zarKRT^>PnRrgZZ@myg<i_x*=szvo`P{g*F)&;5tJ->vt2tZO&F2NIRNSF!)F^!xIP z$A91Lwz`i?4zEU#WbD$<_aP=(>^6Tbd;72cfwKSHYv=!t&-r@izfjKi{XDn->K`xr zuf5^!f3KYH`?+raU4O9bfA+?^|E+Sq+dt2myZ`S0n3%%<pEun7Uz78FKf~?6`bWzC zcW=B)jCLuo_MGqb{I~y}KVJ5qd&AxTPC4KAOWgjef3WQT=?!=P7v+54&vg6m`Xgok zS8urcUn=MOezDts*B>tXUz|1f|FPdlLBV&u`o&Mjp0_{an%@4Kl=%DS*|RqLH8$+E z4^OJLUw7!+zo_Q7e>aKU{v9v7?fd3A*`@ow{hQML_OA;6?ceTp+rC#b<-C92a^oGl z;kNJjLOJh`r`)&~e{$nq`JjaA|BH`&t8;CA`?r(r_HSO@ZQuE=a^|yJ-M{?v_TN)S zzg5m}fBV^5{`T`+#%<@XJLSx8KYGLNzt@J^c~cXA*JvI8RvFy*_VYp^{+;u~((L53 zHrzcQmGixu@Amn{#dFWyzqY+QxAymqYvm2Owe2^qmG|V<s^7R)p7!kXGMU@Yy;--N z@Ak@>|3Bo0-TBN7wRV@2e(za&_}lN(JL8^b&!4{IhMoM!4Yl(gCjb7Ubl_X1OUK*K ztrGcv_AuQ3{abR|_s_*S`~A6JKmY2|nOhrnV_kmp+h5ymtjkaT`scU9^WRqg71w{R zsyOgj;rf}{Mg6~R`u;^$+3rq?<KO-N((8x+)Tj0rG#lGkM*oyye||zX?%~1xrtv>D zpBl;)*?g-}JM^ta^XRuf*<Ejc-j%ui`6%nQ>$kOY_Ur#LfAMkCeS7De{r8n}_Ui}d z?5|&bqi+BCjkfU-3DtI+4t@Kx>_79{{ZD^hVyKo`-p_1v#E`F`&3(rK#dQTO(K5;H zkEN3N)h!Na_7%1n+Z<#)SJ=9I$5Ga@8_Qa+HMbwHIQ46V#P;W#b+<h~?YH>&<$3kh zH|CvZzY(W@<VIY5%8hyYA{CE6^XHsTkIFeeeU9|j`s#mA6RYdQAM~CV-)Q^)%m&;2 zx*Kfc^*;7|p7&TPyrMJv&gREQnD-n|zVpa1?h)s^4?OD&dgsnA`R9G-u<ffDn*+5r zhveiQGtNu+Z?m?4=GDh-Ukw-CyLMdS_OBT?YWB#R9^Ph`mGe5eGq?6j-HOkfzZxFc zX6JSTq97q!-Z<y=;$z$Frro&a{yy#P{cFb=ZvT=<n*W%upu^qbpyIj07H^ryZ2g7p z!f%S^XnrefbGA9id9JW;`Hmy3c^`PncO22X{j@bo-Y)LJz4|Y|mnGhb`(Jh=&VRT4 zrN`Opuh-_R|L>ghUSH+Lz4a$H?)@M5sCRw%hQ0q@ZrHo8sD91g+pkUKZ@=CzkY7{V z`Sxp8-`lSRoo~OEwmzRz-YdRiW7VDmwSAk9I`26m_^zNUyrOIF{DQh2?cz6%YTr3t z`|RTf0sexP+BuID=RJCqw6@>!T;YLV9V@nfotP9YADr_#xi7a?XT#cl(QRKZ#=rQJ zTg$U?Z9m8DUmhFR_G@nYYIuB`o!E_Q$GL9*dU1czUy#S6<&|X$?kM&ZwiwGiX6i3& z6~6OG#9Ai2&DQ21<GI3~<raro^*-=~?>M46FZuYZ8&x@ry|>yi*&Nb*y*TyGwf4`! zw)3{x+2p)dKCsO$Dd)BF;ca$YIj<KV+GZD(^ZM}4(BIp?K1__3XWaHxFgaRYa@*Iy zglKt?`yy=n4>Rc(c2~<h7ve8ycduwYeq*QabK`@yHiz}r70T;xY_HxHZ*#y-?xCXG zV+Q{Z9OpOu=3Uz_WxXS%wrAz`uZ9P=*`?jM=H8oItFv)!|Go2HDsKFeNQjnK&Uu~O zlUwVvVQoLizT{|m@0{0*zZb(|J26^bkk7JTLcOA=*g8Mvk%+m)VcB^J(;uJNnE$-d zIpzV&`GP+0m`9d*1+CUG$=6@q_?7cod#jz3&2jH*?#FlR-B+4_{c-mC{MwxL`OZ1( z^M!NP=NIR!&-cz*pD&%WKHoZLeg56B-`lTmx7&7oyW+O%+c~#g-)_0>`gYZA*SGU- zyS`mF?t_KSN3QZ6=L^?;5U}2V;iKD*_TV><G~Ye8{Pux~{{z?W9r?eHI?FuZ=r8DU zwmB*|@9~;zzak#6RR6#F`SO&iX!+pT{pXKAzj5t2)9qgoiP7?Z<6nK;^wsdlHan%9 z*UCq?*)6+q?YPYCUl9q>^4dAC7uUCc%YU8Rkz4Bm$_&TnxHlPF=f^zY39o31o|D}E zcvjN+bIj}&ZOJi@c+M9#YR5d3+P>o;-)+|D^RI5O<+Ph;)E=p5P`=jwxxUIKx3=QO zJ1mi!6fJ*l{g(P@dAV(01rws>4Yz%rm>4b3y6tOVVzj*Owyzf-s`j7f`flO({JPaX z#&-_|%RWkc|Hx8Z(Z;^xm|ff>#dn1=jB*bI<sJ(37q+Nd95c2#X8Nvf#n+8Tbk9Y~ z3%`2%`M%_~^V73)=7;NVto?WQcdwlN@0!|%x1WCrZaaVd*bTe&vlD**$!~l6`KZ+G z=Tp6N=C^;Fc58p_KC1)YD&KP4ets}HXTJV&i;bU;Sk8MO^nAy`;5!eQc9-3`aa@(} zqm25FLze3bJEiYDV0~B69lOnY+rFzCd2gP}yZFoFgUIzY{NJ}%)#TRxxN*(BBez!N z#x?i8+}bHOuDLho)|TA3*8bc2?fq-*{kgR*H?Fxi<<>sAam~Fmx7OswHTU-1T8sVH z|ApJw+1`0>5o>d#Rwj{uc}2tW8^?=nj&RF75S4pqcU<z3VqZZ^@|;J8^B%FBFYFS( zR=@k&ajy0oKj+V@_W`AQq+I;pv48#Ae#LEH1rwv?K{@>BHoIp?X~I71|2Dg}8`s=h za%-2|xOSW+eNK2Ex6M&ey@Kxa8xMDQy?JQKSJ0Xq^O(nc#{uq`hhp0+I%T)XM)$w% z$~|!H=VZC{65s2Wex3MGPHvN(&8ys6mK)dHdva@2%<S*0>uy-v&vpA(#>Tb$&(B-g z;g0o(CAapk<rn{Man4RIfxrI)L;uEp^@@&kivy-|4^{aJ`ycmwU^rgUa=fBP*yf<- zyoWs3E1IV7_&N8Qd;do+^)>yHkH7u&?tS}ty6U#`&#US$KF*%MpJzkuKchq6ex8=N z{ajx-Xa4>(8*2XrCI9}D+WGeL^m}t}?XUf3bl}_1(;T;->nG>T-|qv`aMbd7<bz9c z>~|hq`t?y}w@i9#ZOr2f=eX4?8jJ5d64Ebhw!ZUFwCtu;{nf{hAItHr<x{V?lv(@4 z;;8YpcK^L=`vq?Q^4PGpU*`5Njg4#j*>3-Ov0*L$_k63mXnDJBUj>t*<vF)~otPXg zue$B)#e`^i*==7R{)+__gAeVFTOM$J^O%Fbu#4H|sItv*#kdC?>kE2*%RHChFKkkt z^GGDUqD#G^uXxXa;5~;9#zx849;>~x$!^+zwf)iO-KWjImwecv<Mh0k3-J#)e^wYj zHU7jA{>1e0=jzwLPkX<ax8%M1`ht_!c6wi5f3W$iX?^?eilcvczsa7xpLhD>=kNYf zZ)|)k|2jPV_TBy2j)(i(qw<db`%$gJs`oA6|CaT4{@I;mQLolIFSq2*zc|mPW^pa~ z{PlPK)p@quovy_%zvj-rd7cf?|9-DJ+^(^G|8t`QPwifPFAK=4zkl>-<dQw#1D<~S z9vAlHA>%3QPc8fZUrN#s+jk@I@3-#>S$96(&t7Zu^xOADuNc{7|8Dx7GXJ+%VvhVm zoBA0=zkeG__VowNvy0jJ*LJ4ixBH81?nUnWTRU06`nYC!WW4nL`tKZ9ze{{C{AqTz z`t_^uC$-0>->BaG>Tl`Sr^=@P8$L2Uzrz0d)$#tIzY{)kSgU9KD~R1|9`LuLP+a}W z@z<}!p9nrS&0Fbz?dtR08UG9)OY+@c`1s_ttNn6oe@*$QxNgP!vg@^KzZ9N-_OU#E zb6!#JU-1*?G_UOUkb9J)Z+Y<PzM}sjSF6`gdK^>6KV|ui&Zqa<r>e7`WPE4wudlxT z;hSZjrE3~~%IP1!QQ2m5^zP@UY}@sxSF5ky51Ozmoe}fsQ$}THy80=(et*k}cjGhm zF`f6D{+VBc|G#$n4psTNYxb0V`TI)o-TZ}B&zn#Ej@Ed8!ugYBWmWuBV;e<(zrg=V z-uw3VYJXa}cJ<pA$Lr$d=B)b1`M7pYt<Ujr!?-^lc^`fVzRF+we&UROlRmPQr?1}s zL*V)88q0a}7TZ|)?kwJIb0|=8_s&m~?i{rInRWN$*MAG|m)G{KUsmUNSLXT`f4en5 zKhNH}UxeRY_qTlDya%G^@<R6=nSB1l)0eB(->h%c{`67u)MfXVAG<$v-TA%Z(>JrT z&y&7y{={^AZOmiA%72Dm%dcylFL0Bav$Cd--6l@=b#lvN>8tW<Pam)FoR>5`_t>@c z+q1LkIpa3|@B1s>bNiom&hPwdPj$EbEw4CKcC_r+wxs{wF^^t8FR6QZytL-#)K3!Z z&rjZqJQcj>$lv!`@ed~1{BL<R{YGr2?X91c6;*qGE%sk^FR`X&@8_xPJ^t$^C(pT~ zqF!;pEY&*c^}Mw|kKZ^b_}RRoV(YWj1%C79FaCG)`_%LuYVx&SKmV{jb$)XuWqH89 zj3<3H9(E6R*gQMGaqj&&|9U=3`n~A4Kl}0Fzw{?!<~#mZzO64>_ep?#{#5mfR_&NN zzn@(F=O_Q=Hkn_(&*m6+%!8U`HI44`r{Cqi8eb5%?cQDPDgKh>A3A>Rw6(h*R^NJh z^%JS^nDcDr*EQlFeB4^p9eeGu(C?V@eC;v&MYlaJ{kQV#+`j>zzZU#V?rVQM|DySE z$>)<}R(ziO_@Vfd!lnNh-<`1D^XN~s&5x#6zu(-yHo5(;?9adLptaobPpS;;jv9V$ z6)&_cc<)`*tbOPAqHpu3onp30iL2hz)IIfgS&YTfx=!zym_@%-`}XNni)Yrq3xC3K z-QxJq@Tukh!)n{IKV7^&Mf`uD-H~(CQ=SJsJ@+3RBI^1l(=8PJpS|*bzwo&AG2>4M zQ%|W!YrcOxt)}zw?ydh>-%bDY=Jl)X&z*y1CLN!yz5RK%;=Bpp*9YXCzh1RNDZgO; z^J3AsiT?W*{`>gM>YvDO@z+0^^0nme1n=xW{zQ9^Lj0qqJ%6VCYX2Tq-zfg%vDeQ@ zHizD+-rD~?_vz)|EAAgv{?uA2{M7W^iO<?s?RQT9#B=_n`~5(<uT%I7-QU%O|Lpo{ z{psNJ(wgE=H<!Jd|8R!QPOrav&rUgB;bpfY>gSw2{nDSrZhwBu_I=-?zy9k3>(74v z$jNu&aMVs)dHyp`_GVAzudv&vq<>QTQ%baKedqKiFTY=rzvKT?qB{QS$Dh|V^<$pO z^_`e*aVP30)AJ`szrK1u!~VEw<(Jy0=l))`H>&sjU7jBhH_5ufBkpm9^w;x+PV@dc z{C&qfMZLn!E@w*k6XrXU%5UuSJAV1mzokWmi+?%G6vmgzFaNuA$06Z2wsAjs%y%5S zDLh5L;I~U<>u2?of9qd<kN=QT+0ecGgtE*#J@>HlHims4C7xRxRP`(DHh!~V?uM^7 z`|=-sJSZ6VvEr=6_T#IMeXG#fI5+?OeXDru`F7`4elF-_-*M3Hoz8#7*Uca8zCGWy zZ{gMVOOES<+VSr+^$SnG|INjJ^IDGbHs0G)-Zs6R@^;eWnbCd6W#S7r_w6s=+-H8A zwepMdQ{BJHdlbt5Ek3^ap5yWNiP7ur{A!*u#@(vfas1lfjo-|V@RjLb{&;oA_k^?N z$CW?5QGd1l_524tH9I0^^M9CAbEfWXjoOX6dCP7*f1cUqyiN6XOYZSMta*jU|D|nz zQSoffc@h47>pu6^uex(Bza#n6zqQ$)<>pN?e{=oZ^26Ugzxxw@ef{I-_m398`c=^= z{p`JA+{3oNzIm5F_Q)@KJ^9hSr&jYHq@Q~G{QPmopINc{j%faz@b}hFmm89s>|-8r ze!KB3hk0A@HpAPWo?GqX5#N#W-}u<?HQ$BO`LF&vzJ2xn1e-(NzwU<ZEN_+T@3Q*+ z{T}zHoxP7wUN)&ueq4Rxr@l%2*^0LCE8Abca^D~Fx9OvzoPF%)($}x%T8HdU*|WLs z)!hG?e{bwxKQDOyqPn)`|5<hAv9+J-XYD^;(c}GUZ|T?O_?7$4SIAfYzx?Olu3yt? z4pcN3zhXZ2_Wp1G`n5mXd;AOjWc{_BRuEhJL;7mJ1b=~Z?Vfe}wujUn`O)<&!T#+0 zHLKUJkT;HdH0f7PZ0)b;v-6GYj@|n=%RWB*{eQo&!pCgq1jnyg9Y4umGCtus!`b+C ztM%*F|4i?BZn1D*l1<e*yZ_z)7W}e&tbC`w?NeIp-rw$5ulHD2IMwc6w@)qJ!0x#A ztKFqvZ_WpeWwP|oU-_8x-<q1QH8+pHoLBTd?{7`Sz2i5zr&P=SJ@fw2q<>=kam#+O zosT>8Z^rpg4AZZK*I)cIulMn^e{cMrUfefJ|6uptryX{ukMCG&_iyzt_n!6}cisOA zAN##gMrP^xV4-8RHdR|cSq0kJ2h4kXux`_L^SAoT?;i~=dj9@YZoO=!vt9i3^9A|! zXXoEp`tM6=Q4jMc$=_=&=UZ<3b<qBbed?b6;9s*#|GwSzZ`!Y}k8IPwh-;sXmtFMu zbm51pO4s>MZJ#?z+wGT^U1jro`LF3$R4ta;|Cs*ioyXPR7M4!mX5=ULT{fTeKl-oU zW4(D7?<c)qyDGn+aEr~N^Pf1*uUP+Y@z3_2=@pLk-{PM>yloQSH2bk(?X>g?$J#&k zUyp~iSF5kMZy)t~bItlUiTa1jSJyl3?=CJnzVmt8>%{xzFHU5G2leNc7ykJkQ2(jU z@|g3droUk|^A{Znv){4&SMjmm77O>sUEd#Z{eF;r`ksTvxBu@o`TwWcYM%_h|1|4g zI_2*cewCcF$Y%HUU(vVq{a*0DTU_%~@apf1KZ!ff*G%ItDB4w&FZ}hpM0ufOefRJ5 zmHQ4}UMX`Sy|RP*)oNeU{pTw>rGE(@6Q6&z=JNIoTh|`{g7-3g-zA>D+_|ZH`jg4Y zQ~77;AHBCcWd7F@8_mz^7E1l*!Fk7pAI;gb|L3he?b)B$_|ISdr}ajpDDU}K%bf?p z>~A`KPVZS>;qkvFwtnwA`yK0lrT6@{Sa|>Dq@Vg1{#h42|6Jr9pJw?k*2Ay<a7A<b z7xC!Kf1i@BKEI*=^q%e3eY)=-KU6)n`S;5DqklN%F3ayYb$jQlTABE+ndd(-^y>$| z%UxU7UnuVXGJj+A%6$ianm;}9>%{SjuGPP@{|P=;UH5Xn!Mz&)&rgqM?p5nazwvY3 zr+*)xTt8)dXHxp@jC#wzoP6tpYX2}i-L_xA|HSXx>&{m1P|Dv>zxUbd4_!5B$7|<) zvfTFTB(v%NDIZ0Chp*ljdHw#n*ZkXp>r)?B`0T$NKF@5{dgb`L3hQ(BKiONI`Pb51 zEB|GD(Dj7>?5DcfGymQ6e<H(Qr&E0<eP{pQ)=xRrUw8MYTP(9rxbyGd%MS-v$s5@n zc$zy)KPLSC#D0nVjo*#09)JBRo&Vw=!N<XKg6FSU-CrK?cg9BnYy0PaB)0F5zfr&9 zRq(Ou9Y3bN`ds>z_h-vTuHE~?YB#Q{+qC%E{96|1R_N#c@%zd??^Sxw?;VTxMTPHI zns2=C$j5w>_|5C~-SPZ<y+?e<!hNyf_H{E}iTAi$L}dNTi{1OZ;Hti4d7<at;{3JG zf8~AEKM{WAdc~%ock5Q4FTeK3_L%XWMfak^?W<Z|{qEua_+$R8`|;uLZGyfsAG3ZX zekJ_L-m~wvKdemXRG)oH`Ik<AfnV)MjkES!3S%zH^Ph-4EB@$bSdr8H2{wmC`N{(8 z&+O>8{x#QKTRwmJPpeN)w|$yocRGE?;(bf&4^=epWnZ=bM(AgMd9CL=_BY2|Os{O- zD?2s4Kd}B}MU(Td=HpuH<rh}T_m@qZ{v~qXp@+&-t9P%gKe(gY_*e9vs-9QUbKYs~ z{(kY-);ou9CQl9Tzx;>qv8vw7^EFSK)mPdb+^l}W`InCTO`9vn`<KuED6suYwSRz| z?cB#lyO*CbtevL5W9hxhMZcVnS^v7beC2+n`8Mu)h57uIPV=7zzp)Fi{HStvzR|qL z4gY?eJoQ{Zq_(47`J4Ovr=omuOKd8-esLeGopa&+n{_pktM)0&zgN|NvAL#m@^tO| zm+K|-3moeWul)Jz@zrwPzMwL*i@!GBIm-I=X7JVfH|q+W>pz%0U3@*uPX7A(iR@?6 ze+rmisrG;VL!x|R@q%B`bL6zlE524)E;;{6!n$2^{k(-gnU8tDkzZs}z3kIX>8C9G zeN%#e>C8{~?|bTT`pWt<6&=aH_NHsc?+N{?*LP1z{7YoqqaPAa{TBS2^Re&WoPS+= zzL#B{UQyH(b2<Gd)AK9h)fxYG_CD_V_rvm3`}>PEiu3k+zk9Ly&dre5D;L>Stos%H zs^-qc_cs1Nf22H9j`w?F_c_w;fb3V@zqZGu-_$z%Jvwhz{jWsJ<9}oLUTg-fQJs>0 zK79ZAiYDjR^^KpZR#nOOpPO?0OXR#qA0D6DJMowDvDi5m??1Y_Jmmg^FEW<P&wpY{ zZ~gSDb(Vedo+GM%Z+1`NKNnuxls<p*^DmKcw{5N**AM&O1CBBMhkx`g)#%05IOe_V zK4E<)>G{%E`4aD6l<!~hudC)$=*}a1*Pqxs@7m(0wQuTtD?b{Y-JkSNhW*R&YR&oa z3x5gl>rbzJw%O*uLGvl>3xC;y<7`jN&rjB?-k&%geoC-*T7TiESWxrjm~_mA_&rXa zzpoFj?OT5Rlw_@RaZJGcw`>0$`PMD{tGRYcf8M1UyLHl)Zu6fO-wAmCcJ)8a$9iRX zA@$vtqo1&?uWNd_dC$>v{!_!(U-={YSoNFusm<Y<^S2f?v2Q=E{Y&S4?y|2>{ySC5 ztgQEp1w~o7cKjc=uX=rTy5Mr-@zSDn`>(ru%D+7S68>bb{px*3Cfma@*~b0P<ZoH5 zd(qFYu;2T0YsEiv%Z2$K^B!`suZQI_=BfSvSA%ld{->I?)6N&T*LweN`N&rNKd}B| z)LHwz+jl?w8rb*y`y}mOI{6#Q<)22b`8Vk!OZyl0^}%uZpp0fV9g@*%r&hDWGup2G zucYVX>F%DLZ5#FOVUG0Es|RP@PXx!?>#6+PK=D>v=`sJQ^6d6sKLmbB@)vsVFJJK~ zL%mO2zidkKFCG5^@4dw{!1*lJ|F7uJ6ou(KzH30T*}Fx1B(nca`3Q=!cJ2B0eqR;k z-W~h*V)LFujq7bHnxVN&W?{`wk*noT9;=_`{-qQDD5d<V?qAc#ym~M6`>y=?o4(TS z_~rBy=ftAyr|sUcz^;1nFYDX-c`x|yEvebE{MqNizcG~uYNqiQI`1tPIevUc_uS_z z_aA-f{e*3OoJ#wbNV(+aOHZwK`OMxk{YL%9PjAkiO0Pc6DYwVT@8#y2roXjQj=Qgh zX0z=Y@o$z_iMy|##{El2zi`j~qUUR0otJ$7;{40?C#u<3?mIF$V%^KlHI2oux|2=* z-#J$3T3@r~)5C|e^pAW#{G`wB^xWTBwxL(pkFVUn^xSdTzkaJ~<`~HT{N!6S|EG@p zgT7zW{>eS&{ni&$U%2Pld^z>!JL=bf>+ZcFm1Rx8YL5xaME$=#<@)=8z5VX$r$FIb z_`~n%z1vsi4c|TL`6ssi-tu2;%im8e{-vW|P&Vn)E0?qL4dWh7`u9fm6#Md3b_X}} zpDO;Pvp!eu)#LJ2^~WkYqJQn}`E2d{d1u8(!JSL>mEIS0&n;iMKXK3E`?j%vMSngK zF6yjKKe<<TtDNrp<nNPDb(d%V6aD<$Vu5{K|EHhnA@YwNE>E5RQ-{BxVBMz&dtZSD zU7YrRwtIT|{OWzm^5>s`+Iki{9V)&5cYI_M|01rQ`S0J+Rp&o`@h)<^e@sUFO8Wco z`lR1SdH)`C`I)iqXH87K@4SoYKV{6XRLckapHe7pJ$=>wqc68VVOzhi5tPmRmhQ2N z{2bmh{l@=gpWZZ|N)JEHDHo%<{>A1!+oxWeT;KcYhsam`mE46s`^#5-I{H2I{i7FE zH<Rs7*UH>qaOdZQU$Mume-;1I{&cTDB>vG!{-=Vq)7&kV@3Zjz%%3Y0{^hvk&s<QH z%|FFi>->o)+~UWEU&+U6?_7Le<MQ(d-`VRE_3IV=Uv92xvwju*OTEYZ#_y%Sx-Xml z|59Gm_j~_Ta31?!{q&~fD}71-0+0F+flt52hrE9rsILEVb4}}E>#5c9!T*~I#nZoR zuh<$?+kCnH#Jj&+<aECmeDi;LbtWv2h5S5lv+D5c#VqIlE&r!jJFP!Q?$zV|%jdz- zwt0&C?5sL*{ddZs()Pi$s^`M5_$A-JC^rwRceT6erB|5m4asQt{3}0t!s@e}r=N2} z<sUs{z9C;bE!?7F!KVi@U&X-<wx3fzJ#`MbKe1n42U4NQPTBtDdXM>z1^YEj>g&_5 zKCk#?aI<i0olbe7W9_%hujSj~?_7|tar>DOe)jp}Dsgy5(*tKT_tpE4z7&4Kw!Q{Z zpZSNx)rLVb+MZ8uich8cPZhS2IHg%T&40sxlcygmU&$NGJ(^MXzUFDS!&kk&dAi{0 ztb+gPIiJ6lk7fT_{uQmvU-C=Hf1dvJFOmBWSbjbF+!UJ68b3XZ14Y{OQ?j+wtfR9* z`AmEjB%hrVpVA+HvgXO@TGZ<7?dpGzx@Xn@lCnJhm-$J9-D&4HvMX$Md;GO~%*nU* zzxS!v*H_;=zPS0RVePc#7B((B!&liS-8m}xS2s>};V-u3`4b=+ZONxsNwAD2^t5^V z3cG`w%}>KJn*M5NMq6J{r=DRat{();XzN!&GFlucqs10=R?ob%d;c|1b+#|M@}nuN zI-9OF-yf9Eenaxv2gaudWB$rLw)1;Yt{1$|#`ml2&mYc3F7uxz&v|kx`j<O6#(wO2 z_J01#Uo!soTIpYM&lEb=mhJo{3@#bh1=v}+fBw1S-x8a}=RaBIt@yrgA-Fm_7gpbW z`TR-VxVhagLDgC1snz*e|GGX3{hl8>uh#qL8}6becJWi-`fTynC-s4qF|hh9Upu}g z@~d8--bCAHo9`U|7(T^1eAWHbJ&Wy^?^k*syY82ezusi`FOhN&=lptPJ*z(B@s36I z|MY%N1;v?{-wWwU={pV`41Luv`MuD6fAQ8&AGd;9-Eqn&`E2#o_?X2%m-o(j{Ez=h zm)&XUH+NUqtiBp=H1ENLe-EObe)SJ||M+76Q`OpO>kD=SysP#9%MERRoDaSK;7j_Z z-wbQrPutG9yKc`ezt7e^(|0Vf-yQe!!Sz-CAKv_b0?T9Xp?OStihKQ&ijV(S@61V* zYFlLRi{WyDhDuN30=5|nOE?uH87{IkuW<?U^Yc^E)>fbI66P0nF7V&!`a*996GkB> z4xxRYpX_`-?{?R$u=S5x&i%BD*_`ip_4pa-s{g*VjsEsWEZ^+y4z_yUzTv-SzV}^u zgYyqM>?|kC|IM&(+kM}r|J+ZezPhK2i@#2*<oN#bZ0nzts)zsouRi#F*%8sXpM`hW zE5~2%pE-TQ((TiC-Jf^0j*Z`TW8{_ZkN5CLf9qd=#r)mQ0#Eta)AHwD$8q#OKO+0i z@_wuLw`S>k{X6-k<7;{OZ#@$Fm(`hU^<4Od&S~+f@7&K6Tf|JV-#67hQuO=7b6ph< z&uh5a8*7S|?>lbOCj9F`+`g#m`}pP8cIwXkY&j?A#BBDjlAqh`7VCewH|<-o#a)s9 zmvh!X=&Aj^;<vJSJNK_Ap8Iw$t~Ru}C1zf7ZuYc&%bWhbh^##u$6@|u*|hg>i}~$u zif-TeyoNpR#`>4G&s1gJtlX}@%ic!dKjV_S7E#}w;pZ;gto&j7_0Rv0f|)@Q3;kxR zX}WthUr|ZTD4b%n(qvQbR>dqApKA*Z1lx3$cWm@malW!Zq9s}WW?znS(i+}Lil^WI z`nse4^{;vN?ccxtw#$D0`C_~I_qM&)|9@2M*#H0ao7?lsPy6Tpmd*dU)5ho9#@Zco z;+9vof0y`u`JevPaQDo62fN!(3swjFZ>Zn0>#z1(_C3)SsrwH<E}WHL_~^7{b+CTH zFO9Fys_yz%t}i%R_bdDB&twz%$DdSorscm{{gUtV!~8ArpWZ$0tKI$ly!fkmt=Ii0 zSbt;s)nJ|TC+xTGd*<KQgty+musQe%*KGgkXJx;fFMnm6<NE68`;z)6b$zzq4&PsW zeR<}+<L@@7wpRy#-%!45*LU`}xA$0Eq}~6qxT=2HGxn-4HkJwbuLS$%ufMl(|AW0p zS$`j$UsC@~=<M@~pGuag@9Sm7&n!33u%BlqzWq&g^RGuTcZ)x~5-mD;?@IO^qx}Do zzrFA2=FD09mrs5D)M%S|-8W}fwfBC$GJF4vy2I72bFZ&hULUG|U*&kkKex)1{8!I> z_WPgv`RZZst@krO7oOPn*Zk{O)>+#NHcZKV=X>|y!`4^Fd~a>9kiT*MX8wz3yG`RC zzKQ+Rw09-DMf$!UyWj1;Y@K(5-@R&OwcR><h2w8_ei1Rh@w@$-^F3Lc4f%h9ZGWwJ z$NS}<@~26AS5B`;*q3boc<<3~OK<7tUHkj=X;EML{3+7kmfcJG&Gq$5{H^sB{Tt8k z`=0;xYUr%;50AWdrsuy>{${^A@4x%+?0dR%Hr;>tG3)Ghi=T^f-mbWReC2J6zmuvy z$KN%t07Y2VonO=b|CwFy{Mk@%zuN9MzO{yT6Ychh{@(L@NAmr9PPRYv?u)PAuiXB| z*Y=p~=VNQPzW;2uAwPEU-?n+N+Tl0;Oq{dP{HyA=x;1fk+`bFnD}J+4K5u2*f2YrO zW!856dsmv@*zI?B_u6mV_he-@=jSZF_kEW9i;MYBOsj+67ygO<+V@xVy{_I3eV@DY zd1syf_{o3M#>sW{%kmyJ{Vd6T%YXU#qiMD8%U*Twe?6}`yngcYJF|CnM?ZU;`=f48 z^o<>!zh(XYDQ~a%GyTnG^REKZ|3mY)U9Ii^&Sm{S%s+p9Twdk#=zpaGkALPqY1z9n z{l?C<RTb=S-S_;qNV&gn@vo}rv(Xke-1KhDu4+Aed$shmZ^if6zZHLz-c>1nHr(R2 zU))CXucqf_>c3m^`|FoJ>2HVMUb#EB^!)L!eV-28yV5)N>+dTD@9#$bmM!L!yJ7xr zk=)(6)nD#SOTRPwmkj@z@;9^hY`<|Y_V>})()vn$%j27OzS(GADu26r_43=<_aE9G z`?qC(^RH7E_cV+DJ_*TX@uk(v{x+0zFMm^BVH5CK*N<;P@wa7h53YpfmtFZg<vUmS zo8{Ik&&#j*DKWkMglTnfyl?po{_<;eCwKQ-e|sDI<Fo9_YOy$Zz4AA#Ul_}8+z5r_ zGQnH<FK!wZwVri9@l5Ym?VMjc(Z^SbmtX!n@wer-8~o+L>-{h6>A(9Jn#&gLO3%Lk z?CwFs-^bp)`kQQOe_`?Drww~ox?9xEsXG3z>ARTt8}ah%e{Tkx%zylJ@{=ih|Ch!X z%zwA=Z^f^eJDc9$b*}yBbGP_|)+Fz5%i<nStE~2at6#Z&!$+RC<rbBFcOHE#Idkud zb<UiH_uef1&HG-oEa~f)d9PBHj#vC+0_U-HziNxl1@S+J=CNA+uU}7_<Uf3{bko_r zE3GYjuJ-QTn*Y&m!~D(nFPsg}-goGx_EXmCVE%%0H5>b(dF;J!{oZ#!ci8#&-7J2o znYUs4dT1uQ<8E8k4a#ISl_&3A@wPFzzj6M@dr7~~-qQbe`R`NfCo<>n>6yRr-Fx(# z>#LQE|8{+s`2D8(duaUL@Xvg4d)(jMoc*ig@As9rzhC}03ldp>COnIt6QdJde);|5 zE4g#(BKGdw|4w>O_>Ls|yYaRE3eO(ju_k5u-j({xtk1ObC(JLGFMo5JeFgjcN53C^ z6)ie(?@IC=!+e<)we!C>)~>!*=X_pj=b>lMpY-fqslV}S<<~=3|F(RWseaSWzVdqf z#XbFZ^*>j>@!fmyp4Z*#1<>pzv*upC_h)9?U(5ES<-fA++rReS#{G}L1!8ka{WoS% zfoOa4hVOp+;OzwmYpOcFwZAMbJiV`c#ji)pcRhb_wg0K*_hs)MO{@HUu*UwLZq6T< z-?Dyns@p5}_<g%MyQ-u2^;K#A@3!}}-~0)(t?j7#S#5dj^Zk>Y)xqaCd}japVd1U* zitmLd_SMe#^-F)&_JR-TI}`F>iSqqll_#_A@0{<Af9L#d%ljAnS@fJ+<<Waru3Mz< zvvB(U`lYP-n|AkAySMK;t^5D?iQ`}EOY0NzU$w2hzr3yTed4Y6Gm1YP*tapD-{-FU zi@&)~CBHATJI?%B_P5%5-EG@1)qO0u8-FkG+{ZV3n~vYRQkxU~>Sf@q@{0bA_HX6a z?z<KDnJ?~6Xy1+5RZV|oue^27uzzs(kmhe$x$>(u-IHUV%-OqA++y$Ss^Xq^%fT^b z8&<n-`LpDhcZ%2F_|}@<O|+|7^3D5R?VXMJzyJJvx3*d=?!7KFhgtYRa+n<`hy8uZ zHT(PIvv<G#w)iT!?OV9M+|u95-$A9K-1<2CfX{Yi{F7wg`QANz@8GL@oVT`DmKU7a zSGcI^zrb1kFDE85e_JN^@W;YevcI|SMaOK2w~6|m&VRk8Z#w_v;BWt~7apvsn)K~4 zIL^dZ=+}U%v51{1`L9g#W^R{X{8#n8n3>$lzkKTICw1lgkG%2Sd)RHky*X>Z8BA{N zJiD;HHBB;)e?ERXaqr66<=5X&{_OJgLm@Pa{jK==^)e`nt%PK;nq9j-vb>Gn!~f>_ z8}pLSH)pLcC|k8BA^#QQxtaR&R{VYkE)ggEv$^}Zu(nNR^Ycr=cM{%v-r}z;|8QX6 z$CR%xTz8pY_`UgwYIU&w#{)IT>yA}-zZK8gU(xkWKVI>9#kZoJiTST|x1A63pT7#4 z$NYoi=dP|~*EfRHWATgg>|<f|nBn!B?#bpSuF3tXowKX=viU0W<uCRftZvo*cG;tL z-<6u4)7ww!RR_oWl+WOwf6(r|-Mbsx=dYC8)BV2ty7)=O>frvu<9iBMeXG64{%vh} z*8YX*@)NXk_QjvOG5eQLw0wyEyrsW6--C+7`D^3qT|V2D=|B8@V|G>ZUhk`WFK>ze z@b1Bk+Q%hd{|STYu+&ep_OASH@o!1h@qb<5@^It3^XsnE^i7{Xt+)Ec_w-j{dNcgz zFaDbdE)nN0-1lSAJIji%m6hlAuH3yN^<JIc{r+-p>G%tE?UUD^)Rhb0bpGBI=bW0@ zy_NIcRqqME@pDyG)v~IevX;j_hxy%@UDY->`^w?x+4hg_9#{PRjr+>=?6c7pKNiMp zF#pQcZXaYW^!7P4vNm6?Y27^kr1rOE`;J+DJ{q|z-v9lMMEjbByM9aG{ajeP*Jgux zX|n%cJJU1O`j_gC&uM1=)+@f+z5bEh-v7-ukMCW14r+MZf3o-JH~FpcdtAOxub*6O zvpewHjoG{U-_E{rd3qM4{`zn9_3D0;_YaPqEjn`VitwBN(Rcl}%74gvIOQ*2|Mq>a zb^rffee|SQ(Ybq9xaZt++grI4n#F2cf7M8zJ-*{k)ViCqe`W1DTvM?Pn#JZHo}X`h zck_o^lb}t-JwcExHh0VY3!7!1a?LirdB=NyfAIXoZ1t~?LU-90*&mnt{c!cw?C8&S zW#yA0Iqco5xMj7o%h`{=k$%4F`}Zq<9Qwaa0_U)bb?}B_c1iuV`Ok{?+zI!)`8)nN z>*tr*Tjl-dcSnEQ`}vAE`-^$a;lEF-RtK*yJiX_y6{HShcw25^>5})c!XPdGm8=<G zXnx#!NETZ%Z=d5{o0z_je+<BtnC;i!Ph=L#J%i;ix36C>&e~p3FeRrr{}msf{KC9F zp1;N3Gyk^y&3o4-?z8B*zRBWmd~FX{em?qh*Ly#3q#6HBx%;_L_Jm=zp6yZIZ}%4c zolwrs|3*4Lw13``YUaK5i}s}CzfzT(@&3m7iu{eTS^FzyztdN?Jia;jN!#9)+i%ph zRqeV`ceJ{v_S;_WE8)wt?;Y&E{AAwVmHjvRufFBpy8juZG@QTwr<i*BscSL&`-8U^ z{$l$2?Cq}n4{;B>{(g~t^?7=h-La?Lsjw<ceB*g=i=%uM`}!xpAAP+EtHSnp|F#3Q z>n%a$VP#S4+3TkytApc{UYEXdT?oxz_t(bFUtcM|JO2?Rf0?hYet)s<;O^$+Z@u4F zeHYKFTi`B!BKzC2dyjv-eI@%_`yQw?+~fZ}{r$z7zUi$wcf6r>*snTRBa!)4cX#Re zgRA*Z6~FVndsO%HH};>PMxw^n`wy2)infW<`u)b&_Q<j0S7rx+tFW37+r1Hc_c--^ z{8MC^nEy($EbHqBXJ`%fH}UJ&bkqEY540dPnEmp+m__g!tS<7i=(%;%!r%DT_7*?u z?tf8dyZ3S1-w#2h-)HZ7{`l8xaPx34xOrF`0&N~@eSJ}VXYu#zCyd|u*7mVKv;O9L zFFL0_{P)r0CG|g(Est-`PVL{j^7oB@omIaI-&*fEeq;VO{owf6<(2IEb&Bq9d~FZ@ z)4%%GA6|Xcul^~fzIV=M^RG<H_Xp?Ot^BR|UUc0JfBo?J|06#e>g7+!{<du2tak?T zaSQ)W0=GC!>-PnI*V(hr^W6>Z7tHTAeAIlqeGmVe==$q*&gcJuTJ-%n?-t)bzViHr z)SUX$|L1Aht%cTLb#8n2t$kMeCQsA)&g@<7d)HpstGzY<Gq_OH`ugwNEcq7~w-p_@ zcSTv|zT@8H`h(To+~2y-zxwxP9jIl0uISXg|5kc4{Oy-R8;Pc`x?@YuA6z~6$)vq2 zukT2`_ixX)>3gDY+=%=w>-TL!w9UIda8Imyg?apizir>Stjojw_pgY1r+d7jP9L1X z_I~~S<jrEaxV3-hS<1J+xg8%Ae?R=Q7@y3|XZNni%G~q6`(x8LU09=j!ZYnTvKsm~ zW>+;7KkHuqVxKiA)(Tv|mz+QTbz#w&dEWko&3o5p*+02^kootq>sJ=Hzr5%0zJJ2> zH@<t1fZ7|9?{&BJU#a_8dN*E9`*}rK&z%kCU&U_shxqSb2&uu!_mrz=-}}4l+4Vi= z3k&!B+F)pZ;rC0~X!VSHH^TSlU;g_kdY8S?{v(>7uN|xTk$ZObp5GM-_ny`M6sf(p z=IWoGa$)xV;BvEP(ZA+=XInqxyV<kN?_caYU2XL9<F5DlXR5Dffbxm=Gv|AM(=+Rr zu<!YPqiR;w9>e(B@bAj^xaSzn&pYFO|8mWt>IU{_pL;8R|DJWeVtc`llG^!A<)3{j zed9mvJG44|b-48BpZq2JpV%IKmpLon?3uXzm724=yS<;??yXE-`&0Bi^XJW%OZPwC zTiF?7y#LwWBQHN+ZSVd0!+qEN&vK6%D<9ka`R@7d{Q9eZPW<6%*S}DkoxE@H>^<9e zq~3dGTRHXHbo*<6CErVy#V>o8bLO}G#Xob(`KO<$mj3+A-}L<_J0pAV();&k<j;uz z5cja>=Y;a-ytn-8mi=aYFDMtcRxbaHwf*&)BfEPK`+R<Rtj6EJBL4BTpEb$*UX<8B zwmp7t=dSn<_xAhp)h+y~`kp)H*{iF^_LR<j=6#RXrh4(S*}XqS_deTuO!D*FV>Q3L z@5X<Wdpz-H-}glqp6}d$>Cde1mVEjF@@CK2tIk)qM?dTR`{lR&UH$df|4#g=`c3aj zZFaKVpRLb)@72Z_=6{xpS@ZX1zKQ+gyL0}?m8K`}TYA1Szu?%O?S}Ke?t1@e-h+vi z^~v{suYD%I$J(N5{XYK_yT2Azf+A+e-wB|I`RfOYm^ZZ%AfJ4xKC8ZmeMger{TbW; zFZh{Lb^M#^uJ{l8er?&aOn>?J%>PDTv#QeV{x5zveb4fWlzlg6#Q*X9Z2DfW&u-!G zx5xGrHa`pB!+&P|%=Z=k`&ay(_0#md>bG?v@@CH-JASXe=Nx00Z}IEz{CDU7ulYIg zr{uQvmtYZNk$&&l-M?+$rq^EtMU33~uyV6!fA?qAo!i~MSU>aL?HT6zSN5F#ed5oo z%KGGeiFF67O@E%=CI88;#^3KBIA+%ETM3F8^Gv%(caMGBZZbdb%=a%X-%ZcWJa7K2 zd;jaYW7U1V`LF8^R{spPlrY`@q_%Of{EBet&)4it;y>j*F#UY?*q^Sr&vB2B@|W(v zJH!9~%HP`el4FeTf0X+d_50n~S@ECkj(<B3N@$JuKHqydr}FVWkZ-2{zXXaKGpoDX z&7K{u&%XEM?s3D<X74vkZ?XT~|15pa?25nAu(<iK=sGBJ{)T^#y(b)F49Yaea`S%f zzpPVH{^8H|UGksq{fm`*$@t#(9Q)Ub<bAuQzH{Fre&fgHXP0|_W^jYz$07|BKly8a z_LOs%pAp}aevEJXAIs}sRNrgw`O&`5f2-mA`)=R8?*+#g-_JRtzYUyqp8vn{w=DVI zj<w(z(x3Uh;`_F1-`CHK{~VW;%>6Yn+3ubfD2il^^Y8fY|9|=K&Xu4jdN*Uc*)!{1 zC#$>vM!)`jV^4qir0LPG|Mh(5xxO7#5d6KJS$A%?d8PDOQ06h4RldCZ+4M8NFI(SV z4vM4Jv$>`AbMBQ-nw(o||G@Up$GKM@_f|gtHmkp4d*Sh#U558B3*WnbV}JL%=hB~# z%7U{G?`PKc7v*m8zgq#yJvR4U_uiVZUFYodJ<=5?Yc?D1Uvz!X^&59Wzu)ft`NeP7 z{ztaQI6uq2|F(DQ`@H2pP2U&ax$9heV}|?-+xN2HzF*l>SpWB*|M%0@um7F+BV>Li zTKe<DSd;uu?~>E??{2S*f3JW3-Kw9G@73bot$vqtX8RY*_q=BB7gy#d+ue=>#nBuC zP#jr<3%2TK&i6h$f2mZqeX#fVKgL%dkJXr7{@d}L$^6W6>CYGYX8Bj}Zzx^>^2|3s zaLIPC=HTx~9&gvb^u8B<qh>?ZR>S%4*Zfv}ujw}fRIKgJ*!S%2QQOaNkL}sG8XPn1 z^Y-~4-~G{JXIkCa>dx8iEBU2Af4p0=|GDjvb55U+{R1Vq^W~R8<(fFiGqQJ|>^*wz zaOwSLd+j{qZtb#vY<swKJ*ZUMoej!7)z9|!R(^grtGrTvCV$`MT4?5J4L{Sq=lH^J zlWj{t@p3Nt3MgLW!0~br9xqmr-#_>MO!B{bzT$l0shWeo|482A|90umr0=Zn<}ZoM zJrn)?O3lgLjm_%Wpt9g%_Py*qN&D{3@P7`Dmv_M>`ycPE?t633`}6CUe0uX%+U1<# z|58_V{MyP{=5h<}|9@GxbNjpgGx~+6_G~qjf48`Da=ASJncI8x<5!%YeK-2E@jYJ| zoAA3gXXL*uz9+pW>E7)b=f7R8o&G)L)8~@=_h)>6xA-UPdp^CH{FUF&U;F35U-5q9 z*CbHBx#bTk*B}*6-!tKR%r*w|^UvJ=ezoS<?$*Q8U;Gx?GyD0;>|c|<i^k1e9G83M z`S;6zCw&*$z5WU)k?q+3Ec;$;jM4qPGt$4a>yG|D@aIkFuKOSF?TK6m$}^9?l)gHA ztmY5H-S?IJ9}ny)Y^eLc{P$;O6MG~3!w+AV-oG{D{R_4Cx_LA8`L66OSov3`Jb&u* zH@=|AUb*_O<ol0LwrAeA_OPv={wCq|TyW>gM*GTJ_N@Dl?;hg*W__o|;qzOQ|8?ND zUtCSVUdxE@%J;PAY?yE7QTwCgS+Y#LlK30ny@yUNef3cB&(F&5g(vsbuGsb0^KA5v z9X{Z(LA{yga%=w1`ri20^f&L_{XyTg?$kxeEqm{3&UfYgZ7K12@5;|Sz452#+u`;V z%j2ii=F~XOE6jgYoD;Y9oo&c(wfB5wH_iEi*Y8{Qv+-Tc)Y@;$-X(v3{Yv&X-+SG@ z8~S|D&wrOa``jYuX~*8ac@JJlef`4mmVM9hij(`wm+bngeAe3HzvBGk_pWg7Nx4@y z<J;v-zV$cWZ@B(4u6y?Ulc3SThoYa)I(_%Pr){%ozFmy%zutF8D|W=ayD|G$gZs0? zi?`hW^zQM`^;`4h*T((v+_vGb`^^&Y*u}j23-WB7ek*@x|Hk}Hy6U(2+3*|t1Iu=p zm-4fluM|K2V&B>7KI3nPldtyHXWu*i@+`RFH^=b3ZP0J-d$KW`^MAZLmz%cz&;8?U zRa;x<_*H+AxxORW{@LE6w!e=S?vl@2Ty6Po+cfa-;Jlw-0&m5Cl6!pf?Uwhl>*wXG zTi@6<`OYTuuYBj<t;w6e;BQ+wxBiXy%l0XM-(Wu3{hQRT$_aJz#jIDFtG}u{AJZ!Q z?Xvr7{%y~aW!|gZzB7AQ^W?i%4^Q5b|MA`9qFek|-tK&+8}mjL-0<^$)_XkT{?poo z`m=S;-@jkp%eDRYsgGawzR-+&{3zuvf93g&mGW=f`$PTtC)DQHIK*u-|0*<_eN}b; z<+@|Ln`6KA9$y{ao>8~Jntu{_82)>}*SuTL_Z}7eeN?z)zje%KOFsU|)!&xcJ$k|M z^-Jfi_|I~WRe#5=$g_3&%y+JT(pvUc-wR)v#Tn(>d;UIV(jNY%{DyVh_ozEE>Y(xW z#_%)d{@4Cmz896d=|6vw-264whH^}nDevoL`_HudU)^_pcmMPLi~IhXe0}7(sr79D zc=Y1@{55$tvA;RrGyi7%&AYePBtG%K$eDXrq-AWJ_gb{RKMsy8kGmbF?;n3LsXTk{ zN;N31oPTSB<H{!ZZe`;;-Y>6wZdyd_2aOJ%tvUYhz+bWNZ^W0ss{0Xi_jKX+B*?%; zx?SzGZ|QrEPmW(y`~K#upW3PH(a%rVRR{Bbd=vWWTjs6r727{F{pB-%p1E)Cvv_Uw zH@>!qyRWY_U;eu8NHr*`B5Ez-KO6SlSApcN*;fxg|M2|z-Q%jiHydA>yE?!8bp5{B z6=h5BJnFlbFn^xy^xyH9YMP99eYYunfBF?)-V57pcB|v&to+H!H&1{0jjG0&$D(ot zvsRq9Utaw#vZ#Z7&ylCxSEFV2d6-GRJs+o2z2hk7xwu7fiT{@toxQ)keXn@#;{X3$ z@2w3#rObDJVmkk&{qt6BP8FB7IPg#Vig3)Hn7BuZQ?uVZ6wUi_N36V}xA*1T`DXUj zbya_4en@^Qev*F5|FOW|O0JxJKK1rzP0ru<KXl*U*?j+s_wf%up51hI@0@oJo@BqW z+qV7ww`u#|3(Wr0^W)_wW6!U(O)Hb1>(<;meO1|}x;4h<Z;s`^iO+QREK9#Lcc1^x zhoXMv7rwtW&yicTfB!W5^E}5d%g%Xhxo(5;+hlS6inHIhR>b?(t^Q~8((>15-GXmk z|NexpR^PGg_nn#bp0;!B=D(MJ{Br*E?^<_1SCoG^uvhN<{bkj6SDVT|vYY%i`|9-y z&+|L1YeH*xe*CFwwr^eBW1jUJ{`$U4et)~D|8e${M=`bYTfWO|ubF=|`^wp+&;DLN zb+|;ly5zIX*O%Xum#BZ~ic5Tb@K^23e|55N-YmPX&);8jkLmo6$o+ovpFa}%ey2Zf zeO~;6_wq*OfBzn>`1RZS;~(}}{`VHt&i~HAerNXcSC)D+PS>yc%cWnZW?u2@^R|j# ze;C`pUz~sc)0gkYe?M<3Ja$KB{l;eZH~Rwb=O6nmv;N0ETQj{Y-=*&_`FZur@21$Q z+JFDws`kA+Is1Qpe}U)spK^D-=8Hdi`Q7x`<@eckXFvZAcyQ<1eSg!l@Ab?p4#>{? zx39PT#rxu4&+{L@e1HGo|KEQPKi#+Mee(3(jD7_j;TDH%`!=5R|E~M%chm#RcRzl= z`tauMuivlkulm{Y)AZZRqqnatzdv0j{mapyy$AoX{x83GSlRY@@uBxGK6Bqm{_OO2 z`JVna*6%OWIB);<c)obwi<7sv#&42;*tG9a?XTJwg*&eO74+Y~eslY)`T73yA75Sf z>ksR@AGKT`zWf$R?7u&s#ecuQq}<Q%=Y{mkulyDLRzB(ajz`sHJC53#{jmIS`Qh^u z=jY5nmiX&)=;b@sU%p>Yl3xGwcXaY=rN6bM;+glJ_;rhak$it~-miaV^WLiJ-(OkV z{hep|o8Rw)=Krg#+_9{`;-6ow{NuZ`%IlQ)TV*a~|C)1NyU^SJ-r;{=_HO*CcenW7 z>UWoN-(38C@{6h8i=)4{>|gMF$0GLk;oo0!-?_Y&e+7F9^X=As@n?R2`9Am2%lFks zYfe=6_LgVc|6K5Hx<&fBy<xST%j@kuyw9dzwtjb`Tt7_zMX^nF|2xw?zpGz-Ph2p4 zPx+1Xx}S<5tN#8uT-37J`-jb(I+wV|Pl77{)xBLVKQ;XF_v4Cp*Iv2(^0)so{tDaL z_?$Y2@9g)M*V{_BzmCtpyno*5{+D&;XCJ=ddh0G9KK~i>oeR&Wuk`=_&+zcUskgTO zuqjUe`}bTyZ?4SSMRv!H|NUM2c;3nC?&5d5_xOLewYeMr;N`yl<-bq+zxZvvC%xim z?f=QI81Ek5%>L@P+U39Or@dq3wXWYdk}V^%=-%TA^O@~~>-zra{K%A9SYO9$rhonW z>t&Vu=AZwb{X(r;^c&yf-|-7;yX79Xyu0%1rQodXg|6}b%bw4_RMRg$f7)B+t@*WX z^FCIxeG_}7opU*R&V|j|Tj$Fj{eE2Z?oO}0%f43}IGTR-xqG(#FPUebnf6$?-Oalq zyQ?+0s{ONj*1n^rw!im$liu~6wepB^S<PGX_>l6Sd$@l02l=lH`~E`k+>3Y9uS{3Z zsOyuiY7hVNbh>H&oE4S-wQKC=t$o5eKmE}Im9yJ#EH}4U{yhF_-A9SD%PU;V_b<D5 zi9KiDnxCIuzmn_QugG2#*KzoGX5ByEuM_MJdsp?JR?n#GjjsBq`*!`y?)=l&giZ6e zd}3N(aOC;b$@7=|{9-+;|D~bci+iT8t_GXDe_*=zxa{5^>z<|iU#<CId-M3W?@wFK zZ!Y*#a&~>8SNy|C`~Eb3d+qUA^4*Jj{8y`gb-Yc_*cY{$-QwrOIUg$p{rs-(DPCLU zx4qC+zTk7t+43FB_3PLCZG89NW#0T{m7kXvbwuxZ@>y!mv03Nqmi=s+_h8n(A3J}& z;yJs!VgY}}lIe4w&3&F__or3n;m^{dlh4l<lr4K^9dj}I&V}yyto^l(&x&m>iSG|G z7b<;Rb@QlcU*WIpud~i~Z~W`@cK80k{1?SC7uaR?&3NBm{A<(oI=}Dr+Uqy`w7yx< z`7L_Sqt8+~`+aPG?|l|s)x`Yk5&x@;r+@ylSk7PJssI0kt;|19v-pL7fAW5sb$<54 zpO&v=-@VY~dwF!h-yfl~&VQV=@5kmUNArJ&o<Ed|UVp9bSNPX?=esu+uiI6<_?N@{ z#~pT$o@|}<zR*$s-?G1x<nE}H-}trL#@hdPw#}zX?Kk`4Y~@Y!Wmf)|oBU|TyZ_F% zXHDNfoMiW?EADUbXWPEKX>ZN5?*E*g^QqG8+qv-f8(rfcx5WL6{(UprWd9M-yZ`&E ze9ixB^Y1wLd3%<<@wPor|LfU3{w%a7Vpa9_pzSaD{9Yatd-bz*mi!CTy-Uo$e7H7C z{^J|7O`pr&mRFp6zV*g_kJ_E8pTF>=e|fO&)#>ugx<=_;8>jy2ic4O6p(bb5?i+Wd zK35jY>~a6h{OyJ8xtCYpZi(OH|Cu%JVsq}5=v`aa=Io3Ato`QUpKq_Y?evd6WH;Tv zqj{Hq`Nv6l_X79siTJF%cWL++Q`=1@`L!OOzs>zobLVlx`RK<lgwBfJ&a_+de8+<J z^04)KSNHT^FZrzZN_OwT&HY(+J6G@O-~0Ay$NAz79}Um;TP*v2V`;c`#{Jzr@B5Rh z41)V#Uh6CB4Bv71r{62i`O}jg7u}72vu56<*f*EX#$FLOy+2>>vUZhIf7#WV*0;M) z+P*71_I&NepOXLf?9Q}X{`|(`_HDcBr#`ElbK&gWtHD*x*>mp1)*iSg_i57k;tf|n zJU`01_c(V|E&p5g_zN|i!Bsn!SGmo9t7^XEsB+xB;Jr^i8`=C8s=v3h%JusO|LyOV z%GJ4kW;^%7^xVsj_h!XE{Asso<E4KM*>>v>f4lzU^S$WLytSRoRa@3qec?UJZm~T2 zdtm&f@Eu2A*1dXWzIA`Wk3IRP_5Aj$N`DV6Uq6xk*Ns!Adw<P~dGup<QH}lE^Yt3- zJO1yp*}uQ)qjF{2;jMQb-t>PWwtoH8>A$n>|IeJ0_<#AP{|sNRemO5LJ$F}SLzTDu zOTE1dtFLG7J7j2U74X~eZrM|z^@Z)-%~z&he;wD?9Dnj4^VPL+aSy&--&DGx>YMe= z1KsyeY3KY2TvzzH+Ol@Sx5?5^BzD(Ny6b$U-TriO4DY9g#nMmt&i~h%-k!C8w`bg= zNBKoR623l=-_)PJ*yi(<+p|-JpZ}i{z318daO1Q8y=$M`z4z$bulJ7pm+Sd^@>O^5 zFnq!gZE;ApJSpdc@x$e3&rg{zXTQ1q#qV~DgU|MV{kx}qm2~vW&$4%NH2W=XM!nmZ zed=oBE%|K|xnu77_3?%Gzf)kZsH?td=d^co3b%Oql<O8dHt#vK`R$WG9&fjoPYs@P z-!;z0r`B+CY|c9cY3{FS>Xi$YTc0w$dpA_?<BrWT_A$RD>-Q__y<cW$?`6CEe1ZG> zg8b}#M>pSn@+RZ$`LgMI-A~xb6}HVzzOuJEW8a};az#aJs`gHod6?pVvvSF<V*Q)P z4%<GJoBsPmw#-{y@qORk{yt&(?wv0C@s;|rm5t4RQ(gzYy7o7fdHeUN;TF{mF^`Y_ zE2`S_jW@inu(;vPyan@Y0_G)uSExMT{jaighke9eo50_!wViL-SGM<u&6n5uZLwgs z`bzdMY|kw?uY}*I?wMof@?GXl<)@D0ho8t@=byTI@>Y3yP4OF+PJME#@995bn9e`> z?eUfE?x)4J^-sE9QQNcU&}sJ*KOb*>|GTJbt^28N^%d*oRCilg`<cyK@ougLf5~H( zoy)GPpH%ge(>=cM+v%6_^D8*6gzqu;pH`idSiG=)Ki~W%cE>|C^fxprzp)RrJ$zL7 zi4cGP^z0bl`^?)bOwT?Ri~bYy`SQc`<NovK+uA4B@%=gT)3Scjzd9|O!=I18_+7fA z^NHB<<tI+7XV<6LA3kb)_3!c(()`!&FK)kH@_OZ~zne|+6JCexJhnOg^vBC4?;roX z{FI0P#*@!hG4s~_{UmZW`ittj7lBLcY}`LH&O5s4D^KZfp2}9{Id;Le$Gd}{O3r`& z=vCz{^La;Ye>uE=$y-~q@7e0ie?FE~+rB;5pYZnb6M^*~g~XQ|pMU;zhn@Ft$2IRR zh*vB)KW}l~o`}zC^Y#biZE*HKccG?b?(<WuavM)S|GJ}c<-5@Hm-o2qfAHu(A7UQA z?x%pY{^a!Y;pH!N`wG7?eHHrMx#z)Wn>|+1-_LBmQv1up{9IuC#kJulP0L<><lg%J z;&c5;!gn5WP1g_O|FK6k&-gBX{35wawK?-v=j{)v{T6lekX&u6_?IuKv-~&CK4oea zr{`XC&mn$)pS`~AvgtRf_Evr3dV71P%tO|@cF}j2uP<!-Tzo}ZW>1jck3FpK?j4!` zJ@l34-2<CHUyZh@@4k~Tf8L&`-;8%pK9Tue(Ym_$s<GYr11E3)_`I*JwyHsU*WakG zznJ#fzk9j*<d-itXK#yV-K(7|lkoWKr%C6fU!1fyiNDNU)u~<eiS4cV`zOC2&&zxM zin+G;`TI*X|J=R`u;)+mw##q4T%LW;Dt_-G=^e|qSA2~-YhJNHJ^$K2kMes;*6%OZ z^ee~g4c+_fGuND2htC&pUy-gdm}wWkYWvb>=@m=1-`EjhyW8Qj-Z#A|$vOLC<33g@ z+y3A1O}ff^{j$ocUwm)Z+b{YlAwB)X=k6<Ky`S<`SN!z*%F_P+k=*>}k5iZaja+!| zqVJtc*MtA>cou%+&x|?yqJLjY-Sk_ovXOnxqhEVp+0GAt^l0*}{`<@AE;#R5X8k_> zKiApYH+IFuJ&x3UE`MQ9zqtGq<D99VPCS>~@n_4o@EaCh|NkyOCTqJp;`iaHv;052 zG2Zl9vGljr&f|=Fc5CK6ej#-8$!DgRTEE}l+^^{FYOStnKYl)Y-_bJV^yyjk|5$JS zULo^HP`@yE<@q0bxUPq1+y9)g%eVi7L%IFByc*Zf#Ww#Z-AQcUx5wwR;5U0k@rrMr zmFJ$%-0^eOyRA1CnpZ4&K0W*XpSEYgZ)$wY)(4coWbP}BTs__5pUCH$xQ^!hm-qf$ zekE7iR$SFSUH88H`WH9Tx9ne39e(Oqxru#}xOw<W{U3X{*zaGh`4m|?U+&?YcXfVy zf7pRk%I3X1?e_T@zsdXhxNjfca2FkTKGPy5d*582{121n)d&85x^<R+p?CTEu=vaD zIe#NQKb?L>+~VIRnU9qZpUlsy`zQK!hTUP-y~nSfH{E|MyK3L1I`{aR(0Qe^%=fAN zu4omuTYv0h=`8>K>wZp=dpzk~t@GZ0Q=iG+xmbPYa(8~#y?t??4{m?LaQpr=W4rT* zPUf5JKW16ms{L!z)w<8oXVZ5quf88B|3Z1*f4|RHO<yVc?bFu(xrbwU{e?ZBZNE0< zJ)ULv;Ocyn`wtuU{n_`;eb;~Hn>!spKjVMOv3&=qVfZ)l^UbKwqP7QlYd<P~{kDEq z`9}}=4TX!VD%U=XmH8LZ_wkQ<(b?x`3+g6+v%Yg7de0(p`>X$|uGIAP?myi<f934| z6ME;LmRncYblI===<}l$m7BlajbE|-%g>Zw9lPtZ_Z@$>|H&h(x6%J6Hpidh&iU)* z_fcy7&po1gJ=S+V)t^7V{(6nO|HoPH{zvV-@AO%2Tb^ovMOpUFU%k(2Wxf`*&AngL ze}41E-Alh!+g!MAu}pmb<+@E%pB2Yk65k)L|MHmp6Ta&eX<7Dv7yml({OFCnb9en# zFMXWx_xpUyzL&~=+n@e^JSpzZg1=pIJVpI$?N5JuyLJCDwfWl*-IKlgd4I;eMawM~ z{;m%_|87YofA|-{>t9~Eo#nUqHQVOC-|ut2pL))3{`g4mmF>2d*>f(P-k)uMKkl=4 z%;mp<dl%0BKf(P@LOT1~^#39AKUZ>oD-Zde7gqj)@7#-H`>#y5&aPWv{$<j>MeYBu z?b+D<EdBq)*gL+@K1a#i@%?=If7ZRl=@!e`kLBk7yq=htUDp_1@;L03?%re1-p%UY z9`Jobb#~>!=VuFdv_E5ibJ=>&lJM;p>vk@G#(n2fv+mXFC9k(_+B*GNctzvqwO5$! z^p9SQ`OF<`yJYu{1+$}9hgWCUEm{9kbnjyK?U(=L$e+D^`-I)Q!XwYu7VcU9tUK3K z{&I25rNg&ZU;mwX@3`#UJ<V1A`5WG5*zH_g)nM!G_roUr(_a(&M^o-S?uxtb`TOj@ zS^9<k{M&=&Uu?EM{h2*u-%-W5d#<*RKO4=FTT%Ic-7CwxhfVL^imNT1@Ql~yLblDN z$Fe5xZ2~@jo+<N?QUB*2zUl1Q`<~T)d14y(Ku}+Pja_xizuG<aQ_de|E#}MH&~5!D zv3dT}zF+&y;vV(A7k_tT{`-f&Y~;7Mf37VHi{Fr+^qJ$U*zYfF)n8J?-+s5a8_HMv z_V|s8mN)l&Z6Dl|+5LsF{R`jmFHiGs&6izV-KzUs{iJ1C-}L6YSC!xCs$E{Yd-d@W zX7Mjl`hFMortzQcUw%R~&d$~E-NJbV`iFGy-VC-~dizGrs&ChK9J(7fYySLo_a0p} ze)`n*s`0zj8y2B==dXTV!oT~AVEK(3(Vq`~-fDlxTW-Gc^)IU5z23}ODEEHx&nCG? z9d^67@A?{Z_V({nH@#E1&!3-keaDk~T<u@}*xg$HLNo5t-M?4%iocro{KVncDeTXm zpHg18^ZH}ay}Q<bldfv)y`EveV}4cV;oqmfMPI4C^W;-b{a4ZNJ~o%4?_838vnTNP zN#-s4kG*8v^q=pm1b_bIyUa76-;+5%{T1`O7qWaWy~BS$W1XeXH|e$a6B+iUGByEw zpWkD8Uh+EOYh&I6(Y?n$9^G}_rlWfLNzrq5TI%g9`tNiXIG6K<n)hAY&wsmO$@;>; zmvP?{&YrhZ-o9gBOkC9~weLnY7yh=dh%Vtjzy89%j_4ejWj~LKUukbY^*6N0cYfje zBj3`mK0a)kf7w~)Lc043>G_xU)GmpMRgS*#f7+g7#qZV@xSf|@R@*-BQQJGcD~t1A z&--4m^Y_Fz55D~V)Dipc>yBmN?wR)&i&rdQcVDevzo7b|@)Oq2cHin2$$gyn-|1Yz z^^>Y)AGns=hsP(^-+G*W=KQ?*miFv*VSnbxE`EPKNm#vRzgYhlHtXxJKc^pm_5H>U z$Fhz8)h!Pkm4C{@Uq4~`jl-tr>euf(cK7`gLF*eeD)Z0pZq2nhEVr$&<GICAKD`ay z(r+H}r5}Iw{`>>mgM#(;_mqD=_b>nOBmAGujpg5O><rxdY3uuYD&iK4*pIJtFL@nU z)EHjT`}y%zP_Ek?_+9wk;`-CxG5@{SZS1^mu_JQtaYcLfx@CW4enx({{Nd~Q^#{9! zzx=g&lQjQ*`kWPco6Ijvj=uYG+JD)|%etV3!k>({*SBA*>8SqoM&;|gdr7~|W}RpH z%23@uQQGE_V7U5f>*y@|$~A9tmECvjUi-$zulCsY?dthU?<LRPcg)VVe$O}eJvB`- z`OE+EM3+zatG?>|&gTzV@A6!g{pI2RQna?t{qE}dOMWJ~?>urZ_UVt4uk^k<&AIU0 zKk)kt<Cu%lGCAw3UoX$vxAm!LU-`t(%U9~}nEq(ezKUJD{L2g7<qNj0e%HG^<KB$Z zwtC+ttoE>d5Z8G9%L~a@ina%?*>1_V4c>cfb8u?9eW~#F>eIS?JFX`b%YS_nd8_{= z=eHN<HeaoNGf%CZJ)^#__xDL=za7^Tr^n4(_jk&^BZhbTucm*$zW0G;QC-KL1Lqt! zm9|wKvt@SwB6{wnsosl^#as7REO@7Se)8<YPdVD>y_vIe-;;T^Gw(fa+ILLXwtnBY z$?Y%hePaDouw~A}KZ%>>ub6)4^M|gyc}wFiy6@U}q0Uvm@UPR^+s9W=-(eZn7r!K~ zSpSG}?Z2e2ZFYyxDQ~_1$TV)h%jbV5UuoOS(Ri*O8egb?^t1bF{kUcKF2%}#26>kJ zG`w2#OJ(QrZ;zk8dipB+ov6hE_w@nqUof6~dF|$_cfuw4WqmQOn>zn4Kc)L_=k*6Y z^Y#Y)X0|=Zd$)3G)fbby#qql3%TE{2nWx2H@g%N2yJ}|UeG9+;J9iynzH1*|`%~vG zcbsyx{si$IR?gevR_7I+KVVzis$13j_IZ}Qo&Rs`IeYxR#jTQi_)h0$<=$UC)!&|o zuljyt+4_zC=kG80E2v*=S-13?an9bDZ}(T&J)hSuUh>)Z6<_U=<zKq&>RaDkeV)C~ z!e4LW^phWFUyXg&YO(PA{YCFCrtes^-NGXL`?dV5HP!p}9Qr8z<WKG^?srRfEYY9# z%I@w_+1dk<>#85K&N9EJ_kBmj>X_ISas}%TWLJGD`#M1`;dSn<?e`YOJ-+F>>9bs^ z@OJT&;E4Y`_tj17t@4+J-&~wqzViDG-6tQC-k#t1v99s}=Xa|&mrj>w+b`8G^!a{& zvEA>6cdYNHe)ft>crJ9aYCcND|K7U)N5?x=`3bYNpK!EKKY5P*>hwFC)h}1aT)4ht z>GP%ECi}m*_bI9J$C^10|6JbmU-9dtYPq<JXZcr$f0>w9<Mvy0?~$*nv$pSF6Zh!Z z=b}G?UuRV-%Dw#TeO3Awk9GWoy6)>0e*@3{z8@0*Fj4jS)L&iIn)B*IYd;!(o%Zh0 z1iOUK*|X&B*ZurbUDW?JY@J?keDV4NjJCg8s@(Yt{I=Vzd{^iGJ#G5wtNp3MzwM_) z-zi>yP||j{6C~zWUR}KP-Ol-wcE5gN=~u4?jrgCLZ>9Sm+5i9G@ZEm#zCSzPv4)?z z_WlX$x^>gnuD;^?PIAZc^&4E*Z~UA6_W1m(|G3oWPu^?4+I`3G<~Js<jNjdy{C@>B z!~X|m_&-fD{kP6<C|&%fKCt$jEx-PYXIEkI{(ttntMQj=KF04na_?->pVZRn)rx*E zKCfR<{Y7%!3thf{ORHZ`eRlhH)b>B`|BLo*pYVD8DoCdPF$<dM%d+oE?_XE>n6Ie6 zd+pO#(yti5bM0B?em{i&CF8cd<+ZP)UzyIUjV^n)D(}&?NzpQe>kmGgdu4OjZ$sOI za(93BRrTM^eo`R*_WVY}$?S6q)*sf}`)A#^;9o+=Oa52C+ALeD|6|{r_lo)(HqXxa z>tOqMUZe6auIFE*#J~J#zZHLD*Yq_{)AB73J&fD*S^2B#_ctfM$ccY3&3pNC>n;Cx zE2~e-pFUjsl;`>HQ~&(0c)zQxa5b-A1<Lh>@^8bx2wk_oyr*_TRp;!#Pk%grW%}L8 z=F;=IRnGGhpEKUd|0uP-eqrVP;P{6R6D;@p)gIj|a$bJPz8_1gyvqwc<Tq4yJUbqr zX;(YrPV#Sqox9>{&+cVn|MKGcD_PqEmUn;k?yBDL?rhagt1z>T^;7OVnpe$x?#0dg zt@am$?_8LBf2F(o>v_MccUlL|d;G<s@__PptC-8D?KAFM%=`c6F!SC2i+_Cz+$H|U zbDNyLeu3zTYrL=2zVqC<9DZZb^&53P-yS>u)}Hex^4mO6p6}fBS^TTy_ctdOR`uup zlKTDS&-z>PA3wQS+J*j>+~zm&@BWpLvVM=}-G%*Mro>%*KJnev`s+1?Z81sjO)TZ0 zS^ob*SeB3de(nF2n(AqL4t<<iRJZw8Q?;hv%kKJ>ze~i+zc8kszrOe5{aNO5pgg}Z z=C3=nu-^|Z?7g?-OGdx^-`AhMebQW?yGP#MEb3N%XL`fN-S)N<B;t2&t?Ivf`@}=( zE%wKcWj|$9zw>&7xBm7kbzbq0X1u!}R$Dgj9c%Q-pV3cQ%kHT}FGI=o*KWnnSzmqf z?UQFpmWLj)Zra@YYf|;Ml&XI2UsBt@)I`5k7k_o{)3;9_>?{vgD*i4H(BJv|q3GQ` zi>qAaUmn}NHU6S^O!Tbn`hog|pB*jtO|Ck-SD^pP&$3s%wQX~Qe(T0P{yNw6ef+Y0 zhYl|-suTYz`aQ_z|B7Fa*1gibdsMOZK-$uuh1F-5&!1ME6R#I;y<+{&@COZYXRlaS zH5BjKx_DQ0>$|gMlUAQUt@dsGBxs($9SO<vH(hOit$DX|_o=&H^B#Z6g2nsp%=;FN z;CR39Tl-V>E_cq&tf#E&_UT3MUOoGcae;q)Vs~8fZ|Ph8@7Mhm_4_tquly?a9lPDi z-Yv9yJkR#$Zg9Wj&E?OwTkRh`jVt<N{5Ji@uCQ<KS3=7A;&tyXxL0|tfALxVbzH6f zS@G+qRln6K9<N@#`wn-Z)BN{~p%K5s@B6jy*J^&VSGGQmJNG>_zCiz|YHgu>>HK$( z`s^OO*}lvC-SW!EZaa@3<t=);g8h#6hgouW!)=$a-;mmpf7gHSvCY3vpF8_XEiWIM z+wWi9{1;l(v#<Dm!`6Xs`;N=H|1E8fAFD6PhnDxuTjyVzZn5n5x?gYh?-G|$c^>`x zv)GNBLEGe3%qu*9K(My8xT=@Cs%FDC@$FalK5;BcJ}Jnzed=82E6C-2dzSsqz3+78 zb@;7MNS=GI^Lt*^Nn8H)FP=GH(f;LO{%+CVuDD0P7S7sU9;{!ud9sZBGDuBdw*!>t z)6?H>ujsw*o^kKKcWrC?-KT#%z)`<{_pe8Z(5QbVH%nf8mjCyu)j9XQ*U7Eh_bjfF zxvFFeq`dD7EB}6N?@i?=S@k!M>{H&p<9S@OaaCE*H}<L~>0QNZs!HPT7Rzgvv!5=W zBc}l^?_Xx$x0ncydV9axm#^YJXxy~&iF@!W;HFjF-6!)JtABAtf04S)f32>j>07vk zW#G5Ip#FmLmNzz0wa09$KTU*1{p2n7k5UzmAJ4Que9dsve~GV_-);6Rn619r`pd+5 zm$ZNViMYF3e)UhK3Epq!Dje?*F5fBssKu^+&o1}*54-L?df;+5+TQW=Tj8goee&AR z{X-y8FSrVt<@+!1{pdN%+;3uaj7{Xad2686ya>3O*SxiT-m19AH!r6=Hz^g~etrU^ zq&L30d3m<|GW8pat(UL(exvuv2Om&1F9WOQ(=#B|{QQ-6*3RFXf}j35`iaT9Gso5& zrKG=kD}K(>>XVs8#oJ^aen{O^ybC$Q+gz)g^y=Ox%TFJqED!%&o${aOE2Nfxz6w;! z?}_>is^veM<nQ;~d*tlvCqI0kwfytd=3gYs;@1BaTmSJF>#Xnk0r3wXo-LZUV*QTk zkA6m95l>&ay+pkI3*+qktABnton78~C;7K*(O0Ffrr)__E-V&bUH*mPdC6<lSMOYR znOCojsSPmO_&;vvQQPlQb1rV4o>{*@eaAxUl~rHt?iR=El}|raJg3e>?!$?_eAmBx z61`<EceeiD!^U^>*Y5kX;T`Mq6W5-8VhWd^yf%ClQl5W&t3Q6}Us1m}-Rkg_?mJee zst3<6JU{P`=2yAfUrfKf{J9fU)SDL7Z2e}OW4h^o6g1wSuY|?>=Uw6Z{XQSP{#2+s ze&XZn&@8{-@$TaD1+Mvp8!qiFUH9{wRAuYq{F6VWuZrLCF7%Aw<9T=a?j4KyEq-r% z*ZVyC-j1+$g&%8oR&B02u$O85%Zt%hoU0nv%4giKT3ywfo<DhR{EFE-{&wDZ)KSfA zR=;YVE;P?`U#Wf*r_sLm+w&VgrrJDcsg^B!`Sdn4yT1>ZAG^N#pleZG^PU6e-lx8v z`%3aVkIcnzi{;mMEI9uD(!WRiyQYg26;GCV_(6Zu=RA}uzIx02KcU~Jy`S1%e_Ha~ zf5qSLgCQk-&3<r6Zx1f%>m5FSn|Ax|r~UW6??@E=afC*G(B6gTHxz4wv%Glrz58Lc zt?g@{{BeGz{cdSR-YR{&HPE(w-rAoZh0ZQ7pH`i7KX9GhB50Oh3CZ$ytKLQJGGF~9 zOa11Nf8UC_tKXSgEKZld{LjI^z`5LRo!r|6)$dY^w(qt%{&L-?2KRTRJC;qKe_>yt z(Ep$9?7RMZetmkkM1M!jws)%f1*QBqcR3;D`RXkDbzAozI=MXMd|-dU_Wn0DkSxFV zYIV-#>o=A^pMUXR$Mo=19~*D|uQ$D({X(@^=J>}%@x!~$th>EY=XmX%_S9a{xuT{{ z)}B2g>aL4dXv+C$sCzlD3_Mk-uuNp~qQEOtZYRbb%k52-{QlT3Z+G%tw%0+wEC0*y ztNOoh{^uuGUaH)P()@jX#qBq}8{Ez3hnByvt##6W@$KX-?fI8~zwxm=zW8d9NpSg1 z>Blqj?AO~Z;xBMqUs$l~+br=f`|RA;6>M?yyS}pejc<Y9_qdSnFZJeKww^O*>E3^; zd(HJGM9--#`naj;KwO)2)sL=UGvpFp$J~vcTGh$E{?s|wS8D67pEBjUIXm%kxPRQ` zT9^Kh9q;z6yO;Exx3XsDyWE@@rE-h3{Jz+#f6Lyff6?rF@vrKu<AS{pbS?J=ecMpH zGw13n&T2Nkm!f_zRnNV+czf6Pbr<6HIL?bzZm+1B`ex5!yVU$0302+3Rn6H|zt+8b zyn3toU5)aIa~^z&x>@gUo1WjIz3b<`D#!OPkCpDye_^R7y;uI&E6aJY`tI^8roZVe z^xtkDGXEm`jh&9)P4fyqPrUbg`-<=#y952~!`9!Jo$y<&^u6%cS??Yh?mhhMY}Nhx zRo^Gyek#VFKehY(73ptAG8Yc-{541J!IZq(b?@#i+j~&FsBn5t(tp04$8@WW=UkYq zUwZ#yvdv=a_?7MDS?B*o&AYFByJFHQ*>^W*Kb|zNesQ((gYW$1CI6$pzPx*jJL={x z|8E6_>vL+C=WR-VFfA^zJMPiLknb12?^<8*r`e_|!1l=J->J{tzcN-IX0=~%?~&r( zgLbxmJ-^$|%bT>=y<~pEYsH)Q*W_(BKO}ee%@x@y|M?fVcm3V)?bQ6QcGWTGKE4RF zytU}vCi7#4cmKK8y2w9hihKOP@U7|oSGBRHMEmAVxP1SL?Kd@x<<<LF|1J?f|5Da( z-j%rj?f0aOcOJbNTy$|Y`<v7q3)|~g^}l4?Ht*WruhXkK+3QcA(|)D4E`CC`%qH{0 z&#b<ttbV6l)#G0BT>h)r?*FGAf8Dix<HuQd9?sZnS@!a0{jT{JmRl_P-L~^>{mBQV zZ&`1jeCc-c@VVQYw(hMuG_TRQsx`Q()3|Dj$M<_|Z;y6Ay?MN-<9P3zHw%#Cd)MN3 zkNLNl-}QXAZ;J4oI<?=+s?Ocx2rns4|Jro#a_`P}+*N(2W53k>Xs+r@zklMQ(AP<O z4d1=|w(gbjF9z%Tul60|%`3ZHUEf{Rx_kbUlJc)zdpWn6udJ?KalJ&m|D~*%`Rm&J zmw%u5?>u_={*$DYRfpr+n5%a9)cWxkcy0d{QeJ=kUV{EkgKu}f?KR!FKXflN%J)K} z{P&9X{~71+hR=Jy<oxE3DHHEJoVV9f@8wU2@5h$@`S-Bz-TUQsv1|4w?96$qSiR$h z>$Y#<<~NNCyw`7V)&E$~`ED_DN&Lf#R-0SywnsiM-Bi5bSHs?iP19Fyukgs<;P20W zskVQ)vt`u6-z?F;PyRdj%B))X&Be3Mut?t<Q~h*d)qGjC=M^SX79YM6`|X&;vfJ&e z`(H4}T*#J@S+n;U$KLh*6SZYzHJ7`ug5>ZVP&3hT@8Qn1d;e+dH4i(bd#-PK_u{L} z-;C~DIGlXt_!ov~^%wW7!uB3%ew>oNbyw%>;3rb;Z_aMm>1Asf_g&ETnDVaTrmF5( zce(W!_xAQ0KjAz6=IloA^Zr-<w%8pNyld}STd?d~)6}9@C*{h{O<Ozps_-`+nM>Mp zE_u(nxH!1v{oKWSE2i2+YqwX_%zHCu6>^NfdSz!9Uw!%VlXpp$2Vb^s+8<?`{=R;J zi8Di!J?!|8)VY-ljGy25&mJ0hYKqb~$4n7XZ%!}w=&9@6#Dl!pmoB`Wk-2z^W9F8l zA(_dmAL=H_i!7YxX!va-yFQ!io8<ntU$f`Ee{Qz(`E~oM*!i!EW3S&idD60S-W>Jk zKltBR%>Lh{oWD!COitxo-`=NuagXONeq#JbChpDZy7wPH6<gSc$=F8J9o#1n_qdvW z;`xuUJN`?Yo&G^6?%nc#4^Dn!jcI>$WV-$h@xy!7Pw>lY#Xn*%=ve>oobc8C_u~J4 zJo!lUP4Cg0jGw%}E&ae@Zx^udQ2zs#_jW#YA41-~mQy)*PN4k31Cv6|^*5#;4*v7y z;jHx^g)NSMZ~yez<2M_7_v+0@Z7uAAV-9D33;n=kZ}0N?T=JKSnFmenEJEtarhV(> z6W*;a!2j@#%*N^+HSM*0dk%?j=#D>duh>*xcHvK^c{WaO_Pf;agg@{4-B>fdcHTqr zlj{@s_qQ<DeDXNE{^8Auk2Eg+5h>FbVRt|I{l@dd&Na_7e%jUb_J5Sz_dV!sw9Mjv zUxGf$eA5?m@1G>ULBB=)$Gyc*Yiq>MeNeTjTKY}b{guAHuz0~2sluW)e<su#+8pPX zSC)UkUeLP!;mho;`F|t6TIUO{fAFRE(Ho1Og1>_*I_2&C?2hy&eD|GIF0a48VfXRw z-|r{AKkzgr=1}strv=Y*KV1&^ELg|%`^P`wC*dC+bUymPb@qFLy72W6Ew!RH2hPu5 z@>9aTS-Ga5spk9MTjo`pEOrLjwEUJA+U-Aa{-*Pd$A2*Sec-k@>aLzux8uUTlM!?3 zy=>YJ_jmvHpE`fzdE=h=gPL`m(|<h4oHf73>35oX=j-#`%L_h978Y#!GpE)r=7II{ z6X`!p@BLi!43rk;tg3sT{i)dE|0D}5UuasGSkw3Z&|m%ReJ#^Je0uqcf7&P3n0n_q zbM)dj=(knhpCUg`5tbIVU)f*R_Uo~HXZVKiwT0h&eyaWss%Vj)uNnW)y`ZW5{3Q85 z>%Wz%KYH{05ueN-mzYD^-=2ODv9F!>Ec*}3xsQK0KRs@Lb>G3wN3Z4|wYB)SbVu9u zH>)48*8P(?Yu*3!C;z&S2Q5Esj?cXRVrSBK{YQ#(t|oo2{3QF$@&i}=0lhlL>iP>c zBH8;I)X$6m{y$0n@YVZA{)(R{zxiA;?ldehIDrzw&POk-cEA7e`LRgZ|HZWjyPs6Q z`TS6E-{&M)ig=#!|B=nt<-0qcZ`i-tV%91C8{&tAYu>AVvfIa<{zFJE*8lgk=d1VM z@r^lfaowXQOg|NWCsp*x$7#S4MDLdTzd>KEw~MTQP*Ps_%?O$x_Q!w|MB1!!;h(H` z_Ilj;{=~YVGP{to|K{|=b8nyUk6-wc!@jY$=6}*pQJadT7I%U_+uqnYc}JW2o7E2( z>;7q-J^n*d=3%q<lik%B_g@4RZrWbK@%_8dZsVVtzhx?V^dGS7ZwRjWl698<{j%E0 z*E>Gf9!a*?GkwSZsGpL*Z4Unso%3jVL8pJ*@}DR7Zq5HzRk(M31(!N=VZlsjg2<n6 z{zLYj&kSeNe^~DExcXjJ!@m9V-y;{LpNhZXerUSyyV_5g`>ntCJ@c;M)c^1P_u#@$ ztTFN`etM9?q5U-feH~bW2>APY`wDxzpgm1{m5<zvemeb)_d~%to9XL6C|exdoj+OL z#_9L2eDU<-UDgE!Jv&;$-<*D^S@(<Y?DZd<a~}VGKSkc&>o?nbq40$}*pDCY%&s`Q zk1OuM^Y_>G6w92iFX-jJr)*z6=UcDQMr$$thi^QSzVAg&4(b_pRr8;5SA445SU>BJ z#JYKE@8T8jr_N9Rq4HDo&BN&h4gPiuewy0+USMGr{5klBZLCa<$G+os@^X)?xnW76 zyzQH=-I{-2WIoD#o3Gkur(~ZH&*A@JuQsf3u!{aYO+K`~a@~%G#SwFAeCkf@lbH9o zU4A<M2j(4zu7CLQ^Var%Ex+b+AJw+7^`G<flk&HvAB61xEve~{Klm=>^Sk9w#0$P( ze)R9?C)ph}Gk3Hce{=fbxzkUVhiBRy@=uVz|G(!Cmt0Ml%sw|zT5yRuEc`9>1B3nl zInR>+@brCfRJ^}8<hR>=arJx={)hiwAAKkOWci!o2Zs9^g#R%4eY_}cYQM+lw_3c= z^Wu*ZeEQ<w_v_dv&rg@w-xT~uNblpxa1;B=&EL-M(^_|5dETLu)<+yQ?bGM;i~l%x z{fY4(srP$=KTFo}>F--t_ulzau|@rSi(hSjnrapI9Q(dcbN=Jq1^@rf(toH5I=;TS z|Fn3)-^9XCxj&m~4e$K!`18T~lWHA9`wyviF)ROl4f^{r{fPFB-%BihulqBpR@3HC z|9*8y>9Akl{>PMWrT@d{)Oy}&Y<7Z`4u2b=rGxybe*5*c&GMbA^E;{w{)86tuD=<6 zko(V`OM5<B%=`a9O8!qoU0Bxr7wSphe?L;3Qycx}U&y}0cI<Hvxo;fRPk3)VtAE|K z8b<#*wQu!G_HC=>JAT_wf4}Lx@gHYca;RJQ)6^!qb8&oD9oKGqVfOt~-fukL-u~m< z`X|4CnA#mn|8ej9*8GpzUzcyK;QPH_(0%_zXkC#HOAf!*e$%aA{_j)%Bc{H8Dt>kP z_9^i^=RY2fvOB>4fGhs+W&7;=zxZF3|5J`T_|pE!P5GzpZ@eF>*8NfcDPZ4pw?6CM z-_3uR-u-jEbN^@BuR4L4IB#fmaqH9N{aMf?G5Zgf+?t~g*Ufr=@Z8s<rZ$Q3to$E7 z@6Wb7cK!i}{2%9iySu;j{?qg;6X$=pPrK~Ds(tEw=KhbYa~_BnboKvR`}1MmE%TrD zg>~5<CHV3c-~D&5J7#y@ppJF<57n4Q!q0zM+xbmi_wDRD)phSR<{diu_Q=7lPoKZ} z{E+GX&c2$q_{Vc^XWJb<|L~~atMA_?%|HBe?$L{-&=O+%W^k3UI|E#0RK4PVry76Y z>)oTC?)wtrdA`3>wBNI@rc?fa;=VTP>ecmoyMH}ytYEGFE}CvWA%2s7tNV|Syic|N zaQJ<Ax9(GO?Uwb`%7xqJ?_g%<7n=T|tJc!ysDJ*NpHlXX#WnY*%D-P-+kE%PRmVqi zGVk@*Jv9BTRna*AzRTxxk1PK*XV(-2|Gdd~%luaC8#zU}LpKj5eNRI!BHA<TcI|w| zT~WlnvA*Yz#5$(J{o3FXV&W(58;A8DGR(gd^f|ktOuMjT&a>qktcBROPlG0jTzHb$ zcx(HPwZAT_cRt@xzsF+N+&>MqiZ%zo=jp$Hyt|-H|6$;YT5tcqPcI)~m9cf5bH@={ zLX=N|l@Km(qwjnEJ@@jF=$v^<=e|vl->Ba@{l~|-Po4j8l=)xXQ!W0J_0HYkJN46Q z*!AWs>OD04U9{t~&(BPMX!9ZVY50c({X&WCeeBlf1!2vH_sgKohw`8AEN_|bYPYcT zw`uvUFS5J(wExZL2a;<T)qk+gd9=7XtFB_*H{V~6{-i!Kl$odh?!Gs2a+qA5U02lj z?d&_{b@8h64xMy;^kCno;%`3-8sEQBp8xQA#dFbF=RXuz6xx5SUO#>Q;h#P-cjKYW z2WD_>vHn^Or~bpI%va=N^x_YEl|FKk`6>6eqXqg?<?TH79pQf<5Px{C`KtQ8>wi5i z?+D-UJ*@Cs0<`&H?*eH{zEiiKksW_sJpH|J`GW^{9|^^6)Nfz@<E67{{A2ZkzW49- z?d$r#@$w0T%Zq(~@Pql0RNUtEP2xX(HqI*Vdk$_r9Db56e`ViA$E5F*K?Ov}8@m|f z0wQ@<f7>km2PY3E?Vlij`0Dbbf1RJ~e)E|pUUh$y?H?h&4<{GSia)&h@R5t*ruMCO z^}Cu6KZUd$id$;r_a8g{gXjM5N#Atk7XJHk`;q0F`dAs(Pt4z1Kd{`dUb3hE{UOPH zE$;U(?0Y)#m43Z~-h&srA3f24HXY=2VNHkcTk>mre$AcV8D0?8x!L_>|BdN~y#M^T z_(`#jWw-scJ=T-r58O>Y`uF~c@&}*99|_5A)^E4g{`oT5ME;@rhW_{VVRfwheZuYa zmumRS>h#w=<ovBw(J<fM@3UbYoBNLkA3xoV`t5eSdvbk8d%^$pN#;{fnv(t({(Q*4 zWnQ_+V*j<X<$r8*dD{O^dvEWFk|2al?0?$7ooyQ#Q(m%HbIuzTzIjT}I-~QGWL<Ch zy462<?AyhYzgDmNBXcfap>Lkn{)Bjr^&j^xKl%KJ-ktpm!R-hCp!x#tpG@oWmHhms zfjbwoPx1Rt)c?qAapZbIdimG&{-O0X)*rdP<*A<Yn+z!(3RNIA#(X{d9b3PZ`irNR zi<Likzz0telF-h@d`0_xi@)*C(_HsX@7=*de|YaA__N=M&+;*kn>Rm6FTc9)qRX3m z;WjOstGn)|pDe%e{D5{1<L)1<Z@!w!&s_`ZTSULH_o(9u|1SJ}zB0IU_y;Q;<}Chc zYE!+=;#U0U;2X6a78Ubq`fKfMj+DcjlH2Eh)19~e-<O1sGT-FY`r;Iz?FaEwzkle> zdFWkHGU1!<`OtdF4sHu8pE-LxprwQUG+60S@HTq>@_&MVwRhA{`Ew*DU1i?Er;Cqr z-)Np*@I~XNsEkl{l243q@S@Kww&#j1X1}VL`m*`>ocupRKlzH4E51g=?su>K!17UG zUfUzXJvMPRt<@iR$~rYS`p*$&PIBw%xcAd4o@w`n@;U3aw}}5>^7B6synen`++n*r zwTs@ZPtmb&SS@_i*&<!fKu+!;pP@?Ckta-Z@3$vcoc!4)eyaZ?b45wlI{T$|_OUkY z*ZsxCKM2Gf-0V@u>+bU0^G@6j=JXBR)0h2UY8B6WeUARa8t$6uJ1yVLTlt!QMf-WN z{xe_CiTNk6&pUW<^ONTr(w}wM6*}^CS{kak>0kaCe3_l!GXDM3Pptd0f9%}#@6?s^ zqUC-U{+ib<+`+CNryzGowt{8)vtI?g{fW~h1b-jt`pi<mX?_0k*R;-a_6PkR{;=6I zb9ca=2HOfwckvVJAO5K+e13A*Uc*vxzlVBr4$H+HJm_jQ-}!F4_?-2hxqiyk^~$U4 z`ZwX%m(WLsduo<eaD9JZX|pq;j`4e^rJ;h@k&Xx<;iPjvjVzj#&zbLxcpm@gc!BNZ zuh*~qJ$>Zv@((}1SAAqy7pE?Fu(l${rdsKSqF;xcq1vw_|Flc@UHEcvZ}{sf?TW7W zL!Zr0onN{BzLMSp#XU{w`P1IdS#f*&u9!Qm!oO@RnyU*qzdw}ni(mSAcN%|8;l7Ki zpP2s$@ZDecx_?FbdqGHW?S3j=@Jp|d@%NEQ5<=6D%!m*SUR1sE-1NhIHjisq_Z-rH z@a}i%-*Yq8n{yZP$JKb)v{-+TkgE--W4ivPKXJN+>bFi#Lxp3;bM2dyEgsY~ho3(G z!FI=<pzHAg-|aNxX4&qn;C(({pnU$S+piDVS}a}9|NH~Px&-;M4%6o!edO=_T(Qo) zzW1E{k@F8;hJH$ZbsE&Q<eztVveUkX?(?0~3mn#T2o}2fbeI|{x2?bW)61fv_}ul{ zxqqbYH~!Us{p;@Xk6)j?e#974>ub~W`vXg#_fO3?0>X>j=Lps&dGU0rKJR=eGp}IZ z%h#p<EbExo?_c^_{7U<M@%0aGem^pI@{g*|v4#9{2be9A&$WAR7GL*}p{(;?s#U!F zJL!Mh)@^Td{~<c(Z$O>X-JMZ3&CT<>#0!22e-v6*uM>B0;Y#7;N3&)K&7E9Y|D@m> z+uZ#|wI8}Z_XdM=%pY!0j`=A4QQ+NyWaIDIKQ(RsPpx3vePqfF^V%Z~GX#|veRrFy z-xzE0w8p!lQ9oh*wXf6D?#Ca=w)nq!M>SV`{sTstf1Y(5&(E+Hu=Xb$KO<<H^hphz zWsdx8`>$+&jQ_#YsO$5C-v6Cn!RP-^SMR~2_(!65TCW$ll=wY;&3LEL_(rSu<@%lH z!VjB1FaE#oweE-5XU__X)<(anuq|}hdn*zWWb3u<n@`U@QhY->&1L=$g|;JeB!m~6 zzy7oGWi$7=>($*gjqeW~jxG5s`t@e-(Ycp@R23_O!pym1*XlnUdS|~rl#)BFXQ=e8 zQ}j9K1ED_4`)}4-{b#Xnojd#0FXLB^;d>e`M|Vsws4e~|_3feGlH1b3<qt*P9kP6L zP*mnjsa^XnetwJi=eeJ3|48lMvGttsl6yOwD|q^CK8nn{A7^7;ou?jmpf<^mr-Ri{ zY1)yN8O8f}&OMm*+4tVM&-x#eEp9nqkDqN@E?PeS+;!;+hIZSZf^*(#${iL(&N<d4 zpqx{DZhO=6AF6kDx39BbZnty$j)v~)&hP^9cXQU=Hh*`z{9%h7|G5WpF^6h*v|TQL z^=I8p=Jq-HU-W)5)^UXYe(_8B)nE2b^@2YRA9?iT72*!a#T?l8Qn~Gjs-argktr|s zML$2C$o}r0|8?yLqIHbt<&^GQHm^5l@2LL3Ab05U->1hvd|LR3GiI}WTdcAB8)4xj zho1Y3Kf4#OOrMiK;pF)bwiXpT*6m*~PfjiF(AR~JOy3;7oBraPTKkFb52oz1n{$|X zhf#GxdqlC^`7Z~9&zaY+2WK3=1+V*8_V)?;Km2*|k>wscub2bQ6`brxx+Da{kF-Px z8z=p+o4dY+`Ns2_yWdZ*|0ruA|I+4e`lqkYK0acUvGcQO+Wh#4@QudhZJnGKmCtq9 z8LGA&ne_QcLEgF3KbM8S_*49cWuA@WbIldv{(|ct=-siLyx!b@+WUju!bcz(r-I{p z0mE*W|IDC_b6E0u^kL;cr@q+xUY{S(?=O7*!KaXqOy3@;%FI>T-{hR++tZny<W+L+ z(%*@PZ?c#EJN4yY@;UQ=6KdLb3huFrz5YH>-sgyOMb)$l9{uwo{txABnq!l^-UvU3 zWSi|5ehS@a-D_O3S9Q+;@q%ADHeap8)+frp^ZQZt+2$u>jBU70OYt+N#}5VH2skft z_pw{^`F8=2zUBQ7%Ab<|Fv!ha{n~%!`FN#yhhMMU(a`>ZYu;S-{cXyNKI`P^!!pfM zaHjFLxX*MyHIHw%{?)JSR}P1FxIe2B?^NHweExyL#QBHk%~bw%gw;^x*^wC%wIR>_ zedTSQ)a>m)c|LCO>(Yk@RUdKb&Cq|yVgGx_Gw(kl^B({8Kfyjd>%M`wc)ke#gO9I| zo>PB1{mtr+nstrqKNMf?=eJ+_^M}z}>H4TW^Sa$XyeZmPKJ`ymtzOKd=>@&|56;at zm9KaEENSz1&5r3O{U1D%P5NIvr@glJM&<H9w?5pgnzQZ!qn)lv{K3!XyWQn4{o#q* z?=Ms5xbN^jhIjkp_x*OQ6u;ki{KuUi+E?OhJpW$q?-nn3ul(r8=})oWTnjqnYh3Rg z{{BF6U(;Xht@&R=zv|m5#~oVi-*q`?-|>AcejgUEKl%Nm^Ns`7@zeY5me$VA?+A|< zTL1B~)11fbZ%#juvH!X3S?wQ*xW{|*PcEN-ec!$9NAKo$?f&p+^&>91P3v2-|GcrD z#s49AM|jq~_*2tAeAxZy;rFNPA70%~dS3lW`P<cs_tu}dYdYr7uB-V`d)C_fYyban zo1dK(EamkY-|7_X)8=!t|4@~A$X(IZU%%q#zy2-xzmz}znf{2==FiL<>n-?y|0?K- zmp-=s0ju5Ljn59x&%Xah{ZXp!yn~bPcO0I7%KxVL0m*&UTWgx$AJDGJ`;)(Q{g0Wy ztg}Bd&HL{u^FOBU*glSRADZJ&EdL>Q=kMlc+7|8M+F$wqDerHY{$F7E{web}>o?x5 zKh<BSI{#t&2Z8s0qV}~}?-%SZfA_B9Z%*&~nCLl=#owGxkhlN2_F3^CiFpt9-alD= z{o=lR?~iVdJsMk4P+Q0<{zm&Dhy7~P_(#h>$h`mWXm`W)cU!%P`0j4@4>v@u>nFu; zT7PW$57vE$&imTi{n`BN@!c)?f5bojy7oxWW_Rn2->Z=lg<tsRkM~XHAH2MEN4fe> z&X_xXZ~o8yykR}R_4euU(sgF%>pw8e|L6Q!)aL*4iY?QhrGNN!?$L+%PqN=w3;yeU zx?6vG_YXdsW6zJhU-|FR|10);r&ln3f2Y{@U-^D&JWKnJ#?7buj&E&$f6%yw^>=ml z{Z~=1;(3mESCqsTR^|Sb`z>T~uz#KM{p0)(nclxqk$=0mcJAx0@ZX)+f4poyVp(@! zAJ4guljoYge|-Fd)caU}ySw4Pt7HqmKYXOO=SF<YLFaE%3wr0r#(!q4<ND5bVbA{V z8czOv1vxoI`-X7#?zz&Z`fr3k-s}8C{D*+O`QIM};b*PeSJl@je|#eGk>j0R(3`sv zb!Y6v`964C91{N^BENgqd-;{Mm+v0={P>7)g@w>>^M^KXULQ8B%az#QB>v;evRVB7 zSNHvV_vq>5BgPd)do1?M{4=GNan8ft=F|N@$X2wT@8#dRen;ysYxPd?4}UZt?a;PQ zj%Nw~aZvYZa1H;vc`JT$oqKq7?G<~A*g8&qf5GM3r-4&N@Kg8g6VHEeuIMPw(~dtp z_jc#;^Oyce-LG6`aa{k#^n-SFMb&4Y|1h*USUqn~`Lb_c-zm&H`bf{FqHjkN_qVA9 zE%WaNepapHI{)$C+$YV&Tk?0b@38Q<X*jMgVqHEBB}we*e)hO{YyO_)6@QIBa?G2n z|E=ni_P3n{o$-fE_cd6HXV~pq{mi&x*Yq9boqt&L^n`wwKL!QDIqfIc+vXqsEN^pQ zdHMAkR(`pPX4&)Ve_y}l=;NPW@LX|QdE(>g{99{TrS0oyyfwb@<K)3@{fUp;+i%-7 z^uD*d^i3}3&#R2v*Ef9Zp7^%-#*deU+x!zAyDxm}eB;MG&Ta1xA6xIgWv{WAbo`u@ z-$G_}WwYJ}-}v!Sa$A4GWA^l$_u6~Q?bPJot~u_`URGIC!G1TR-C!TPw7g8-Z^4|J znk{daZ}_<S_^o|y|6luORNnaU?&P-b36I;;Z`d{T&YzR}Tf%H#)xNjkAL{@9)a93c zzwgku*c%o9uWpk+bnJZa?eq`ze{V<0nbpm_xv#1By-v>iL&x~-607CS?EbEK`}{-w z-|%QTvweTIy`8?{<KJ_=YmeV&FtgjU@$K;qA5WgmwQue{pP&7k$!y=f!{4%RRJ?z; z?ft=H?B6&3<uJ3WTL5y~P3PSG4ZZ8zw#6Sjw!87|?hPOREzY%X>Ft-x|IL|G^DE`{ z`NYSkC+F^O=`Ej^_?s`M=KsxY@&}H!>u>lgVP;p^`8IvS$I2v-9s90;?cfF5kq@zB zI@k`;ZSe<=g@@m&W0ihicMI%8ZjcY%<2V0hF|&)kRmUeC|L?>%?;91*#kajbaO``1 z`ftXZnjhk~`x75euD@BwCOtp?_||><L^gc<s<$ov@Ud$1jkWw{b~~27b>HxDWBPB+ zoSJRR-->Vec=aCGE8jQQiksc5-|)75!^ew_+vXoQw!9r|ja=q$)to(_C2p4|JpS#! z;V*-koy_ez9_jgW(tb<k)YL6|%YEa=FPYox6CdvtzX^)Um<(`KZUlQJI|me8_cDHq z=Ir@xcDp?B@!vDwoNxSi;S9Dze*0e;vweShK=E;IdhY$k-uLyFzcJtV@!|J2`NPN5 z?Kl4AF|+%>6lBS+ZGWZA?nU1Q+41N2H{}~YDxTk6v)(@V#*duv+xiKQSKrOu-_%>4 zpZr@dXHUKQZT`f^i}&W(H}?9!PyKC~Q)9W|uaMcjk6>Hu?|^OL00&rd4k+gPH`g*s z$J?I-$BN4B?+K5aFX!4f_4dzC1qGMb?K(#3__^s|pA><8;++GE`8aUQSM7ZJe8b15 z);XY<zn2e=d1Y|S&)))yc}=h#`?EkX|8Lvd>l;4a<Ila{+{<5=1`4h}(zpE+A8)q5 z39{tx;cwbED!$(`-?(pIN#f(buW#3JNXzd8huHQFpb*<L7aU@-IiL``e;DkSec%w| z-vkP=`}e=G=G4?~0NbMsw#RLo{J~?#kKeB2lAdpS^c(k$AFo7iuTOY<H~rQ=Zt3^- z$HCFk3by3=ZBPn}O94lH7C7oxzGdI=@nLkXeOs^py<AY#|6{x@zTx9n_uTz$z2Dbu z0wu2>i$KZi<Mmwo*532`vVXJY)P&vyrQ&rt_gj0v*XRFM%&GYje%n9c@#T839q*Rh zmrjnek2!SA+I&kbyV<__;M@EOj}J%R1f{V%2frEL_^~G)6lnXFgFW*X>>0TvaG)80 z1MM(4jrDE%%Vl=2b`3aB3WM|Hyev?jl)4G>%)C^vXKcWp(ccD2$2BWK`JQwC_j5M? z(l&hjnf>-Bli9wy$zXeKgYEgTH}}~uP)bWY4~icD8=&a<SbgTqKTvuWtp<hM=juae z{s@@aJ^EfH2}*1~ZDWp|PkiiMmp|<zD2Mic`)Re|<KO+a>lmcvt$tbFNc%5gX18-5 zs3iE=n-hQF*md*GprpNf?c3@N9}mibBdUD!UlB9A-P^%=lsN~Ku;sHr3EO_lUjZ|_ zs+PCv8$P~VoMYeKt1q7p_FD?rZ|Y!s<|Y0%%&95b2DapLF36H#a2`GK&GyER2SK;{ z6COW4odb%edpV$Z`sxQx4BFs``e`fp>~lJYwEV|v*&a|?@JEjA@$(HIRri}8{Gpn& zXY-cx*Bg7^|33jrcoXg`Cw%Kqd@Nk|ZvRK)8$agEznovgC>{T~_-iky<hg$F{99vC zrhNmBv^}#y1w-U@a0>heE_|xNDe!$RD0OV<2YYPaw)uyTO=rJR$0_|@CJUUKJirMf z8Jq&0Zl6zh{8<?6ujRMuc%|RZ&HOEwQ&Z3fPJlbN%|CQ3T^t-+dk=v#lj*kihmQUJ zGbiEm57|S<+>5L0n55_LJN~Ws#*a_U+u{!$vzFfuN`L<)LDo3e^{uf4MbpFQ`=mgH z!%xe#$HX^$G_?=E`olD5&v)<NHMTc?G|Z3Adgi|2qoIBJl^>cpHOJ1gnu1bDY`A^; zfghqddv>n|C&zc-(tG<hQ0cvQF}R?J1y>R8QbAs;PXLwPJLZFvqy6^_ckGRC{P<H) zy|1Bn{-=E^#`6yx)7QJaKiS-_y*GaE&2OeTHQ!^w_2QoO$KLQKJXR0C_1Q4z&z$dT zXZ++bt2_DIr)Pb_W9#_M-*<2L$b9d3;<MTtKMddRHn3~zo&V8}^KtNvA5Gs)CE^bq zTVE;P^zmGLN!;V=|C`R=Z|{|lb^pKa+?n<bA1}w|fNU<?T+1iD|JAL1ZN2ZSz_wQ3 z0@cQEK(%q*zJ5?E;px6@APd=V-D~ZY|L}gs*}u;ZAM5Ym@?81G56S<2H_j~I@R9ZZ zy6ZoL%<9hWKWutW@^#g*?|z%tgDm{`KIUu<hqV3E`%9%j7XBz-a_sqrkJ|t4F8>Jf zYSQl-xtyBP=Ipl>fA{U#|1b7A*SWI5+A8Ox|C*l)-}v!w-KU4jPuMqn^uDq8bl+)< z(9_4wFYWmleB;dbJe_!Zzu&(%d{oVOHeJ`g&{W<g@Uvmgv%PnB{5$-#dc#N7IWo%e z+iFULfBzA_ai%<9D_(YeCBK<n`|tJ>;=1<tVn3f-{8ahInce1-`Q_E_xAw;0KWjI? zxV&)Z^dzp?{qOfYZU4St{&Dw&89&e2-aP;J`61C-$(+3Vi=MjIKC7&0*)a3{z1#ct zv0wl4bc1F6#ZQlaXWX-~0~z>z>w1uJTWaoodT96UkA&IhxxY`&KE6JC#^>}6m4Ec2 z>PqU*&OV;cG5hbihS$aa%M%_y-%$A{O;_H=;q$YPmQ82>NSXEPKX1GvcW(ZnXOFG( ze?Dx!k}tQPG3Q&koKF6?-36}kY`gF5b3NTJx2UrCuYlR-b9JAdeFGb`^Z({){58M- zDBn1<p7-<5w>BYvk1gNu^Osfr&xikBnfIS(F#Bwpb8dUy&zp?1%<Wpw?x|m}r}7VP z;^XiQGuKDkRnB;J_W5-lv(L@VPtHER+};~+A9L?cT{_3*>4(z(?|ItJzWV?E@BA@F z@rR%Nc|C2u?4ruY!8gwQZogH>A-%up?BDS1HAORQ?VHd3c~J24|2_K+A4PNI{;!@k zUv6RL=O2Cv#`o)6_Wb-Kb>NtO;^!ahqwM%h^6maF-!SvNZP2}%e_s<Hhr6Ge{rP%< zUwqLNTl?l-c^TdOy!rgn@duwh-k$aIrQw_BKb0HL*8C6r^z0jd!sF>1ZpLq|`IB*W z_V048*+1`{*jFcSwy)*v-`zPsPi~xL-v3|1?DM_kCubioXP1sY@a*&IoS!c?&N82G z4@wyQlg<0{`OWHnT0Hyt*_Ac@%hCSn=KcMeIW_MqDnEaGd-&M&4Lko&*R|)odH%S0 z+u1$eSwO-EkBM)%8NaROi_6*B{qI@KKHpWpdHs9B<Ki1NHQm$X=P#)I{IN5^_`O}^ zy_%X`8$NR1sHyohjeq{4%FjO}4yWxaUbLsOX6J^FM{n50b1whl>c925`i75pm!F*d zxq8D#%ba)r7d<_$o_X&FhuP<MCqYG={)7Mb>~-bmC7th2G`?>cdhbrXUQP|$?DhHG z)8ywatNi?fFVXnD%co}_uQvA1Km6>odiKu;FK3zeuNTaDc6~$TAM53-@BjG2v(d7i zQT<Ere8v2A@&}LYK0n2L`+9Ea{RU_5_ZJ<0!+$=-e*XUC8)wSTO)~%9&n-Rw;InV~ zQFSH{YySK-X*s*+vku7n2acUj{QTo|l%2(GyZ;<!pR;e&)LEYo+y7BB$Ikwlu6<44 zUi*f#HN3Nrf8X_V^Yg5GG4+i8=L2iM*tmZFDSzmg^~ODx@$6=GeE+}y%l!G^=PdI& zmf1f`Ph0B;fXv9dzvpSY{+93T8)mLg{QNO?`=2*4&wl=P=9*pk-}Mu?2HW3s_VN0> zpHGg?GM^uBeB%uNIZ#B(o9$~l`~3Q<r`6T3-+x{DPjbrToy%v`w>_|^Ep)%HR-m<G z&)m5DlkZjc@7Qaxce};cBOhyzT(<5w{JU$hb=Tk8Ba5v&_x|qezI|wq-huw+(nIX0 z)bl6!N6p#3BX8q(g=)Ku!aI-F91ZQhTl==Qu6wcAa$UXXH#4pu+)?|p?pD6s|I%*T z``;Y9AB&~yu8VqOcjeym?G{;uw;pXedhXx1eRbW3#ng4rMaOKvVSV79{1%J6!fTIK z9evmM&2InE!0yXp({;;!6tDgH<7>2xOiH{ySo*B<+46JOpEp-;*n2*|@XDhtM^n3H z<IGK-m-B7@{ykb|n?+{fy+^x_-h24%--4rs-J8Y2b<6rUeE+H27d2<wj+~8$Utf6k z@5S~T)?U}O|E=tIzwl_s(a7%AV!PdM|NbN9uIm>av+c&i^MTK5{))Bh_Q|E*ug~m0 zE%y8Ps`_oq^Xefs&OLtPGf3JG8Ws`N^>A~J-$XL!x4^T1ueV#|6kd9?=jhkRrFNey zzE;RyEP$w<tDX^mbpH0=8*YkktGV>4^7M7xZ}T$dU$5;t3lGjY8Smi+ly>jkxYr&Y z>dS8-Y^t2Kcm4fG8;*K*e-^v0`^|6DcXr*r=s8<=WNob8gb1p+!Eb7j9A3T(VVwB# z%=k}6wYISbFHi5<J+Y={VFic3p1^Pa?dOHJTI3eqeDw3>w?CVX&Na@l&)E2z=jT&x zOa7QRoxTT;L?4Nq(-%5FZ{^P?t&f<@;`6WRzMGpl|G1_1ocZg2+R5AxzBBX0`t(PP zG4Wb*hgW+aG2T%%)uM7*?cO~{OS|`Oe*5n$@0ah}lUB`7o_z1Zvxn=<uItXbd*a)_ z-rTsY7KOR?H_kp=b@cM=8~f^mXHVDdE8kxGKFw@*`S!|-k3Pt4`~EJo_~N4#`M>|| z&5he;v9JGa_4lnib3UfX->&|?d1pppN&W3|cC+WYa`p$ly<^Ue+hS2O;jQhy_)Ix_ z*Y3sbH~!xJn{zJO=3DN2yDQIJyAS`(y>EHo*_xw^nRDOUoOxE+-Q1k>{?lLU;%aeS zzMuc*e4Ok2=I5HD%KPr8{hE9D&A-a-=EJ%B^9rk4-nRE|*qQfHXT#qA9<%2z&Y8E} z!s6Dq*J8_$->CbcG5fXHdAZ!``jpSBj-K4OP2T1h$Xmy6?W>=2*7W{=L-~Hm`|l0k z$mf4wA2p|Co4n0Iki7b>eg9QJ-v0To%hLb-$+G&Jk2vq^8~(l5Syq4ayK~R{Pk;Fj z*Y2C2SaI{wjQ8mh_pNW<i{DmJ)b-YO-~EJV-D2*`Z~l$lSD*0fvsnMny2g)s>n}^k z%}adWJwLIy|N3{|`kRkV&Me!1{Povm`!fnnHvZnd=U*O3@%e4PW8?hI|8|SD?}^K+ zX}^AySA0)go<-HXz57qUzIzN5r1#&ISN^^AML$Yr--UPYKU8gbc|OXfYU17ZAAW6m zIe)vwgRjYtuj|IehS&FTcA8$i9r@MNP|50g@LqqPIvu@xYiAr<%zec8apyn(kn($r zB@S@@b*^w)(|NgP=X@=(FY7<rzS1l_@Q<fV@5&vSIHBg%q5UuTA2R+`uJ9_UTlP=y zdEvv3e|$CydL7xnxGVhX`K}-Ncz&z>@_MH5FYX0iZ~isiKKiq|;QP{5)ddbZ9gn}C z((hfqzh&;!KP@vZ*|#qLa=gIp&EKHn?(dp>59a){lM$M(wyB<V{mb<U-@|X2tL}O; z|KQ*0C)Er5Wd1~bRs8E*;j^FL{fMaHvU=X-U#@TXF8y|{VD)?P^AA=3YQ_k)?+=al z_|H)mzpjem_z}~M&ur%2UzzW*pZR-d@uQx<+!o91o3$Uk_<k$=l6{-_m-YguH&<T0 z|NQaQA=AHlZ-m8<oD-d;{UA>1+{0NG|C(Rf{S~%YV&4>;^u7Pp{0sM+)4zayA^F#P z$CCXmbL}@ymq>Y}d1t4G%wfrm-_x(I{;t87F#n&O%q+V_-x<GN|IqSJ^v>Vz8Yi6> z{ttWp&HU){fBh`!i}DAwf3<&Ti4Ye4HFtmJz3SFSQui0n6Pn9^D*Z#pKg&HTY}sFV zU+_O{`6p<jYE>Uz@3Nm;{7d-*MnmOuFV81FPkI}9Ks)IN|5fc@$`ua(CFTj<tzT7N z+Pa9{{Y&{nMML#p`GNJEv!9=4iW6R3zcSyco@e&+)0{Ty)BcCmyVbMKe!gGz&QJTV zM~{g9<;<D)f7yqo8~^*CP1>&YYeVHf=>y4+x+KnC-QRZhb3AYLIo|R;3dVb;Ejs>B z(B}DP^@ij}vm!R`FU~zX`?)duJK^U?-rX*l$C@+mywMJ&zIBUh|88FmvTw71U&q|J zSL8SB=hnZ!x>EdGC-<W%|Cr3qr(4DdAHRO}-<*G(IrGjdS*Vtkhy9=RPcCQPc^eA_ zu_IG%?`}E!Ig-=*`sI6^g`WRK%+9A9&JnDZJ=^<I{=l=JYxvubs9vmXf45&WXWn_O z$LrVc4SqD?pJdLw^FkKtd}SB!%sGBu!0ddwos3|*dBFdszr{Cf?3WgJ#@rA1`_Q`d z-??>3pKBf;sD1Rp?US<H|KuAs^(_TXCHZUqP5LXBGtYk4hY2%;)!$#L^txeFFY;lQ z#Lipy7uvU-{d}Bdp77qgSKeQ~-+uP9JHMQucM>=>`&IAk?EH2N5}Nj)(A>ZB*`D&( z-$21BaUeO#Rpw&+;b%XSS<B0>-#g;+EBJ;@{h0?%FYfyk8{5S(=FGEi`_S^D<Z68U zy3e}{YB>AVD;#+8mj62_{nWXlbZG^Pf9K}iE9$45I{)yG?;{bt&cn7>=U=dIy8eZ| zAm^3K^v~9|XF(}kVE#c-!-^Tds()*@6_hoA^euJ{iI-Vo(Y9IfbAc0&{KBfb6-g}m zeCcxY1dqF~j$`Q(wy>X6X`$+Nd{vy>Vu`~?7td^ee&poi(0DtyLayn@4f)fL{P0{A zeq3Aa!za!&hq)h>toikd<)~zgZEK~4y4vy;arU8xt>Nm1{NhJ$zFf85KXlIF(jzu8 zLYFs(l+Rl@<KXLbBYyqPzt30PtDLroUp>8>{lg54ihZv(7mKtPe6o4=u;a!4h_7E* zyBKY(r&m@u$;2)A^~dI@;GBIel@@Ajov&`Tb}8D_OMd<^#iDBJtL|=*;{|1gAm79< zuBz)yV$n}KbJ+ON58hSc>qF-p`1yKfdvH?8&R40cBn}^)Jp<&M+adA$A`7{u=cme@ z>-e}kbp5?`GY*_CH{#dtc=tOrey{t*=EdK;c7K>~BX)(|PN$9R?(!*ed>!|WhxFU8 zxzVm3Zp7c;vDbg4-QOLL82XBzJ)Cgk|D0DRmy6a`{E~e3(6I1>>ecktM*@EP4%o=d z{1qPRzu%|j@%oPR0>?Ksv0w8%Tdr^5{(a=f>{s3oSo-!Y{3Q}6aQ%MR-p{&^%0<FA zFn{m7SpGEGqV4d~SHcy3F}3kuP4~1-zaPA}ZSQ~4cKeW?2ONBL3x0tz`ur99nrtmr zg}guH{OCveD*rmK7WeROWee4?{Q-O1vUmL1`AU1oZlV6Vr50_+KYW<Js=dHTr+#r2 zYk0xG?5ooYo^OBj@V%(}2M)PEeqR~-I)BQC=sy%Xmvxo9!dK=`<kyGtodxgxljQq4 zZpMe6e<<Vkf6*_dIKk@r@Y)aNN_S$re;0W8{9jqcmR|6Q|LXG(lOo>jdEK{vdziK0 z!#}$osovQ+ucD&%sGv;~yX;kF3$<tF0ef4#EpEGhWr`DKHxH_<a8|l=JM_(ALBnFO z`T1+W=9`DsZjcsychBWcyK_>N@l|7s=E-+M=O6Y?+P=E#{WF&x#oQa&*^fL4TeZA^ z>-hGNS~l?`=W;{e-<!p;J`q&7_}6mA9JqUX^}NHT7w<ZkzVCCnv2*ecZvM{8yI0sX zr+;{IZk2k0U&*^wyLPJ!u6xL5qu}>$**;C1*5lPH<qk`3+}Hl<+}+M<?XVA0_ZQo} zJMI5~(`MK5SAuhdR#&f%J8T+r&-<(BoxS}PTlybK$Q?fXdzIWF&W(HazY>i(`15Z_ z{Gqvx6+axn9_J6RZAgCf>)<NTWXGBJt6v<bP5KaU_3+=04eyQF{X35`t^%z=z7hXb zsEkkKH@hZV0mFCsWxoXG35Yvi<=)ZooIl*QJv-@tz}3Iaog2zKDj51ZKOYW_Kfqm4 zHsh6KjNo2}um1#HZq&ByVDInPtQ<Q3aB;;ikE_8uRCXO-@lMvYW$xmmu@xS3?4rI( z+BB>82iZ0jCmDs=)^Z8<`KR#52#Bk%zE`^{iE+1jXYmfTXa3=LtwT4q>=yj|VOGSP zl~wO~j;g+~om_dtlDlqOQvITcgL^k0_1^JW#v)8+&f*<Szt0PYZ}?sF!?o)lw@7wH z!MY08@_3&4>n&{j3weL*3trz)&iJS3=mRHL^+1_@K83yS1LOAxeZA<~H8)(7O<dcm zV7^7|)>jWzyNoMJS6Va{Cl$?n^)*{0xZ<nTvxiL<zvZu9&kvY$_^b5HcJCys@Vk|p zli1eZKV-8<<=p+HRnOmcao?y^|6JgD=HBvMzvYg~yxTLqvcff{#^dWd(Jt0EbrULg zs6CSpz59DZ5@-4P6uCIzZvR#He(!iB^sVSw;`87S>7u{qhpl;VFa3!3j?b1qy1Sa4 z3R%DJS6G+u-TP7D$`|#Kg-qh{g6;)gJ^Pkc{WLu)p||g#jg7kBzU99@-R=tB5n}`@ z8QoWf-w*eB@RWZ>yZDipS68jqIw~3Sw*^!(?ho1fZ)OtL`nrQQF#_rPgKPh4AGM76 z-&VOpWm$dD-Ib!o6@S^EJyb2+7q<7a_)*q3e|jr-D5d=myZg62iLd<MQJXzVdh6ES zXTR~czlL%5^&s2!>_;D}R#jVT_C5GndX!N{xLJDDyiyV8ihbOm!ts{x*Q4vYk}Y<F z3&;F*RdvEgIpW@&v$0X`%U@en$bFP^&V6uMKkwDkT#@7*J7hn9m}9YX^Q+U=8h#Hy z`JFi|X?V~3>npu3&OL7o`Sm+4SFhT)YxX0ab?*+^%n_dadsW<b(cp^Gd{7isH@tdX zEAqUcw*J|}NfxzxUj0iJO)q$F_=x3PXY=FG`4{Y4oPX6?C>?vgs{X)xM&AVeuv#wt zj=$n7<u1NIto#d93;dD)YQ6FiM_jBL--8)D)c9V!k6CB;p7%}TZ<qg{SFN`{Y`0^V zmraZMky$sMf3LEN4~U=s({!Kv-p}DbU-f@E_}IQX_J+FO`o;IpUoRF(|L`gbWclNn zb#ZZ}n)c@Wew|g}2mk;7Uz2|I+4Ytg_j7ljWS_fi-@Q}f*YjWPNj-S;;`;p4Z%({k zTeEJudf64{+LyN`mX~fWfATcU?&{gwf#1JsA1ZtD=hp_=%4P9yH!I73{`cvuq|INC zKeAu>em<IIwcqOS$@M2=Up+OgTp+)2@8bF+zb|agewF<9#bw#A9`<UNZ(jMmr@W?k zt={|K`-QRh7VkT0eb4m&?q~ab<Em%-{QSh{JMXjHSKsq<zAw-Jl+wQ}z391`{HJ+4 ze9tF7nf<xt$xqYD`QKghs=}fxZ|z=IaJGK-uNUjAFUjuQ9X?q+y0T{bGP@nsldnhr z{3GXUduR8_-QROQ6=~1^HhWk7ezo}gr#Ju3{#9||$vx&-H9M!O^XI%e%x=1KbN*!g zZJ%Bo^xgY?g4+6RpKjLq+J2b5=ko=%^V>h2{O7y3-eL0kZJ)jzSY}rhF?lz;Y30VL z$NBSLH79~?daz7R?$VRH&a?O2IkmjqwDkW6uuX!#wSPL)zBj4G=RG~CJp0#&6Kdss zS)Y!E$;sZmQhnT{a_iLda#yc3yPH?0UwQH~%+7M#%J1@*pR8VMQ=1bkFMIvTyT`Nk z+&K04xcS$EAARHUpMKu3vfV%9(>r0XP5xQ0{&D*5yLW1{y7||S!L#mIZ#+3Yy3#1` z)#2r4J3ntxi_dv_(bIQtdC}zX=$~(<ER&ma>&e{9vuy64QV$G%Z@>3scywh|Rj~Zr zi%;GegKbJT`+9ID*rv_1sw^@;z1uts<fnA=(*HNXHa$1_`q9$&o$YpzO`rBGv+J3C zr?L(ldaS;CzaIjJ-cDcJionU`ad*I;TEFu9a^HQoPF<dE^7Z0R-*<5ro*WH}i@R}U zx_<7bSE;`HswyWh_nh3XmsRv#)c4-r9VhpOn^nFK^}V-$$w~I<W;^Y(KRsMM+or;I zGJpK_C+A+zuGu)%eY)w_d(yM^+&Yz>8O(q0(v!L6W|jZ$sm-rhaq_o(_NS}MXW8h^ zs`)Zit$hE@Cr8U?+x!ch%wKoy$=CI>YU0c*e_U64|IfR!%=bmvx~<I5uK(G8=hX7+ z;kB2I?<{>;Sh4@bGP#MnXY-opSCuKn#|6jbUaj98s-J)HOa0`U%lluLeeIu=|LUdg z>|alx%>MNy%=fR;CturLrNQ-VKbP6<@(s4{s|o&|fBOo*%Ka<V+p}MltKYwJ_qO@h zyC==RehvE@AN}=I_OJD7_rHjjXS|A6x_@P}wb@tg6XsuU&Ykt^^$GK@75&TV^nNar zySH;?`TI+t{C9Wr%HMmz2`hH?uZs0*-``z(68!1x<AwXngXd4ofAw$gtY33#-2d<M zt##YCvYJ2tRq!X@ySAHF`kyc_ZU6p0SMGfBC*Qqx8(+%zPR)NM`kw#vx|7WJq_?fq zKcD%l_MY?BmD4XT`{(;fR(}0-ldm6t`o4?3@Z|Jbo1Gh0^2gtLa_;n${Bx5h=jWY% z^3>PgKJ%04&t>&>fx-Oo7oXhi2B*mNIj{b$o>jAVYWnmQ`)<m|-um(-%{MOh>E>|r zuMbRp?^WhbejfevNvZGN|5Z<CXJ3u3EQ`EsT<1KwI&-rA_D@fGeQSR#Q!D?s{G@nv zWl3f5`@K6)u3lU7ZQ3%qJzGy&e+FmA+q0_vzXd0#a+9wYt!Lk<1?T)f@0QtB_=EDJ zP4=sY)wB2f-lwL&{nN|evv<|IPF}zL(;H^r+CK-?zS~^^c`DN6>%;b``F6|Z_M22X z?yJl>9&Yxxx!<gE@6_}5*RCAyH?RCI>>HQ&^zxpSzvt(C`WiN`cGb#$`@2u>h5GKh zb87N=ldlicXW#i514{fWGG6UHZw|`P`z~B*);Fts?(I7-|LJ6X^RNHHXVq+-x;#Gj z)#6Pj@2=OpU!&vo>Fi(ozg5BVb&F40-_J?=ytd}k+GY3tmw^izYv0-}IYr-h`^M!w z{rG*>uLr-u8S;PbtB3rv_WV8!_ErC^UGb(rtF+J8-MMnyKC|fka<HRT&;Iox-S^#} zJ)i*m(!K0nv?<6@bvLgx$D39@zYccPeUq>M@@In_wLkmS!}qiQuzWgOJT2b5^f|xp zKH;Csmfu>nygsK&PyPOvBlTIY{>`8L$KjK2|M%(3K>pru_VwZYS$87MEB8%}cine$ z=D)o!IsadLqCO$N>QB8{>GOEFA^-CrhWx*N<?nx!pN&su%bnl(&Gi5C(miW!c7_GZ z$L&5j_q7Skpm$fkv|P{qBz(f$_TM?*yF241zjxX9a^<#Hnaf}9oKtgIIDY?1{<6$Z zRoe6KFId@bo>P>6UG08z&F0HH1B1_R|Frsqx$Vo|+4C+y&B*h;yVDb9#!KJX^G=^M zxBd9ex3*xj+WPIEex99ORne{XeV6Y(_Md6Xi%&0`m;W?;Vt&=7Xj9w8(dIj=XRGON z`}AX*Z*9SDu!gs@t6rE^e&+)x3v08||9;>y(RS9aALo4E-CcTe_1ZsKpU&o<`JPkt zC~oq6?|m;h-`~2jckiqk{!g;spWmHT1rD>v|Mpa07QVOmq`$)bFF&fyOP|k!huPm{ z&x=0!`q!*q_U``fll&_8zf^qBe04Rf&h+Op_5Jymh2LL(@_bT$)uG>}rSJcNoWAV1 zq{-JB?fqRfmnYjTc*!Y$0}>bPrlslk)$VuJTn^5?dYRqacKYP}sz>c6rS<#O>?hP* ze*DvS@2yjyGUy3^Zq=i|v;V|=^7XH92>#BO^U3yvx$U{bQ1`y{<j<-))NcNh^T}+v z`Wsi~HqWk6|K#hxHPoL!6B5PkW~Ki(K#Y~WcLf{{zW#MCmxb*Yojk94|4WNw@OQbJ zPpT*6SItqL4GD&qp8Z)>hZcj<pKpJ?PjI>1)hE^y^Q%^^t@`x>5)3w3ul{ZHtyB29 z?0B_L@cM0^R-ZJt{h2&_-j5w>_S0)F3)`(*`QP4O#y$3gBLf&zFmAnDIY+zrpWX*2 z<!?8d-4+T~ze$uzHA(8Xwa@^O86r{}({qGPxOz7opC_3sBDL|j+?<6y$w%a>d(84| zpMNf#|NhSZ_j}?V@B2OT`R5g0^A8ukdvBWj-h6dyJ?qE)>{oJGejD@i`meh9F}Cau z^NU1Nt8<NK);7PeGqsvH@%Q03J*zK%<V$-e<HW!6;zs^dImvko-PCn9J(hLrUv;sN zKRr+0k$=U-jeRL{Qu9I<KbAk*S8Zau#_hOe+s@EMzpuP-aeF6!`rHmF+4mOD&VBqc zK}z;F%d?3OC9_Hn3E%wax5)kKiyi95+fRRKkdl2Z@@(NlPgARZE8px`e(`Wt$sECF z*It&)mzsOx#9!kZlHrRV&pmnP;|oPot8bBKln)zUdC`)-`SDz5kW<`e-hO_GIjdyN z=Nav7>Q`U<c)s~@7}!6oXV!ARg!o5%qwzYo<GW|<EatRb;&y!Bl**KfHmSKEjLP<C z*{*Us{+GM>cTtr?Z(k|v=L;W}NXh>9D!a29Y|7O$YxiHO%_>n+{+<mEm34{lV!T12 zvOXCSDl*B(ch8dxUHtgofjj#q$^|S={&~jv@Z_wLKeKNX<}Yi%`odzn!S-WNr)=K@ zc1rKgVr!67_-44brLVZi`99(J?#W<7j^5cf8)V4c!!{;1E8ULopDz2|t}3FpFE~B# zuK)Ly7dw0I)Hlp6G_|_dd}eMtI8+WpL#2PiV_Pp!s7RlAyZ<66RQ5w-Sw8vr+yx+~ z^c!#g=Lj{W31mv#i93~(<boC_+aI+tvT^S9`(a{zPX!bzwQW29>ew!DJ6_dSnNbnc z+jl?Zc<ns7u*Hw}9Jo_8@m=s@Vac8Ug{&WEmF$^5(|Y{{=d6-9;WHr~ihfhE{^H`S zk~`OD-p;=Oa*Fv(NCf3?e7w(>fAz(}dx?2lp`r2~94giQJ3rfjLS^1Ls8jsQ_VB+5 zG_`uye&%flC{${XKtkoexb?f^Up7d|rn42l7T)FG>zDH6-~OARv{HNO&P%XU&O^i2 zKK=ObCQ#VcoxJm}3v9|+s3~o-+r2?f*_WDE>kbK(|MNhha*zMaT=|!v6z2~OTl>wA zzk;2jf9CCfu!rKI9twW5V?Q|A{x{lQw;YoAqc<Pz{PX$24k_7uv1jMjfHFX}88idf zmF>Ct667hFGjA<lGMZY2H9^AHUflZK9k8e5o?Y7m%>X~a8Q|>sJCO~bSW<_EuXxJw z=njyl^v>LgYXNym_Y}la@jbHTg`fm8IVn#U8rAWWz@7>^Gq<kFqqlFb$>$RvcucJx z8ojAFeQ9x4NzCk>du2dT9W@W)l>JQB?-)QCI&$L9twMK~yQv!$)u)ynyZWLc^VvBq zuzy0K{<(VNW7u*~hR!zFetil1l@}4&hLEzw_|1;&%b*NhIwSfuG#6b@PLJvB+iq8! z&J1>nBE%_)(`56Nt3rDFb{iJ|_6HRU*%P2n;k16Y3>+%P&!#y;BI*7duz%*yw2lTx zwIb9hx;H;=1D7q)#@ma*hDbxpmThl#+y+O|+nLeU7a$qBJO%6&;bL`vP=+o~gJkGC zt+M&yV5dkISC@l|g{|z6Vxiu@><$wsRBm?f+-eRD6?t%|T%KY5`w}Pv{Dr2v@9D>j z!LiJE<}EWQmajQNi-p9pJIyaaC9dL`wa(BC;0iXR-LRZ*dHa<YH{1>SdCmtc7M45N zS1tm|i?*|N*7ATNNDCUY{i(-o`#^b7=KLM2Mo3<)R|4n7$uq3gFN2(71PR)Qnr|wW zgJSv4<r%k?L80=x1R5%mZ+4_#I-FG^)4#J+4C0^vIbf$eHz;=lr~jSM^#6S0<GKEz zvPJvMTkaQ(rdHQlq5kQ<QK%0t=B68L*INon|MAB_h5!0fcYbn%GW5PV&=QxcY>zfL zR6@_ZT@4NuSS~UxyORwrakrjX`x;sgB|}Qw^mlh0KoOLm0*Rn6H$UcqW7*tzd;UUD zf_n^gO7zXb{KcSRZoBbzCTOW33pV6k%DX$RAVc0mV|h=z?E5%SELY1H@Ad;#X7AD= zm6`p4zTXO<Sg!2Y`PBd#DuUop={G8u0;T=m`Or|QPd{GW1ImVe2HWMAgPig|0cy&@ zK50<U&QYHk?SB!H;O3`+5}f(TJC$vqylj6OTFl8<$AJrfyYqMI8bNt^?+Hj=uJ4?C z=yb^^(OsE0s;5?Nb1mm(UvF3*zdShqg6`p|<}<DHFWMgdx_zej{e^GM?wZBaPOp4t zd#h@Go`jxn`n-SYvXbj+57_)yoZIo@LcvevXEW<B${zlA{0z78izn(Omp6RRe|FRV z<+_Ip4&V9P<5%mqohN)>!n+#J?>yJ{C&m4lwQc{x+ik03pY6=gd~LleamN?i&tLdU zQg(b({`|+k<WahnNz6OL^M9Pmd4B&-jQcUw&+nq|;d}XKHveC`yRG^D%-vz~b!zAS z?C<qF>$IIGJT3Lz8Mp5|*MH9tPhbAl^2N4?ujZU7=DanlJ5NII>{%OIep$(NXHVPM z%g>!LpO1ICc)~o-OR|UmHJ#z+zId|r<+8+l!DlyJU#@$YVEF9aqY^FG?L6Vr65i>6 z96UWK?#=SIOJ8n#_{!)^@##y`e9d{LPfMN`H?NQ9dRW3d`31t-mli(!<aNea`?Boe zx>=QpqE<V#?wY(=d(39H)m>AWH3#p+PWD?1GSukI+^sL?J^W>Lru+4!Z&f9?3rcIB z?c~kKcD6FHSvl|L_ai#i@7%zpQu4EF^P%ar8JyJ265r)`fs&dzw1rS|<D(h4fUHl; z%Llic+Mtb<=uMCFTtOAkwzRybP(yBS0JRWqPusa!7L;}F&4Xl}0`{^!vf!-acjm1P zs3^EK6IwuWTfbWec8dA4Yww`dhAp_-czg1WCb)py3oDh<j^Azvr`P1X_uimX|1BAk zUf-YZdks#n%rm0dp=C9H5;(n{xnns4lwRczLDFl5hIQOTkRfu%?%2%%rB~U5ko0Ol zN%p%2sO~8?DSj;h$$)j8;Iew-na#&9#vVTS@yuP}7gst<P8U2?d3Nq($@zl4XZBQZ z<<9ro&KvHZJWqPz+RHE79$u0>b2<Ie#D}wv*Zki6V$Uw&dOPQI<MS&gT0b{<FS)OJ zN@Z+sU4dTlcblq-pI=Uq`dg`eW^&e(PVO1)ZT>qZR7UpJy;OSkvis%BHf7UaZ@rfU z_t!pMTH<@R_w%jPGv%u<v6@=7?l*{kQe?H%O}&)$^R|b#rk>#r?`+;-|Nd%8knLyV zpW2@<eBd;FmiqO~`6p7(US_{MQSjF4*-yh=@2jj99$Qw*{JHJnrQS2_HCAhnt*sUQ zyiIC;{oAx>Kcin9v5=M0yMM~2So&^Ya`B(CXN^+lAF!X{F28Wn`laV#U(-DGcS-6Y z%Jnbup7EM~+WkH;E?ilD|MbcQZtw0N-xIKW^7qTWruQ~}YIsuc&arGw`-`@Rmkyt~ z+<#%>!&{5beCD<KXl`W^^UwP8ndB1p-gIuY8N2&0udD}^3hFbg7fM)Pn|wyP&D-D5 z-`>}~Eqn4EO`F4hpCUo!NMGeP&+@Ak^L~9k)2)BuTX)Irg0HI2c1}Nf!*kCe8<Uv2 zsg=uI%XxmUFFam5ckUHwP)zwgI~QHz-}^js&)Gd+_~zzap7`+A?lYg?cW#_qGr#ik z2J^X<-{zNGFZjS%-X*^&*&Sr2^0S@w7q1;pvhiQRmoz<n!{nbW?<BtcKfC9H=G-?I zd=KACKeKuM<=Ddq#V0+k?26x%T<^M_H++BEyx(oxc0HQ;e(AiQarZY({@M3V;@kf8 zxF3zyE9GZy=LxS%diTfYJJ0p%JDPtF`Tep76-U~i|A@@};oI{)Yt_fNd-XR@{_`v6 zWxw{OFu0EY)Lhpd+3K)oxreXbobmiuMt0e*g~$9V`JT<ReA$<1e{he1_}n?sJ#(i? zy$_w)E}I^=D}9HZ{O3o1?s)1SurZ49Haf5Gp)R(_*>v9V=oyt|g1fRUBBt!zEipH0 zPM+ktB{QtOFW4Ubsyb7Ay3pgwOMlNOAKtoj=JV+bg->5vSoN&>=9$f>K?(oUnY*Gd zt~5wk=bG+|zbbn3<XV?#?aRD}mztCtt=@3i@Xh*Ddp1bTjhUOb>Z8q6(;3#;muwGz z-8w_uc*|Z;*&f?onHPI2sy|OcZ|!-Ty^OMw>vB)n%wH-jeR1K#Psh#}*Dtbe`|J3u z)%V4dWhIw4Y?u7J#<`rAeYSD=f1l;s7DTscJD-`W3o6yZ&U8m#F6+yaUYB>+X1i`~ zuDdzU^lj<$?lt!DTrW$RcfZr`$;%4`mFdrB#=huFtPg+IdiTYXcOXOAKfjq*a=joR z;oUpe<=>V?w`u=2C|?Gug=%Mte_#Ce@5^luSDm&gXULWHFz1=hH%Z1`-uUpF5}x1e z#`-c&>ikP*znW>kzWdDF!!PDN{PplmxBG=}6O-P!Wc~TNs!YWC=i-+~3`;V0#60r* z7E!jVt@-hc-N!Gl6n-&H>U^;6#L9Onx2$I7N$5?Tx!hkcdHLdF)jyYS3byF=>jNdJ zmv;)!B`i<hQK$BKk^AlRi@B!rmY11*{$p8^G=-0M`uWs(mi|3+*Gat>opZh~dSS3Q zD8q2iv=#?>pnImc{=&E3FSk`atByTp6TeirexdW>r>AG8uM;?5qyP2H_g#}@ziU~Q zn%*^v`KeuAWLmZ+8<a7(p1FMe;zX(QkNXYvWBk?g7tC(kD?Ky4*08K9Yft!JwX!br z%inZMZWol!vHlr-)ANp7d)w*l#^+f|+<TvMzDsy_&vW^^MbT~A<;Laj1e1^NnqGOh z;HBNOmsYZp>+YVPdx-gsiu{t<ZF}2iq{}bcon_<ySMb?U_srdn+j+zPAG7&heygen zROK7^+xxk<W&fWbb3VADX>N*#Id8SU(Rn-9@~g)8UuQl$>UpcKEl+x#-D#Web0n-g z)jnS+_*waErud7##Q(1EcIa=MTs1RKa^0RocPgj8TlvwZSM2kp?2=!lRz@+^&6WRr z4a+9w8|F)WyO%bvw*8$H->o~E`%d@$l>;?B=2zxf<^B!sdCqzD$IrNb4Rg=5mmJ?9 zZ>V2$WbT#yGq&@D+oiobv!J@|_56b6U-HW?&A&A9VN`qNKVz$pma<ZM^#^S}tKKzy zQ-5^NhpiIx{a;Bx(>**@ulRZNuJj%MWXrwoZ=U=QltAlJ=Kb&V`=fL0v&q#Tb(OYd zoAzIbJ$$f!#_s(^$LA&8GmH7#TlsGPmao=#10GkNx+e2c{^@sBqs<p&5C2<phI{kH zlXiw>QCWM!Q_ITcSb|dFoHN~=@0L7Il9OC__N<LP|J)hV`L0&T<=Z{G`SazvhY5_& z@+SG|Y8>;6?)|<>?}%Ss;;pEbJPAGBQ*+NGfzo!jvHp@5PlP}jMCJ3Fppxq!D(pWy z-0hryKYmtaqNLSM9ox(uE05)7Yz~g=JE!A+-r!E)W3#BnxwjbR#!q|~-RZZYFnjHp zJ&8M}q}grYnj7}`THMsi+Xbbj&zx6ZwlvL~YdYgQ&-Lpmc6-EPLmpo{Yv8}ucRNr2 zy2E=G9b3Cy@AI3glItIS{5r#In)jD?hPc_1$&po;3W9rY7busVlD<6C{-QBY`IQ~L zY&TctIz2aE`rB-=aMqnDK2Qzz><s%qpXFC~Y~7x4&n!kZ$!?44+~CL8Zgy3kFR0Ca zcGz;)ieqbc^M1avt>pBF7iDL(v+h*gHa`E(p`4f9+NivIQE=t1)E%3Qi_^t-rSI6M z{rOSemc7<@&0_90SLXTO(z?KVc<J65%fByEKD@Pd#`EPDJ8NHZ9$qLlKRVgI%<K2% z%<1#;EP@_yt7Wjh%KY+<<CiIk*%3u|zFl#A^XuRnasGwB`IqLJ<^{Wg(!=2y{BnNQ zS$ER<6YiPH$egUX6}V;fvD}9l&rT-4SoUzDP_cjS?N1xe7$3hVdpP;H@%O7c*3La( zQ?E4FqH~^s%&NlM7BlAt&Geh^<ZmvsvhcRu)VZ%ROY&Og8Rg8?v&^f0rBL>l=UaFB zrN45ys|#mabyuD)c$)L9`T2!DsqHrdpT!<Nxbw{Nx$e8O?ueaBpSR|M?_p(egYQ>% z=*FM0DHgnIXj5ib9N&BUmg<?$(=T<NegX37_FgUPrTZ_uJPmG*<lPS65WIKNxmZ_! z$!+VV$!xbR71;eR{9Cu_uK!ZE!XBHI>VBT~@Kos;_Tw4uy|>?dJ+r&5S$c;5?ulhr zcj*2&Guiy2?_p(gW00ly57`*|mGku9J#{Di@wPJQ&wt*QC@oOuY0tW2_AX(0iTd1q z-O$iAx4v5a;?CYLQxad>oh-Z^%)aq;>9_9hm;dr#*e11oB|E5Yl}obwVeocE;q2O$ z%IgImG(KnY-U@xZ?SuKVllw3G9#-x*1X=2DaNY)F>EGs^>yPEW<$U&Yf}f42zXada z9l16~{a$8T*?K}kx2|pOtIZ|vEUQj!xH<*uQJddK#b5jr&y4Q9eZ~7sbep!mv3yNy z*_9n<_nq34ZINL(|C`j?RfW+Nb1Ogb?#irq;`?l#)b^*_XQba-X5DuDUgEpeh0)o@ z=l6T7-&+jv>Am#jCUgD%PlovPFQfHU{+BT>{*v45CP6LL|JE&k`LA&X$WnEXrT^3H zerSSx`qtpR6rWwP-P>ZYPgy@dnO<`F!-?=Swx)Tf<BiYPIG6MEm(Kj1b?4f?)Or8= z{A&CmKK*mR@1?udUt!z31xt?Q{!TAjWoz}F+cx#aul^@H-mYxE@iqRYzTd^a`!9lm z_rFoT<hnoSYA(z&&O7x!-ZJY>T6*F=Q<*cTYHsC#Qt-n5%A&GenH4E~VDDPaOcyFS z{^3T<nOf7l>|*2d=bhD`ErodZS@Lod`?+xq5bw@SS-wkQZgj^yqa0lwND8hM{MOxl z>2EjK=A)p94LZX<eSxfL-ezy3_fmY*lI+&b2PGz*vo<?<?wZ(a(g9_gO=oR3%iJ}z z*=7Sy!CYrPGnXW}Rvju>aV$4kwaiQV@=FtlZ>vSiR;|9Y^Xd!D!`EI-dc18pU()sK z3Hx`b-Q6z+$~LDA@+H@;Ia^a8dn@p<*>1hh>mDZ9KD&Hvd8}z3x3qzOo`*Saf6C12 ztUJ@pljhx=@lHbSw=mdJh0lM~N|J)1mKv9N@y)$A2jbDc>1C^;FYHvTI<;ZzQfLsb zy`i7y`~5jMUd=%9dfQ0;P9G>S<(=P?ZE>UV=N8$_@ZQ^p{LW0S1ch!oICNuYq?awV zZaZF<3`$J5=T_G7TWwUgO|%Gkye%+c`4;iH_hv&Z-OF!%HM1ly9%Si!sHJ~z>hCJ~ z&$wmnvD{Y<phBs620!0rQ2Ee2vz(W`-C%y11}Ft<82QV&ne+C`oVyeJcv}t2=Q9&Q zQ5p!2Qg`F?^3LjBUs@gp^xi(U@y(RJ#g~s?zRc^Mb!VG@!tyGmxz?SaLJ1nBd(YH; z6xsDZ1YAByfyxJt&j&AOy7%6GBMB-WjA#0P&H#Bd^33FPP*!~oE|mI>&d>2K=joq& z99%xweXeQsi*bM?;FuGBFO{rn4IxqbTc~W+^h-NWztB7!778tW<8SE4`F)Rfyq$Gt z*}9Z_Mln0Pem=OmW%04xZ|gypN`20}eqo)|_SWo~>gyNJZgW320~Dp})93AMd?z8d zdm^ZOIGa9if2ZFaABd%Q4*6xy@w@K@DIfOr&VBW^L~p@zp6GIqzT5MxI%4-v{`)Al z<o{i8=*odYw|XZ3J$F!%{~BcH@0tG94WP7ZbHe7Qz+DrYPX?g0`$_qXasMUR!^ynQ z_DOBuy5I2p|G9oPZV*d-HUwAA@%!HliPFC;)>kK-v-R|s+%^wZKA8MI>bdK`Bq(&h z>VQJG_ze4OutzIFMLzeL<$FCqMSfh;yqcMLQgW58JC`5J{Tcdfrr2FWn{QI!wEOzZ z=llzu=HSY0d++uentOeh-(R>~y6?)4-0e44?(=?rZ}D=T==a_bpUyv5_i=v7e|E4> zok2eB`1!ycY-v2mr~NbiXFGx{wM(4$#~kESO^{EE+AE(K?@F$CQw;X0{*34Qmk7sS z6mD}i&6AGb9Q>=zuN+#>+}{-Zx9?o7zrW<Rx(U#dQ2F=K`7i#>2Nk~|{h-QC{8{t= zg`lEgKd5L}HpBmSFDQ8bOt1V@zALlhh}RL{pS8xIT2<$a@n%pEZ#D$gs$UJypZ8OL z2CZzKr7WMa;BxUrNCJMIw%m$;?(FIFjB?JZSE}y=7l%J@>gzdtSI>;T+xdGXE2vZw zOS0Pmu5MyM)y)Qt&zap9LCNXpO!Z(;AuI$gguRW<>$sNl^nV3c=DKHX_Vdh*m<FlL zH{8_JbzeSxIV6zRAMh(}IJefrUvgX6q&>p-!A*!$Z^SQ`{MXvD_T7=cS5|?_h}DMj zF>^s>#JYofvMnMe|J<?_ly#iiDvNSKWrQraj3}L%er>UJ+wp7Z;4-42vd-CRBD4fH zOIp6gaPB{7*<U?j?klyDykJlnG4sjXAK>Cp_FMPt%YW;a<(8_yTfQyfo>7cslHCqf zP?dAHtMYom2Gh@(mY^zU1MlZ2+d#f81N-*2@%c9n<vjgwPk?glHsQ}T^ZedGGtZkt zewpD`d!->cwz|A*RrQ6Pwl6gghdF-os{vQ()f?mQ%zk&jecRQ!JLb<iwkLJRCjHL` z|AHG5|IX}gW1jwV3p2>KhZ@gJW(PGS4uh>_H$E@pRL;{c3vNh+rOdPM@ssg`WFMJ> zelI<&YDFN{{>~^{b^O9kA=}g&R$(w}k8g~(nfK1V5p1p1L{Pd?dDg5BE)<PEuY34F z<k@AvrJ&M(xuHL(tERjh+<uvV(56`JuA$8{aFUvP)TZ8Su0=Z}ZY5Hem*~y4>xbmp zT085jTyu9f%rnY~)qo|b?i>1XKHuY=Zol%o;~#r!PwI{$$<GJ1K!N<18)R+Kv&-ui zfUFHS@{e;h=k1R<3o48Mgg=`p1!}ADf-~;cGoP<t>@<W_I*+T$yv#4Wl!oRZJL{{n zU)(thYBq_TwEmduFMDKN|K|8TQ{V0H1^af_+{)7hstI-#>>z9RPOiLOP$2#}v-~nB zC0EZ-f4_8goBO-ucPk5{*BPI`<6q9xZ+id~x8D>#|8XvPlnAv}u*|Ff(n~gIAm_gc z`S15!4pd$UPbz-|E*k6S{C#Bq;-7j3I0sDt1+wR}X8((znrAtv=9zqExvev(#F&>l zucj|gN-om~)LyecZ}XW6R7@#>ed~SZv;O7IaA?ak{HEsC7hkf!lpLNYwf*h&n=AJ@ zKEJnQIZt%H=e^E+aC<oSTlf15e{*kzl|QO`6$>h;zR%#_=MO59mm9yA;`?{9<`z4s z4fD|X*~yMPDY>5=;L<VvjIsP>*~7`kGk#~?xn`F*@4xxnA5$Qa`(i`zuO7d8KS(|B zuW9b9=_T*9t4?kBx)qj#_9yPYvGn);rQjU2FZrHP%+Gm0AMk?<NOw@F?0;tYUmuWn ztIkZ`4{C`2PXvYXzp0gJU7!|4FR1!?yZ_AR{g*kj*Zr`cdS81sxC=0&b?&7#B~@ls zyB>P<-Y%23zIyZJ9T`x+!w=dr=KR)OeDQDbWl+<t64ZBnmTG4q4~pQq^Ff)H;d7=Z zIP)ree&PhmyobQKsN3MYj#oKPzwR+m1aGtWT+`*J;|R$`Iw$=yHLdRYLwp=JYwjzf zlDx>OQyWsD^+9~!-$$QH{&RuqgRF+i(*>!n&ziNtu{#wMyP3}}hb;u9<<&<1>p<;_ zHQ;u|9=6YCW`WujQQ&rk<g=e!Ummf7wkvkMnR0FM<=4=5g?YmAU5ay0UkYwp+pBUM zoRss=)P3|X`L6(KwcTm~SsMJTc{a$>$aauNEk9@0F9TT`ZQ#!bDlVeINh<%S&1TcP zhBnh64XC!tx@fD7vXBPUMqBHpvM;{$L6g+n8!Ml^ymJl|y5i8#-MuOPPVc+>bGKdH z;rsR=sEktoe2@tgx_7%lmYRRg{0(kbWS*J)`;zZr<=^0b$NTd(k3sbhy8)>Fkv(Bk zFECeP8Kh0jm$tlwW3Js4NMRA1vb;)SuJxRGMme&IkT&sOk8j=X7yr70qcj;5rCw*) z`<H?$zT<}PrTF^N?cTD0qO?Hi^Ak@{l%51fY3j3?!7utAZVU!@0sNBZ$uAUMei>5R zrf&$gn(S8%_2}jsE9dz>Keuo>PxNAFoA`X{{tCIf^>(1pT?J|r#~iq`bHY2xb@4~` zR2b&QJ8kC;*H4|dyJy>~lEVcr_0L?M4jNh&J@YyIQs-*WoK4oVnfouv9<J-Hd?;mA zse9MtP3&<SYqxS<_Up#w`3r*0FX<i@oxihK_^xrx{+X2@#cs(hzumTayV3c(ZqeI8 z!;1}-zxb?-Vs=li{HJGCXbu{fK5SF0f7kF0e5CpCJ?1l;`7gyDKInYrZu`qC%Ahgd zz-NBV?<Cj#@2&j!e#>8pyJj(S6XsRV+4j%ToJamA%jZc__UYY<#lJmw<^FiId`9@y z9cyjQ-kG_iy6t^kTV-tT?FW(1-W8Xu^4y=YW9hNn&y{6+#9zGW{xZF7ch;S<xTNFt zGxhd3o&T*hH{`L|#Uwc?z5T~(zFvRXBengd`3(2A?0lp9|8%X^AIp80`|O%^$>9$Z zbDyc2=5@a}+J1lW?Kb_o`IVu)w@;NkJ7@oL-oxGY331_%&3;VSDSdHe|BLB7+pp}% z{nst~KH18;`QN32@ZQ_!)ZhI0zl?kSrO#zAmPl>yJU^qo?eu)(_`lp%i;w00@_sh) z;jQp9+yBoxx4Lll@3x&+mrA$w|Cv_l*?ap@`I)!xUv7KYU7rva`gq$X<>Ke+yV8HW zn?LjV)g8Q5XYR(oxKa<Z^i-d@YkK|S{U&!pA8-2{U-swz%O=zEKl4w$k34J54f3v` z_U8))m1fUYf4?Ycns<4#aX-)XX9@fM&MArOy&coE(^UMfLCuppXS}oSh&@ltJMX=n zH{M46^D3$BQ-991=DuKixLh4<vslVIJ(uNT%i@jh1U=rilf(MnqLMPhU*3mfP4ld~ zH$Ptf;*ijnJ>Hi*P4k4k4f%Pe|DL&ipUK?7$7XwZKA$MajeK_dHptF9MQ7GNecAR< zIXodQ=<zm-19##k=1K3XP<<9^ny0O8Tz;wK{D-@%4c4zNlwNb{PHeB=T0cm<X7j$h zl63w0lIE>1yxR2E`98n4@bc?RonK${J$&}-%xvikojSJbZ}rbV9#*~i*!;I@Z)8@w z9S>d{e0$PdLsP5LQW5Lzrq;JtUo5=(Q0L?P?C7$-kj0NzUR?QBMfOQ@)}QisSN|9M zv442;&RlE#l^6T3ti5s5_NHXW;>qFZkG^}oKYs32R*6ihdD*Gd<?+3KZgYF)?<`tS zG9iA=G2`F$7IkUgCEk^ImHe~VRcP~ejt9H?#jlfJzR@iCv;6n||EJCh+lTyFU+_%+ z@%dWQpSu>uPrv@>{(9}~|L?CqJ^26ct{q?h*Tvp=TEG9?+u!@+)4TuwF8x*U^H=}# zjpu*d|G#IseK=F?zHR?%em;JEE$Y*}`kL75^UdpPVz17(uCIx`G~d3yX72U*&h<5O zFM>qo#{94UzWe|C>%WR$_1F2;{|k96@BAZtfqnSDAG_At>wkF6{(ser^NrK?Y!iLa z|J{CH-s}Fi0so$7u0106$>!pj*FV08e>uKpas9qU|9)&KS--$uEcfrOmG<xc-B|si zf3N*K`^q=}_vJ^{^Zffb-~HF)5B=-Ae<gqHUmyMJ@yGu4w|^yn>R%uGxA5oj>%XM_ z$N!gq?pdk+$J5Ewj_<kV$&VLXvue(qyFBS}{mi9{kJU?O<pve~+3xvdp5WvEQTvwr z9pRfdRc8ODRpv*3BtNYDXtQyx_>s@Yc&>atdTyWE&p#WLzcOvqUhh4xXKtnQo`g5s zsute3H+z!4jP>7X6%%jheqFTjbiVh#h@AVgeyUu1w9&ivk;t#j-P1c{&pM_HJyLzV z$8dVZ${RO#9{s!VaODi^&qn#@j@y{qf4;_a=CkLsx2!Y8#ggQ7&)kV^sZ0-icJ%0s za`E&yFT?k`2lwnzDPBE)=kjCKs?!qV0+s!5omrjrWaZPD*RPy-%lK@cRArUwvqq^( zwX=KHxy_56TIt+-CvtA(3b%RDlPiOJZRRK53sTl!b9m2Mw|DD~+XN}==N;Iy*e&jq z(fd^s-KD+vnV9`oiBl^}3fPnLCLs6ty8KghX~y4fXMI`S_vA%&ecJt7O@AGF_gNpQ ztJD4#on_-+nSJu&`6oBFZ%@4+zIguazS`{SZ@aBG#NP_+smoIT7M*2%?(p23<z<Qc z7a#llW4BjdU1|BZ$<-U~2P}@iHMzE2|C_Suyycs=KY3yOd&B&j)Bo<0`g^GEjr#ZW z`&JFL{=Id-9KI=Um3_W8@!Mzi?u+kNezf`Otm1xOe^b8vvAW>iedQLvmnz?UziMN= zwO7%X&+ePwPr1Q=^@VtU>V3PuzfU;dgkOD;?w_)M^|r@0Rx@k2>$uq$a{XQ?_4m`v zN&4cum&e(aJ@DM;^yX0HqsAMZn~!QA%UcoN6>H=EX=?Ivx1%p__<q&eIJfJ`>SNoS zKW1%Y{`zX;Wq+@IZf|x~oxO2$xk|it*@|;V&e`hzytOg<RcVsAnrggx*^)T@vX7D{ zulL^#%@^4HXU!AoW83BlrzeM9kv{5Yrh4C^%=ho|8{a-p;y=cBW%iMCdlY^y+bI3& zY?8IU*SjNmtIYf7)_Z=kKc2UGd&k>-IzI#6^i`d{u{2!ezE+w4-qM`+p(l$s3hRC} z+qn8wZu0WD$u+xg?5p~H<K=bL`-)|;dz0V1k)HHk#5&}8^7DIBYM$TF{i?T-JKu9( z_8X7A(Qh8zp7dUB_v(AfWiMq<e(#x^)y=)J_G{e6%kRDRmA~0mHUGxc?<(`ftbgyT zxPL?TYu(1|%c}S7%ihgTGT-*K@khf9-mi@tyXC#=0^WqE$o1U~k(b&1XX2CgBYyw8 z^Q70+oUyTPez$_ZH~+8yjOYJ-qvu~LJ)GBG`N`PIB<9zgoqt*H8ojAKY4cfBR&rh4 z**ibm-bsDiedhE1OV`Rv?r!*P`}qlfQVpN4sonWc{co1kFS^~PZEt-3+l#)3xAe~x z|6f?$)*L@meA$a5`HzzR*zlUpTl*uvENFlC$3MEJR`Xip8>6o!e%x=oxnrVx+v?3m z<!Os|xBazy_VZ?DHs7wi8*?XD8acMNc^4aQf4(gG)#1m_zdTEvH#5A3WnO%5`O0(Z zKPPUS`}61znX0UkCRUYpZcnR?3U>`+Vmd0b5_e_p*u(Mp%c7F#+K@lbSLvwzytr|5 zcXxm1-N46{S(+!kH-7f+=<l5y5mXcNCZM+F&8478^963d6Q3`!d#Rn~w(VP<s2@M4 zr+jSw>cx7;*Xe5i)ZAFS?Z=fHwqG+hHa}I7?~ILztEqZ(gzJ%UlKGYNV|siZ?~ZN@ z7nv`*d#RoEw(XOiRLnbbs$|JA`{|*VCmlYg^{6<h{OaP3e?wL6&CBXcKUQvBd-dq^ z<7-xHZ@g=&vR|Pr%lBjJ#@VmBlcryv^ykrympwlw-N^mAbfb2*cU|P0H&&0b-t_&_ z-<bW{tFH3RovKILN#C!8ALF|={m-f!t-MFVkNf3l{L6mRwo7dHjH!QS-MH%baqGs( zvpd#z%dR?}G~I0KpI<k=r9F~Ps-E4Q-!oTI==VnHSF@ASw@;C|zxa*WquI&rucDKz zZ*O}1=7e8xuV0>eS<&uImxC5hzTZ2yrg82zskw*Z-iUllRS#PH_}%foY6WXqQ>&xR zH#Fr=`GxoTtvuoP(xa>@tK^jX#$dZ?vac-+t>#J1Usx_=-8uQ@$`uzq=c(<K-@WYE zcO_FLODoNO>wQx7dcSqb-W1>Dyz*kn`DFE4Me9;itC`(5PR1PbbME!qcFOOYSDBNk z)w$3&7S}gi4p}^T_q4fpc;9Tf^5RK;ih4b_b*`yZYhf7|qxD27+3$R1cdBo^TyatJ z-f6$@bsOcMKV?7iBks$UA8}vg9`=1-Az>Zn+|y@z(9gBk?@?iykpHIO(8ZH$y5>IF z{N~D)7fa?PtAACp-X|sdTeWQ0`i|p|_x#cN{3!d(?kt=7FKnM5&3+?(FHLUc#r&-^ z#qXusf4BQQP3rH5`DcpdH{9<%Qg=tQUS;0TpQ{UR&pCMekNTccyVQFji|_yF+4<S> z_e}kn=2u>@#~YuoIlS+$?q`SIy0_KOzVW|NuTP2#UL60@(Es0|eP37q2rIer;(6V4 znfkN&t3F=;v&l66&+#As_iOwsuM#u-H|u`b<Mlsp+MP`QXIjr^_)YrN%=fbs=FRl( zxihc5a)Ddi?Eam$_P<Z6e4Zus_mtC_$=sXsbx-YC<hFm0MX~nLx^m8EPgQ4%|DIWX z)p&k!<jKDkm$x1LvGK|3i<)Z>+@2>@neO^*>C_`9?wWk=ld7x^efBQv&GYLC_rez6 zkLj+oJG^f*-{)mge~(q2nfvwT`J5Ab7P{Hr=%`Ff{&u@L$^PAr*&QEex@6tCCVMZ< z{CRE__p?&dU;n0^xg5Q5{wc%nS6_s0Pn~zG@9z=5Gpn<{?43J9{nMN8Wohr;9@?`- z`tv*~>$$cwrhiMZ-@CqI=MLc&)B9s@_<s^jQvW8-e<W{3cu#DJYP@FID~6A!HqOra zBNy`1;pE5afV<5{f7q^gw=n5XjgI)yigULFlm6^FZ5PzDf8Ot`l0QP$FOxw@j75FZ zWXsOEyQJp+TKOi$KY95=x8;Ru)_uqQR=BClq^g(eS^Jt=o#no9GX8|0cdy^;pfaxM z8!v|~zPztV_PbY^lBw0vSx@TTtdp`o=Y8Fy&+lc`yDwLM%sFkoA$Z?R+1FyA1aE!s z?Hd)QGOer<t@6#2_q5MlB{lce-#1I-5|%G>TmFs1`rG0+Ke9^x9KYe|zd?A#MNX$O zBmYgo;fsUo+vdL6{Kn+U3zN8n<CPQV2K4&93qASwhOX&8@t@P4ym)f|iC=W@`JErc ztXs=(c3ye0<Ne0RbqD<1d;LB-mvzZ+z8tzZc-BF`m52TOd;NYImz|2=m|Uytc0Bm; z-aYScc-EW;rTeGpWs_{nR%Ml}3VySsE*az~v(tY*%Iju7i#JabeOy^%YW31m<$Ln= zt3TpWo=uUNd&cHY=8BDz=T4uSGih!>Z{KDG>vN0Vm|T7FL@Zf-yNb20snyS=H%{uG z_KWWIJD9fI;DFx}x8t!BWy?j&tg=eFR&NT9nLKx$)Z9x^Z>C&JUB29H`5rmzr#jZx z+>%OcOzqOEgHL{ZZ57`efBmWXWSRZzy6cm<>$iM(y=#}${&n7Yik5Y;;rG32qTjr^ z_ULwU`zwWQlPCY_yCM5^?#Arf9(Cbw?reLsJK5d3V`Jo<z@D?~q-HOjt8zR|OaE9} zaPQeq=O!f^@7ygh+tk$Tt*y%OW$TZn<@x7*yK;kv>yD0}@O|OuCu@&>KgM_U`%%5O z>Oaq%uc_a)blq{;^Hcx)yD^jb2>+43EBwdw<aI3P-M4b-dA@$d$3LCUs>eTHzxu=H z&Y~w3|DGf!)u<hun3R*&CzQN-;jy%D87DVNuG|=D>sFLwrD|w)`*`=pm-7^krMdT> zebhNA*|>6&#O#GqvzJa*J)ZW+#L#SYR?advmE&o?y}r*&^2)B>_;UWivg&OT_jez$ zd{mume&xqKQFm2)m9kecyH+0izOH88lOOk%o)>>yeLd`Pb@(oiBAa^u-sj)-rpcVo zk5xMMnLVpUt<_ug_-A!J;m5`w^~IBoYg;8|mztUxhkNy%-6l1A>S~qaY2UI8&7!Y& zY>eCy)^paQ*Y~^C$&E85gu$NpSaova%zd6c=XWmMXTGg`^OGNQjJJ1uv~+J<{dm&j z;<7x^$HnhfeYE_&-Lvn!W%Y82^YW`c{@J-(<@jgws}=t)-JSIK=iBNd75}D5osZu$ ze|D^?*=hcQ&C8E1`>$aBnZNLIRYcF(DCeH%TmGvZOIvWPc-enFOS9-Ko8{kUJgGQ$ zrbS}*S@k0~&a@}xY`c0x$41lAZ0+(RH`c7)_|i_-((LW?BR}q$Uj2Sd&s_ERcfG%R zB<}Ct_V5(<q{r3MYXf`U$GZ2vkA16p^5fpqTaHxxYm++vevg{vJo}KxKfBj^_dWOD zt9T6L(7Nxkd1Y5_=xp72Gc<YgH$C&>_l296A4_}8eDdS>vv1my{?u+>eC)n?_QWSY zey6=tHvG3wvPS3Fee>@5ogdHF%9{S$x&GVEC)!8O)hZsd|NiyLkLUXyc%KaKiv8iW zr|8X*>yJv_v{g;LG4s7jylL5U_Kotpzbuy6&-QxN$J#aj+&|6SfBygKif8XXuTKAG z_kYS0<NEpk%|D*G|NMWf&1c#4ScSOFYuX>xmtW;Su6I_=@?T7%Q_uVAJUzpG?z*P? z-v8XGdi?u}<;T9;&TucPxzGK%>!a-}J%wXwmYT<wZCxNSd!E$nm#r$t)1rINo;oz? z{GXj0c1X-_l$t$L#Qb$uj@YyZX-S@jX3|+X&!#_2i|+L;kIZw+$_dNKOPl^U&Arz* z-!)GyOelHts$;v=!>7s2<~6;ycgC}{EKx(V*Q>ifzPo99<Oa{x8ztAK$jp{CHOtQS z>?=Q?dgMmWHPPhFJLXA%;@$hTci-77Y)Lt0S8wR#Ygn31t`|z)yzJOATMbLIT2r&) z*`Rchwn5W;u6^<5mB-TRxbwDMxuL^fXuNl+#Oz$td7HQJnpbD#RNXGRe9N!rtV3_P ze$M%`NBEL*epMRYv)#KHl(z5LZti|^BWLx-$eW=>HtA*NhGx;#T^l1cIzYMc<vUeN zGvVs)jW+|5H}B|wa%0Ok@#M{mj-_3iDl<FO)NC_*!RE!s(%w4crSUyXbMEzh9+20T zl_S=D$46ETRFpg~>3;;u!Iv)Hd9!L`q;=cuokAc-h50{7d*xziR%?3iuAOI5j@i{8 zHmB8#jbp`<jct5;&KsL69ZU1>J^Sb7q-0RaDrkFB@%_-uq@1$zV#%9p`XpvwTLFou zVpT{yRZdF2ye|Oc&9i4*@2pw9F>=R@*`3};Zse@kIP*WZ`R%NnUDJz=gOWEFv6^oV zFWS8L*s}1)X^*5pCCQ%ZPf;f~-VAtrxBGgbv8mdzw7+hK_iSHxZ<JiM@#P&AOEc@N zoNIa0WZuu)?b>tpg_)sQ_xA3Mpwuto(RcQWEF_xlYg(F3{@%4QGC2A2KL4VeUs*ZN zUfp@Ka--y(^S;j$^USW^IAee4>@=y_Pu+LU%<I^AGwiXYw=hV>OWSk4ujP|+*s}Ii zKjh3)b22phyZmUy_b*EzvGlnI5=)g~MK<Z*EDg<~^*c63Rs=$dAk~u_XI4b^oNbeu zeKcI<__D9NBxZ}6noZ94=sUYgYW7X@oi_uMH-8f|H-2BVdEv2TpXJP*P0hOB7aN<# z_MBZNb^fK>oi{5sM*ivmCB5wbkJ8>HgNpk#b*IjTxcB(R_m*$BpDZ&Q+;e$;$ERNL zSlW-R67$pFNgJ9)XXQNG4T+`?YeCUeo|IEoFOt0Z&t!?&*Ul&9RAuEXtDcm+dF`>Z zr{X6!W<tuYv}gOl(ez{PY))-S^=Yjj*Z;ITx$$OL^5wa)MK<Y6j-{pYo%}fGrX|Sv zmuI)n?yNj|W6i3KGw1jh<(OT$p>yv1*(*#*IoHI69$RkahQyYR5+t_7rpmmZ7wyw? zHiOg9tlPT_99vtaJh|cXwR_{ukmSvKwmiAvqo;lhRD)Dkp4|9y^~Raejk9e{&6*kW ztTMr|wH^{%p*v^B`SzUMCUrhCsvD$YFOT`!tw(S0T&ehe$m)*I8tr4t_BTqrpLZ{y z=j<-2_w!CdD~w*1<Hc#_V#%Agc1p}{^*wr{=gN%|^T{%^Io%<#byoHGvaL%Yu@&tJ ziLJFD?{1#<I4!L@&+N*LlClSBD~_cVy5}t`6H4B^@>ub%-Js-~mT3;E_SU>TclM4P zC=Es4ojrSH?U5T_u2z&+&w6qrXVu5bY2TlwRoNSw9cDiA!={+MYvawJWaIh?Pi}xx zC69MsxxS3fv9#?P$I^Zp7@Dm;eDubbD>r=P6)nwfXXS+TO_7;h<_XDE8^N(<(KoyE z@lkN5vY$CS*VOE;k-4&|nfCGm<7zE)>#Urr^aA6;WfHSPP4C&h^z1v^CiOnfrhE3v z%|~ynnXi3pclvqZWaE(J%a-v)Ib~OFtO->)zWceJK(g_!h@P{LRFiVbuHMjz)v`1T zp56(LrkJTRvrlRty|HHH#>{wCNZA)&3@Q{pgy&gZF9er;J+pO9%}!r0Hm+@klzk<} zpkk(Kd%<NX@nqwG$9K867a14MmYDrdHfc}wFF!-G*I9e2pXq^<+vcL}Pb$v6u}#X^ z_9`hy?45YBaZvK*T8E+>H8~MT#jbdAW2Whm8*5f<ocU+=6L2E@YYp=6HMz%W&p5&H z<ODAJ=08b$rD<q3JIiMIZyk_|Y4aYZh4-F~nml{w^PM+?lQ;h|G7nzgvGL{VkMF*% zo&<`~=aG4Z#(!r?%zijKsb=2J?MH6ptlT)WKDsCe)aJT3QRaPIM)$^>wedY?Kb07o zt*!6c_;TgOng1M$K=u5Z8VyUcxBZ~xc;n2O2WhdrXAi00@%a-CPGUdL?*!$jzfH3z zO3j|CzjNl_E>NYjH-G2MAAUV&S4q8}_a_dNohrUR;=kkbSL0aPUiD+U)9VGn@$|F* z$qi7^@H@0<Pj#MG&)FB{hGyN@nm)?wa)SyB@6DhBa1EpYJg;VHHn|v50Q!0K`NsF2 zy%PgUnv!SE`li=`vy}b;Z~>@dewn)qQUG=rfm%4*CCt|z1^J=kyHnTfPSqnfzWfFi zfR~bTu3fG8e#Qt=f}}>C{5U5vy65aQuB03>wj<yi6+T5~cIQ-ZSsxh_S(FpCx^rV> zV6ySfU681XZ3cNcJG;nuGoQJ1R*u!{BIA(c%{%1GgI|LRgU6P4dv}0}$SsEEyR&kx z{X25@jshqFg~{eEGZRm~9RB$3TYK-mv&*FBr&n_4Eo(n=gJ-+g<IiWFLZa%v8zic> zO_g~ccf+se?7P0CoN47k$(K)cgQMzRBqXZdC|R1lwLNl!=gN&UZ%&@wB{jR1$y~U) z6I8<*@7D)cQ@;yA)zl_?^UZpo^iy^Cjt?l4hW3{G%PCo!NoVb;{uq$AtSc$!TED>K zyPMs+z}1wDDx~1+165PjZarsTSQwghABR*^B~4Fm_{`M+)yZc+d4ihLt02w$%h2Y1 z3#eFYzP$70oTF!#NzMMbdFRcb<jpmF=9d?P8j}_0f?7c;_HysYjMX@nw&2)qalJ=r zkCF_{{+>Qk@x3GzQt<tRwkCGQfa)nOL$m1c?v0T@R!e|VU!HGKj@mjwNREm+xiRyu z!m%{h-m_17CxIGEY1f{E+6uRP@0_`F3RD}M%e}*M^@h&9b7w;oKrVk?^8Oh}#U)#C zYhw5G**kyl0Ou$L^I-l?NRB!T%~5qNvtPO&y}|Qe@7VL1)jmC-RQHqf<i?x9$;K5i zMSH3r$|vQN%?H&}QzT|zy9}wP)S=A@<4MVv>*63KA2+z<tC%>ub2>PQ&CD;{y!P0# z-%{X`Z+)@xZ#Hl|y)Q7nC<gM#ZuR?9A+5e2a^}JByEjJucjzfUU#D>_ZN;%=!k&F+ z`=n-X?FW^7|5T5qed&~#eY74DPhVC(x#3fK<VMb_jgkL+igMKULt1@*w?4V?<?4+y zwTI8nlbY=~efBy2J2|U1&ip?ST=Ll$8vor4Dfzx=gA#64@SQDn!jO{h|I@Tb<%VW| zBgMP^XGB(f|B?gAQa<w`ZHk$o%C?Np&@8&Rb7SO;XmFdNT<+w?nKQz{Maa>gD#yVM zV^Eud8(K@Xf@`U59OlNF;L>jzq?Yo`TQ&_^OPvPSQYW8*O21o0ch2aZ2f6*~)EzUU zA|Y*x%h0%rYn}Zv^ym$q>jIBIpINI3Y5GM$+7uH&rC&sJ&)IiXNjcN5izi>c<<R5n z-+T5;+az$CLRH(+?5(4r8MCRG@$7=l>yD)*2Ir}nL3(7MR`JyvCG9&veaoAZX5U;3 z%IBAFPn+HO_Q;Jjt3JLv`BwFKnsaZt{<{Nbuk<D5Tq_rQe0THi4sf1&qXo%RWs_yz z&$}Jlb9RNov9v;cL$lpkIn%yPlL6Paptza`D*4tyvJ^8UOTBXdHKBO;9xW@@FrS^3 z^Q`+$&dQCEc0IFS9zJ>_XZ6Q9OBaLcgUi-!v%|oZY`MP7>9a=)lX8BkcYS>Kax$pr z_VL|JP>(md_pDbWC`*YLnnf!o<%sz~Dk)p%q8znzkV@*}WEpU?7!*s-y&!Fg&miw^ zF0(T?7B2*~{E7|DK`p=O1;(W-C1(4Y&bz#K4ybJO4lmfe`dHdque@jL9;NyBo_%F{ z$0t_%*s}G<cHh442`%}0X1{!W^af9@q22jAzDYUTuG~0tU&qp{IxB~5yGLKSeoyzt zn|ot>&K4;gTUOc*X`ov}b5ty-f$k5^Q9g4)y=w07#l{~SBxduPntkps0Ou$TaE{{5 zOZ$Heltu16zT@-98B#~pI6>;Dq!VYaOis$Vrhc^I`y1n=oVKhStMguv9Q7QOqh=-L zxaoIojI8m5jB89+J)ZVT6jUMSOp6D#CCrb2+Y<XVA#I6#aM$&NnfcuBJ8p&~8~?X6 z|IaV!_wS>S6Zkj>h6={iz3hLgKmXld`{u^RWO?hdH#d&+b=}z5ALz7FLRG`ho6|Ju zxS!^tu#C_suYe;O8@1Asw<MXBt=V;7|N7_h{r3I8zf}~(uD||#$E?q9*Z=?jefFF= zm+MR49r(BF=HJ*_-v7;?-@N{zdyf56^xW`!DfNp#cm7d(elq$^^ttBkh1uJlT+`Y6 zc=pQr$JcWD3bNDgA6|QNTD0+ZyXtJdC)d{09=I2m{?GZ&tiS&sUDN3;%;tM~t;aqw z|Lm3JJ4~&MvZvW6=bwF`eP@>GPSeZ6->$7Wy>{mRTjuUX*=GAbp1t$GX0!74v@gy1 zWlyi^uz%iB&(^s2+!>P{rqxB&b3gLDd0X1|<l34uC+@{PkH|ZF%x7Pu$IoXjd1rs+ z?3+1Lc6(ZI-q`}aZ`a<Od|Mj!{L9%KsqJaya(Cj=6XWj8s@-YY{dwbdkn(GP-n_lz z&Hdn-jDAb>=3NGPXA=bP%<8-|>!$0?=wgNKX}N~quJKGivi9brXyffu^0z&`rlb3I z_R7?P?6N1<boAcOX5MM~ShS{CV|!Yz>-{t9pUhU?X*zjM{<5&cYa^%M-nl$HJ^yUq zopXElwB@H=JF@m>9Q*d-^Un*j%bs5Axt5xLcH*5`y<czK-YI_V_*%<59NW_>lkdz* z-f5~WSe>0_c5v;@$<dp4X;x=1+kRy2%?Z)Qce?hP&MwLp<JxCAF?#dXt@&=Zf2R58 zoqhCe-_7aKn|C$mr*-czWiP6pJ2keZSZRCOrq%guyB}W5Ir;XE_wL_mt6HKr?-b8_ zKJTvd_O$4{=ksp2?=@vF%D(n3HGjSFe)+t!{}^|edb2;eCUa`7r2LV4vsTW3bnVTF zwUKr+_L?>yF37(2<eJa_p1r28i?W~be+PR&xyHDBN`BdsYdwA6&aS&N>uGY0aj{O` z*?D*3(qq1YluQ+_Dc0GZ_SogV&YUN+g?F01Uj8dh?cmy*Q*Q5U-=CC!cHW&j+tvHO zoqdzJ!*qB0gKw`RErhqH#pj((>fLLqUX;D<TvGn?I4kMx&wj+*nRWGZK{ng-$JczG zTsw0O5>s!PzFp(dKM0Pg@|ED2ihVYFC0pLvzB{vyuC4*aX3f@ozNgpL#6F(=GrP7} zWqVrD-uz{C{n4BCwio-~KX7lB?9RE>Ki%%1xziH8`7isObGG%|c_0s6T>ECN<)rA% zh0@j5-w(arVcK5)=$g*`)@YEYp7y_4JM*V7$h)(y?!Fmq{8#+WEZ&`_hxcy+C&WX0 z!EseOKi@6({+p9)BWo_0|1Qc7lmB$~&0%O<9S6tNrO#&{6yBMoyK`>!OV0Z~ww=+N zf12bypI6HUiL2L}w?A{pJ9}&Mo42LU<{w^rvtDp}+ROPnOxugHkLhpRo|e@R4azq^ zQ@>r~i95bFa&olsU$*M(YfrD8`F|Rmx!U&4tUhjTU6k$i9}-pnf22K&y)(;p=iYNa znD5J+TpRiGg8A{HY%{x0XAd0*yZqnE{4~46khq$^d3&0F-u`)4^=piOtLB}3cYVj- zDzSYB*Md^t58di)zbDsnYLDMLxA%)}-q}Kf^}o{vA~sv`=ABK5g5<21Hy}By>f1G* z;2oyXMcL2NzMb86XV%il8e>y!NPJn=g5qn=x3dfH%-XuM#@K#)e&3U8KF_|Ny~4D^ z^mUQ-_mZ}~rq)H)-#<0&n;FTmJ*_(M&N<ut5|HS61c@%eP1}q0bsD3KcbMdz{baPm z^mtKr*tFFAvuBDxB}sPJ<~M6+#&K>>bIv<kkoxUf&Z)JL5l78I$t~>b*$iHAY{gs# z$JX^vXW!g{#@1JGY;D??&nEo<lCz|LrDZAKnRV8;!20`}Wjjo77g>M5llEq<<%H<X z+bpZA=l;|!$o|H?!_+(aF*s-CAHFwhWo{w382R6_*R)wF@9eBQvtG*H1f|BbI(1M~ z+`Mtqym<HTH21u-E1t}b-D!Hd`bS!tKP0v);z3FxZ=MIoR?>Z)H}An2%l_-xGY5B= z&Mvb4{y_j-1YX}9_3iANg*!}lw?77DEGBTqdUXC~G^l`h?eVSb-BPZ+vmXt2n65tl z=o(L5W3=(aXya-bNKr0({@$#Io{*TTdpSFIr>VF4@3dDUcV@}%H0|F1?QG+nSsyd^ zSx$-GT(&F!S^Z;h8MwK|xYR7~?5;cKZ2v}oyY}Y9+dHS9|44flb7$6DtGws)_A_ix z3(q_I!s**JnUia8?&#P%xB6kyomq!Z7i3S9hD4QKdj8onqL8RspOSy}%hnyH(nZ;A zzL2Q;F*hHS+G3y2zSz4DR8Uq-&j0rG+L~=O#?{7oXB+Rtr9U;hKj*~SnRnjJJ}3+F zf%W&G+vdULkFMqX<J?|+{$6kNX5H<@=l{-x6oT&(^Vb{SKe+bhe(~*TFYWHka^7kB zc>l)jX|L+QzCF#qVSCyK14vZu-@H97Ht*~o<$a){;p2+@XY)RQ3Y&|Q_uZTtz4_0S z{Iq|K(VNw`UzfCh4GI(O^FN<~YOBxtA}bDpQrR{3eKRX1L50L~xqXN3&9dG3w<;|D z>)AKiJ4|=~KlJwcW`iS;jHL$2SbLA&JGb|XS>D-?^#$2s_m8fX>?z1rE6Ps0pPUbh zhOOUkMjQW;*q-K{clJ(w?PlHWX_;?kuk?phS9K4;)s=oNsJeQqegBMq4X6<OG&g_Q zzT=SU>i=<QA;^CJ49n-8z5)@OZDsSG&zmm?j;#zfNNmlRxOZ-KqS~EVha(HJr==fV zYdI}?vypUlc3RqTaFu1nUY)({`7v;nHK!d?Wj*_Pw)4)cwLfc&qb`_N7iEiye>%JG z&aA7gwZ^+SAkn4z1*GJp&^}3>&gjjm+l%#epUz&nv@qLj`r)^CHb>r>mAi9pbzbGS zYceO+Mn*U8-Fxm&*ACO)s~=p`S=$v2^4FZ?{IgfGAZ?8B^!&34xsW!->kZ)8s<{U) z2CscRd#7#R&56;*w@#SvF3O%}{_U(!OEfsL^520YEBXgGvZn2eym1N~S=+y!J>y@H zZT7V6-NUjsYi~}zy*|CP`u>@B4bhu-3+L^h*E+W_``goNI`0nMn<c!{G<r9v_<7qF zUA%`q@9e92J4~DRLSpNC8YH%AZ$um4k%z<<<G!2IqBoZ;$X~{HXzk63(VHV68EYXV zW6j8aR{sp-uF1-^#-RrxvDKdhX<XE7$xrJ$xb~(U-}d73^8L}9wYR5*KpPjrpvHyY zk+qgKlH1dMia;6{%QtNY*S?dZH~-T9cFpHM8@LSozyrxx7MDRD-j=mba_-~VwmVI` zpZ@|?#jh&&&9s#VRYB`F7fl4^Js-VqXCDYbYpkIAKCx}ln=4uKp3mDW1gWuhcR@1N zy0rZD#`U~;XaBt~$ey<D@LJ0$(Z>7c?KKVFe;n-F8s_S3HuJ~V)|^~B^B*&$%DVpu z<YDRUztYydoh`f5bg^|U$Y($G?w|Q12&#tG8}B;~iq0^reV_#RQT)EnpQ|9JUwi-k z>=|ZAA-Lf*G-JtsIs3+Whw1KqQ0t;X1X2hlzgY`vNL@|Ne;!vQv;El*%{#NM)<3w$ zGw;~inJ3oHtZjpM;MbeAlKUD!X)^7d>;0V5Ya@Rx&tDb?iK-u~^7)=z>xusiuCA`v z8vkztS6BBwoxO4!QeAzqezW%G#M?Wk|9?As=KlxRa`w01-ue9g@wGRnMjQWX*lP-E z`P!xAKacytvHe*=PxR(LoOx$A-kCMk|7NuDXGuuw;{45MW7~t^T=i!bBv<YG2+A^R z^J|TN9R%m9=AU=%?{0ke9+b5*Z`^);#;+Sx;a-oNIdSjabDei)o%Go!xugKxfIs_Y z_R7eDY_s&^YiG`721VI=V{>&#vA^`@?bm0{w?=Qa*Uvk9sb&Ybk@0!+_OvWUNLeWP z?HZ5RL2x5uuLdN>^ub9~y8Cxpp62~GC)P^pz6DoXr+=iSMMA4BR&ccyc@bP~g?&1E z=oGk-v2Rv>TG(+&BO`kQD0cVHyJ}i%yjv{qY@Xcq`16N$?J)galr45WIUnrfuQzY+ zoNjhtt>rz5?P;cm)=K6yfvc_Enh^Kr9=kW|VHl{;&wj=Q&Rkoz<}cfJ5S-k0>;=bI z`3G=}Ey{mZ{sq)bT^oBd{-Moo>AIz#8~<p%esk_$*7Ki2b-kaD&HJ(S+~eB9*E~<J zt$cs{o>gE^bh^%VzmE?0Ps%*9=G|G!m3n{XiM5xlrtB>ZYX9x`-{Zb!Six%+_lMuA zZWX;g;=Xyi-}i_+=T?UueY+<}{lT@DC)NhrP25{*r2g>Q%6UD}>c2$u=B~SAH8p<o zcE1X#yt(`CSnV{fNiUlAKIHtdwUhtq=FM%qW3{#TMs#|KT;BTSwTgM`)yoC*<}SQr z^|kwEwE8ZV?S7w)?pQt5E_~hd<XUFT(R)^{s|#QIJh`T6TC4tN?|YM{*EH`vus+zk z@A9;0_1ewvdGfx_y_CD7G-&(dZ&g-B)wWNqZ$vLISI>JMx?g(xXRrT~+x^1x=AN>B zvsSpQ;I&Hq(Y3)l<hT3z=gob^{ATUsw~ww#o>)7%{ziGz@f!7s(dySfgPn8yW_0?W zCGSh-eVkh(zx|v?-umSqb*f*B%sagHauwtD&#QO}tk-Y6V|8}=&FJMnxbo&c2)$$V z@%4_<P5F<mWu9CcT-Cj|G${WuD3m7u?0?U5|MT1*wRfyu@)x`=d3tSS&53(ffBOro zZF~I-t8HhVFL?ds$+eYr=k8hE)Gv5_W#6H-!GFZI`^D$YePaFX+R57f=yZ+kej+*# z{z<Z2^YrbHUaq#?ua528HOZ4}FVC2`w^U8@{>yXEtS`RVQF^TCwaN2@{JD?j>?ple z{P0`Vs?QI<RjC!d-tsIxf9@rw9i>5%cdVYa7QB8Uc6co)AmirjExn`&j=hIL`;4Qm zm7hBO@Y>0gLa?j!&fl|Y7A>r{JsVnBZJWpkc2<h%w`(WY_C+t>r<ON2NN)Q%hrGGB zcD-3UIi4RBTyqb#?VEh>NcpRx*DkYvor}nu`z-CvTH&0R)_d31F5keLH@EXnoPT!o zw`-bzS+@J7SKm3OeUo$hXRfkiU>DtM-CKHT_oHho-}FVR?-$RT+jqz6>E4^s>YMrV z=FYogb@N8~G4@}6PZjQ1J@hYp{pIO3PrkJLxrvh7uV1#3*?xVpEfd5^=Wj-<*Q?~s zJr=m5^ilkSYm%qd2HW@TEnW1u@O97AYnt<3SkDZuS+2O<?_mfy{d?VrRxh3P{>%9f zb05vzQQ9Pxw|=?qcE1|2>enUp-M1^Ro;&__Pf+O{E7_f;r^GjG_j~4a$LeZ#;p-Hs z9e?X~Xl}p1J54`5fB*5klW)JTJF0!-_WO;^+J(RW7DVUmFV~HEJ-c?NskiTanG<Vg z{yAq}eEsLMfV{J>diP0!`fO_3ug}cd2r0+*-88>kf1v)4?YG<=bF1H#feWqA<r}tx zG*r#rJGZ(-J@4$n`32d>${&N;pppNKtFxEAKL{$4H(vq`Rn&sY?(DRG$L@jpbBll1 zg1UR38SkI5e*-Gkxa+^4op)!}RQDR=8gO;8e)FG}{Jy8x*4VuSHN|K9|4jQRzfaPp zH+u6&oxJCAcI}`Fr1-qu`Fpc!cmAzX)8DZD`pjy<?P>W9(VKr5ff_-xmWJPmHm(o_ zdE)F3({I=2{Ndi7W^`oj%qrpSX})=9??k@=)t;OGnN??}-9HK*b+P{hYIuIE-Z!&O zemkgHed##3+3*L_N09%W_N5c-u-b>SU+NcTn>{IeH*@_BP(z`3y-$ttAF1tW&#mvA zv;8FpDtXTy`hNr5wf)Tgt?b=Vg~$I+KEJ;BWkGh>vg6?D(u%n{yUqB~wKu2N&OCGE zUR-)w*qvFvJ54ul-Ux2ZJbJTF@=PPBZJm~wcmK`lwUM)qo3j^Xt9|~JmS=Zg=G5BA z*bC;(t)S6_Z&tzFn?b&PE~o!!_Dk2o>}^hW&e?8f0rv!ds@?=Q>uNQ>mA$)oX@@EM z>4(>PHtzt{m(!-F=b!z;wZn9GQFd5ZO8(g^s~{0p^afl-Mx6!MG2!3O{<#Hil5gnC z|MukCnkTi!G2)==fBoh?3&FvX2Og7{E&V&q@YC4@;XAW>&lXyLFW`o>Y|6a9U3+u- z?RDduU3>SQi(%ZJmLGj**3tP7z^%|*eS1xt-xg+XdwQ*>JR$$=NxvPYljjy@FDrx8 zjoY=WL4#jw-XFU+>!vMO-I@1KLG78xduxsN@`HP<OaFo!<v$s~m81Oy^To~J0h6_F zX1{cX#@AtJeEm6Ne)w=<c3Iz%w|5qEfg1*`i=pwA0gA8Wg6zJ&!)qfg<-mhnSyT6# z9u_VDHBEi|Qu5E9(F8S&veW!i^UqGZGwbLP^XB-6*Fa60AHAUdlg?alKVh<Qt#LTG zMRT>d#@Ip}l=#*gm&#RVZ+lwy?&HsWGuJ)7mJ{1@d*^e#W1vdaxSkW@)A&>O&e`tb z*`BtsQU8U_<f8ut^?z^vy*vM_``?S%n=AX%zT3@w^w#pvKAYv=4c^uo9DQB<v$k=4 z_WvLAML(2Icp&%vvCQ<Ttg_E5?ap!id$i}o|8m(sS1YRmzw>{;zW3ex&Odh1I(h#& zn)dJ9@BZoc-0#jH3Fde2&k5OQT`0}a>$HCn5Y1J8V&N8^yuaU0ZT@3n^X6Y<JnR46 zpKq=Dp?vPN<)6Uktye!BpOYSby!u>l_Je+F`IGYt?nO`jJNaC6_Cx=f>wEtnuH3Tb zcl+<xncvH+cFLPp99yXV-unIeBlrGQuUY$M?_RBcYn;EE{IP8RbMwb%zT}_W=c4zg z{$J$$TjtMvzPZI8r_1bm{*bx--p?I>pR1L=|H-|l|Ed1&t52N1t(VX{7GLTS+qD1L zgnc6QcNL2z|Ft>aIPm}JguOEIvu?|$+>QSx)fT*`@N55T`R}g3o!9<8-RBwmd-1;) zu|H?$nf{#iH~N{e@8`!Kl8eRu<lcVr^ZirV<saLA?4Nsm`+@(DZEkVZU+=rgCV$h= z`oVk4_wTy)zqi?L{jc$JLHr-*=PxDxpQtQi__y(Mf@|FQ`nNMeKWzM5VERY+c78+s zE1Mf!|IfUvaQ{>M{P5n7`g6YXAKYJZ&sOUHsmkXmHIHm|32cv_{3!Kaad<_3+{?Y6 ztl!^!y@!8!#p9~|`v2}#zhZlTS2J$!#rMVLmGZtH(@wwlHm~;2`zUw+oo#XZ_kSYm zxBjgwTK@f8?7h?VU*!Is{GHIXeg4<q1utrg-h6o%KI#ALod1Wae_FhM`0*Zl@SeD@ zzYlTVYZl&HzO3S@%Kr2JF8wa(GWYxT`_B3g>+ilk{ZH!uvC6+Ccm6FmvSj{$?&lAm zKh@8dZvOb+^8LK#{}1+5$;s`AZ>o`<f9&TIrkbedkG(&<oHJeO$Cq>N;SY^1{7pYf z&b^*@D81<3FXnkg_x7;PzghV>?9RXRnEkx-uU5V-t1)}Nm@n`D_Pc+&zh8>q>t6pE zlze~x-S=_9_eak6g88fE?H}#^y5jo}!}qP~d)WP}-urxfcDXLT>R9!z_qCtqeE;_O z-f8^`{e4etO+Vb5`)F<WU%R@q)z3=bAG}bV-W7iD%kN9p_b&hcc5n8hwG;0je_wfi z&wJY+CqQy`-+o_Gzjt|l)qCHM-^A<U|6Qv7G4=Zu^Lx94_xxIW|M>sU^L`$zuJ0;e zHuvD)c=7+$>wTWvTJQb-#phmiu#M->+RCu^4|71_XubS?_~m=W#g*sJyxwbnH2d?< zTFb|_F&BO(bd`&L{QYXtz2ff5^EwYfa^AmcQzhTuOx?@ByduAHzkk?=dGQykcXWL} zD6)S2tM6A!_dNe}&pmk0#<lmw>mS)}o%{XFyL-Qb_k?}=eb4dU<oQ+S<=@!aT=|{Q zb)En7?^lBNitWGK&3g2A@t=KVTfV=Lcz^RTIK@=#_xJlU&;I1^iY|V?kH3GdyvN=7 z{Z1yx=*nI9ub=xOcXf~dvL6q&*Tvf(uYMTwe&??57e(^p9(>>0z1Mwu#eAQSiHF}y zPp{UGduUs~_4`MW{Mg6ezuvFZk9lsp<KFKVZ12xX@136aN$&5#-zi<&^FRJR_V!+P zd4>Phz5VY$-TSrp`w#zn+}|tst?pR|?<rh=U;O>&dB0|V|HynVI`;j?=6l@r-{ou` z?KM6++jhUc?K|7QE#FTD-@E<)LtNdh>W`7{S3ciUzP#eK>;C@#FKs_h`u;%l{anqs z%B%0a^DF%SpRUgD+J67j?@zby9WLLq{NC4lb01xucz?Rxhk5@lfaLza{{D31_YcDF zSDxQnzP#cq_x^tMd&cqA@BKfXE3b?Hd9V6g{k_YV&;0oR?|<`N&3Qhrzdx1S({5ZP z@AL89nY#G7N2~L~-p{n$^L=?muHXLkXWrUc_kVvV^8W1m?<bk=eU^N`)%C;8yYJPb zyB`-@yw~kKpSk~h44c2Tzi$8er#8EV?6!YCwCab=xznp3f3{k`w)On;JyVZAFTWQp zAAjIyhO*6Lo2{Dr7JPmq_QUBMH)~De^QXBVUC)#sdHemqL%)0M!8W^h-{)UmvG~dN zqqcjNfBSN8?xVA{H}qfqE8cCmZ^q|`jXxfpYj*x{p|V0^U(4qg95tTL3t8h&RTlB= z+w%EY;SZy8yVW0@wvzuR6o0OA!h^`?4>Ny!I=9&PgXo;&=?}lTzk4E6qx$^p=Z{n8 zmg^sR+yCgW_lMBA?9(4xK7SbcBkSDa?2lLH9>4xD+rmHZ!1a=QIS;cf-`{Im|F)*W zdSBn?2YNQ|ZT3jVU$4A!@OrV_{qFVeZFb6U-_ACR|B<xS`+u_cPyGB~Sd;wx;N*%Y zHZ@ZBk5qoq*|+lZ57rvv=O?{?JUcgg{ex(W_xt+tU)ES0*<K{Kr&)YX`};@H*8TU6 zZ!eUqZ^?gcBl+0A@ZKMu`{ydZd)HJyKfL~<>>T#{k6oW98cdF6IoNk@@yic$=NvbF zWNq!AcC5Vc-W=ZhS1Zf?YRsP>-udzFT=vh8Ri8g>`XP62vFiuhxyQR7S6li|JMuPn z`ZJ>+cIS3S*2F(QEc)^99QM_ZHhwNBt*L*0G3p27xyLqj3qGgR{!w^-w)ew)tM_?L z@^5N(Sk`qEe^-tE&i{RX_49|@ek?q<`0dBTbB<d-vM-+Zrb+(Yo}JcpEuY`b`*D6Q z|M!FaC30{2!{@ZKKXkY3=R4S6CMVw}|JcTo$^Kg9=BEFLD!)tov3P#?@rTEA+0`F~ zK7V-f2j{uPEcO>GYozKXeSX68XZpF>=?}ks3;QnfN96h8&mV*5vWq|L{QM#4Px!gT zr$5G9_pd+XUpz0Sd;YsUm9qb&pWl`KU_6IE`p3+3&Cwrceg44rN9Xy)*dI5~J>LEC zzLme#56g42zdw3z_5NP}{O2`46zcYUejvE#oz0tv;uih$j_8-j+4sgjv8fc>f1~m< z>mQ-#cc*{wp35J9P`_AiPow#q_WVcFt^4mCTwfr!zdQb=O{M()Q<a~U|71PCYgpI& z`C<Bxt>>E8e^~bUgY=*BbBo`9d_CtlqkKZldz+bj|Mz}=*7Jk++-~E?=2r6O+U`HG z@p!EJ{GrW{v*#B7{Ah2{FMcGyaGp-n{a1T-TGY+{{BF{Zx98eVKPb20pLYEAxx-UG zSkHA|{ixisKm7Rr7dDaH|0jP=liPp0vb^@s`E%{k55HURn;o@2cUbqsev5vtAMtaK z-+uJn%75GO_r>?_2>ze_`JLX6zvtR_KjydK|8{8ixx=*|+2^|de#~##&woh1Y@STh z|GSl+4gLr|zpMPgeJ;QDkK}XB$sgx^{!sC!@cG5gAHwGzPyVPrSDo|Ul+VvHf7s6{ zKYw6;nVk)H{jtgdy?f<y*FL7tbr*kl-m+i+==}0|F@5_V?5Py}x9Ib`tv~GN@~=M} zUnF;@gMUuD`D1<S{=B2{g>v^B_rJ2)$z6Z8@^jvw(&u;i{<VF6xcA5YIqduooS#2* z{xSR9V)qZ*=Nz~Fll%PS<R8}OX8S+>Uv{rn?0^5~hulAQpKE5cE0WvSwg0(I6?6Ul z%0FuV8b3dg{p0-nZ28CjIpz0{+n3q>;rPG)^8?|UH#UD{{vWCQBKmLT=O5C4jGv$U z{^R+%*$2<)39!Uly!UIbe_3Op{BP>#1hJY&HgjbE->RH)Y=5EL`R4l9HZ$e_pQ|i( z{8Rn>uIq>YmhyUi{~y>yaPcoI{hRtRbB_Dz$Cs`8!w)Slp0}pU|NWkwR(8#w-$niC zIoDoUqxSqDTg9o$9fo$BKW7Bjh&}%(_G8Vt$xL>$KR?^{<ITC<w;vZ<$iM42|I+4$ zsJ}J8_m7x!i}!xmIrsSQN1rYHza4*Gde4Sq{`t!90yTBd4<G)(I)~l<xbeBei$Ac= zbwB=y+p@p^xOmyTIUV|s_EZ|}Tk$!sN&i_*h19+spC9<xl*H9A#2>1Bs#xRs{Hyzi zrE|7d|G0GSxBsKl7V`GJ`mb&N%Iur-`A&DmwaUT<{r7u5Y3*zJ{7d>r*SXvIkF+h{ z?{8lJrsj{{zOK(-*ng}#S1kY7^7&E!4_D`e+Z_)tkNeLRf4K6ubdB%xzwbY8ozu^3 z_dEJ_WmQ#;?DL&7KkWJZNax3{bK099zqVYz{NvZT+n*maFSs{f{{DrZ6^=E^&tJNJ z?4A4E$)+eShU@;3%GAi3;OAeTempy8d-x&qa=WkVFEYnO7`!|5s@b4|<JyrghtKdD z{;@9hK4W|6j^JYVnbk=j4WBhie4pW-^tA9<=M0;JbE<@{9X%6##@FyS|Fdl~>SyiL z7Mqv&u3I(M!%*b(`A?rWM%4CMR>)mD@`d{huVKx^ovP3NowT``V^&wWy=~>&#H#ce zng<KyXV@}4<7@nRII%=TM~0nU{m{de1tnH8^I6rOAAFEBW0%ab^^G%MC*Ekfc{|~` z-;KY<Z|-vcj<u*@;hWzucX{Hw$QxC1ZU0+(8GZJ1Wv@ScPT<=8#+BuXpXBD$Flfsk zz9?~Q{=tLd8!Q_4=08YjyIKF|>$)WmGQ=d#^RX8ndUR)lxqgpW!(7(HJV6P4zR%tV zzZn_KZapiSkd_(|FS;zO{p75IO=fG>aL<-LuuAWSWd5m`drW-Wo0jG#{8!(wf%(ll zj?cRfe9PNV%+XWNc3L#zC+nJd9Fv0+-<Cw!bDN!OKHHtJj`4H&;TKjBJ2=JiTbEiV z{z;G6CmyzI!!Hh>c?~bq6P~e3?Bm&-f8fq-1N)|n?i)U6>eR7%-#=I(rB@(zo<V){ z0R`UR&j-Ia8Em%TncjBuX=0w}jCf|Z%80u{Y|#g=l^JZem3hPTI5zQ1@C{+@c4Pk| z&kWDpOiujo5@E&pte$&!(od&n8*l8GW4VWkt-it3uw1>^y~p~D^2UD*#ml(W&mUOA zzghp_xBnlDpWWF~{O`y9^-)~Q(%a@*CQfNv{4+6?aYiJM+0jErXKFX@5-Q$cl(Uwx zIQ!7SDuX!rWoE6VhWky6!*#@G9#2Xxf3|_8`uCwnbp@Y-XUOnuc0aH!!fINj#x=hr zzyCsIb-ar&KX{=NVJV%a*WkPHLc+W5tJyP~)pG7~6q_GBm}{_4cG<hOQp0-r;&2`N zGd5z<{s!&-hwg3O@Iz(}Pr@goGkl5BI(tvsh-AwfFaOVN9e?13x5Y2Muzl?_U+&zo zK~pR(^<DSXoim#&6S5s=M04<!EKJ(NQmn=OI;k%7*}90h*_AqGW+{B;O?%HK7IItM z6jQTryg4^9TIcOa8<Fha#>@E|<{I_zwJugpT*_p8{P3~}i`Jd`VfBY)<}gM-KX7Mf zL4jb8e%ngz#Mm?O$M1-qHaG4+>NeYQkMOs5jYs+B+~J?hp71U3hM4#N1i7xQ;xjJm zA3C?%po+KeUena=iO*_ph;Yw0_<r<Ni{%fgZFLPh6V}Q9zd!q6kHI|7WzQN)4edc* z*FR(<Chcw5?tS<k*M=QRbJnm;zM5DTdqd1y@N>YL+@o{!4xIaDaEr08taa+$gf#gZ zBHZkT-;cgJKco3@;_HkV)@*!!4IeuVzG_E^e>Q!#F2ZhprH+|iDxZGy-qnd8r7V8h z`s6j-j82T!`8%;v<J!MdbM}ds$+cW`F1XS>^?2ek{@F<nRiEvPsBO0VA)fPB`q@8b zcKJg;lxO^sxpwr=_A{{?ZFI$T`;Q(wbLOGWhUslbcRomAoRN}HR(M0q`?L}N(Oaj^ zybMi9_mx=7>i+uhDK>-6k~v+ixifwzmAXGmP`{yd@Qz%;2Kk=k8CERUj{Z46b9d5D z@iWOAKYBm=kaEMCSIobC^5(=((KGf6Y&&}C=^5vZ*HZlc^OfZ_1#<W0wNJH9cy@k+ zrr5t@cf?oMr}N1*u2oNbr)g2ackKM(E7}|Mo_*c3ZC%8*;_JtfoX`7kf9=_FZ~C9# zuC}jpKG_y8dOjsJ{ejc>Z4tA2D>be?OY}R>ZOwf!Bhey?DQsHH%%_RLI!|W&P6|E0 zi0jjvXz7D@jumWR?b+V4@@+!wnfHh8h@P%C>_6HzuTn(r#J+M3omY{rlevl)J?BYX zeI|EfiPq<UH7|eKyfnU9ey;UmWkHGInin%IByEo`(-iw+GizVO)y*kQ|EtS-<}pvc zTpZ6PTeWfC{YjUM!(S!+GpxHOdb;Y}$%vd6GgTMP3%pd_`?$O6+KDH*&s@%Po_uvv zE_dUS^b($<v*xXzc<r-^6=Qr`$Fj!7GZR!<jf)R0i<mKgr+%1tDxX;M+NFtkk`@ty z$FvV#k=mg5?0WLMuBW~;jwdZXAd|;iZG9j~(66ld<U51i`Z?W8=Ns`Ko%ODNzFkx8 za|sDqZg=%VUGF^SU#xvHJL#cL-97QurOzHP-MCbHqnP*EK~sZke=i5`dR`fO=5^iE z*o|v`_w^rbdnYOPO77v__7|e1>))Sze5Ui=<+)e)ZoQr4`Tc}km+9U6R%?GpmY3we z^7y_~uGVG!qH3@768RU)A};)$^E;{3s<tt$>c%YAXKAg?I}>coeRMfqC)uUE>w5Zh z#_^<N(PssrH=?=4uD4C*P1vM(CXerSQfBnCg%P(qE%zF~dBeEa_Mt|b=v`s6#lLr$ z9X&Mh&mR4-QvItBD!%F7Wj8J7`uw0o;`P6!D~o5mPWq?xY+b~@rb->NlJn;RzQ1_0 z^+#pk_gi!B9GvsQZf4}&+naQ!mGqxl7;*Wp>g8SYQ=V)7`|I(&RmS#w%tc#S<6Zp8 z!8$MMx@tGBs#awCU;f_t?s0?Vzs30WEfRnIuUYf1@dmwT>2v-czpq|?bkn=r=3>&H zKYcE+yAdrRR=R%A$w_BU1{v&T%IRKubw+!V?)f>_{ywnUwLMy7qkJx>cJ#qB-!^FS z=|A>PO1-;SY3*+>lOrDA+h25w-o2fuWL6sAw&va83;U<Xd43P(DB*9JHRJMMZmXm< zzXf`Z?0Tm-;i4_`u3!J^9q<2@|KDC0djB^6*Vy0FBCeD_V^3Q2e)sY(f4^<pw|d@u zyU&m7{SQYbMBX>_e_j2M*Dz|r{O?D)_RY2xoc$}V)a-QO-_Qil`|W&RWS`BNart|{ zb<!To<NZf=?PH#EvAk~guYY-t_wUNSKlm}U_PyDa^3>?0!26Z59i98u&${$I`1Y@L znQ`}ZW#{><U-Q0W+1I`2+9Y27o@u*r(fcsJBl7ivckP4tKU=y*_xwLMr;erU=&8kL zo;G}c`uXzfzrQ8F?EBkOwZBeH;tW&p=7V2k3?{cdyklUkf95RzXGsZN7Vho?kCHZs z9IEh)h?5GN)_PUPC#+%X)P!2m8z&Avd$nP!TF!c2>&h9i^52f$VmmXrH=#H=qAMXk zIN~Nxnt6M#ZsHcvIUP@PXI#F0Xx@zb^C~sW$`btEvfNIpOn-K8oxx4sXXWi{Z4(Op zXWZfr``-9)Ux9+yw{*Ggwahb&?OS6vRvCO=!SlNP(4oW)#TGsN4KwE-j$(b**QC6$ zM5p)z_YJ|#q0jb3SU2vh)>@-t@MmhD@Z@;o=YGx38|$o!|Eaz``l+E($1FZgZy!^0 zcw%%<e6rtuzUrh;Y{l>53pB2^pSd`@K*#KUlHNYv=JJGSo&U2fKg-_`Uj5!E-Mv8J z+P&m+`}y6I9y32X)Lx*p%`Qo=mM8dstL#Rbv~x8~)k$B2pS?*hP`GBF-nXwIdE-yj z;*Z)AJ_*r1`_ugDnRh2WjehpQ`iA)A_cM$CH#=|q$Xu*=;dw+-w$!srTMSHP-*h~E zIn&tqz`TgL6D_TUWjeNs6xXF$2uW`?5Kn6r-e@XaoLM$w7IWFrQ*+KFN_{(;qWA31 zodS((&lCHewI*-0lrJu<lh9$foir!$oDRqDq+G>ke-0I>n29Co>2Nh4O^EIhPxouz zpdB_Xfp2<Ctzl%B<#rR9&fe4++@}w9-iYekIi+>w(?oZj^#|re3Vu8C%InO{sSg9z ztUn~Pmg{(u@$r}#UbfYTw@Iu&I44fH?C7beGY@ZV&{unH@cdK32F5kH9G|5RPL#+w zIOi7Ivhs$Ru?aia&%EO<W<OLT>E?dm(8UcEHZt>A+&N|bv-zECd$;hd{Xa2<Qcj<E zM&s*;K72Q*Ha>HgZ+H2@d$t?u<z;L*+WQY(oNQ3VR`%s***n%})9mi^#i!-H`26;Z z^qITniO=qDSa*JV@Oxu*`9mj<Z}`M1^YZiCAKuRz?e6Q<osRj>=*Bp|y6@;SlQSoK z3KpH;uf5r*|I5$vIcah)KEHodbH=vzpRDeYI~Skde>!33>ht?QpElb5^=EmR>$7Ec z|Ia;{@%q;v>#btNoA~Cu`27A_+nIxE8+J*`y#D;YsIhXz`TgFv4ZnZ=S)Qo=Y@OZz zbMMc^++=V2{i?$7X1}HQ;e`?R=UZAi=p6p^a6^&E8aejKlM{3szurG^?B<3_yPQ~_ z&(jaTkc!wLCl<Y-M7rmF)6?pNr*;vw0&4w-ZcR40-2SlJ;5XNqzueF6H(spX@WD{0 zj?H_QrN<xJ;>swy`*Y85G~Z0f(wi|)K25AGkz@1e19!L#_G|TAYiTV_tW=(HQ{vl^ ztRr)@4s4UiKRf3h+q3cp=Z$eGePu0A?<Q20-w@SSeRk(wfx@+KiRa#PyC)^vKXc+y zPs*-;_V3_^DvmSL9y;*Uox3CC?QXPO%iue=tp2sW<a6@;kK+$rIk`c3nt$TCe^TF$ zJ~4TA=VgJ$wfe&{a~Y2(efD{F&vHYN9?$va+QK5i;?GGDdsx_}A9^!&gT7k0;q!HE zkJWPi@QJN!7T#EDTm167K`HZ_j;GQyUvEF~XKjIsSzhwHd#tDHTV~oHoM-WmyZG_? z4F&phIvzG##jUYBGN)FePp<Xr@q{bQ$s2!K6o2HDu;IL&^hc!lZRCt<KE9*BKA)M( z*c0FOGCU!i=ikvgVviU0RR{n6p73YiH~9nWB7RP={KN6>=#%7U=fn#>@UE%l{(S%7 z#2NGb=088rv^yy^>{)?X#0>7fqtEV~Ia--u%6ynLG2TZkDNmQLTajm4LvG;)o#IV0 zXHp*q_^e&@_-IAwvl6~%*Drlcs?B|tAscazVVQYL?8Z%k#mUAJxqQcyin*WNGuyC9 zm#3cT_oEc|XV3gL>@emjYrJWj5byIX<=k6VZ$qAM?YV|_4Li#?&b&<Xcivd1@%!BQ z#2OW!@Pu%gzfC*qWP1AAlMRbE^@(dQH)vme=+H~6xSW4&J1f}M{1a<?eqi5>Ij8UJ z5)jjGI(T%0E}vey-@1mK+7APK{`wv7{#bhE<?F<KOCKNob@t3f`GlW*Gx83vi`Y4N z=f`x3yUfRvHXpw8TYAkM{=@7E@pJyn-T9M4$BwJ{c)~Z$8{(7e4W7?yTlzfl15-}- z$L=#*!xP?xT8O+}Z&-e><zuwLU$Zw|iv^4S+Dh!@Kc1Af=JC-}>SrD<-|%5-pZILy zXOFIL_+>BgkKuQc(2HOFk_m-w5@%R$KT0rrmQprjHZ$MRD|@WsdY&iyo#+3|{kme~ zK91ro8an!%m%R_Ji-?@HbE8d9SVQv0ol3>}?Aogj7L?u)SAT6_{&~X=J)UdLH+vJ~ zeUzTvQQNRZm1kR1uA!d6^=-{N-#!dj^Zvk{o2<unANrEFLA&o;(z^G&i)$Z5oRL}a z_~<FeGY|a}9~#K&o7EqSv5^VuZ+dufgTC5vgYBy}R50=Qwd58SXcbR7aA*C40H3)l zA0ORuY>plGv-6ifCjG5>wu0~U^aEd(ZqN?XOU{d7UA+2XL{8k{JG<q=>i7>!gF>)z zXC=4JJ+9{43E%W?h)=dRdj5~ayT0*Yd}4IZ`_y%%iSHaOL|*e7mdiC4@-LHbk1hOS zQv6p^;_JhRGk=1QcTb%@<1nMno^_9pK9fFkwEo~LYlA=LZ@N#`&xn>k@TlG32gkOf z2bZ5YnQrjiQl@KVe#st*`qOj%@jYAquxjDLi22hjXYox7Hn25*(=|16hIH{E4c_BP z#d^=~{n@aIfya1*zMoiXo*r9w_hE&Wo2d!$KGRaq>9TukA52KSA@(?QMt1grJF^Nj zu3b-hw}$=k*TgF38=~&B4X&HFUQ8>{N!xbqV^XU0vx4XdjaL;LcPbYbsz=<FZBxA= zKG}9gG^i(P(2*D|^Jj{srNlG7MrXs)mOk;v^NpAHxBN^l-4pSjwYWq^XFkj2{VlN@ zi;RjNUpKJg?K}GH<(a*k6Q1Ts{1f|j)N}66N=BVHj^^%!ZH&K@iY$xYaYgJBWAnWs z_V~Wx^}LpyvmXSk**?R1`@tV&Gxjl;9lbbz#_RIKFU}X}q`kZRG3jaknTLNjeAv(@ zKD$0$&yH!e|A7ylH^e6|pAmij(4%653b}1Z4>nj<N<NEgbT%}-@-gX;TJbO48TH}E zyT1w+f05Iv=ehj9HFl#>T3!v~V*Q5^Idw<x{04P<AO25>pW}1<YueeDrLwnp3}y(X zrMK1^&g```x7In!+gzCt-Sa$koi0Z)_rZk`@iTS`AFAM-5hql3^kUJOuR1-`+LH}8 zuj&)mwtQA#8W9nFy!&kFjPA;ay8>;}2lvg$IdkWhjM(;ugVQ$X@@-4?t79s@eQ@E7 zTam}RKkA)%8Jk$=FROp;ds5%Gwx@p+e=*z;)n+#`KV@Jg(s%S(;+eh5iA$LdFHVS` zBXj(YjhxtO0}IA9|HfKF%c+*r4_wMmC}7L!{y6i@*5ZVBF%}}PpBt9zHGMp4P|NwI zYq9o>*Wrg=XjzCEUw>J#vB<XgM|H%G$m88-?G4298?T!2yl<&B+}XXelwYST;e+@M zG4}7q>ih>{#QBb1S!@;8BcJT|J>j3cRooi;vof~Kr}Ynf>E56n_V47K3Q@Q92RGiR znY;75*qS}OhszV<=j=Itr$%1PzTsfI!Eeqt-6xly*?B%8lc{-qVsy{`#C3leigzV` z4p`%VxU_GsWTK6*&+>$DnX~6&<}<q$AKEx$rvLHosXu2PW=%*Gl+`yAPu0_7TkU<Y zAoGU!<kT6_+6NwW8ANbyJ9;qd%*j^<+u3BgR+g6Tkyw9xPMrKRWm)}e=81h~txwk` z>|)rRl$!nQ7`S_XG;QL}?b>VJupPde7(eID**kaG#lE*5tTU(<c+-8-e`YcJ;Y;Te z3M_KEKMJ1Nx;XKjgoViK<3{D+&VQ}Yo36zzJO61*{FUlE>f?L7d+O#Hhc_oatdZ3> zdwu38cf!{oi8!|3NtY7xVtKo-A9xUTLyUcYx?f(yPU(jMK6h6=KKjG#*_XEl|J`Nv zul+wFbC>sYee28W#PBtDj^C*eaN`FB;*6cwK|=;VSl)C`PM<NmHljw1?fk(v-W&AQ zn4jG_z2OTR&p+1NNj53#z{3dbNq=LXoohGv$Fa=5Ew=EBWbxl(iCV_vNzbBX^~3fh z^X+eM&D{B~RL5-j<*(Z=AAWVlU=HiIqbXs}!lcBWHyymQL6=V~!OzHGyMj#D%A=)w zB-+osR86Rhl-0jBJ-KhwhCN0;>p_)g=(A(945C1l=dCk)zb2+KOXP9<ev}gY>{;4| z9hN-X8gAw$#QSVZJ@=PoT3O3MzYV&_tc|aG8Ej{l>H2Cr)B5*8i?)}46T@X>&&1fX zxTRW%8QWj~nDo@+*#;)={TDtaeYSY^=;MZ8-V*b8ekT=i6~8u#u#sik-<VriU{?Go zdWJPS-_a|rR&hQ0Nq+G>pQpdB*jUF}T%xLDp7^VLgLc@u<h(m9i^XKR&(5A%z5Vbh zS%aLz`z&_O-uW{<Vh=l;?2NzCZ@N$ZJ+qTN;pKaS3YKk0e*`|eHQC_1h)mbldV}(L z%^yD-{AGI6wRrl>*Xs|w2(=J1&VN<0@sn8b4>O&fl07$S`gi`YTk}u6E%}D{Wd9k_ z@rNFT8_YPc&Em&A%b)UR{xY;DWwEWBp4a`c=giBQ33X-`BCj_ayB8n$WMg2f|E6o| z&l%F-VG*&}-3H&MHB41a+#&L&d$LY(ab-jdBb)Z29KLTyQ%s-Td9`5+-=F>L`CGm+ z^i{-u+uB?5=U9QpHRWgL#0>5UE!*A{Tlhuz*{?E#TXKCz&*)jjt$A}w<{cY%^~;Kl zJI#v=<s)nv+Sm{6n;~=Lj+KZQfAhif3DGhkGbS%ic$Z-z5*#Y4e@xwAxqr*g;L<%2 z{xcTGAN(X~P^<Q)YpPW7;~0s#?8lQn*F3v-bVCs*kA7=yVUcR_XRU}mQf$``y!pC8 zUu}Q-xw{PB^$iDgH|QSQZgl-!%g)^o0@nPWxbt%3$M}R_>o;ik$tA2SPyC`F(>?Qe z$)1RphVA`_4_&s3%dtBg^XBlYZi7GSZ@N!<pILi7;bW=99>&{`9^^iIB0J-^xlGqe zeo(!4V$MH~XZBY=CjB*j_M$lApVYGbjj<a)=@u(L_|0?Cq(H}PdFs2fEZUk9=XicU zO5uC<Y|e%mZ3klvtnJ@)pJY0-lSfAv)-zhC%UgW<z`}_5X*)M7^@KOQoSGOev-Vs} zJhNN&p^Y;lLFLWYnTL535`|^;&CFBv^4M0hO62kWPTIs<oXsAgb11?6hS>4lM&{+0 z3wOWXA(Zo$+xz#y5FYEK8jj*!JiC*g20Zg&{dVM4;F+6?6Zdlo+s?NuSNa^V=5Lqf z|7;5(>E(v*>4!Jo_@i4Kcz)vim=kv{6+iM8H(svS_*Oe{&GY@s*QdSfO0~UhTg?1> zUc}ysmV0==9eq;vY|gyPb~|S8T=sm!^RGPLCPrL0zNTy&U#nxb`%g8it#z%^wyHPY z{m$jVtL4|<&*}cS`OM4b3F!={tIkzE6MZ%>qH?lj4fnUBF6VX6?>k?oaP5oFr#<uB z?fy)efBoy8k1vX!ZM<<|^AYZ<z8@|*FMmFCwN<v6(fFQq>(L6gXII{AP@eWY;oKR{ z-JcJh`eP8o@a^cUq%${<K6F^qdS>U-2LWr+XD)vFFeCKFiGzo-3Y5$~pE+8ZxZrv6 z_M?;Lwa&dYWq$dQPibdf<|c%%d2#dF!g<+uFBh*_U6of_Cf+CCaWd}A&b^=(-QhXc zH!pKfdc6D%H~Zm@^VIL!H0}IREFr@gy}x1U<AhfgHv}IC&$zt&(7FiE8OG^{=0&VE zO#k}l*}tD>JY}EhH8^i9vMhExAO5cMs`gFu*&7z5tNI_gR{G|*`N1siw6A9#H%i<& zxKDN3turgF6W^ULP`LIk@mzVrAM+Wz<janHVm?!9t8MY0<FiMO&8a(Ai|bEUJ<ES4 z|EBs;#QD#;FMjT2wcTB=)VBUb#l}_7KcvMc$6wi8s-EP1{)+C)%3YoFCeN<zf6Sir zw)WY#`ov70;Q0soEdET|`AzhU{{s)7|Nh6jpUTfLX1pKWop#~-^CQQ4&a}=<NHv>r z@pEEzQYqiJvy~-(_7o^>dzSo8pJjDbUUt~|9NCV>d3$dOc~||*%F6t=ch0<(Rsz{o z)3WMZ>*Ss%c%65C+wt`3&Fj}2D{Y^bsF+<#y??dX`*c-WrdrZ{;p5Q;=3o9?Y?^0l zC3yI4$)1S2^DK8W>%3zEIhsZH?J>KH#de#kjy-o+^YZ4rT-(i?mpm_B#`oy{>kenz z?#;{6^Phdab9Um4YoCp+lYVCW`+LUF;8L-nd(vZ;Z>+Z5n-`_WqzQeq-MH#`l-buk zrw+~hQ=_!)OV6o)JKwCXdY2M*evV8><2>1$Lf+GhYP`<(oiUm5|9$nO|Hp4R*YF!% z`hLOc|C4HW)!OsQH|M+;zq0MxciwI7y>k;cvqbNTPmKPq{YK`{zSX})zx5@(lV?w^ zoxga^`{Ix<wSBAhou4@8eBsva#J@#1cCeYPZ`ZxMenYKv@sjt&B429z7VSG9c<H-k zQtkZ3f9mf)zwq7Y(l5EA9^b#8e)XH<@!p+sbxVFf?=Ahmr}N!>@fW}TPg(ch|Mjo` zJ?sASm;S8}yZ*nt<o}*`S4*mQ)yKtuKQH~_U#0V1`+TS-&*}C07ry@2jQxNA!r#xc zcGbsue?Oo7`d_8&UHkRcm+IfSZ}_h%Q^VT6tNvbw)Vj}W&v8tSO!WPJe)aj|cOp2< z#M^c6+OJ>x`*~?t;{90WZ=VXbD6V<=uX66&t@lO6_}fkjZm89fna9HY{J^Sp-ubZy z=j>y3+r_tM(d)glW4~#}me0NZ_3BCKH^sZ+tk%Dt=e_IQPGjBc%4TV8drju(Fl_EV zJZYW%y0vHSL<;v^YnP0bkGW8KAT;*<ZLN==57rqheO>Z-m)!O>uk)n$KY70Q@`EQi zGp?3yRE_-~mA&cx9TxZPhkMrf>%OqMvTB`q?#qAq&Cf0LmcDlRyi0D&n%8#HyVirQ zSD9VIzuCCpZ;0ph_-oG+-|4Wpdmrdo*RS)!>cpyb<vF=W&a+1!n6fVT%<HNno7P$9 z$Q(Fd-G0cEHLWx@!Snk6tLGB#TZ;GTwMoX#55G`a5gMC+eb?mq{8k1_UqAV@OK#no z*Y~7$t&e<f+BbU-@8(^4YnQw}pS3GKQvJcNzKcEv#_Bp}_*d_`w|c9|=LFXomrA25 zWBWs|m4^2+*}s3wpuYRynswb;FMln+_3(}L@dFn-4Hmzi%(aVe<*L`cQPV$uK4@yN z^mWUpU2-edysne_{^|2Q=YmfbXI|}^Gilxal`rdJ=l=ij`J%hQ;@8PsyZDx^dL0|J z`NPgH33@Z41fG@JdPQEJYZ8`tKbqUmtRXU1Jm}I_`K@zrlz(ejERj}H>*jbpF{E^_ z>+6&{I}6!mC39Wkt~*aDz3cqA{Lh~2O_Qw?!mg`MDf#Q@`+fB*o1^F5&mZnt$FK3i zs$rGg`}~*xf}7V{=q)|}^0V=$osp6Acbh*;eW%N#?tN&Dow)wX&+nt=)uty~+!9Lr z^0UNozH|83%Hr1!b#@j!%f46^#?5D+{&i>mW1qTv*8F~Yjghr_(U+dD-x__R{9V)H z{RevN<aJ*ZUsz@LKIi2>@8;{4dP~o1e*R^%Y0Y_A>0RrC@}=%wpT8u*jMw|upY_`$ ziqj=6qBzsO{ETs&e>n7OWps9Sot?&^DR%0oUw_`P$?kiW%)#^3#}A$KG+2Fpsn@SR zE6W&v`!V;eYn80E3%T&zA++}1<z4;r`Q_R)YyBo)e{Pss`|i?(+SaP~MR%Sg&$#+r zNwxN0;Ou$dm8~})x_HN6vw+Tv;*M2z`59|Z)on2Id0E`F$Btj)b?yIS=l<;3u0H1t z|K_UreM`RI&py8S{TwFu{f&})?c*-|eh|9%{@q=D^ZE1IH23<?z5e@R>fZNvF4UG* z{eQ6IB)7rp@2%cd|F<vu{j|jH;q%3uXI}ih*>tac?W@1nroF2-j%fK_9aJ^nWc_#N z)2lb<zu0HCx1(~C=$TiwC%f*|pLtb#?BT&*-}_oz_u9|6^t<8i<{RN(_r>|Wzu&tq z{r(=&X<zn5N4;PFRW5bD4fE%!_#B&*yuIwnd*@HR_&e<H%O9V!a?K=O{#|x*(V4fY ziIt%eul_D@JQ8zXO6-fB--7SiR_vSBzxX?S&AoKVd1v+&vgyp@Kh7)h>Tlnod)Gbk z((mt+=6m0qx%a)m%fGE%_uMmH?X&r-c%1du=X$^TbNyeR|NQm+&mL>r`hSw=xt#x2 z?p|RZuI{}d|Mfr1!=W=T|0>R3Vt+sE%kvw{-gRHTKl3ru-=D_I?bDC_`kWH`efP^( zcIL@<@2g#z|Gn#1act2>tN-8GjSasl)Z4A@)cjkiJ9DP}@~dgBUvDNR``wtsz3o}! z+n)*Pj5lHhzKON{b$ysnXc5EIH?8S$>Vp(Zi8TztyX1YVM4$D%a~FP9XA*h0Uv2sC zi%&kwT#w=pGjC$Oo3FO`_vxN(Y4>mPT`Ox@8k<<gZ*f!lTha{+j%lU#)Azi)Kk3rn zD7NDtK41H|;Zp1W`SIWW-<SA1`M;c${-KZD8x+jur_Zxt?@oFh`OIOB&A~g>GHpp) Qmp}e8|IdF0&*meK0iblrtpET3 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx index dc8949b..1593e3a 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx @@ -1,8 +1,8 @@ { - crc : 1683845849965284633 , + crc : 1143525208533358939 , ccp_crc : 0 , - cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl" , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit" , buildDate : "May 22 2024" , buildTime : "18:54:44" , linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/tb_firUnit_behav/xsimk\" \"xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o\" -L\"/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti index de53811036a2f957538e2ef601a5cacbda6adb84..88786c17baca8370ce20465c4bb205e41a8b7615 100644 GIT binary patch delta 248 zcmey#a+_s>6d!x`+5d_e91IK$(o75t6YpK)WMp7q0AZ$y{o<3aFvcpFGcYg+Ffc3# z_H_4ibzxuv%P#<{fvKxkU|?WiWnfs~=@;Va?ivJE#K6GN@c;k+dXOSds2N46>6yhP zsYPy?IjLYpj0_7v8o{QqF))C|7#J8B_`$Y7Xb}JZ|NsBz{{R191H@rqU?_spd%?68 Z1H)Y~gMmQ~WE}$oLp7A%1f?%QX#iYgDS`k1 delta 294 zcmcc3@{?tPRDJ%;DQt2XoD2*MhD;0$S_}*f%nS?*a`MwNlNneUAb^p9fq{jAfx&>m zkRgg80xYP^zyOk8P@J5YlUNj=nO6ehGB7eQH2nYnzaFH;kb!|gfPrBFNRWY<VF6el zNCJi#7#Li^g8%;i|3CNt|Nj<H9hrG0sp+XjU=y)vln3i#VE7N!H&NpnC&;lNgV`pt jGnRO0Kve`m=~5`Y3`*aF(i$Kw3=9m#P<k?yz5=BI0`)Ih diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype index 57a1c98a5f6d4cad2df1f5c52fb8d6f99ce7db99..6dc1deb65a85fafe2dcea36f677983510a180e28 100644 GIT binary patch literal 16 Kcmd;KKm`B*&;Shp literal 78 zcmcCwfB+^21_nk328RFt|NjTk42&!c3=GU5Q4kj<%*d#~z`!6666S$uWKf3EAPNAb CB?z+s diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type index 4bb1da6cb0a15d69c89837f99883d3bb83711317..c28c84d68e27da5f1de4e8577d991ed1a8af5b02 100644 GIT binary patch delta 98 zcmZp$?l2cJVqjp%kzim@Wnf^ikYr%!klrX1#mD%6b1vUuPDYE#2PNfY_!$@&1Q-|? p7#SECKx_sm|NsC0|0n;EJi=rkvH6yi8<T*81W1a3K|%;Z0|3PF64U?y delta 245 zcmeA$Z?G1!U|?YQBgw#^#lXPuK#GB(L3X216d&W?&AEJsIVXP*)L`XfU|`^$Jds~` zvVl+pqw!=z3GvAe0urotVDY^|#*EG|F~%4OOGJV(7KJ50d4-6~<Q$13QV|ji3@0QQ z7=B1FFc?TOFeFGaFienSU^pPT*+|ljDdC0$0~mnRffyW;Ad-Or#&>}7LHa>51yDXr LegPW)0vaCx*&ivn diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg index 832e56613973f956b8646686c3c128fba9f57e20..d9dfb41e729f1be9d061a05d63e2d5b0276a9eb1 100644 GIT binary patch literal 23424 zcma#Z%*o8FP>2Z5%vFeRadKBMFl0FUUopdhfq~%w69Yp-Gy<D4FfbfrVn6|mFy6~+ zCqZlwW_Wq+qyPg016V0kTnMBNDlQCVgVZV`u|Z6bUXVBlgV^MlqXIGrYOg91TMdb= zj>OhLVrwF?wUF2#CdfS?cY!dB4f7XBj28AHhmSVM5m0~WAhAJAn0r9(0I|`-jauOV z^CQT7SUfT?GBD_Z9P#qnNiZAa2xwgEf)W9gZ3|^9FfcIqLfIhma*@~*p=^-(TcK=_ zaYvzSkTw7R|Njs2BZ$q&aP1_B24Q9p0mT=<k;=e;EYHHgz;NUKwUhtr85k<S5e<?T z1X%^v#ReuJ#0hZZL*zmBgD@_6kkddImpsT_AdE{M<Sr1#CC|#hzyQLy<U!&v3~@aJ z1G4+s85kHq7^DW9JO={<0|?`i=VV}D0AXD6Tnr2hAdE|%n}LA=gmKC9FfcHHFfMss z1_lNY#wE|kz`y{)xa9d67#KhpyF4QOfWjCQkDxG8K#G45AB1HX7#J#CK7x#52yg+3 zpr#L8@*p!o7?(UKZGbQ?c~IH_VO;VccY`o4d62(B7?(UKZGbQ?c~IH_VO;W{v;o4n z<UwfzgmKA((gq0Qk_V*?5XL1BN*f@IOCFRqKp2-iC_+IPyF4O&2qKjqGt6M|kB}E- zU|_f)s0S`jJnS*$L4JglKP!wd<w1Ug$p;u?%7gp}lmCH59^^-u`~nk9{UAT)LxdST zK$STIDE%Vb4{|t6{|7Acpg4xfM;Jm3LM~rHaSW4ZfcsArWGq-QsIJ@qEq@cN!9om- z459*{vK54J$%FC^2;-6m<sA^lB@fCwAdE{Mly^WFmpmx%fG{q3P~HJyT=Jm21H!oE zLE#F*xa2|M3c|SLLFo#Famj<y6$s;!2c;_z#w8C*S0Ic_9+a*?7?(UK0e~<rc~HIr zVO;VcaTvyyen9OI5C*BiCNILkzyQLy<U#osgmKA>F)%QIFfMs<1_lNY#w9Poz`y{) zxa1`n7#Khpm%J1M0|N--l9y&+U;trU@}P1JgmKBsGB7ZJFfMsH1_lNY#w9P$z`y{) zxa2|YJrKqvugJi_0K&NBl^7TpKp2-isErK5xa2`?Oc2H;4{95OFfMsezX61C$%Fb5 zAdE{M)D#6_T=Jl%C<x<{2Q@`N7?(V#UjV|m<UxG}5XL1BYP*9lE_po$1_ltuC9luG zzyQLy<P8`Y7(f`8Jg6!GVO;W{ssw~_$%Cpw5XL1BstQ3EmprJd17Te9psF5(amkxA zFff2HE_n+E1_ltuC2z^VzyQLy<gFMO7(f`8Jg6!KVO;Vy3=9k)j7#2@fq?;pamm{; zFff2HE_qN>2ZV9SgT@j-7?->w0|NsH<C1q`U|;}YT=LEg3=ANQOCHoz2Vq?Dt_%ze zAdE}ije&sygmKBcGcYiKFfMry1_lNY#wG8`z`y{)xa7SU7#Khpm%KLv0|N--lJ{X? zU;trU^1h({I0FL%E_pu&1_ltuCGXF`zyQLy<O3KO7(f`8d>{h@0|?`i4`N_o0AXD6 z!3+!xAdE{sgn@wpgmKA-GB7ZJFfREp1_lNY#w8!lz`y{)xa1=k7#KhpmwY4x0|N-- zl8<6wU;trU^3e<o3?Ph4K8AsT0fceM$1*T5fG{rkI0gm=5XL1R&%nR{!nouU7#J8p z7?*q^0|NsH<C0HeU|;}YT=K~b3=ANQOFo5xfdPbZ$)_?fFn};F`7{Ow1`x(2pU%L* z0K&NBGZ+{cKp2;NCIbTl2;-8^VqjnZVO;Xr3=9k)j7vU;fq?;pamnX0Fff2HF8MqL z1_ltuB@gOTf-o-m0tN;K5XL26$iTn=!nov%7#J8p7?*r80|NsH<B~67U|;}YT=Jz1 z3=ANQOTLVOfdPbZ$(J)QFn};F`3lgu5uWn3l7WE%gmLMwVqjnZVO;Xn3=9k)j7z?T zfq?;pamm**Fff2HF8MkJ1_ltuC120LzyQLy<Qo_m7(f`8d?Nz`0|?`iZ(?9z0AXD6 z%?u0-AdE}Cg@J(qgmKBYGB7ZJFfREv1_lNY#wFj*z`y{)xa2z+7#KhpmwYD!0|N-- zlJ8<*U;trU^4$y!3?Ph4zK4N<0fceM_cAarfG{rkJ_ZH`5XL3n&%nR{!nouoFfcHH zFfRFt3=9k)j7xqJ0|NsH<C34uz`y{)xa6lWFff2HF8Qep3=ANQOMV&y0|N--lAq4N zzyQLy<YzE2Fn};F`I!t13?Ph4eij1*0|?`ipUuF)0K&NB=P)oZfG{rkxeN>pAdE|X z9s>gd2;-8U&%nR{!nouYFfcHHFfRFp3=9k)j7xqI0|NsH<C0&@z`y{)xa5~GFff2H zF8QSl3=ANQOMV#x0|N--l3&iizyQLy<X12-Fn};F`IQU|3?Ph4eiZ`)0|?`iU(LY4 z0K&NB*Dx?JfG{rkwG0dlAdE|X9RmXc2;-7p&%nR{!nou&FfcHHFfRFx3=9k)j7xqK z0|NsH<C5RZz`y{)xa7AmFff2HF8Qqt3=ANQOMV*z0|N--lHbn2zyQLy<aaPIFn};F z`JD_53?Ph4eis7+0|?`i-_5|l0K&NB_b@OpfG{rky$lQtAdE|X9|Hpe2;-99&%nR{ z!nouQfYuV=Y5yE#U|;}YT>1|&Fff2HF8RX@3=ANQOa2H00|N--l0VA8zyQLy<d1>Y zJ>YTwaRvqk5XPnd1Oo#D2;-6mO<;pCF8Nao3=ANQOa3$i0|N--l0U=1zyQLy<j*oN zFn};F`Ev{m3?Ph4{yYN%0|?`izW|yS!xMfN85kHq7?=J_3=9k)j7$D90|NsH<C4F^ zz`y{)xa6-gFff2HF8ON=3=ANQOa3|o0|N--lD`3(H^k%rn+yyLAdE}@Ed~Y#5XL2c zn}LA=gmKB=VPIeYVO;We85kHq7?=D#1_lNY#wCBBfq?;pamhbmU|;}YT=EYY7#Khp zm;56J1_ltuCI6U#fdPbZ$v<IWU;trU@=qBU7(f`8{4)jy1`x(2|D1t=0fceMzhGcs z0AXD6FBupZKp2<&D+UGz5XL3{nt_1<gmKBgVPIeYVO;WW85kHq7?=Dz1_lNY#wGur zfq?;pamjyRU|;}YT=E|o7#Khpm;5IN1_ltuCI6X$fdPbZ$$w#BU;trU@?RMk7(f`8 z{5J*$1`x(2|DAz>0fceM|6pKX0AXD6KN%PpKp2<&F9rq%5XL3{n}LA=gmKCLVPIeY zVO;Wm85kHq7?=D%1_lNY#wGusfq?;pamhnw`WYBNbF>DMnxLs*$b<uU{Q+YBAD296 z?jMA4$%E$pK^T`jXzm|`amj<`{y`X*JZSD8gmKA(=Ket#mpo|hAB1tqgXaE07?(U~ z?jMA4$%E$pK^T`jXzm|`amj<`{y`X*JZSD8gmKA(=Ket#mpmxKK^T`jXzm|`amj<y z6$s;!2Z_Tlw)6#>`v+l=8f@~QxqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1B zn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1B zn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1B zn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1B zn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1B zn)?S~T=Jl~e-OqcZv&nG$0ZM%`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{ zxqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{ zxqlGGB@deW2Vq?Dpt*k##w8D%|HmZ{n)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW z2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW z2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW z2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW z2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW z2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51aqTB@deW2Vq?Dpt*k# z#w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k# z#w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k# z#w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k# z#w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k# z#w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k# z#w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k# z#w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k# z#w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k# z#w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;XC`F~vUpt*k##w8D$`v+lM z@}RkY5XL1Bn)?S~T=Jl~e-Oqc51aqTB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1B zn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Du=#&n@}RkY5XL1Bn)?S~T=Jl~ ze-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k##w8D%|HmZ{n)?S~T=Jl~e-Oqc51RW2 zVO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2 zVO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2 zVO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2 zVO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2 zVO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM^04`T&>Sl4e1H$22?MnGe_ZmQxqlGG zB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGG zB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=KB^2hEYg;(q~XLI^GXamj<? z9)xkpgW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9amj<?9)xkp zgW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9ammBtAG8Jn7XJaD2|u*>$0ZMndl1GY z4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb z#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8D0`vbzb<UwnHKp2-iXzdRO<B|u}J0Ofp z9<=rcgmKA(*8YGnE_qP72Ew@HLFpcZamj<kVHjKb2d(`9VUQYZ@}RXpAdE{MwDt#t zamj<${(vwpdC=M)5XL1BTKfaSxa2`=e?S<QJS_i%{0Q@(0BAxVE&t<^2jzVb#w8ER z`yh-<9+dY%7?(UK?}IQdc~IU5VO;W{ybr>-<Ux5KgmKA(@;(UTk_Y8|5XL1B%KIRU zOCFT>K^T`jDDQ(XE_qPi2Vq?Dpu7*lxa2{3AB1tqgYrHI<B|vEeGtYa56b%>j7uJr z_dyt!JSgvjFfMse-Une^@}RsA!nou?`2vJ-$%DjU7+d}T<$Vwaslg@>%KIRUOCFT> zK^T`jDDQ(XE_qPi2Vq?Dpu7*lxa2`=|3Da*JZSA72;-6mt^EUGT=Jl`e;|xY9#;N< z!VngIH?WjHxa2`)4+!Iu2bDb_j7uI=_JA-hc~IE{!nou?We*7Bk_VMNAdE{MRQ7-{ zE_qPd1H!oEL1hmJ<B|uJJs^xr9#r;#FfMse*#pA3<UwT*2;-6ml|3MgOCD7AfG{q3 zP}u{*xa2`)4+!Iu2bDb_j7uI=_JA-hc~IE{!nou?We*7Bk_VMNAdE{MRQ7-{E_qPC z0byM7AaNMRmVZEH4+w+QV3P-xJs^xr9#r;#FfMse*#pA3<UwT*2;-6ml|3MgOCD7A zfG{q3P}u{*xa2`)4+!Iuhm}8|FoK280?>j*wDu1!c~IE{!nou?We*7Bk_VMNAdE{M zRQ7-{E_qPd1H!oEL1hmJ<B|uJJs^xr9#r;#FfMse*#pA3<UwT*2;-6ml|3MgOCD7A jfG{q3P}u{*xa2`)4+vwIN1U$-Dg)s04_Z*mz`y_i>V_>@ literal 31264 zcma#Z%*o8FP>2Z5%vFeRadKBMFl3m*CYRyBz`zi|!@w}1f`LJy3W6CK85ok785p=3 z5P%WJlv>FNVuLV))JjeP1_lNukQ`K82+C$)WMB}6vO#KvK?GEd2qOanBa|%)WrLLd z|Ns9#$V`w@F{n6*Esn&NKw?Wm*~ofF*^n@#bvS_H1!M;-zQBAk(}NU9Gc-L&Be7+W z*s@4$IV83`5?cX@jm>Q!X;}IMv1ws1aynN8TLQ^1N=R&FB(@3?TNQ~7az8AOs3D1~ zBe6kDm>LZb2O5T;{0I}*L=tCaK;%0uBykp~I4Cb`BZ;#@#X&;WAOdPW8<MyUk~lk( zxGj=62a>oQR2*cNJ(LZiIH77l;{G53s9ju8agb0vh=7VGAh8pX*hxt2WF&S95<3-% zorc6tM`C9nu``j_SxD?`Bz6uGI~R$ahs4fDVizE>3z67GNbF)Hb_o)@6p3Ai#4bl- zS0J$~k=Rv8>}n)-4HCN+iCu@pu18`wAh8>f*iA_6W+ZkC61x?N-G;<&M`Cv%u{)92 zT}bS1Bz6xHyBCSwhs5qjVoyL~Pefu*LSj!wVoyP0Peo!+Lt;-yV$VQg&qQL+LSoNG zV$VTh&qZR-Lt@WIVlO~qFGON5LSipQVlP2rFGXT6Lt-ySVy{4AuS8<6LSnB*Vy{7B zuSH_7Lt?K-VsAiVZ$x5mLSk=5VsAlWZ$)BnLt<}7V(&m=??htnLSpYmV(&p>??qzo zLt^hoVjn<aA4FmwLSi3AVjn?bA4OsxLt-CCVxK@_pG0DxLSmmrVxK``pG9JyLt>vt zVqZXFUqoVGLSkQrvUwO77_LCsAnE~#fR@7#k=T!r*pHFePmtJ8k=W0W*w2yJFOb+T zk=U=0*sqb;Z;;q;k=XB$*zciiP+j){$_7ziKm^n;Uy<0~kl5dm*gv3bP(Ae%iOmVB zbfN7ZNhG!=65A4q?TN&WL}F(mu`7|-ok;ANNbHqJ?43yLlSu5FNbHwL?4MA!3IhWJ zC#Z1%4F_E)8{|hlC>x~44$20%O&C^!s%#LG0TiZS1_J|w#7R(%&A`BjBoAsw>=0PV z`L~{d;Q(Bo-4Uh-)NX*W1x~~Cvpa%<7ld)igPae-xa2|31z}wBAa{c>E_sl<K^T`j zs2v2txa2|NFpSNApmq@mgVbP?2L&()<B|u36bR#z2ld}T7?(UKUxP3%d0qwv1`x(2 z&&R;P0K&NB`571(Kp49`BK?5E5EPG~FvvIs@)3x&6rMgn{lW|Wkn~bv1M(3>9+Wmf z7?(WAOc2H;4@w&#j7uJrHb5AcJSc5|FfMtJzd#t5JSbd17?(UKZGbQ?c~IH_VO;W{ zkOW~|@}Q6eVO;W{v;o4n<UwfzgmKA((gq0Qk_V*?5XL1Bie(T+miKS~xfmq66ka~y zk_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{- z2;-6mg(L{$k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{y2qVi&xPV*?62%_>$nv1L z2Vq?LL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<Q zK_Lmkxa2``55l<QL2(blxa2``55l<QL2(blxa2{x48q9rFWf;c28m*ie`I-3+=DPK z{h+u9VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Q6e zVO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{SO#Ha`5oRM7lTBx$3L<>DDFWRmwr&( zgD@_6P~3wsE_qPggD@_6P~3wsE_qPggD@_6P~3wsE_qPggD@_6P~3wsE_qN$f-o+5 zP~3wsE_qPggD@_6P~3wsE_qPggD@_6P%MKmvV4a>$i*O0?D3B*4~lyb#-$$=_aKZ* z9u)T=j7uIA_aKZ*9u)T=j7uIA_aKZ*9u)T=j7uIA_aKZ*9u)T=j7uIAk|2yr9u)T= zj7uIA_aKZ*9u)T=j7uIA_aKZ*9u&(Uj4U4!4016@6np$5%Y)(`gmLKy#XSh)k_W{- z2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6mg(L{$k_W{-2;-6m z#XSh)k_W{-2;-6m#XSh)k_W{y2qVjDgo9iR62%_>$nv1L2Vq?LL2(blxa2``55l<Q zL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<QK_Lmkxa2``55l<QL2(bl zxa2``55l<QL2(blxa2{x48q9rKcYY`28m*ie`I-3+=DPK{h+u9VO;W{xCdce@}Rf} zVO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Q6eVO;W{xCdce@}Rf}VO;W{ zxCdce@}Rf}VO;W{SO#Ha`4e#<7lTBx$3L<>DDFWRmwr&(gD@_6P~3wsE_qPggD@_6 zP~3wsE_qPggD@_6P~3wsE_qPggD@_6P~3wsE_qN$f-o+5P~3wsE_qPggD@_6P~3ws zE_qPggD@_6P%MKmviyuBkc&a0*yA5r9u)T=j7vW#?m-xrJSgr#7?(UK?m-xrJSgr# z7?(UK?m-xrJSgr#7?(UK?m-xrJSgr#7?(UKBtaOLJSgr#7?(UK?m-xrJSgr#7?(UK z?m-xrJSdhy7+F3e4dh~wDE9bAmIuW>2;<TZihB^oB@c>w5XL1BihB^oB@c>w5XL1B zihB^oB@c>w5XL1BihB^oB@c>w5XL1B3P}*gB@c>w5XL1BihB^oB@c>w5XL1BihB^o zB@c>a5Jr}_$O5?-B#J%$k>x>g55l<ggW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9 zamj<?9)xkpgW?{9amj<?9)xkpgF+I7amj<?9)xkpgW?{9amj<?9)xkpgW?{9amj;X z8HADLIr2a*28m*ie`I-3+=DPK{h+u9VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{ zxCdce@}Rf}VO;W{xCdce@}Q6eVO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{SO#Ha z`5T2G7lTBx$3L<>DDFWRmwr&(gD@_6P~3wsE_qPggD@_6P~3wsE_qPggD@_6P~3ws zE_qPggD@_6P~3wsE_qN$f-o+5P~3wsE_qPggD@_6P~3wsE_qPggD@_6P%MKmviyot zkc&a0*yA5r9u)T=j7vW#?m-xrJSgr#7?(UK?m-xrJSgr#7?(UK?m-xrJSgr#7?(UK z?m-xrJSgr#7?(UKBtaOLJSgr#7?(UK?m-xrJSgr#7?(UK?m-xvJo5SxX$A%c*!qzN z*FZi(U0(un<AQi;@OpuOBbf3aHyR{B<Qa})%7ff!kOYz6fJGkU#sg6Kgkw<sjEMCM zAoIa%-5D4d7957kBdvb{t)qF63^8BeI7FU-VIkPPpp*>q|AD$@Fu$S_6eFnq6Gig> zhf5Is$l)V~BtPRaro1?kyu%etc?l%>7g*#ak>n>_#ndl_ByWKwen4Rp5ArA|d@f?@ z7eUhRfyIBI@Dcc`0uCPwHq7t=$uEG)@0bDd4Qlv-<PE+-^n0*l*M9&izkmz7yg?%* z{$_Asmp{+|kzc`sUEbg)#QqI@*yS0Le}J}PFzgV3$wT;{U<0`yydIW;f#HA<LLL&5 z3=GKfCqxkP5EiQZ1u=*yBf}2|P`*Jo|AquY9+a-p<sV2P<U#ocUH*j(LLQWF(B(hK zA>=`8chKd3C?MoPWjDG!gEB%MRCc4wbEqQZL1j0(yns4F9#nRt%S&h?<UwUOy1arm zLLO9hqswdPBIH43H@dumK0+Q;cB9K%7{cTc<qIf&6;dGS!NC~2{06AJhbeY>hg69E z0CVi}7ohSHmQZ;{h<cFwK<Na$PMCp#;Q}K<9u$8dj7uIAe;|xY9u$8dj7uIAe;|xY z9u$8dj7uIAe;|xY9u$8dj7uIAe;|xY9u$8dj7uJrKS3CmJSZeV7?(Uq9EP!lKPZ2K zFh~tHc~JfYVO;W{{0YKX<rxt14@!Tq@`u3-WFn}1{ow%e8z_As%NqnD<UxKzmrsa5 z$b;-fmp_q#kO%n<UH(TtLLS0GE#EZCA)=u24dgd;{SkEtc~II#mp{;lkO!q*bomcc z5%Qq4i!QG)7a<QyyXf*AYZ3CGw2LmkV+%qaly=eOU+hN6gVHX#yu@LIJSgp=%Qsv` z$b-@@y8MP)FnQGSry(7Z9(Hs<Vimdl0g8Wt42b*+FG#GS%P)Y+Z}7)1Z;%Pmzas>@ z`~j%^fk^D~0a+0JC*rWnKY+?#NX9N-kPXp)BLllULk>j#K`wUr2~hbLMcCyPav}OZ zlw+6Q0G01(#4hiU2hl&F4ZHk?5{UeaZtU_7r4acA6L83T{sgx_R!qe%e*r4LVHS4z z1TTpG9rLlve}Kv#Sb|-?!5gCg#7gY)0zMG=3+u4UFM!Ht?7%K>;0w`Tuot`h0jPY% zA?)%2eh~c)$Fa*lfXa8A!7g9m57GbOH+Ff30EoN-JEYV@&p#8O@-sNG%PRyz^b7D{ zm)`)D@8H8O?+^sh&mf3h{sL6KLKwSzLNG-C2T|<uAE5FX64>P%LLmAdNMV;32!+T; z$l{QP7Iq0L*yRnvAo_2pVV6Gul@HLwE*}sM(SJf4yZi&Fyn`Ng`GN?D{v8I`<ryL& z@&?A(<tISpSD0d#SBQe>SFpe?zX2*g!wS2+Lo`JH0!Qrf7ohSIF4*N0Vj%h_xM7$7 z0F~$P#4g_u3(?=;ja^<K4kG`<7rXodsC+>Hc6oz%i2fHr*yRsE<r6}&%LgPt^xp`_ zF8=^3{~!aqd_f{aKSwThd4?p2yg(6l`3X>YiE`}n8=&=rLJf9#g?vc)uhEEIegjnA zpbfjcLjgp;MK^Z&3s8B73E1Tm3L*MErec@>0F{3*54(Ir5k&us#n|NqiXrkJR$!N3 z0G0o-7Q4IwwEkq+j9s1~9}+(tJFv@7fXWN(#V)T<0MRdT2)q0SsJy~)?D7tU5d9iw zu*+Y7${)CjT|S`*qW{D#?D8L=@)z!7mv1PB=)ds<ySzXNME=1`?D7ks@-N<Dmp3Sd z=>PB;yZiyD{Er{l<patf`WgOVmwy12=U~R(zAY$+=wHBtU7n!=BELcqyZi*G{01@X z@(Ps@{X3+w%Wr_nA5g$9?@$HNe?k?z`~|4|1ug9I0nHHoH}tW~AArg~Fu^V#&;rr_ z!V)TvH2w){(ZKqj3Cz&`0S@^N0`eOO$lo9!&%lD;ehmWh9PsfCME?ibe+C5PBM8Vh z5RhL%K>h~-c?Bl?{`VjtUqC>91_Aj41ms^3kQX2jzZNighM(}AV4(gdY<%K_BPg~& z;}bs}u=U>;xFY1S_5T}c5b~hDF$g1@pRgGrk8S)T;SoX}TmO0m3#@$l=>ToAqKw~i zC?e#s_3u6G5c1f@2QtzS^4P`~Zp=i;V;i5?aRDKZZG2+}J2d|z&2NChXTt<Y`Ox4D zk!OI)gUkTQ3%qOXURTAyFe4FF9u!CT<Uw(SPaYOO=;kw`l|RRzfpG&z{)fpkuwu_Y zFnJBAJTCu5EW__Vba|NnK;Z-mA9Q(`|B@LP7_iBM^nt<$CLeGHyZyN2VfN#aN4Fnl zJ|hDpzG3pP{3pNz8Fd56gUm*kcMwL%gW?`tzCa2g5Aqwj`~pRoJfeIC`QKqS#61#E zApS@1KR<xVulR&rzF`hTf5bQJ@(Oby@*n<Vmp=fNzrhT1-%ke!3w3<*!Ffda05J(w zKH?HW9+DGL<u6=8$U|7D@*dYAqM-1Hq-0e212+-!*vc=9I|zAf<=2LL2zhMf*N&$M zd2HpE!3%^uw(@JmD}+2W%c7Kz3U3ke*vhXN?-BCY(vQF=ggmzN*YO1*k1hQ&d`HNG z(k2Ll(jP4SSNy~;e?bG1DnRjrE&Le_5c1gK&%hiZ53va~eiQ5v^4QYP1XqMSw)A(v z2O$q(p_>087$ORCKeq5uh(^d`3*UewggmzRX~;y#V~gJn1qgX;>El5;LLOWC5~xSW zV@sb7Z3ua6>ARp8A&)J8ESQ3j2c-=VMou3WW+UXW#{Xi3Jl6PMg^<S@{~HnVSmS>O zLLO`U??=c(SZMKo3?hm%{?8%gvBv*Zggn;xzk`s+8vl<G@>t{l6+#|s{C`5oV~zix z2zjjW&j4D@0cl@ijemB8JSgr#7$yGs5b{{#Uko9SHU4E0@>t_v1tE_${<RVESmWOa zArA=&wD`9~$Yag_jtF_I@$Z3<#~T0s2zjjWABK>}8vn5fd93lDijc<||2YVGtnpur zkjEPTRS0=d+=DPm{5K-xvBrNVLLO`UPe90HjsNKgd93k24<U~={+A-;vBv)zggn;x z--3|G8vnZy@>t{l5JDbn{GUX~V~zg{2zjjWe*+<pHU94-<gv#8GlV?W_<xI#2gN-I zqs0Fgggn;x|AUan8vo3KAR`$-<uBIw=R(M1jekLeJl6P^K*(c_e|dyF*7#RP$YYIv zJ%l{g_%}t!V~u|sggn;xcSgu#jel>1Jl6ORLdau{|44*9*7#3A$b%z_0k!<RkdBbY z8vpqSd93kYhLFb^|FsBttnuH1kjEPT-3WQC@jnS6k2U^hA>^^f|3ZX3*7#q6kjEPT z>k;x;<9{1M9&7yXL&#%||Dy<btnq&aA&)iwFC*l!#{VsZJl6PsgpkJ?|1S~pSmXZ# zLLO`Ue@Dn;jsJfLd93lz3O+#>T>fB<e_n(<*7z4e$YYIvX@or1_*X*6V~u}Jggn;x zH$=!|jeiS-Jl6QPN62H1e>a3Y*7)~D$YYKFP=q|z_>V!zV~zi0ggn;x&qBy!jsHS~ zJl6QHK*(c_{|1CS*7$En$YYKFK7>5h_@9c9#~S~05b{{#e+fb!Yy7W9$YYKFO$d3c z@xK!xk2U@eAmp*e{|SUV*7!e<kjEPT*AVhp<Nq!~9&7wRLC9l`|JMk4tnvRDA&)iw ze<9?t#y=xywK$}Ig*E;;5b{{#pC2KQHU7mB@>t_v4k3><{#6n3SmR#@A&)iwjS=!# z<KG$~k2U_C5b{{#-xDE^HU0w-@>t_P93hW2{^JnxSmQqpA&)iwa}n}b<G%zUk2U_Q z5%O5$zX>6aHU7I0@>t`4B0?T({LethV~zj$2zjjWzYHOdHU8Hj<gv#8R)jp(_}_z& z#~S~K5%O5${}e(VYy4kC$YYKFn+SQV@&5oJk2U_EBjmBh|2u>{*7*O5kjEPTe-ZLn z<DUhz8wFDSV2yunggn;x7edHmjekjmJl6PEM95=}e+`5@*7(;)$YYIvGlV?W__syK zV~u|oggn;x_d&>GjsIYTJl6P+Ldau{|3rj5*7(mr$YYKF0)#x)_%BDuV~zhhggn;x zZ$-#sjsG5mJl6Q1f{@1=|FaSDSmS>YLLO`UuSCdWjsFb@d93lj9U+f3{`Vu~vBv)~ zggn;xKZ}sZ8vj=i@>t{lHbNe2{69v>V~zh;2zjjW{}CaNHU57f<gv#8e}p{N_-6<0 z_Jfo^SmU1$A&)iwMG^8?<6j0Lk2U_45%O5$Ukf3RHU5nd@>t{F5+RQ@{v8nVSmWOv zA&)iw{Sfk4<39``k2U^d5%O5$KLsI=HU6^^@>t`)2qBL({;Lr3SmVDDA&)iwI}q|% z<G&vvk2U_MA>^^f|6GJT*7#qFkjEPTYY_5S<9{<k9&7yXLdau{|APp5tnq&mA&)iw zFCgTx#{YGMJl6QXhmgk_|4$L}SmXaKLLO`Ue?iD&jsM>Wd93lz1llbODPOS0KPN&S zYy1l!<gv!T1VSEb{L3TcvBtj|LLO`U>muZ_#=i+d9&7yDAmp*ezcWG}Yy5j5<gvzo zAVMBn{AbJt?Z$?LKeqUvu?QiLE&fleM95={{~sF=^4Q{EV+TSWTl`1tN62G~|Bhn_ zdB{u!>iG7Kvj};}NFu8Iiz^6u$VdRHyu=-ZJfx+LD(~?aA&)KnRlGvTLuy)7{VP5q z<RLi)RsO~ggghiBQRO)pVEfB|IzUvS%3H7_<RL6n`3ydYC}{o$w67kNMv&)+W{4u> zLHp{_<xj{U<U!{mpv(VIM##&6B+=#{G_(=&vRLFJj1cnJ@^6PFLS7Dw{v8eod3h}I zFWeFG3RvVN{1NhsSmZsz5b{b`<SSwk^2%7`SEL~1v6VkJvJvvw${&tmggmzL$D#@$ zkFEU4Xhg_kD}QEmAmp)?KPUPT^4Q9sAJbs+sO#evWJ1=jY4}4@EhznAGyg>bq*MUO zgUkozG34}#EDtKbKxqV*JgEEvg)uI9Y~|O3HiZ44@(bO5WO<NEbo-IzLFE^^{mAmr z<bkrjY{gQLsgUv+WH$&SyB}E|WH$)ok_Xuh!nowIrLP625%z=97rOn(@}TsEZa=a- zD1D*Zk1UVP{~XbfQV$gWp!fx;L3aOvWQ05@e$nMCauD*^;@6-QArFdQbp0=C5%Q3b zKrKHPv?1g{@r$lMq8}lTt$q@ifsn^mKb=^BkjGX(HLOI)W2>JmHX-Ceafj}{54#ZZ zSnH?52zhMvQ^Fa9JcNZBJ`z_UqM-PL<aAW|3wIInkdgvbzT+7}9$Wq7@E#$Lt$zCP z9U+gce%inQaTUmY*y^VYPJ}$R`bj|uArDIX=;3=q3L%fJewv_+ke3H(Mynq^bP)2` z>L&(MggmzTX@@OB9$WoX;D(ULRzE!mN63TnF35?<`EN!7LLOWB5s-<H2bCY_`Z<aa z@}TkqUH(87LLO9ppvzY@BjmBw9|k=Lc~Jd<uK&dph&)If8N>1lObo;~g>j%XNDRsZ zQy{(xn1vufVhA3n9A{uKLlywtCyIp+OG~ga1y*(-^DVIGLly$rZHz2{!!C0o)PT%F ZR)&oai+^M{g7hHsjX(lO7@IjDX#fM|hFt&v diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini index f324561..5b3ad0b 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini @@ -25,11 +25,11 @@ INOUT_PROTOINST_FILTER=true INTERNAL_PROTOINST_FILTER=true CONSTANT_PROTOINST_FILTER=true VARIABLE_PROTOINST_FILTER=true -SCOPE_NAME_COLUMN_WIDTH=117 -SCOPE_DESIGN_UNIT_COLUMN_WIDTH=162 -SCOPE_BLOCK_TYPE_COLUMN_WIDTH=103 -OBJECT_NAME_COLUMN_WIDTH=188 -OBJECT_VALUE_COLUMN_WIDTH=49 +SCOPE_NAME_COLUMN_WIDTH=193 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=209 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84 +OBJECT_NAME_COLUMN_WIDTH=207 +OBJECT_VALUE_COLUMN_WIDTH=640 OBJECT_DATA_TYPE_COLUMN_WIDTH=75 PROCESS_NAME_COLUMN_WIDTH=75 PROCESS_TYPE_COLUMN_WIDTH=75 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk index 601c500522af25ddcb1d2a63828bcfac88d7619f..1d21f3b9a648c61953d3b82e0b2ad96b73a5576d 100755 GIT binary patch literal 31968 zcmb<-^>JfjWMqH=W(GS35buBzM8p9?F(i~i84L^z4h$9yybKNuatyKzYzzzxEMPH+ zJWM@|zQF_$htV7mE(0@Ep9F}(z`%e`%Rtq^XpoygLLeGsABc?&e-MF)!e|Bo2p^=6 z6~t5k5ey6rXtb_6L>xvV>jT>t0Fh@vqa!#W;xHOnA1G`BVj%JXIjHmku%QeLE1)z? zA1Js$`Vye}5}^8Ev;s&0$oWtj7M>tuK-dBrp6E21cNx(2ML_kT(=HHaGr(w&9U!6L zrzI&MHo849J}&zLp!)7W!x5df0Ywx80|Sf(*#WXI@M%d3C|p2nVlXt?8G@kp;fjY1 zP=CW{sPzo`IhjdjCi*!kx;dG7r4_mr7G}C;CVIvBdPZRDLGA+C0ZLQuexVFZ4GafB zVle$6HYh2Aj0eeIKdE8x{mL;tIiZsu>J}aJS^qV08b}Su43Hj>8j!&t_0XsVaT!2t zJq8AFo`m?n5iAZ812Hb9p34Q%xbS2~1_mK4l3^^^#l>)lgTe=!IkKR%h{gOuX6)v- zGhi3*V!|%Yi^Dx7IQ*4{!+cN}!_p+Oa~I<<=N%6BT)<)eOB~`lIKn3oNBFGAVa^X6 z>hI!kzd8>0d*M*;hQr=hIPA^BVa_fb;yyUsqlQDh4i0-);4tSi4)-6$Va`?@=5XUs z{|kpZ{c)tvG92d5!y$eYhxvLq%%6$Fzp*&f_u}vuGY<DSurM${n*hk!g@Ga7GsHJO zCABCuJ+rtZwJ5~bIVV3aH6$@9CzT;SK0P--FTS`Wv8W_I9-<Vh!p!W<__B<YocOfN zoYeTT#G*`){&<*L2Dp53Mrv|)d{JUvdTM-rT6}R%W^!tLegRlPa#3nxNossbYH?<I z9#|x`A~m_RBsIREC_g#1xHz>KEDE*=&7jP@l++5CL0|=$d8tJunTa{^MX6b-$t7T& zi8(Nn!AgpgGg4DZb5i3=iW2jR6O&6aL7vS_&r8gSPfIK=i7!qqNlHx4HUMkROifLV z&nwMMEy_%eFD^-m2RSn}B|bB+Bt9`E1*`^aY-(9*UI|z>F(<wtu_!S&wIsC&EMHtu zTATr4rIy4Om&KP<7NkM~tst=^BR(%NHx(jXl3Nm=pO=%F2j!=h#1|#UmlT0~l$>9h z2k{WtyehB`B&(AXb8<lL28*Xu<|XE4g0v$12vQ4{EG~eCJv=DjK^>o15?_*;n;Ku0 zT9gk~j4J?gOLIyx3vw#Kia<uk!%f2yu*Es~<zSV?nYpDoXc3-~nphB@nU@KQxXdbu z*HhqOR+O5PpPX0%5hiF6N({yqXI7;eGJrLK9hFv^mmCj{DU{GEPA!Q~E-EXIPbtkU zz!ouJ6LLU_qbxC}G!=U^;|eL1WRh4^lvo*`oS$2eSOhW$>RnuVi&8;e0EZmd4Mmy7 znR)5)sVV7@@Bq2IqBt`*C9^0V9893ZoS7D1l$x7ZP>>2qpT((p#hE3rkcCGRG}6I_ zr+|}0ab~VlQD#bdYJ7TXNq9<9cu^)O4adjlWF~=9Kd3;+P0Y+=h>uUMNQ_U*%uCDx z8JnA$o19y~keixYoLT~+k_##sax#;OQZnPA5uRTZpPgEimztwjoR1`x1hEc9DjC#1 zGh=Y~@pN*IH_|gSfisN}Od~y02L00FBK?f~+*JM4yyB81Lw#RYSN(|0oXorm{j$um z#FTs^10xeXL;Wx?M<2?|$xPDE$*V9k(aYD@(*vQBf?|k>etL4UuBD!_o`HT+W*$fi z+<*kP+?W^`sQ_W7Ff%YRuz<}2wG9~=m>FiVFu=MG3{xgfV*~ZbmO#a}fceY}>!JKo zsZ34=1}27GpvETy0|TsI0_zVfP=*xu5zu}IOgsT9&QSo7hl#`Z3TWaV93kp7(8LRz zAmRpS;tb9ZaSJr@2~cqdH1P+95cM8t;u9dvbA|vk@ejrj^$}>|4ki%s1T^spQ1J{j z@dr@x0yJ?0Q;0bgXyOe}@dh;U3sCV6G;swph&dC`#2cXEGtk60SU}V-Ko_@!h_65s zXRv~ZZ$J~D02SYXCaz!&QGWnU+`tARegaK=gDph-0-AV&Jw*Hln)n20I(dL5{=fjL zJ|9AZ`aYn(7KF#Z@Bm4i2PVkC!0-Y|To6h81Cltb?*x+mfh4X85`f|tQ1^iP4SY~B z5H%wYL^3e2gL5{74I&pHiG%VbNDPEmAc=$eb|5hj-hd<y&59slh8;-aAU}fz85kH2 zAc=$QhKZj*5{KnUklY0%ap({QNSNUUk~kMw1VTJO66b~pfyoz0;=EuH2=M_)oF5_t zCVwD_gZj2$K@b5AXOP>G`;i<-;=&+xP%MBX4(dlkg&8D}#6@9(pgtCoxEPYS29mfq zlDGkqxCD~81(LWVlDGqsxD=AO2a>onl6U};IBX0HBprby4(dCD#6UO!Nn8#j0L2+d z;_^^25LJLAj@+-UKoVC3DTLw%Byr?+eFu^_w1@}mn1Cd%0v3S~GmykpAwpns0g|{H zSOh|>KoW-zv4JHvAc<>$MIgiuBylZ>5SToGB(4n>fe<H<#6f*+h$sWY1tf7@nBXWq z8UmvsFd71*Aut*OqaiRF0;3@?8UiCc1U~c2{qksj!{O1*deWbP!K3v+3Df@z9?eHM z4ueDHziE;m1H*sS6h8(Aet8Fm|EeH<21xGZga7~k|5uIjV_?Vtb(3FS0P}-Dd{7to z<pD6?3&aO?eP3<>^PNC^P?z`R0x;hS#0PclUrqq?jX-=**Y{-un6CxmgSw3`3&4CO z5FgaleVG8}OM&>HF7C?!FkcA72X$><I)M3HAU>!|`_cf+X9Dp-Lk2Gu!2Dmn3=A2d zuI)<!F#i*X59;#2WB~JDf%u><?#mDVK>mFM;)A-jFCT#Uw?KT*P{Yd$VE!c#AJoNt zc>v5m1>%Fcwl6n;`G-J!P?z@Q0x*9Uh!5(@zMKH&Zvyc_UD%fmVE!r)AJlbySpeoQ z0`Wmz)|Uxj{wxq5)Kz^M0On5u@j+eGmkwZl7l;q)n!Ypu^P50?P?z+j0+?R~;)A-P zF9pE-A`l<c1%1f?=4XNUpswf34}U@aPXh5lUCx&e!2Bo>AJo-+c>&B10`Wmz%$Eni zd@m3m)U|xM0nB#-@j+e6mkYprD-a*lm3%n?%r^q@L0!m~4Pd?&h!5&IzAOOqLF&^c zfD#}GgVL|zThGpmaUPwIJer?;2ncaCJYaazqt|wZG6TbZQDI*OhA(M){PHaz<qRIZ zwm*Ft7(9AeLGkQi`J+VCqnq`b4<zYx{(rzP-vCm07)pEe+MWcfHwDF;hvq+z&JP|3 z-`RT{{K4$Oc+BJCzY-CTZq~U_133OaNaL4xVPJsi2f44ow$+z`fuU48)T7z9%7=l0 z!K3qO36Dp&ZJQ4R!wb#-|Np;8`Tzg_G1gdL1_s91!~F6n`d^m+|NkHA9>;LUFvn2G zkYJC_%g3A|SemN>7)$MZx~m<!t3A4leY%4~nt%Q8{K4;XtoawCW9K&)ewXi--(C3q zKDcy#d?EYy|9=<lVh<Po^~E8+jQ?KtGcYjt^cF{W^g4TZbaQxgJ8*b(dM9{vI%jk{ zCv-Z;bbIIUuRqAY-aEzeM16{9=YP+}<2Sq*7$!gf|2F3cpI(&%KHb>}7j%2ayx9Eb z|Nm}~_LR;;+QA<D>w`la`L~6LGWat7apK=5qSO%_VR@oNs5>}^@j|C_4FCE={OeCy zo~T>v*u@s@7~>f080Q#&_?VLu1OGNB2ajHs1N_^(96cC+cC|2SdNVL|I5{1jFaf)K z6#@CvNb-(g^SfFsWPCt|Iv$323`&N=13bW^^Rj2>pKhlFSHo|u2lj$e3BTuy<4)kh zuhS`~GppdZ6KGumL+AS!Q-1&d-|3>l;?ep3g~p%%|2;d8?gJH)9-YTMI$ymw`s@FH zm+tBW*Vg~8{GQ)^I={d8^!xvRk51<bkIv&ho$q`)KfQPW)_2#l`EA4TW>BgG8Q{{n z8<dh=IyZMPFfbf%?tu<OdUS)8cyu;T0VS~RW{|W;XY(8e28M31SZ6aR*K~I;U|?VX zM``yA1_lPp6LrcjXEDGcw0km0n(+ceF)UK*YdpHM1G=j-x{GtVgG;)*K_<Pp@azA7 z2($BqwsQvmdgl`UZ7!?~uKe5fu&9D^M0a*Y_im8OyElUz-8~uP+7~lG`oNCS2D_Pm zJ=hm69W|^B-C*%<sMGjcbN>JT@6(-J;o0rV;MwiV;nC^r;L+*r;gLKW;=%hbI>7;V z6dZ2PJUVZ^Sn>P+|Lz(UmKXNF|NnRC{Qklmtl|ZzR)eRkSV%mh#&364f~(=%*0+xQ z9&bC}zc~N%|9{8MW1u)p`2~u@<A&d$5y<lM|Nrjngx0r?dq4@nh2Qh(|Fb@w&tGW& z0!L#3*g5}vIv>4|1SN&+isQ~TV3nPVK>>2S85AI(3g#F%rWm@N6M8-Vw;pie_k7XW z4GO2@;Glr$Sq+NR<ISK51L^VT2Bni`P*fq}eFZqCL1NHY?_L9s=_TNp=I{Rr&hEBc z8nE~V$Lns8b={jmk=i{OWJh;5DA~Mt{{s}kAZF(YZEzg%uLrvU9L+5MY*@?l`L`YL zOlE2KVf^v`fk$_CL3edUcX3VkWRUk>tOFSUPJ-Ib75wYLem>^H%E0v69h$!|v{d8J z!u(nUqy-XMj36c$cY{su?uK}<M5cQ($kU+o3vxCr{qpyTfYMQKc16Q(P_kj*Z<)uy zz<@|p&09d3rn4IqncZMcXY&S7cy=Fvhv5ze28P$lU|SJH_hgU)kbNNKF#GDA(f!rk z4U&H`_xu0<-C&au0m8q{JEOw~l=MMLy1PO4Se__d>eF3afN&u^*@IG#N9X+)lYW2_ z8YJ2O110;7KOm{y6HGsU;RsfMHPs{Bf6U2|5mdf*wOHukEdPQ%8sC7D1-JzK=hIu| zVEESX8)&HygHPxG7aZUJ|F>Z*4fg1aQ3>$qb`I$Fj_6|H=x9*^r6`Zy5S0K>0XOmg z|Nl13rCK0m9ERUOI_<vy|KG*X;iBRJQpEvMl?_tG<iJ1W=xZj!x1BFM8jpaijt12R zv4<xh@~cPVn+iryReIU8^IvyXLa*!p&L&W*L*(ElP+T8x0;O(HHtJjja?kN5P+kJ% zqRu9e$;X>ODFq@A$~?!LKxqjq|Nh0<uZUti@f)ZJI07#M7{7wbaBykt*z3;d+WLPd z$P|9h6OPUQ|GDt{zv$#q@ko9NDn3NNfg-CJltsY>!!uAN!0`S5e~(^p!f@%_4T}8Z z;G_pK#iesKC><Pc2Bk=lW4gdaWk)k8xgBpl0a61>pWrOj*?b0E7hHkX1<gPHd35@y zaPap%Wny6H^<eDm2CZ8=-h2eAt@QwZ$2}%c&7Yzo;M1F<5&=sQpvZ{;5d!?%z;<=G zfZ{Yepc|ZVx;KLYvwJcq2)nyM#=SW81(c*gOl@#U#=jn1+klIR4i}X`pU&@~Qn2{P z|Nk8=DxeVe=+4gQ-VI`PZw7^Z_he9rLyfCOG7hAKe|<AZ7GhkB3TWLE*u+;a6n}t9 z=i?sTt3koq4GzHW&09dBG8q(VPy@A)3<QNS|9Wtkf@>R)M<KrT=$xZsz{tP=^L2|# z0Vr{SYCT*YzxWxC$6Hhi85tOSI=_4LP5~SI!sz?||KPyvoTKsrRH#71w?#z*ViWE# zNk_5?OPFXPYyuhl;>0(wO|X!^3F1OSzH^QWD2+o(eNgV`#*EOPpFpKPC_+J{K1dNt zl(wimU|?Xt9)~aXg7kuunKq=NK#jeJpy~$_CLm|Lkb^i4DN=PnBH)DA4K}8`8<eyy zPm~ySPX;IN3!PwbSV_y@=k@ph|JNeNn?WfYl)MqC+^2gpC}ntb`>1eubTfK%dm=X< zK-mjaj(U9tWlcyq`VCZ$W`aT+)O=t7F>F*`{P+Y?0V;_=1rBNp0#P1;+K13$$SKG0 zWb0dgkC&ZJpe7;6Rh?NC$DL|G8M*WQi}H_%Qib~yxK!B(>M=vx7Aro03YOv=*WNrv z*Vb>2`#}b{@_YVuZ2tET<ZK2Pe!mx<$?riW%kGaL$AJq6M9H!Y<l^4RptwV6D1r=f z>0Avi2En-$<e+Zv9BuE6nv(A7g6`rH$Id^FnrA#auXP94_%J62xEdaC+zC?lV&aGY z{~@J-OSf}Iw|7qGU(K_~>Bob4HK=leDo+9_ch1pv&gczbY&`&WH^0XPkIwf#ozGsd zeER?2r}L9fH@G$A(aq%1?S&jWASZ%iXARhUkk|n??oNM%Htv!>f~vd!FQULIa8+|i z@#ETg+_CeVN9Sjc&cBYGXMKB@*mAIg^5}Dy&O<MhKmPyUdVs%WE+}#L?lsV0V0iKW zJ*a@*yTgEi;l*bNJHdv5;l*<Zo57BO;l*tT+ro)~;l+6f8?=_?#o_lL59+A+^sdcd z1KIN4qw}6i=O>rWpD&(&0L9s1k8TzfkKR2R3Sd_}^XdHc;_U~JE+nNrU}K(pblw9= zp95)ZJPI<<qZ6SDwPE|R<HP^|u=3n7EI7cU^RwqZ&^iv#s0gUy{r<xA-T(g{;8-kZ zu;E}X74!Um3?d`_?*IQ|%?m&SHm{vLd+V4CzrA1wsqU@TF#KkC$?)V0jd%b5w;U*O z^lU!H4AJ!LEy%d$3rq|Q-QA$A9-!(UY=}qi?g>l`3?7Y#JD5S0^*xAN<6bs{!m9ZQ zN3>%cq$Lh<G2FeN1<45awn8kJ0k&WP6R4bK;qYkw!NT8u6&zu_{;~`V9?2|?9*igc zKk)3WV>bNu!XKgwRMd2LgNg!>U-?^SgPMNL$5<e4*Ln-`um=kR1LMohAer7eZo_Xc zgduuwfU1*jP>Bc9Tbk_Ie2fR8@6#KYKIYeTp3TPuAi}rd!Yr@TJ$vhf4ZpoO{stU8 zDDGe?758jDCIZp446cdcwGlLg4tq8q69-E}1ztA1`TyUu`53tE^!-H<To02+@9q<j zKsI1O31qo<h?D>c-(XPs-=ECDzyJx{7upcFAwssnhJ&$`^H}o-76u09*X$4m$O;Zn zHPv|d2E>Z!mj~be|33j@UJAmz+pocX#WZgQE7Uv?17w~9#JmPpu#=--79z|`MVQx( z#XKgE`yAMy=7AU>^FW2ON8@1)HmG@u2=hR@0-*l2#$q1Wzb|0sffyk3HbC5W0cPIC zH*o)^Bi#4;6{df|?wi04bsvZUGVcY%yb5-x`x+7EfyPsz?wgIpJZ6x8EjXa&ffyk3 zKwE)48V@UQK+Q8mn3sugpEoY^9>B~4F+k=;K$6f2n0XIh|NlP$mLEI+dF)SOU|;|Z zq#AyE@%82Z|DL_u%t4*}-rb;`FS|fnR9@(UQd95l0*Fzd%}ro&k4|{L&jy(aNsAy7 zQ9gS#zmWiyRGt4l_m#tK0(A*GUV?%cROLVlD-U>Kl?QT0<8gEF2;J@kHU<W;bv~W{ zUMvR1bMJ1@egTih!yar542G9>g52n8c)+9c-phVQ1_o&HrU5b^QM`G+1Ql=mEe#MA zpn`-Aq@o+#>h1<Nr9p)Oe`_2>1&iUg7f)XN|L?H_G(ZCyD)#7X7GMF5&PcE@fJc!% zc7TLI*rT(Vg9X&`UJP=sM{hIOg`F-c93H*RAZL1XvZ#3Wy0Ln6x~K?DF#P7xU85rK zqUFW^|J|!WI{%+F{J#%m61e}>`SJzGy$7GNxOA`H!NkDe(!Cp`%7c0J1||juWTl{* zNA~6a|BeTrvv_v9=rVYA`{;6bf;tMGoh&LlL1WsW!0E05HJ40OTsnWfsC@})<AMzA z?gshD@<fRUb2G?S5N}wX;O~w64=$G2!DZEp+s{Ff1s<A!l(`<=A{-vwE*u;los+>{ z?ra9tUxt@3Ec9qTB7taKy<`LhI*QQY3Gno|544d8k{CfrBo%BcB8jki{y+BG1scB| zAW200W<H0+FL(q1Qc<XZRCG6kl1FzpsGI}EZ>g0hypmvj{{R2+X4tMdkKWxEK($%p z;TP--kfpRpDZKLqC~wrU8h(3m?in~REEqxcBB;Cro2%j3e2fheT5BN+ZZLxsJOGg_ z9RCk=f^B*|16uGS*N>3$7S#QMc>YB;x(UrT9DJbiVg)RJgBYOvEda@s6JYt9@dY%0 zdv<>F*gqYf<z7fU`~TmwyA9+h$L>C`t7JHkQ&Q*67gwJB|9|i?i(_{iDA9Q`&jY14 zk8U0bk8T$U4$scM08R!5&(1c`zDUpBeGixz7(5$~S#UBi>;k1TpU!Vk&%KO#j$B89 z${VnIK>Zx37dxI3c8?3(Jq;kMnCDf1e2e5BP<`jw*~Y;I%J%y>n8EIOfyF(io*}y@ z)G-V+`XK-+yE{L7f{H;<^8xCzcTYgs;{(Vl=H?e5s?$X!!Q;4#N(Ly0dh7uC71VeJ zW#)qJZqP1Q59V$GP~z$IQK|4a-l76(GJ_R&wy1y_?4Xel0ngrTJ5)if#_um?fqdV2 ztUE^~!lSoDCBWl2iwbBu#$yL)5(Z{ChezjgaDnh*))P=@v3Yj;ad>n#Z{Pye4&6II z-tKl2@aSwl0AWgabT*#=N%rnG06C}e@C+^nhFu^tJv#5bIP&!W|IYU>YM=c7@6mV! z<o#&JIQaNW2q;Xz9b|B8+G9T`FG0iP*5m*GeR}tToZsE-05Y1n8MG@D6a*Qdpeev~ zeFZceK+{4X|4Vpuz6TZJ@1BBsLM18*9-S>JpnU1k?V=I^>d+;4boQu7fMcaa1?0T$ z<_QqHAnnW+6$OywaY*kPH2vhc2V^=ZynH&BfV<?Nt~sb%0=4YpV^FL7s7v=A6;MIo z(Yr<E2dHg%+(m^0WPnd+ii&_oZ;c8EB%lpJ>bqSTJix=!%>~@xkgfnx-L9aJZh$aB zA>F~vz|ifg(AhizB-Xndw9VF|@o)q;1H&$mvp_)}_yp9U`Tm0QF^K;Ef)QkX;}K9W zgG$9X$N1R86F}1upfUh75wmY8JkNs4z{E$O!Uj<Wdcvzo_ecN#J9f8+`!O(dHb?M) z20q*U{Xoub4uJ67Av_NV&)g5Bq~G3;f#GE<q)80w1~7muK$N43k09kJC|;lq?t2eG zPG|->xw{+G9s`$m#fWlr??bRls*zn%46z&Pl57YM>XK-POOnBY-3~lpH(P+H-rWtL z_-;I`z=H@w@F?L+j>qr<*calU72pCG)Sv@9C=yWs>p&DFfQsJk43LT7>Z$}>U4bp? z+zht1JA^~PqjNIIT^`*b91<R#lR+--cHvNfgz3x7|NsAk9e`Y3BeicD-+;3>xD@f+ z59(?_atvr7bm;?7z#-x;)bsywPz~|_MfU?xc5bT&2Si^xBp^6=!2!VlqI!3)06Dwy z@C#5tfC?N?UDS94WEm*kfalR-Uw(cF3Y2<KJX(M(L&Rg`14ulkgIhE8p!SX!NJV$^ z18}f`+O42q<8O6^r~tKhzTE%+e?KT!>;sJ{>;h%0ouEl$M{q+2Is_08F$OtsLpWGL zjR9Vd&dFfccQ%9k;?uhr<O!eN)o@SvbUyd#eD}fz;%rc5+ISxnM$HJ*8frL1nfY5m zTV_3*kArK}|1YBNgNo!Gpdevx2JKq*=v@u5$wdWH{D2Bu&;6i)0=e3!vqlA0{D7Ko z;Nl0V_yvW(N9SReZcr)ITcQ#HD#AEGW`J}emj{Yq_c42Pdvkbnx-xil`f@<)I<QZQ z9)Pm-_ZM0qW$4iZPcopq?Xe#;;sZ$>FS_r6eGT$ScQ@Eu-~<Y3Pi+7tfX?O}AgXt_ z2dDySJUoLJTFH8J-iv<u`#y4Y25LWfG{5lxH?;qG><8^00gXHvetV$=w#eC_+uH)v zdv{TB@aTL5Dpzhk`v1S9`3Go_vA0;mqwz2(Sr}g02?{O89Ua2pY6?_<1>FDte;0_q z6GVW<KEcg?k7&oZmnHWg&VZF)9{WKXRG?NbzYFS0FJNL|VDjlLPVnj7-2rO5LacB# zJOCc>{rBR-J(x0Pxb+rZVCzAZ#YMO@6I^=5J(x5&XTDqubq1*G0mh)}9Vt9OyIP=* z61ocxc+f~Yq|J{SDu*9}LdAm_)Rf;08kF~FJPfKx;Z`qbV+4=?cHVnoe((SPT_FBW z5P=#n(RU#M19r^>aQW^S0vWRbH8w!oW}psgyaO%?`XMF3bVx}6+86H8+57?Q4)B<| zPw!$-%MH=K_UZf&8gbin7p#3gMEi0K?NAMlJ2K?hK+`%PJ#`R0>mho!<JPl6n-iwT z3!-N~M9*>DdNiaQV0y$Ldd@@iT*s{^L){ss=Mf}0?nCrE$E|0Di5pDMI*6Y45Ix^< z>v`em3DZ*r(eodohaI#?s<Rs@*x})(;qL>};|9^g?+>a+`^0hU$%yfX=@EkHk%#C} z$E{~YW)Muzz1!e8)raUY$F1i@StwKwq}d$<nofH;|Mvg?pam+y9-Y5;f|d+<^onw8 zfmX+uif}P7yjXwx|9_9(-3t^L7+x4d*f&6I_1pjdM;`|11TP)yHGRg(!0<v5qyn^X z3`ycFNW$XI|No$N7Q>5w$Vx(kLApDCdvtyUO`J9C0@WlQy{0DMR;ewBdhzxqs7>~+ z^ZpBGkk($?B_IR8gG7664}jR-w_%1i-u(YRG&qYPz!78|gCp2Vk6zx~{~%w8`hqD_ z9x!FQ2BfzhWKQ!Dh5exMs}~1v!b}4B4;)Uh2v34M26Esi9u0xf5Eu=C(GVC7fzc2c z4S^vZ0*nky44yas|F606|Nj~&hVgej`u~5*<NyD^J^KG&`|<z(PLKcp2OSxrmy%he zUy>A`mRS^<mst{@l$w!PrVo|@AGrbw5-<<9y5cg>Nj3}&3<T7H&Q<{(twKm$ZfY(= zW^rb2ZZ1P^G01*UYnD-(*%~zT4q8m^a{mAS97YC)D;NL&pTfw%@Z{qE{~;j$rT_oe zFfuT>T>1YWG|-`P?f-uh&@{@;|NlWF$yaXv|G$Tcfg$DI|No#tW0nX1|A#O$Ft9xQ z|9=iM1H+bw|Nn#L0z@AF|1ZMAz>xCz|9{XV>=w`{C<_Av%ai~AGgufHLZ1Bp-@?Mc zu;j`A|Ddz~zC8K=e-8@-gUi$Z|3NdVOP>D!&%nySaOL^`|0y8)#sB|vSQ!{pUjF~T zgO!0{%gg`&AFwhoxV-xRpM#BoA>{S{|2Aw43|HR#|KGsIz|ivU|Nk9q3=CU7{Qob* z&cGn@@&A7db_RwiAOHVPU}s=Z`SkyP4?6?HmQVlxZ((O(Q2qM<{}*-!hWM}l{|j(1 zFjRc~|6hZHfnoO7|Nk907#Khs6hPs~SQW&;SRueD&BM+yfe|7O+C0#4;s1Y7xH7n~ zgGN#qK!cc|qcJX={r?{{;m^P);KnE6#m`;N(ZFCYWvykb0@@P*HWzfXp~Z#&|3RBL zKz4vIXdt2lw2%Md|NkFA0#1AaPJ9whd<sr{8jgGh&1^lay)1pq{Y*^v_%y)65@02u z*$f5-2GGi`kW2sn-v*CLAnA4DGjQayaO87nX76F^W$k0>XKrI+KFSCZGjKvO%8?J` z9uEcvhCiTlBrgB|{}I&jb|h%lZ6+LMf&Apb$iT4Y+W-Hcb@mK!vmE&}-1!bLHbb4m zR1NZ@0@%L-2zRtFGB5~S|Np-iv|9$Q7wl$`pWOL2Fr#>?kOjL#Kz_Qw$iNVC`~UwL z#Dz~2uJ8e!11ZDAz_8-Z|No%TafF}X?m`P|rhC|21v=g%g^7V7;qL$cpkZtVCq4m3 zJ_$!Yg=XeHCZ>rXrhpS4hby0g3ycjqQxvqW;LhFu|ARpOK+(g@%m`EH$fw{7W4rPx zWWm^=b4D2$8167JF#Ndp|Gz&dojLLeG&3=If+8QZspbb01B1?e>~bQ^3=9c4<Um6| zQ*g-nFf%Zmz##`3a{hxuu7#O_!QcU=TR?dYv_L22!T<lEAU~jl1CuAry9o>o3@4Zw z80I|q|6dP#T6W<JKuJPOSktmAUw{j?NMvAO0Offd76yilNB{qS#dOPTm|I-=6qvkV z9B4d%4iGhXjNN|?(6Y1*)66QEF`#e&EipLr`2T-=>Og7j0t*9!$&>&8`9bLhl9wF$ z6x{hXFg3IEF}E=>{pV9~1eG_?{0B;FEUXL+0#E<{uSe(s7d)Wy$enKiGpJ00mM7_q zpkfA5E`c*KC~Q1f85n$?{r|rdWCpmv0;h3sNyaS22(}TD%$X`+98kQ0R>d57{{O!Q z_ILxA9!LcmQ!cjnapgO}$UFgSRtBZ@C#(z%6JA2o5F{V?gYp3=TtNr>UU~WdKX}gq zBz?K?C4lp0E-d^(=XQWr+vvRd|Gya;Pfj371yGpwGclFIe8d2*A41p|7$&^>{~t7^ z1kLX-Gs{5QK=~as09(Vx!0_YM|Nn&`zeB>z2^2e^aBpVqfrMQw6H=M!1adaW1q>j6 z?O|hJ(0u#<Kln%^S5W>g0n3Bxv>R*;4DN6L{|9ZDWANY;2;k!Y*GV5ht9;)6{|}nd z0EtKOae&h{XoX<#+yDPpf=qYi6KG>{<dbM-cHvWC@&`G<zzG!a4uyOQ5nwNXBOau7 z6px0$2n+$(`EjuG;y}e7C>TIxJ&1<!>p^WA=ynB=IOq&J5Dl7G1JTEz@}P~nAU^E8 zZqP<u5MRL^L^3dd^DzVB96QiPSC9;-EeE1O{QwXRJI@Z(b_MZa@?Zb`2cHxKs!u`U zppD2N+5oBmv=JG^2i2n>8nj9eL>oXAf@bMJd{DUqqCp#ZK{O15*3p944bXG3Kt&pe ze*h{0Dw9Bb&<1A^4ch1nq76VhiWwNd=Zt~)44@s!3=FUpNDQF%21uL}L_q6P5EJGO z5F3Pl|A+XG5vuhclz#vkkpH24SiF3I@)Mx)KcReBxPi>1CWf7Bj&7zIXdwy%1A`ls z4ujHZP`V6Cw?XM?P<k1Z-Ug+QLFsEy`Wck|2Bq0RJC_+47{s8o8k9DJ(r!>X3`(a# z=`twY2BoJ#>19xQ8<ai<rLRHhXHfbZlx73>iWnHgptKs4HiOb`P&y1sr$Om5DBT97 zr$OmuP#Qfv3Grd)IHIeAo#O~Qw-L0q9i#?!E+gz5M%cNFuyYn+=PJU^QG}hF2s<Yc zb}l0997F}^`G&A_4q@jSZU9XagDeDB<_rw5a|&VS62i_Qgq=GGJ7*Ant{|xK2htD4 z?#|9u3L2qFrFkW#3Wmm@13PuiO2J&CIwKQ30~1ZCFjNB$3Y1@17(V_-mj>lW(D|G- z(EJTeW(=SM0I;bCt(jnA;Ai-N7H=SZAaMn7g9Kp<=om|oIIMhy$#{axQb~pnNaq%U z<UsucMg~y^SosGM17T3R4rC6jyatJZFlf~XBZCP1Tu_i02rmcU*MR9?(Bcbh{=(&7 z(4-tAgD^u#B*;`$jLn>5U?oBfuzLc)Tm}Y)n_&NnGr-DKnD~3JxEKR^xgyI9y7EDS zfdLvmF!i85Ea<QX7#~K17BvYnNHD<8HHGai0xdNYV&G?hr9W_gf`NfS8hm~?KLad1 zgZq{Y3=EL-&G{K%=^5NdVqjn}1gnSHhM*O}=XCQk!0z7wkFhW?Fu34QAA>_2wEGN{ zh7cx0SfEACAos)aGq~Lj+T#E+he?0|R<A--GcZg9i8Jss8~}F;7#Kk56(qMDD$W2p znSp_U0X$a1z`(E<EDlnEif`f&e~m-@KUkcf0am_%#|S{H+QH|Q^E1H86<9cng2hqo z1o7l>h}(k2`59p42e^+1TI~lFhm|`p^Mk?SAQMq>2@@z?p^AZcpfNCP>1sI+bB-`E zFbFaTGQjQ^fi`~_F5^)D28Z}JusA;htULtwJ3)B@oc=*BL&eg}ptFN9^MwX99fpAR z;V>{Tz=|P5usEt2ARgpC1AYcr{Q&OcF)%R1Fk?SQz8q{0KZ643<PQc02JpBY0|NuD zbL!WD)q`w8#fQP-{0s}w(&tU6IIP}*#p4sOII0;S9wYeNe0~O4Jq8|MVqjq40gHoF zpkhN71_nVU33z!6leNX69@n}2wNP`=>)$T0Is6Q;^LoMK8w?B#Q=#Ip`Vv&WgX{*4 zDPv1->%i(kDp2tb9O8$;;`|J-dK5hVz`($84lIsp28j0qhqw$Y=spjA2G}{ipmG+Z zUJEJ?Yd?Vd_zVmTrl7GF21NY@QVYV4VD%stDoz87^D`(w4+#a2uQD(&)Ih}<py>)! z4}o+}f{Jf|CS>p!GXn#|3a~gx1uEW(L;M9;oSy;Kj)A4C&tP#>GeA5EHb^+Y+C?z+ z%3yJj3RD~e7UyT!0QDDm?3aOop$IIFsuskXj6-}4*ggCVjL`fGZ9Xx~0juYSx1(U` zYB^XOWFjiw1s3OLfVIoO<A@9l3`f~O<%T4~548I96j(i~86e(0usA=1gFcAIzyKbH zW?*3W3KoZmA(Q{X;`|J-b{s67bFo9p$s5r7gJ9((=)7}Ky=D#dFRWiE!43+4WRoFm z19p)6G4roESUo?(2k5!fpmq{ShZ9&F#6rdKU~zs1255Z2(pxE1`~h0I)D0F#H3P(3 zh(mlc4)JSXaef9^I~ta*9zw-o?QfX)8>o0WG<?A0S)h6wB+kTxQHgyAiGv)5j)Rkn zN(}Y#8RFv;lQQE=64M!yi%N=1O4HKxk{J;9g2b2P#wUYr1}SEUk59>uPtVCuO3aB* zDakJ?j!!JDU`Wo-EyzhNNlno+z^(#xNkC>i<ko}Kypp0yhBVOC7@(ULaw{3)<B@pa zD<DvmmgE=Y<do%trw!u`^^zIl<K2QBeO=>S{aipdlf=9Gg~q#jKm|Qqf*9i6ef*sq zed7Jy+=5+0;zJyrd|cxh;$0&B9DO~V!B-s=BVDoqx(^~GF^K_o<%o#|f@y$Ynt<*! zC{8VLfZa&|x_tmNQHkxggiP42D9Cp;pxvngv(Lz!0USUK;QJp6;w=-+Q_~ESlj4g@ zlj4oAikg8v0lo|Z5~`pmK)Fi-^F|f)3pQZZ8yms>XbdqIat}myCg>6rTsM`#bemYh zb(@$XT!nT=3;ePdd{@qZ!VGkk1=?jNU|-_8xFg=vKfbsmB{MI+v>1BP1z0`qD_~#_ zH#NX>sZD%*N@7VO<n|i|=;alVyEedagykw2(ET1Tqm3-k?#4h(GN8+3&|(>P$f4YB zgL!KQ7PAcD5n^l(ju4#Jz`)#VYz$V1%WLpUUgG0Je4U}$4is77kOp6*g8eQOusn`y zf#Ty+iu2<$67y1WQsE9ZF+(toz-~gjwFG`24D|LJm=8=1!K%R)!UGAMW>By8f!{!b z%deTx8-0-VBFX?GONRLPq~c;|!hnYX-b-PyM3Dv7Ol^Wy6mkO*crgZf_us&S)Yt+X zNT4hRTB?zP>$VtJpc<Qi)e{U<Y`5)z!?z&bB+(!(Ej8H^T$EXYjRRQ^S_%Rx{IOoM z1HPCD<^~gUP^p`oTY!><;IhUH@u_*x`)$zg6oQ01iVF-OfeE=*2xf?>5rbc8ZV>c> zAy0^DU{6Dmb~5ZrrTA1(p#Tp~BQpk!J8|Hy1ce>M0Vt)pIo2qKWIvdDpf~cQ7K43W zPzg8F5E38Ypv6)GL2n6y8Doqnn2k*tAQ$$4u2+IY0_^%LxMlGucRn%b6<6k#BqlNF z6_*r2=nNPuGp{7IsDME)FTW&JFFmhRub?QuAhoEZ5=khjG&3hfH!}q)<mlw73u<0~ zm1iUtXE5lcROS^|=0fO_A_lNbS!z*nW_}(DC%%Y5uP8Mq5u^diD#$5e&;xr#uOO#H zFFn76L9ZmWqJ%*&Ei*5ZL9Zwu98Y?w8PJABMoJL_oCnbgX<<Ngz}V1ol0grmJ*l{u zK`%K!H#ap8w3-FI@kBZwG@k()YlO`kfOe3AOo7qp<MJT2AbA)Db$38>3o!klotPjw z7>zzg1fBK(DFI{9uq?8E(8fiO1dN6@Z$Uy}3~P^rIiRhB&^Zj4{jhOS7>#Z}y8dtm z28M6{|L4Q>!{%vVG|Yb3JPo@06QNrqVftb7I4~NtHVfodkRL%|2h$ImM**Fr02<1L z>4(h=!D;9`5XcM=2AKh(VYrY1d;kF4{jhlz7##;y2y;Ko9vEH6zyLai6|NsPZv&%2 z>l8pnpt~O=2Ex7Iaa+)hPaqxx18g1%M#JWjVD_W?AG9qT6erMYn;2m8QZO1ej|I{X zn!7^RzYsL`#J~VrP5^NLEW)8QD1AdDASB2x2n#}j&Ibd9Dad?~hyqj}j0TOffy7}L zycmrE)VG6(fX1zn^>2WVv%_eZdm*Z!lVnKqY@l^z$ogU9vM@RUmH?p!!0d-|8MdMM zA2z-UqoLDmFy-L8l;Io(1_sbUW+3}v=>axA2&3;HD@JlROc->G7qWiXI691m-Lnf* zj~@P)pzep+FA)S$38NiA2@fI!Cegza<UY{UB`6Pp6u|BqgWboAt{%pR(Vzp(Ky$({ z{jhnA2+$%zEdGV*gVA50X%D6!HZK6XUm0|W3dmhBZ@~1y;&C<9|1kZq`Gy8)#s!V1 zgY?5NOh1hNi>4nw{{UL#!@$4*8;64FhbC_rmw_2NaSO8_Hox-$svl+#OamycVQdf$ zn#TZ%p-qD^!1N>Er2|qAQj1Q*;vOUp!wR5+6_n4Q6X2kF2sHKqa}TWi1kH!R+z!(V qq79(;%SppDfCQmo1!aOM&@?zU{oc^(RS@Q2uxbX-#(gLYLID8DQip;7 literal 319288 zcmb<-^>JfjWMqH=W(GS35N|>%M8p9?G3>a`0%b8UI51c+@G>|s$T7$=urV+&fMj6m z(CHgY5N$A;1HxrshU$|5F&G#a&}kW{Iv5Rd6G#X|gX{ybvEoRmD2x_>@Im@mLCgdY z!N9<PMjvH^I0QyBAn60!R{)V`K%?JWgqR1Tk@bPX=7kqnH^Yl4R60Q%qAvqV!}NiI z3#9J{RNoJ%J{YY4Qoz8#0Ha~y2{IjoEuhXsrxhU9F~DeaeGyQ7=(Gz|AB+as0TK#+ zT9N`{quT@H!|Z|4F#7_a`li%DEX1INK&CS=Oo7rMJ3u0VPfJoj;R0e4gQ3yK5CpXk zS3F3769oeUj0U9>koo#KnMr0Q`Z+1OIhlE-6}lA`X1Zo3dd2yAMj&Z$ng`hd((djT z%D~jXZ~)|1n0^pjgn<E^&O!2z8GapQnE!~sAdW?MS@sG2n_gcZgVcb`0O<j#0T~Pm z6=)QLxC|h6JOcwbPeT0P2o?v4ffyH4&*g$>T=*(80|PWDQIvFWU>EP?!Y*#ciCtU= zhdFOpu&ZaoA^r}By<s@)l?CMi6dyr2+ZeFh%gTsd+!lwum)NkY&%j~MZ5-~D!C}rH z9O1SNM|_=R$L^llIKmT@reJvw5;7371&4YQ9Oh@>5bt8b?w%kV;%jk;2jg(hE>`U3 z>)?p@(>ToU$6<~X4)w=y#G^WnbP|X|{2dPS=io@6=W&FCIga!;4M#e8io^U3IQ+E; zhqxOKbMD~?&kZ=zi75_ua^X<_8Haz_apV^s9N}Mx!`?qQ>{Y?xuQfQtD{<uO9vtEs zIKnd<NBEz@;oq4!%&)-V&I}ypEW{DcD{!d4jU(Jtaim)g9R5=0#-6V^aQMprhj<hY z|F+_ArymY;w&QUBEF9+S#gX2A;V_>Khku{qNS`b?#DCxj&$~F{Q4fc`6L6SgjibC$ z!C}5E4tHAP2+t}U=9uA#$7~$#k>F-vP=ZutsARlnh;MvKYEf!>W^qYsQHZZ|PJUi$ zNMce>DnopHdTxGRd~r!)QAvC}16V0mg_+rz@nt#r>GA2QCGiDC`N{Fg`FSPr#U(|h z$tCdxB}EKi+2s7Z;*$8HjAF2w)Z*g!)QZ&P(vsA8gZQ+>;*$8h{P?2ObP$!8p3VR_ zwKT6dGd(XgB|a&$Bt9<{NhT#VC$%IszPL20peR2*J~OW@KRK}^Ge0jrGc7(XGcU6^ zBQ*tLP)15ld|GBsYJ6E@Q6|WHAgjQB2FqvWWtL<n=44i-#+M`(XCoN}mIk}OG%vBJ zGCnac1>&9J%-n*URO0=TT$Gwvk{X|q3i1uu)Z*lf)Ra;rGYX0_^NTV|D&uoYb4oG` za#F#{z?Q?+mJ}uC6(=TxeF-utF$WTOWgs7xCFYc-#+PK~rp6n9^}<3J6f3F4#i_+$ zQQRhi!XFeD5M>}ElQUA2v*U{r^U_n}^V8xp^HNeP;`0k23P6#boS&DRSQ1~HoS2hX z1Q7=5%gjqH0tIP&QEFCdatT7BpeVl}F&z{XNM3<RgN!RqEr~D5C`wIC@l1(N%P)#A z%_~aGOUchgC_zaO$@#fSnR$?qf(CI?PGWIMYD#=cQD#|c5hxv|=B1ZpAPh)JO)Lh5 z9ViVTL_x_XJ2gJ7G!GoQ2nk3^g2gmK9BZ89<>$pG<>V)4XXd5Hr=;d2R>p&@O3aDR zFMx&u%$v!jMMbH3kSqhr4k`IXxrupDMW8TDEdxnIwHG87CFZ7<q(Z_N6imfs@g<c7 zsgQJCkXVutpO=^m35nv8+>-eGyc}4_fMdKoF|!1ee&a!!^HM87Sv0W(q5-UcK-46a zra2ZBC04qlXo5I0IWZ?EDKR-4Z{9G3Bvs6O4suXYa(qcqW@1i!a(*c+5I`Z7oLdlI zmY5Uo7aZhYmRgjP2u*v40D{{MDl$?k^AdA2L1rQH2`Fz6h&E^-gVH{z00QNMy!?2S zaws=77vvU5#)8K^JZHrxmOye|RccW_*fw-E@v!_2mIa4+aY+$4ZN?|(7gU0UkxC+1 zN{k125G)DS1IpeA9<&UEs|BTENFfMO0}3X%Ly&SDEL9fg<d=h07H8&`=76##QVErj znphBza1mG?*bVS32q_eEGxJIb6)o^gQ3fj}a`Mx`MRIvjCNvcmrRIQ2aA=Yw<aBUk z6{nVf(w#wkab{JjA;N`N(=wKV6;ga5^x+FDkc9+PBKs1Q&%kbD042b9aNvXDDIT0< zK#>ZPMKTbY7?N|LNgR~?L6sgTal{+MS0I!o=jWBB7L~-8fU3;I9E1cUKJpQTL~>DC zaePW?ZUMGpA~!K7CqEgY8x-20f)kY4N>gFU1GUrv$34Cf0;Q(-;)2xV%(O~q>6elM z$uVHP&=ML?9Sh2csVVWviN&e$70LOz1&Kw_<Nyi{sD5bi4W){Z+XPr$hf*kmY=8zC z#QzqM005bfRX0RKJlJojzR4|!4|NGhO-WD1T09kH7K3U5kUZE5P%&3goSB=FSriXW z=+LH0QEF~tK>@6(0&)i|)}h++iZe?xtB}H>G^HRuH?br+BQp=u9)dd@S{^~lVS-66 zsWi<q%>cDPhv#i*D+E$5fuj%9zynzg_Yqh$w-i)pgIWP#=YqxH?g2Xi+Fn742IRUC zp)VsbFC`}xRPiUL#uugLq=M2xVjjFQFG?-W&nX49eh_-F+69d?P?m)j=nR>~nYm6y znV{H8Pb~>gNeTxQJVgxg@j01E$<T&wZenI0LwtO4MIxvL32qWHKuUfvRZz*0lbKYM zk{J&zFY}Ayvr~)mQgif*^O2;IAoie0C4&Y+%^2K$Je{25jr0sn;7nr#(@4*hLBF)P zNIxS#H&s71uec=1P~X?pRX-v#Co``?zbvyXF(u!~z{o_;P(KXJ(TDPKGL!Uk@+!<s z^z!xf^gyVjpco>epPrnoYpG|fXP}>ynFo>rkJN%X1B?uV2Qp!C3o~4d1<r!G7&Oic z@dGnMHa7!oI)Guy#A$4x8J1G0*cLFKnV|v7FO|yVWME)o=;j9LW`NH#z{cAP(jej= zpz{bYaRaD0M*?URnt=f(4&y7Ji66*<n4^IvE|3EeH$W3-fR0LApot&IgQ#~v6F10& zh<l)kCqTsm(8M=D#Us$f8KCY=Kod`Zif5pUL)}w=CVn9vG!oCiP=O{MkN^>HKofre z74JY3FGz%_pMWNQp%voa8EE1SZ4mJVXyOmrA>u30#0@$i;v3M!8=&Gl(8Mo5#Sfr~ zD|AB4Ie{i#02RN0CVl`aegjQ>LnOq%575Lv#6iSApos^pf!O;4U3@J>oFNf4eO`d7 z=Rgw=SO-xrfF@o5HAezXd;wHk0ZqIBYK{h)c))sy`37j>3!vf_XyObTAnF~^#1o+6 z9%$kRpyB~&;tCrf=0u>0H$cS`(8M1=#WT>v9X3JCDL@n70QGMLy7+O3`UW)dfC~`u z4m9xu=sf5IG;xJX5cM<A#2-M#7odqRxC~Lh0!=*O3PgMZns~!Ci1-dPafj;=@dIe$ z3^yR+C(y(jZbHN_pou%&f{5Qh6KA*$5r2RtegG=|0!>`uK1BToH1P*e@gHd73m!n! zGbEv=|AJ=_aSk+bgXa)&0W|RoP;m(~@dd9S>J`w$6W&0?HPFNZK0w3`(8L=)Lc}f5 z#2r3C#2wJY7kr0^d!UIY{D6oDpouH|gosC=i9djfC!mQlSc7K17#K3p#5Y7h#0${G z3nC%n6=>oHQ4sM4H1P$|5b+Ll@fe8s1T^u6c!>B6H1U8$i1-3D@rGoG_zE;}hZKnT z1~l;tQ1KmT;uBIK>JOlaZ%BuTpFk5A$bpDoKof7Mgoxij6IVD0iI)dx;sH?c7ii)Q zQ1K6F;s>DOKhVS#4nfRkNJdTn4N!3oH1PvaaRD@Og~JeYB+$eYpyCQ>;tQbS8ffAI zM<C`Hpoup?#Vydp4?x8o(8L*zLd@|%6L)}$C!mQpK*clA#6LjA3(&+9jzP??Koh?J z6>mTjcYv1j9cbbTS0Uz1KodUzEk9?Vi8tJVs9%64&hP{xz5-3Wz!j3NHlT?+xIx5s zpot5(L&OiDi92{e#804!7kEO%FQADp@PdfnKoh^<4H18UCceNABK`tRJi#9#{sB## zArK<|15G?37$VL9UNwVgZzqI7#5vH!6`<vV0GfC}8brMWn)n8&xB{AZ0yMvCpou$x z7BVm}Fc_eT8)QMuw?Gqj$b*PGpoup?#XZo(H$cS$(8M1=#Us$f1@a;0C!mQtK*clA z#0#L}1!&?M3LxfGpot3<Lc|-;#6LjAJJ7@niXiGIpot%ViqAk37bu3PUw|g=Py!KO zfhK+cD!u_tT%Z)9eg~R(0#y6}n)rk=i24&~;sWIm@e63;2~hDHXyO~7;t$ZoA5=ih zd4VQw0Ie53pot6AK-B+06HkDOGo+%H=LewT9BASSwGeXz(8L>{;u2`$1=SGs3TWaF zpz1Zy#1HgB)El6QH_U;ETcC-5*a;DLKoeK^3laA~6QA%8A|8MyZomZT=|`Z6FJOj< zC!mQdutUT%(8LdbSB5b#6rhQJfQnb3i!(yZX+RTyzy=ZTKob|>gosZ-6L)}$&p;FZ z02N<=Ccc0RV$KRQaRwfU_y#ob0;u>7G;s%Bi24KQ;^GkT6KLWBS`hIYXyOXRkoxEW znm9uVMEnJs_yVZ-2Q+bmQiytnG_>>#73V+`Pbh<^7eEvL02P-&6K^Pos8>J}7pQ=U zYoLiQfQlQSi5pZx)LWp5AApKGpoveYf~faE6HlmyhzFpF7t}+<BhbVh8X)2cXyO8p z<%<j%XyOl`;st2p8=4^IRG^7BG(*H2(8L2;AmSZp;sVg|mI>(MT@dv%(8M1=#TTH7 zFX)D-Ux6l`&;t?QfF|BB86v&|O?<)>i1-0C@qnoi@e^p`0@EPk7tq8nK*evMiBFgg zQU3r<JYWVy`~{l0z)Xnv2Q={uQ1KsV;uB^;)H9@`rvHH15OEGPaRJc6HwFd<0W|Ro zP;m(~@d<Mw<|v?v2h4+rYoLh>%!h~@pow3Aid&$GPgnp^?|>$*un;2dfhN8IDjt9) z?yv}=J_1er0#rN!O*~;SM12OD_y?$X0h)Nj5{UW=G;x8Y5b*{y@dZ%v4m5FtWf1if z(8Lcw#b=<22P}uEUw|fl0V=)%O*~))MEwRd@e5G#9cbbKD<SF+pow3Ail0Ce4_F0J ze*sPW0#y74ns~r!i24U;;uoOeFVMsnK*z^EpouqZhM4mMP26A$M4TZ5HT^$;igTce zFW3rEFMuW<09vpN+W&wi&afS#UI9(~0#sZBO?<%)h<XDw@qnEWaSJqYhFuVG2Q={w zP;n15@r2zF^#N$&0(&6h5oqEEpyCN=;stvl>NC*94fa9A3(&+rK*cN2#24&`sBb_M z4>$l3??4j=&5?j)CZLH=fVQt@pos@?K-v!r(8L>{?T{5{;tqTe^&8N{8TcXMJJ7@r zK*bNBi8ly9)So~TcMyb#UqBOQ5Q2!`KodUz6@P#x-XIK7{{l_iK?EZH0Zp7i6e9iu zP5b~<oFNl6{Wpj~)N`PTZ>WZd3!sS?)Ih`~(8LXDA>s;X;vb;m8ffAh>LBV3(8LSs zA>tNj;sy;6aR)T<4^VLrH1Q3M5cL6Q;ss3*@dz|=gJy_$0-E>-sCWjN_=XmU`T{iZ zf>wxl1)8`)8$`STP5c8?yaP>qLpwzM1T^u24v6>+G;xDYi1-3D@efe(6=>ocKpO%W z7#KF7i5GN3%-Mk^ZqNe}KY%9w0V;k1O?*QyMEwOc@q#{x_zg61gMNtk12pjuQ1KUN z;u|JF)PFz|FPI1s|A8iMFbN{gkcFE5KS0Gf(8M=PhNu@n6EBzo5tl#{H<$_$S3nd0 z02S9j6W=fmqTT>aykI&++yYJ9U<O3o0Zse^RNMnie8WtL`T#WXf>{vp2sCkn*%0vr zH1Q8m@eDNa4Ravs3(&+1=0e0P(8LYqLBt!-#6LjAJJ7^8%!jC-fF@qB03tpEP26B1 zM0^37_y?%?3N-Nziy-PZpoteOhKTP#6E|1_5kG(?{sAg}0!@6wQi%EsXyOISAmTUB z#0{21#2=uEe}Ia=Koj4v0;2u{ns~uVi1-gQaf4M5afWQP^bZy1Koj4v8lqkRO}t<Y zL|g(*+yJy;2(;G+P5c8?Tmwyf!#apL25901>mlM6XyOJNAmR>a;vb;m9%$klHbT?~ zpotf3f`~_;i5qN&h$o<le}IZ-powqT0#RRpCSI@=B3^+eZm<m^-hd|l0V>{sCca@i zMEwLb@q!%?@fm3120J0*3(&+rK*d*}iEr2iQNICAykIv(d<UAi!5)bC0W|RsQ1KIJ z;v4ot)L%doFW3hWzkw!hupc7+08RV@RQv^+_=W=z^&im03l2iWf1rsQ9D;~5<e;U0 zs5l3j_=dv}^#W+(1xFy_5@_NEM<L=0XyPBB;u>h;8;(KL8=#379EXTopotrtfQUPw ziGP5Kd!UJLI0;c7fF@pW3L+kXCT;-QkO$gkjVAs9DxQHRzTphSoB}lQg0m3u3N&$p za}e<cH1Q8m@eVZc4d)^1C!mQJT!4trKod8(2oYa^CjJ2`z5-2r!zGCN4QS#8mm%Ui z(8LX{K*SHAiGP5KpFk7ea22Bd0-AWiHHi2PG;xFL5b+0S;vb;mFVMs{KsV@rKoc*x z2{Gpfnz+F&h&V$oTKb2IbD)WDxD8P+fF@pW2O=(kCT?&SBCdcY{sAhkfhNA;9z?wX zns~u|h`0rsxWNO6xC5H_2dKCQn)rr?5cL6Q;suW&;t^=#29F`)325RUpyC;5;v1eo z)EA(M7d(ZCSD=X-JcEcgpoxEgig%!iZ+H$-KLJg=-~~i{2Aa6RONjUaH1Q8m@fB#| z8(u-wZ$J|-cnuNXfhKP71|og{P5c8?`~;f#hPM#)7tq8DKpSlt7#MD#i5t9!sDFSa z{sAif0!@6w2Z;I)XyOGQA>u#K#0@?{#2NC?(mzz315JFxXNY<MH1UEj5OE1Kaf7cA zaRoH-4^VLpH1Q4JAnFa!#0$Pd#4XUo4Sqnx9ni!-K*c@K#5eqes1HCBFZcx!k3bVQ z_ze+HKokD}70*Bu-|z>bz5q?U;4ehH0!`fDA4I$XP5c8?yaP>q!+(hS325R4;1e1c z7-pb}8!$rFD=t72{{R(VfhN9z38H=jns@;-M0^LDxB+ORCj$e+0W|RsQ1KIJ;u}~Y z=3GD%FMys~asy4=fE}X#0h;&+sQ3#s@eLdh^&im03pgR-KhVStxFF&T`Dp1MD$ao> zzJVK}UI0zJfCnNjfhKOi3lUd96aN4e*FY2Bzz0!pfF@qR4-vOO6E_fmh&!N(e}Ia6 zpown~gs2Zd6E6^gh)1A_8wf+h6VSvzK*clA#5agQ)EA(M7l=Z{E6~IZ#314gXyPBB z;vHz>8^j^%C!mQJKo8!TfhKMs2~ocQP5c8?d<B~L1}TX84QS#8(h%_-XyOJk5b*<O z;vb;mC(y(<fHoX6Ffd#|6EBd1m~#V7+&~^8{s2w<162G4n)n6<i24s`;suHj@gHd7 z21*cdh61$o4;AM?6W^c=Q7?cdUZ4UImp~IYP=$yqpoxEgiff>WZ%~7%H$W3FP=|<H zpotr3K*Sx;#6LjAJ<!BAXhPHnpote~LBu1_#0|6|;t6QtAE4qHXyO}mAnFUy#0zvG z;uUD(26_<j1~l;xQ1K2l@eTSA^%Ky<3k)FQGtk5h3?bqR(8NDL#aEz-Z!m(W-+(4w zU<?u8fhKNX0ueueCjJ2`egaK=1Lz<PQ2Iv`FEE3ca|2D>z#Jm}08RV@RQv^+_y*{~ zO&`$23oIe#{6G^ou!4v)6r!bns5l3j_y%i;dI2=?0vm|91e&;kEks-aP5c8?Tmwyf zgB?V@0h)M$Jw)6BP29i%BJO}D{sAiPfhNAe5u!c-O}xMfA|8PzZr}_NPe2p@02R+b z6W`zhQD1;2Uf>E5uRs$waD#|9poxEgig%!iZ*YgGpMWM_-~kbzfhKO?2@zj_CjJ2` zz5-2rgBL{o1~l;kZ;1E~G;sqTi1-0C@efe(6KLWad?D&DpotgwLBwyMi5vJs#2=uE ze}Ia=Koj2(08#$|O}rowBK`wS+#m=d&QOGw{-NR=XyO}!A?gLt#0x?o;u2`$2B8pf z1vK#wP;m`3@eN@R^#*9-1>q2J3p8;9=s|A|XyPBB;y2<U{sNsj#s!)<h2jTD;$l!S z5cL8{TplC<#UGHwVP}?sq<$cY2Z98k_yu$y5NP$B4O9$71whx+g2XjJ0#F=*Bn~<g z3@XfU0lHobq#ks}8ch5LlDH&H5VQ_97UExa=viwpDGMZVNDl%c<A5X%31Nu12a-6% zM2L6*k~rwhIEW|%Lj;mID6C=P2}t4~H^Ia+ki=no_CRt4NaCCz0Vu9O66c1Bfv5%~ zaUPHW6n7wr^FqZy)C44PK9B$u&p;CAhl+uy1xVt8AOR>|fh3N6F5Lzsabc)h5VZqI z9CV%@NDPDzAc>2D1fci?k~r*WMUd15BykCl02JRq5|@ICfv5*a;?f`iD1Lz?4m+C? zB=rGFTn;1v#Xpe5QO;wFgQN~n+$uuVf+!9oapZGu1dzm)K?<Q*0!ds2Dh8qyki=C% z0#K}hB(4S(15pM@;_4s)D7HWn*MN$FC<i2QEsy{ddmxExL&ZQ;0FpT93^$M%2uC1^ z>w*NJH~~pq4=M(tGLXdeK>|=*fFy1J6$4QfNaBVd0Vr-j5{I1V2$ASO5;ukjfyoI- z;wE4b2r&am+!P`NCKn)yn}J0j#0n&FbBGX_+<+u*0TzJ}JCMXJAwppC0Ft;BSOh|x zKoYlx2!Y89NaD6&5eRVuN!$)11STIKiQ9ukAjAtKaoAa}Aej$H;*KBzDE@&Y?gSMB zQ_%7sl>VK;0uX`&NgQ_OC`d*CN!%4A0L2nW;-E9@pu!9aNaF4=K?Vi}4J2_7Byj^I zaZe<13nXzbByk5Mac?AX4<vCPB=G<wabG0y2qbYoB=H0!aepN73?%UYB=G_y@gOAe z3MBDhB=H6$@em~O4kYnVB=HGI;$cYQGmyl?k;E4uiANxbuRs!q9nlMt-hd<?1rmVb z9Z2HQP%#j707*OsBml)Hki=u5Vj$`Ql6V|QU=)vrz-S1JhQMeDjE2An4uQ}7a=$#9 z-*9+zv!49V%;3>_poHoF1&`(<9FUFB|4o1WVP^QR`sWWb1HZfj!+%u}A9R{6!^;Q% z|NsB5`soid19&s(%L`!sD-a*lRepH@%zp&pgSyBsH-P!KKzvZ==j8%0{}PA~>Jq=4 z0Op?p@j+eTmknV4ArK$bOng}Y=I;XWL0#XM31I#v5Fga#eHj4euLAKwLl!R`!2CrZ zKB(*a(g4h#1>%Fcye}2N{7E1_sH^)@0L<?K@j+eOmkeNj6NnG$+P?ho59Hq}5Fa$8 z@bUqeUj*WVy0|YdfcaS<KB#N^@&K5h1mc6bv@bV+`B5M~s4M$&0hk{I;)A-dFDHQc zULZbbXys)CnC}GQgSxUW3&4CU5FgZqeVG8}8-e(sp^TRSV7?ZJ59-RkbO7^}KzvXa z_N4)sF9qU*x~?x3z<ePPA2f9GQUJ{70`Wmz*q01oJ`;!!8q#_B;V;PlzkV|_WPrM| zFCT#UpFn(27xv`^F#i>Z59+$UJOJiD0`Wmz)|VT={97PCsH^&N0hoUY#0PazUrqq? zPl5QLuIbALF#iyU59*S>ECBO&f%u@V=*t8!e-nrg8WMUL0Oqd(@j+eEmkwb5A`l<c z6@6&{=FbB0L0!<73Sj;u5Fga_d?^6tcY*kzF6T=IFuw`J2X!@He)t3Oe-(%i>SDfp z0Ol8g_@J)k%L`zB7Kjh(QocL@<|l#ppswW04Pbs0h!5&QzFYw22Z8vYuH(xIV7?cK z59%_$Yyk6}KzvYF@nr#+Zw2Cmx`;0mz<eVRAJjE`835*Mf%u><;Y$ZFUkStqbp>A< zfca7&K4@s|r2?2Q1mc6bgf9iad@c|l)D?Wm0Om7+_@FM}%MZUn{{QuhnIQwz^?UgM z%>M-9gSvb#FM#>4KzvYF@8tn7{}G4}>f*iJ0OsEU@j+d?mkYrBOCUa|OZRdDn12ey z2X*CMHh}quKzvXa?qva(zYD|%b=_Vjfccw1d{CF|WdNAJ3d9F>)m}P)`HMh&P#5i` z0hm7v#0PcFUMhh3lR$h>m+YkgnBN8BgSuib8NmD|5FgY9d->rP$p2L!KB(*U@&TA% z1mc5+FkfB(^RqyFP#5gw0Wd!a#0Pb~UTy&Mqd<I6m+R#MFdw8oZ33(c0+q*xZ#_FN z#(8u;@@RhYAt1!n@POe-k6zmZ24;r;qRzjV8NQ_H@ykOtI(qclHuA7Ac=WPXfhfx# zC88ePtZcs^MLFmH2mJEjotTHAv`4RPAV^`asTYXS{O8g6!Q<dNdyj)Zm^~Ped0hNg zBI41_diE#80FM6;()i_F7#LvsLGEj?<>g^vU?|lN^=P(T^^=)_!K3qO36Dp&?Y5uH z3@<eQ|Ns9Y<^TWx$5@|ovoJ8m9_E)v(f_ji|Ns9`_c(?-hB<~hh6H<bUOwg&!O~n6 zz*uVM(_QV*UG33b?9&|_(){ax=MR3DW6i%99Xr3d@Vk7s{O-c<_ray};|tl}|Npya z7kjwyuP+YqW&HQDpMinFr?)u5qu1HPqnpE{+kwNQ(>uYV(>bHtIib@zrrSG*fBixJ z_1-C#C+br?JO6t&9)ECJl3@Y_@NaXD@aa`K;M1Lra6z|s%!|#x{{Qa=X;0}qq#f+R zzdks`k$+o=D1$HKA1D58B1#>>5tb)Pgt~)s7%y}>$MCN|#J~QO<%znrj$Lfgjxmn0 zj&Y9hhmScqGVpJ6a_DNYFgzp4(Bb5G7-BJ$Jm%!c2$i?OCLan4uwakIH=uo-9-Wsx zJOBCgRyi2HHT<><G}i6Y`Ts@5umAsT7)ygaI%8A<Ji46&y1gU1SU5UbR6xnhqc=n) zz^C*3i;4gL|F>Z-)dDHwF#HD6dGFW%|6L3nE-D@%RU9By*&tO+4*XM&zGgCf+xfzy z@d(K3XpnDW4^IF&hl2Qv{Yj1Zb3Q{j{yzVpO8j{oZwugpT*u<kyU&4<fx)Bkm<1OD zgW)B^lP~Lj{{Ih+NGKKT(fPSy|8-FNrbN(VKd9UWwKWXCz1Z~a|9_wEX3+Xm$8Lts zW(IBs2FGp(md<7tZUzRA-f9hx#=|e5CVO<=i+*|G+yDP)=7Gw6sCh{c^FZr(z~*g$ zo3{mGUV=yC;ThZzmx9e}z%&n3Z$Qlxf|v)I4+Wc-zyot%3J=JAyFn*`c{CpO;6XA{ z_ZwOOpt%=R4?)e}^cC#?3TBY844usaydVb1|029#^EtqQd-w;k`DeePnGa5XA;AG2 zou55B|L+530gul6FP4HF-@ADSI|GA9^X><r=A&a*J181TidqhM?g7<rAa|7Tc=pz) zFdKe*q5Sp#e~;eXpykgVy{3k&%nXK?Uif|c|KAZ7eSDtHM_54l<oSzlU;h91Xgmy> zGxz8<WdSP&St|#!w(~ov`3%bPagOmXPyhV?AH_y4h!LAXM!@ac$pW_T-d801z)oW{ z{Pv;)q!eyjA6O~KwhhRtL9Szh7#;ED|Nl??0<0P=%nUxgtUUk!Ljnd`Kz#S<{D|zr zl|PWe6fHbK?Rbz?p`gg>-E6@D4$lNo^BWePE-eR2L_PL{YHU!5dNdzrGW_=9%4cv0 zfmYXf^qM|m26+!;(4Q~=|NEeZ5XgQ|2*FG!frijT6eFg?joA4GDUf(Q{~v+)I_dNO z|31B}d7!!_`~Wy5;PCK{?+6d0`4`kr0XZ`j<jmg9J3#*JZhiowS`U=?{C@yX4Wb_V zeHa)Rpk8J%{PyDEC$N`67le8An!aa(d6^Sr7OI!GegFU8a}P{E+)Sv)XP_9g3~tbY z&saU4`3Vx;j!fX_21VKHcr2!a(-SCeEKtn1gPWg#Y(6MGF+)O@18TtoMz94x|KU$h zk;r8LTK)(1dq6=3D*rx$^FQePCUE(30G|JkK=ME6<Qb2~!z*}^%9pbr5m5&&VL|Z+ zvIA6+?*p~kL8k8mbuU5DIPViuh=D`*`wOd&|Nnb*H{W1oVCeP;Xs%)8U?{Qj=-&N+ z6;$eonlmyp7=8nv_VR-N%m4qa2TB}0x_5(=d-R%0f@Cj2WEsEx|NolZqnDM1k(r^J zqxm7DNB3lqDV<#14lK<N7(KeX!CXfccnouU_SS*2^wtkxM+mT?IYNRB?1)Va2uE~% zhC2eJ+@sfY3P={}h?=iZN7OSQ904)~$q`^Knj=8zzyRV14|GQaKpde9vIZIwtO!Sd zlza4=@`Gfdj`;os>WE+encyJ-G6l&IU@n>?K;`c0_u!CdKzBq3#1Sk0Gr^;y;S)S0 zK*~LOO}jv{P)8KO98rqo2#_gAjsSDf9KmY%?S&e|5j)TwaRA~7ZICt4kof%(?g)@_ zk6u$=kSx>@Z$Cpr;>SNkNPtX1as-%*<_I>!Z!Z?U1Bb*1bVvMvIAYm9L`ak&905}9 z(QDcPl7%`V1LlZgBu9WuL2?9`i{=P+!*4HSAdb*rM@yInpqjK-R1stiG$cNLfQJM~ zxks-lGe{Qdh{vCxA@S}nA|ybjAUOieMREk6XY(;|OZ5ATDR04nk$~<F(0ybcy`nS! zA_5~5;SP{;k6zPikSx?4(J*(UA-Mx&3X(g(Tt^mAjm`<`%-jdJZeI#~`u`u?-Uc^t z16(_gJ9eJ)==|)_`PZ@YtZ(lU+X7(*2A|I7E}e&790t3BzXfz&jYsd^iW6K6FZw}& z*}IqFA{WDpW(fO&5Xb@>6_3t)E}frTI)A=+1<Hk;hdq1u=yrgOd*;*m>qQ8-bktGt z>3ok+3Np{9^SMXoJ&+PZkdofk23;-&6jd`p1zh7%h)oFHuqq$i4~czQ`~h5&Li`sJ zjO@A26F$95*cXVv{r3DlIHr?4pnlo@_W%Fpy&FJ<d5Hu_Pz2;Dn1>`G9zr;@10>M9 zmjR@z@o0h`7X#c&o}DkC4W-zZ4?q0>597c)MY!F!LKN;kcZmDKn)e<64WxnH#3738 zrdCh}?>vlf(-)9H?_RK~#-kDXINaom?k3#sIUo*q&-QoV@Cs|*djm9Z2X>EwII?@b zf>Hyrdr~0o0jp{}T49F6J$v7yg%NJ|WXQqYqYiNoDE?M}(&G2mp!ll*4f28Ac0v}} zZIS41I{^~t-3wOLc(lS4huaj<-3F?lal5ZT9`3&Ox3KVP01Y&P-E=_?*-b}5#Q}17 znLykGR@Hda!T^VxdfyQpUJ(j#_k4c?a}Ri+6YQQH^2qKnMR(5<kU;NVu&TzR5{5Y3 z^Y<;$?r~6ryC)s)o&eB5E7&~?6p-Ds^v(bO9?0P%0&x#mRpZegxZIOVyn8g1;O@Ep z8Wuhlpn+bndpZ=6-6M+bo)(Zm?_RK~#-lfkaD>m@H$;bzfHK@Y?r`@gfCieu?kP}0 zc2DbTwD9=?66oCvR@HcPhcOQK_!94)9|-qse+3I44$we1*gX--$nN?23e7z!5chyp zH6EQ|g2O#~U*iZLm(IhEo##9|zk784_32$MpvT3~yk9|&i-Dm;-lKOfsFDD;T3s|h zGT!v*{O8j7>4n4V|NlKZkGp7o{tqe{T{^${bpGnR`9k95|NqTD7)#`!8Vygn8lHs6 zI(FW8AqnxFBdFl#;%0d9^X32lh9|+*Ajklal4T(8H68`o>S}nvqw_sPcjrx5`TkPn zC7MS-g~fyk6JX^9ntwik{llOS^AD&J!SGMPEA0O9c!BT_NF$nmJYRxi3*?_27TgRk zG%@{i?Ioyd2d=ts_$TBAKL3CQI0IZdk9MB+?EDTMvRnQ_9~47Bz&?`o?A-^dg1|n~ zyvg{|r}JOutrrVkg8k#z`PNbMuH*lsKAq3OHNQ*e%NHfjA%0SXY6bfVEbH3&;zijD zkeB#dYMB`rT5p%Cx*8sE1xFFs;LevXLZ5;ZfJQ&|DF|^hyf6g?(Qn3b{noc7+KxLw z!zhkm*Z6ckhv`v7=!sC^W_ZB}*27e8(EOLFNZS#7kboojcmO0#Z=S#{N>JivcyR{g z?%&KHP0S!opbod=P7sNtX*)twh6*>si|JrZEFeuRAWfj|o#RdriKM9sp{YQPo8d(g zSQ9Hq6Dvp)Xb8@6Cx}GS<crW$p~21YLKm!w4Wx+;qzN<+>9`X_B59I9Xll^nW_a=A z+5i8|zu7^W*g=}WqfI+OB$B4bkKqB)p~KDa;xJef2S^hKNE3KSWhaP4(zFhtX@VX% z!;3z!CQgthPLL+hw5Q`v5Q(Iz5}|2^0XM^oNU$a@kR~pWCeYld<4zEXq{#)LNkfF2 z;e`rV6E{c`H%Jp`0@ZORh(yxFkI-Zw#?A2J{Zmjt@PIV&fHZ+-SsizRNF+_S9>D{` zLV}y&#V)WWUXUhUkS0(E-f<_0MAEbrp~*pto8d((SQ8&e6CX$uXuj5QCx}GSRDjUr zA;Zn^A^@z3AEb#NqzN>s>$np{B5ATlXbO<yW_Tg}6k58$GuO$d|Nnb79s^}qSHlB7 zo!`MSE}bvYTG)<H{{Kf6I*hY?J7EAS4Q?2~$~RDh2Auzp%j*dsi?Ni~8IK`l0jRtN zX#|%AkP;VE7-vF~E2w;1VZzPu!t)8#M+ha~Ku(1;qi~dOC6DoyZy~{G<@E|fkbia< z!u$hj5MlV|z!Px!hEe~_c!cl|NF$nmW<pXO$Ug#n+zc;3=^b3SA^f8T@efEd4*x89 zM3R3xj6nXGVFdFJs6mC{pAV0*`{%?%gnvL9(fo4~lHx%A;o#wBc(L*kM*M_52G>6z z%{csX<snJ_$uI`_r@|QKA5en~!#@f*{PW`h!apF5X#V;65S+n5{*e&iW_WS)A%=h2 z9)bM>(u~7DETs9z!vy4?2osopKn*$!{{%e39zPmL{sC!3^N%LNKO4-r8D4N=`e)li zuzx_BarnpN0ZH+rVG8n(g(=KGpavm^e;OWQ_fN!qMErm>qWLHC0X%*d7;!VauzY|K zKhGY3{R7gB!#^qaN%9Ye8OT2pW-$MNI*}Ou+3*0ne>(0V`~%X6=AX{{VE>q+l>tf+ zKY%pg@WX<8n0~;~{^T$RyWbq{elsox4EKMykKO$z?jpJ0jEe!y{U`5Xb$`-*a2$Y! z0&uwh!Cg%EJBC5#!uEk?+CX(YXbAuN3)fqq;WX!rZtsEy8xH1DG0*?UATrvw{{KJL zya3b!d+p@eTL&5#76+;Bt=2I72Ab7;(Qykjg;nC{*?bH<Bk=vjx0@j2z;l<~-Jp|W zK-0@$Lp*wSgHF=(Xgu5jUKel=QUk`lRK5$V4ddX0I};{MfV&qo`-5=rREPzj!|1xZ zK}WRlZ)4%`X#T;%-wwL4$fK9H_$3pAM>0#J2jhwV4?KJ8Kx4`A5M7|7eY?9s2hW21 z%HIk)3fZ&y7<lgP`wOd^AP;-6FfcH_1RWOY*;~g8n*W371zm5^-3_{Y2c);O%(M9z zA0(id;QE+ff-ceWY(6IPy3n(?PTcU@i>Eih0fJ&PQ>nja^D&9n@}AAdWWi>@!eaZ4 z|NlLkk8!=0_G~`J29|oU_y){b46k)On~w=VgnQt^Os_>fn~zCBgiGPVEU-z-#={0I z;4UW2mW{Xn|DOPw8}Zl=x-1P6xG$_BE=7cFgAE5`Dd(}~4=fA}%&*xY3?`4>-O%~h z8xT{YUnU~V16`&DHShIxu+K5go52b-55xeO2bnBC+yI(q0ZnHMBFxKXU|@inHyew2 zOd$6;utCiOF+k=)=Z7>v!{Z?H4&H+M7j!8d)I4u2=7IhD0%jhF0Wxm`WWM<V%)CN` zd7#VepyvI)hUs6h`zEkM-3MZT%zFVbuL3l34sxF&!aUHWb5QeEV=<2z<X_NSD>yzt z43K#WOrXUEhZR7Rks$Lf-h}%%9}#}hxXc4hVuH;BF+k=;K+HP<Gp`Y39;Ez(_E!&g zo`rN)H>iPD)$A8gV_<OUbWvf^JPjH#_}_V|^X7|Rw?L&HxO4i>qw`Sb%@;RrfXlnm z43A#hKTnw$_JLLlzIX&u*LZ9P$f(Zmo$q`)A9cP2wNEQ=LF*ro*ej4o`YrHO?ty1a z46yFQf1l2Gov*rmR9GO%8@iq*_N6w+zs*NDKqCu~p>5>i1v;Jp_K$1lF-MSxzI$~3 z_UT>Tpw7V1ynli^0|P^ef=BP}2WkwkBK@Tc<45oq$*UJKAXj%Dcj^4<qWKow1$O~C z^H=A~7ay-fyy*a~c;5MR{&MVm@nR*YB!QUc(RlblJZO0SpG)T}m(Gu#oj1^&&vO-4 zEW&(*;(R>rx6lB&-vhJ~672pN>e$>La}yLhSlw@k!~HKoB}DITka-@BhZ(~0y5Hvt z(e8KY1g9tH_=kc4$oU4Kg_I?r@s9?5sPi>nf(NKNU%glWDkedbE_WR@-$KVfK!FS% z|F{MT{PfnhrL`!-8?QQVz9_o_u2NHA5-KXt9v(<C^YZ`yj-5wbJC-Fm^D#JnJ5o?$ z;Mu$Hh7%vdi@R6;|99<JX5BBw;Mu!xL!TJKi&Izr|9>rp8f}N6YJ9p?RGR-XmWQ_9 zE(ydkYyz_G)n%|-jBrTbzV!dUV@KQP>0%5k3cv$08PmlWUW8ov|G)V!Q+ZhPZ>FL^ zEQ2c`qx<1TgC_k^#$G_u4G^POFMu1Zut1FA#m~ziqnSZQGlPr<RsASKFd)4qaHFwG zt3r%UUI{n)#tJcp7xTbIv-7vlV`N}x{>{SQG?kHo!Epy@sKya_L<D5T#Y>=o;co%m zsN>nYZ^21Eh8JtEg51VZ9thI|(uovzbHRE_jBrTzT?7ZF^d`8oCTtXAc)<>K7Awfd ztRNqQ27yq9f<Vr3f*Xxh+8APV_hGov42Q)SUaYwUaxfdnXf}}1prIm^0V9yn&o6>} z3UW18=^Gcou68~HH+siuF@_g`Aftb?^Eb_A28AJLJP9KVd*LQym9B%B{QC;r<bo?= z3@^T41UZ@;7EK(mXabEsp$zhX9Ipko0v=5-;`kU|uz^!22Pm2#dO##nG<~}O^Ds!l z5qVe(WaRVnU=MG;3wM^rT``6i31DY&g2Iv$6qcZIEtJtMklxvFqp?c&K#b0Q1~>Y_ zQ!$1YtYD+LKt^+cj0RP{C}UtCqaENzW0f|97_IysZuE?IVhk@<T>uSwaq~BQ0Vj3P z5E(`oK06N!53JJH&w<0^_IJ3+0pG<KUIc(l{>#tbJ`0?ic=($pf~^1zsG*EofgIlq zwt~L}bibBo@4g2ud<-w*K`H1j4=9=-dO##nH2H(|fYK#M0#?<62Yf+BIzXH?nL!*@ zG;uJ9GrZV&9+Y}`L1D=Y3QN#X9m;?mNbi?(Fdt);etH({V{cBl(FZuh8D7MJjphRx z%?C0XG@yqvtOqiBCfsPO(p?avnT6m+R|twTykG_y{hJ?TDrm$HBmA7<rec*gftXsX z3^(<Kk~qVQwdau9F26uS@2fBV|L@tm4^*9j1_{f+wP)w6&YQlSA5bfimjY*z*B5v+ zzmah1Jc`sr*>2DRsv{jhE4@LpIJ+k_L+eNv&6nUw0+-HLFRDNmb{_A{QQ?6$QNF{Q zD1M+M+j+$Bq)%swihxfiROSU6C}x}gFqY~$b{^|!PIl%4#jt@#?{07{c>V1E|1KSy zo7=<~JbHKkXcc34apWwt7L-70XhGE&p7iLhQ4xUH3Tgo@zW`oK339GS<KYh_;4p9j zH{W<5O&}ywUUHrRuS9@M03o$M(b{V(x<G-k19UGBxV^*Cg$N8pdoAYz&i0PuIY^TM zy}kDHJlM4$^E?_43%J8vi?zKLbDHS(4x0NDx<T$Q0No!1cK-pa?q72rr~4bvVt2nK z#Qh-iJQ@#2KvEp8_U4>Z<hoy?2jqSY&^<?B_g8dd3;#FgaJv8C8HoFRJbHIuXyRjd zao{X8^B_`A?m2K=fXoKPMLyoRxNs8FFSyf>LNCbu2EDNMenSs7_v_$xKO@xr=;>$Y zS+JWy<`H(Y3>G&-Qa*&l?S73ukoztAVD9he#peE;vpB=w@iZj-(cS;^4A}i3^9Z{? z<^*P#LtG9aal7B3ALM=q&;|`~`kBy&&HZc6;B<fEDeUgIgt#AM9%1*-IZm$o6DEP& zUoZ(4{s$&vbN`#uINg8nB*guo^grP^DE*(pO#h(brFS>TY>&pn8O8Y1|1nIz;12(c z$sqSvfbJs$hyRI5*xav!+x?7C_oJtuouDG6cQ?p9!fuwq;$}$7fsnY}UoZva{sz#! zX<+wXn2gQ+Ij3-jzvBr=_@lf3Cn!ty?gp7h*!?j_F~c0<atMjr{S{L|?(YEI?*?}N zjVajNzvd)P_ctEL?tV*%`$6UrcK@6s<hs9M8p!<<K=;jo-Tz=JHut|dfz$m5k3rlI zO8+-vK<WQDX8H#eFTJ}#W_vUqPAJEp{tsjN1$X#&Ob5At252xC?EV+iu(@9cxBD5P z?nh5QJ3&QC?{1KJgxxHI#m$hE10iv{f5Hrq`xk&VUxD5KVLCSV=N!iw{*Ff>;g9bA zpP($+yBlO4VfV)z!VGhW%ONCg_s^IKa{mg@eS%>3|CoWz{cDclbbsR!?C!UOxF2L5 zVfW8DNUr-07J%IE02<;4yMMxbZ0>(^6sP+S9>(teN>Gu~yBlPlN8{lO=6KWmode{$ zKjRO`{SAL$_1B5t*xav!+x?6<+`ki4r1b6vnFk7gf4uIOA<F&G^#o^N>)Eyka5FMA z@6X_7gsx}Xz{Lm~tbGU`E_CU9^rGMhB<wXGgGQ&nyL5t9Qg_~b;c*BO@LC>?M>80> z89X}Qzi>GWDSM!*96N7-`ZaNm@v$#o9!8p0gQRDud(MLw*KJR*;9~IT-4BW>&_FnN z6#UC!kljbXU95kQVepFwA=Wy1^zL<d$j$KL0x0h^9?bx02Q7*F=L22=4jS<;ML6f5 zPv;|K=R_ep2Ra^%>Yf9(VD}i<axuW&lY;3UM<n-r0J+Bj;+_*A?P%_KdI%mo=<Ydn z2q}25y61x{*gXlZNbb3E2qSzp9zcZ8f=Ap8FE)VkX5-NxAnj=G3B~OmD}wG>;0|^V zsAmTc9~VsbC?dHh;4wGD3k8UKR)Dmlx##FXoZ++TAffO%;01P%ffrKvY&nP#J`?vN z!iV7rH^YkwpuE#~^aMydntM!fyGM$kdp`Js-IL&t<Q^4F_b?*4X9LJR3=sD)1b`N+ zzIW+FO3zCV;0&Lx1BAjyAq?!E386^tnQ{Old<yp=!so;@ZiW{H`@u8j8X)ax;UkLM zJ-_x7a?gZtuzNr=A@KCWg6W=zdlBxD_{h!h;=w+!duD*Nqq(PbKhE&UBIurmIIw#@ z#3F@H$$pIR2}E+w36OgNAnxe^X-9L<*L^tMb88==@F_?Dy9YGW1rMJm`!L*da1SDU zG(K}Pyg0BI96l8w?P%^v#qAz1g6?^c1a?nA5>ohtV7kW;$vrnf?lFM4=L1MPntQJ9 z#Th=k_F{xjZ~$zs%cpmHfi|e>YS0E%U9ujH$1i9>t1iSu*Y>@jz&ft^8eDHd<`-|i zn7A7fUNWHJJMe_*FGtw?A-JM)0J#@D^$Fra<_*F16^i{0dLa8J=)vrNpo?L@Iad1x z3E6*O53DVM&;AL9Ao~{>!tDQGfMNgkJy`v}i;(|`vcJIwWd8&knEel|G3+<TYQG>M z`w#3U#{UKGAp0BKVfJ5e!?1t*Zmj;_Nyz_1*`E*uvcDh*X8(ae4ExQo+Am1R{sX&+ z@qa)p$o_;_nEe}KFznyH3#<Qk5b{4!_B&*N><<7PQB?xUe+$wv>^H}1zaSy|59}ny z{|3b%`#p+b{-02UVgL4>SpC19kpGFYU!x9WzeOF){*GD<`^~Z1FG$G#13NJNAJFYr z;?wPx(Ru3NFZqM7q!~|jUO)Js_26@6kK-($%`gnE-8>eJk3cJ|G%vbzp1S<1Q$)qF zyT*d0^T*{EE}Z9^A2D`{s9b*E{D2X()TFb`1vLBhoUs#f+D31khDYNu4MqkA&&~(n z<!L)N|NkEWDg7o)pn?5=VD|q2E$D&R{{YE;OJw^&>#g>ICXhkf&*is(M^-^=%A#K$ z+76oL@HpNET6Y6F?#H8dAE-0$(Rj>(39`lgrQKGL8c0tXLI!&@zY*~0{M_wV;(;2h z*AD(;J@^bBtlc&Suu#4HzSBmf+r@z8@{8u@jGZ<r(4h3_o($@}di2()aCme+dvReK z$a$MVH{KXRcFTHn9)GcF^Z)<dE-D<I%^-<x7Zsk)X3*s{&=X01K;7!mdGCck$bjY} z0@1M0p8#HOwhuJR4fg+LkOjLz3%{aY9@+--n@@KeC^$en{@V_K=Cwg5p0phStrdg^ z#|ma>aNOSr(gFzxD2WIU8=r1B1EgdI4G+g|76Zrb5(5@kXn0(H;c@w0^8?1_XN(>P zpDB279`oodQQ`3D-3+?>z@vA1KozLHP@}@}B5pHyrK2Ip|DZr?2Axg<3IZ^v2JFge z(77xLP$z;yp%dgwSSWzwA2b&a_WvfZ|G^9CdQI;hU;>>f@@pel5ELjLy_<iqfcy$x z8u8)+B)M>~GB8BHoD4|{-F-(usjj<i2O|Rml5e4z3g*df5sPjY3zo~TF287g#0YZj zG|;-Z&Ndy;YPQ~ekhOBhKnDnb^32Pto1h`v-Dd(?2G-rC!9=3@H`o{$I@|6*%!jOX zI|ka?4mQ6OVLs?WTaWHG&;mh{+~2_tGQS73daidLD9?B_9xGr6g_hyTm!b&s!D+L* z4Rr1eN#=u=)pfSnfL6ct?gJnD(s&HCwhrw6t+15W-PZz&_3k!MN+8Mn7aSn>zk!$! zJ}IX0*aZ%#`$G}te}S0)f|<ndpTP+-e-3EFdhb3^mhosj*1!oh|LX>L{HB1`Z+5pu zu#jlJ2N%eEA1=^=6#FDVhBO`n?N0%R&s2o@S3pwTZ6`=I{|DIoKM?afK!!9PdjLJ| z=%p#ld~kafw*MZyf9{)S=YNmh^$d+H3?7}|JFj(~a_Kzi+4<r{<63Zi$=?DR;`Qxa zzMzqX!LfP&hej3#h7u|8YHiJ%E{rcdI^X+re(SvT!eJAr+CApd`Bw8TcxfMKBhRPK zmoLOOfK4h5Z2m1#uH$NWz;P$&z(w%NiEo{^K<m#$)`8bI@Ow5MUm(iE06IMD;|53{ z0lZ1?lVj%#wCVndpo|Z0t|Kk=L-HSZ3(XnN&TrsRru9EiJm}l`;>ChBU}u%2HSc}V z!~$B8xpzhr3qy%C*l#YH;E^zp-#~+5$r~Y_gA9iK2M>mQ>b&{FdOg^XQbka&0xAse zR=^zg^63Ux)eaMa7V)^<o6v$BAt3jDSPixc<lcZ*h<h1Yak+Q%2JG(bUI#XWfO|pd z1vR*Fxc9tg=Qq#JzaG8oPqZPs7nFb;pzba6?OmGD4oW<GceH~N4=jLxGTwwF9+%Ev zFPJt!9sC>AYkLkE{^-2<;ua(smKu0A9t{u!C5`tluC0S64Tvht@Gf0Xa(EwTM|M9b zyc<@5g9;Sh8$cP#r+05c2d?mTUJrFXc(4?j5IS$ZkcK)RfBHDOj%4?0bfLTV!b-S% zCAuN$<3txO_fB4i-M!h6OhF)hh!X2wMEaP}gX~^V`cQzlm%jycqM~o_QiEP_8foao znMUrdg*qB7jckLYv{Ea_hP@ugxfvKs`8^wto;b!0I?3Zj#3}-*#eFS~(1-0W1h01n zmnR7Sc=VzBCtw9Q{Net2(FgXALqAUc@Zs>!Gf2t<`{%<+n12dRLj2RQl7N58*AVR= zMES@u0ogy`^uHYJA5i*dm<UNvD<<GdPtI$gQS%s-eUZ{X)GGwi|7sjA#$7(1n27G) z3(Mf{JunI4-i%4O+&g(ScK2pO5+$MZPr7>#OhtFE0>Zr;ra|1BFb$V`AFsmh-rbNy zN5H+Qt4I!CjTz|fEm#T<Ux}Fz_nw%6%e}@p+{;ard$+D6*}XgFpu6|L61aO;%!Rl& zVlFQCHm}4UzR{3OKp=csNq6s!`RMKyK)CnB0*HGn7T|L4<rUc7yBd<H2)H+N1<BzX zu^ipK35((3>#+jj-XF_xxmOv7dw)X`6#@4yT~4HX5#@8mDrEP9>YELVAnq;m?OiId z8eBGKtj1Y37cGYdFj`&X1$8i}J))olX^*%qBTyngT}HC|3s$4M|HDFr`vum3-Jh@q zr~5Z8!|whrNGb!jr(o`HTS~zFs>He<QJ-Y2L3Tf=J~4p0KdpIh#9Byt;aH0+y?kB@ zbv{~savGB82&9+Nr9_4|!o3M=(cRmy021D5&3gmZLEOu*4wrkKaky8SDEA&+LZo{U z={sT_vU@@4`@(#%d*SVmAM3zr#A7|qG}5;O8pvpAJPndl!0nHS6R`HjjpLB^2ggDJ zspb1(9KM+_!Q*%v2k7u`25>hR)IarTJodp4+Rg4;2<>J=N2exC2=M4`lMrEG=;i>O z0r?O-6vLwe8p!nM-FLzmv_bPBXj|=z<b~i-OBD4NUp7Bv>^yYwRr4dp)&u-57dm-V zIzv=gJbL#rm>{%jK(vDGgpG%JbWf82ADdaD!m$r@u-6NrMX+(a69Ehiph2w8<}(2x zZ-7UzHUxnDuoHCfKX`lby%&k|z@dOVng<%sgN#3VbWgj%2ht2OA9TCHi%kn*=2ry5 z%&!RqDX9jXG@K9!HXn2`0@Qp)Z037(w<$=1f~&JnBZz?kJQ}DI#K6$}fRXb=XB$Wi zF-|HF1Tqt}efq%5&2zy)kL3Rok|4`L?gMQadLaaN-wlw#;Bm}5K_DftlZy|4jRPM( z19f8DT=XFD=x$pe3UOaYFw}iL!EpD1#5{WUfk*fnj}-(X+$RiipGS9FfHXwEMF>>C zO$b~+NX!F%j<iAuLjUDC=>Fpn1SNsz`#@XfUYIQS|G%3>rL*}3$W#{<md@rkAq))s z+g(&R(mI<zK-oNLoy}iDz@CN-0Uo{pwqqygLIbF$Gv^?A8Yw(c>^m|aYTtrTaAdP| zHZKW9*tY`82HCeJ6l@=KyJ|-$$WO@j{hW<tA82Gy91=beVbJi234@0ZNX(;mA9Q%p zAq->|H2!CU!^fk$?E+LkM>teJPdHpZNX(;mA7pFqu@6Z4i{bh^pz*T<rhgAYKS<02 zKK!^K9AUpPM88jW8|aK~@OXAc1n2~750=ipoCpSn=7)@&2Rr*ff*!s5G(g9HH69Cy zfSB--1)_aI0Bl;sqr2~fIs*eJKX5ZJbTb$;cCx5=bWei__9=h_Jz2QDSUP=FSV~wq zPjs@VfD%C4iV_Bf&b~Dzpg`Ye0Xn6w@z{hC==2CUale>83tZNK3JhfX7&O54MSzTk z+XoTs0}EocPoV;2pGpPTKF}e49*xI1Dxmfmo_wK&uxtXTJcLgBcy#x9XoKyWfMOp+ zun#PV)xLrzkbNahVEc9`f%ZTji)cdFw`(Tcb7=PMfY^5e#Xg8&A6O8peHWTR_FZWP z+m`@|wjIq-`@n}zy>LfZi5#Awy~G~fZ45lf@dpv?0}Eoc&!81#pGhm&J_bnqNwmW3 z15Ks8xIP2!MbLT2jv>JTkaNWLfwtFy#vZrM1f3|b-ve|{2!D$;2LprSvGxTZo@aNv zfimbYSC;P=eS4Sx5Mp3x<=}78VF#a{_o8POXgj+D2k7D-_Tvr(poys$>@&fq(|H^R z@fbXMMU_^7j$1W63E9I6nk70i=l_3DJq8*@eD2wK<3;oA|Nnh^mpkx7%r(Jg?)n*M z=AK#(GZ(bW6l87=*xX%A3=9l#qctE#2M9roz6o+2=w64`10`@LhoBps4>uYz8*}`H zAjD`;h{BCNI}7Y;56D@kFY2%v{c}2+t3}{OgDM)3tGCStg~l$BC*VdGL5yC&197!7 zHlydE8@+QGEI>eYILPQ|h|!>W8g8^W#ONDPqfdbRjvgS+=tjq37|npi=sh#R0g}K6 zadj>>qhCxz3lL_w(V&(A$kj_{fdd3o`@>zG2r+sF)Mz1WM)#r{y<#aWKww6DVKJHw zV)O|Ch^x1Q{EikN`$1PWz0d_&+IiUHxC1EXFd$O+7PuEc)hoygk7k0s0IDeAUYIun z96A<)5PSS_+H({ngUucv4121u*kcB<Cj#o9=b%u*>YrF#_B?@y8|dmIkbi_A_GlsJ ztaH=B{>hMn_@^F=JuiMuL5pqCC9o&~9TNyLdixAeP=O|fd^*8}K^er{1yFO<v6;IF z-Q2y4(anuVH&+f~?hUB9=ci&Ryu8rOO~o*m9o^hx)4<^%APotJa%|>)nv50>ycp)L zpN<v|c@T3SNI=Y$$7b#<baS^ZLJx;<baTZZ<|aUnKz?x?<Ylz<@xlq++*k~A|4&16 z@4l(vaCiYN#PhM4`)U$eIIzOa1>HghN)OAy=I#Q`nZdJ8GQ{Wv8AwowU^BWO-RM;d zVL<^i+6Rl#91x=$cp*mb1bG}iG)&Nq_J<n{S*>yW#mA|j(AWhk)8VdOJLUiXmv2CK z3Uxkz@nI5ZYwvzg75Cx^gbk{bUfh7NL6yjhGY~eY)PHdR!Uh%7FSbC~pmO!a3J4oi z5WbiLVS`Gp7ZV_CQ0elb1;PfE052*aY)~$Jkpp3aa@LCk2pg0eUW7o{pcMSV1HuNS zx)(MOHYlaMFo3W@vHL;=!Ujdh3ke7t6n-ywK<sWe4N!YPz@yv20mNWG?jQlGk)dr0 zMvq?Bx!*xO@pe#y12*ply50zU9}uh$u@AIEAG8iad?M&Pm(458!MBH805wZWl03Sb ze}GN|2TxvtOA=)ckLI6@rMw>9%^yS<7@8|m7)rE28;C_17>={3fJ$tSUQ;Ph#9acl zML-+1T@6oyY}h&pcCCm2<m~nkQ2OfKy#t}ur`PuW0?-N!B&|N3|6XuS1g%0n+<;IC zD##pnAWR3TdNKiW$;Oj;OrSee?6Kde0<}DRGPrL7YH!2Nx9a@24|J#i$gP|cK>eTH z0ie5pN-~Z$uK+PTx|?UHLYrx=93|o&y}Lp2f$;YHc}xtiRXw_!1C$WTw4lm7x;JMi z!vo3i61bTP_ri<m6aWAB#1*L!2Y}+V@INSLH-j7oiPN~l@bkGk|Lp@ET>x^wXFu5e zH$cuVi8<D60J?<8qkDG%X#AmjGRPr@-@pg0zBo4lG)oSSQ4d~tjP~vZaR|8i@dUhX z23ZPnb1lTpAjiPn3<`G`Mhbt>83!QuclLqZp8#?;$o)G&43F;J7NBd5x+gctGJtNJ z0JXnf@FCo9z=Py|5XYl?Ge{{kh72!(hf)w>u8iOPAWM<l4{{74_k#{n0J;BUFWCJr zK+Xoa-vM-KlSlVti2HXJfbL8BwiE1rzkYc5XCS*D#PR6f4AOzi{mK1!!yjZRlKVl9 zA>@A0X$>Ivb3)u-0CG0S{U<;SkM7AJhkJDI1`T5wLfzlj2X{Z{dMId#(7PMN@#x+R z(t*qUi~I1pA7m+#`$3Mu>i$qrVWt7P^r5>Al)%B`dYz{(zUn-B@on={@G#wr&Uek< z7+bHE@Od0}0T)vYFP|`g#~?ZnHGg7kJypU9F69glyk_b=*m;WcP>BjiDd=1*29NH^ zD|i?f_Wl3=pRwCY@w;*nOJ|LWLT8DJO6!4Ame!M{F(9>|$`qs)<YzRs5}hR~GOY(n zOh7C91VA^pDfslds7UMsRhm0Ngd>=IvA+BN|JJvqsvg}gDgqvzE-D<a?ORWlu!Hyt zuUSEq1V~t*^=-**k6uyjSxgKdGdV!U31AqP&<!5}>;(@B@pLxV^n&`ayLW)fg2uxM zy`WVpE-D;`m%uA%K+EO0x<JYIh(>fQXo&_={sa}cp!D$)tYG&KP_h7}j{wk7h92F! ze}K&Fp8NrlKSAAr7kNGK^zi|?d;xJhx;KNABGLz_GlIyU%{_S22gp*S^Z{}V*7Sko zeozMk<bF+v`x`*c2D$$Rh~d#a8RGuk27KW11?>KHpa?ktE*~}ckjfVj$D?~QNCz(W zAMVEMevqX|?gu%Bko!U519E?6Cn$n;bAav$1-U-~#PH~z401T=CL|>Hzw3gB{{>|C zgE$`Dn?X8oxt|%o`$3i>xgX>htnLS8Ptf{U&^RkEXdJOSfaTyzmd*<YUx2P)YQ4no zatM|Q#XP#3Gx$J?I(<|?<MW*^Dm<@sS`U<@_;fde9P80N8KeN5=J$bOXeWqp1d}fc zJ7CEjbQA+*KwYN~oZK(SfQF?G3-lq9J0vvky^wAPg(hf?tOvx7*A1|`2}C2MACOg` z@P7%`v3mk2*g@@s7a#_xO{9XT_(AOhP@C~MiwZc|^_qU34s9P;C?b@B+6N$I9^IQk zH)TLo8(snr$0G7Vc_-eq1abf<E}-oLki+0{f#iPB9mgQ|YeL*F0lHHblzs|843F;J zGXy}xf0IEDG5od@)Xjdet^=NaCI}#<e-MX&n-6#3bu-9Pkei|H1CV3jZU%)r3?sQ8 zbe#>z{h4i`7~8!7<ZMv*{{S&Ox|<Ww+Gn74G&FU4^qT&k0uBEaXyFf12FlAy$n7&w zX^sg0@9lW~0&)PzFVOG@ISlR>B=>`^z5%&^Wh>bI8lXE4LE+y3Vt91#2DNBCx+j0& z2bVvfYT<=mJ3Rb1@FRskhyyA=`Ek418NZuBmV(?24S$eh;BJP6J%|o<3<G6h1C$yT zl!ci=S(v%`0V7Hl=5jUswinb{c+GA2w)KDm|J0+7o$p*aKXAU_Z#f2<q=OGPPX=8m z=h68bQEk1j2c^vJW@tSQvV{p`3lq96pn?JvTHPF-J}MHRIVl$vnb+p62THU&x+jBV ze0o{=CPOMm%xc!7<^TWJoQO*MxQhztltzYb9~GG$plY7mM}=i4h*8Sd?V=*V%%Y+S z;u)UobWzdh^ik1ieOtom+WL*Zg%!N`8@G#Lvj?yu&g3|Y3MhEM%?*#<W{_K(YgAYm zO3hnO^0x?qjbi}&O90|8k=Kr`2l!h)fWi*6#+(79-2*iD0MYHyE#TA3syGSaS<FU2 zbo2lJAg@B(GToq1?+#HBfp|2KWf#b2rM#dP2QwqYo0mFWR1`WxR8(ABzm=$g3tLd5 zMFZ5zP(VZ%w9)db>Hq)NqOETs%@hqtGerT^OyK}6)9-A)(FbdM+<}zFAEd#h@d2dL z7?j^YjgQ_&P~JFV5bYQjd$^lN<>ifk5a)wRQHGbs|KS}sP~x0`IG?!l-#*Zt?4bPR z*#t6U_Xbe50+kOOpv$qbln<c3HKKgDKLJ`k6rhz4AZ4KPK^k{nyWWhqd;mEBl-Ho; z1IS_UyoOXhfUcqfxxW(}2D>dl&IXka6F>}5%?GN)x_2*-L6i@C&G7PJh73~q0OEl9 zZ!);utc>5yAWK1RhL#T?$H3hT4SFzzSWoBC{Kmtx^NUC4-+iFi^yz&5Vt)f@)?<&# z0gw;)TRt#>raf9zBtW;5dv>>|C<uWndr&$*)%=LR^H}pU{^n1toS*nxjxvEJ=$M-y zFgJf>>^$`SlB?m{){Bn(Q;xMB;GcTfMd7pIf!2%s+ivr>g3gf!C2-L21A}Mx7O<&4 zpglC;SqkWdC;wj<HA9*Q-99Qj%@3GCt?TB8%$*?K2gc4*-!HXZKy(8*4G*-Q<ez$= z^Pp?TSGehrTzs)qu=PNR2*?T^P=5lHAsvsigQ)Hh6%LU3ofljbUvQo-;pM!+dC^7j zrQ&JD6R(*JZ##D0b^Lx6ocZ_v|NsBLW9w1=R#Q->@61urfCq^{w+Bn}Bj)CZ%*~$| zJ5PMS04`Ep4ga?u+5wvK0pFWi3U}k7&V$V#8Cy@4vO0Di2c1y&&Xw~Yf6IJOk<nYD zA^>tfIRC&x2N5tHpsvgd@g{K42z2|Xh=79pA#*b<Ncg850tbY`1-K~&hf2j-4wT4x z^tz~sfbzM*aTgT<koQ3y6oup95~|xrMWEY7MMUweBj@oFeizQaoF`lqKR7BLS3LTf z+12nr*#9n_KfYg3e6fPDgcIy1!vn9m3=g=r{s#s9A&>4H6^+h|oHsj<ao#Ht<oxKu z`C9Sw_e-1?6mNXL(0RP|Cx6QvkSCA3sDP3j13UmhjmPGP{LK&eK@~)&i;4`W6tnom zFTi@bhlwGLU*p7!NA>^z?*pYoaA<&rMIGuvV@PqV8+w=+KJg2>s2F(k#;6$h^oFQN zc=Yl{_AxQ+2YGWJI1WIB;||c25;#tLJ0E5_1ZNdxu{Z{0mA#l!4=RL?7<hEM;u@=i zWCTzqd;SMBSl0s1Cmzj5JU~ZXK+j5s<gXA=9h?BEgA?SSnWp(6d-F%8<_GMc{Ly-m zf675fh3lYj*1_OqiL6IAD_<WI11N?BJi1wb^+MPjFF}XDf?^XKW}sHD3<CqWy6YBD zJf-Zx(ix&6(dnZi)6H@4r37fji;s#1D3cz9a6v0yPJn7bkLEWV9=*I9dqG2PH7X81 zy*?@i;AS~EG9i<Zpc`2JXEDAIt^o~*#dP`I|_JL+Tc7X_R9)+~x!C~ps`Q5kk zN0y{x(2F^ca1;RD2rC2f_`#Qg5GN@>odn{-oTLJEk{Z-W79b~SfU7JlPHL!zIVnXY z0K+w)1{l;e&q1yc`oH;x3<JXpE|`mYYg8P-x^{y6;0PvtIzRb#K6v3)`~SZ~%Ue+8 zDcaNnshT1F1*?Ln_3S+GVsG{T|E~o-x;Z>R1LB?FZa=8+1K!_fF#%R_+Du?z*uen0 zA-&sS0t18M!Cn7B7jKrR2q<3TJlDAyB&gi%GJ%0XIYdQ-^HAqx5Zj}7_YO!^t1to4 z@c~URfvQ@iDzNVpK#48RF&^C8N2yOi0RXCxeu5S5_5kG-P<^@p#PH~DzJS)=291S7 zdoZB8M!TW)X$RVPC`g${_vQnT`V>?y8D826aulMy-Cl#YJ_R`dlx?8(Dac{)x(TU1 zl>!wFo%df@Lfn4=<m?jXW6c_lAc^i~0e(<=0xtuDW+ac^-3kH>44fxQIH4{C-5+dv zpbIoC4R+&;Gx(hbG8^QyX^>%0kezU+f$K}?`T&pSH=sc)&(44Qq(QFfy#HcSB`7|2 zM}S<%-xAHlz;LX21Bm0%-3+ZL16Vq*ah?NJ3y(k*7e{A^3Xj9LW6b<5`xzJ*I?pwK zW8}QX-|_>>VFGcsgLcP$Wahlc-^vc!NeHU3Kt&gLpbTWx1Bg*BDm<VvQUX*)E^mjH zk^8F9%Sf3jNEzAF4lN_W8Ct-nHwLt0llN#RzB1CY^JbQVV^CI67L#LeR@sYuaLgPr zc$o{T0=sutfL2iTik|2I%_>29pgL9H7LK4tcXNRtq=m!ZVh2**yBj0`$?&FAJD3<= za)N}rn>7SL9c+$+FS#HEg+R9hPv=1h7gkV+K=kplc0$T70Z>690p1vlrJx8e2Sv>h z0iWI!6$$YAu3ez+F|^nM%}*g06x#ncgPic<A;fnA9uSW~`_w+2k6wgVf;|PANCEi) zJZzflf$X6Y6@}Nf9^I3{YrIhOzmUSB*#M@wdox%^^Bay`ph!j?N5~R*p$krAM+ET9 zHh|I`C<)j71<y8s(xXT75e;ZD0U8qoCuArA=^jdWbbbb<Wf^E%K2`<_u-y+p$(O$+ zk`a`aEu27-pr!)IWggv|L5Uia<OIMKFgP$@^j7}=-yH&JI&@xyw9f=M4?)^%BHs=% zmsE6~0yT<4R0KL*Kt)|iI!J)26E48t0y=`Q`6DP<L)0APZ@mVxyL&QZh-3E#L2wfq z6o)TPmxJR1l)zTN6WDT)^4{Gb0gvv@AYHGkJ-WgAJbFbn+7Rip2cjJml_1sNsLb(z zXhjr;-&&a%UOT`{=$;&*hj4%w785|@LLlQjx;KNALJh(g7m6>(TTX&P92AocpgvS* zGblDZnvY0CJ0jiif+&wXpm7LV$pR|&nM%QNm;s75{uW_yd2|58@qjhApm7Ll2upyc zWx=c2K+Bzum4h3U5}+P6sDa|5BGLSi9n_`(cP)KXR6Ke`bDJR*qryH=BMPkdg>Nx< z$#JQtNB8a*kkVjR3nH}T%HWap03KQ3g_FIzK?2Z#^5`{fX<=e`=?N+jdPV;<VOsR0 z2x5^F%%bkeGvpAFvb7Y8Mf_mnz!rg0g&YIJOVBY19=)Q|S}<*Bg4n>{(hN>aqKmMo ziG!#qwfBIhO^~mlMa2t!Y_8}88wYoV00YD8SdU)O|5%LwUkLUje=F!vS43%oY{i)p zut!1Z7ZiZt^b1OTAdUy5G=T;xM(TT5f;aVn(h4Z`9fOo3pmYRl+k$4IJ$gkMup|H< zh?7fAky9BcW_E!FY<GgL9|k3`)YAX|8&XuH>l;#3<m*9`vvLd!ufsihMcJ{0!}kJc zIQW99Wu)W_DlAbA=0P_Yq@>$}<KRnvNNu74txZ5&SZ$&M9o6yZ<!xvNRVXQ-UW1Q{ z#y*gv(JGYZFE|Q8li@ZhpsE<`q23x53yf+7q!rwF1~n+BmVj2$fU^WNae!_Z=Pw3F z9;oaB#TO{@_**hTxg9M#l&Dy|wD|`bI|1c)P-g-(CJ!pHLDP_++%8fG&g~yS8Jxf6 zGy|x__HYIzweDsOq=sIANAGUX0t@KCiBGTXvU+gq2)zCPG@Qi%zRC38i$g`=a}+=; zFrbPJFM)6Bgtzm2I{&?n_vmhxKx!d|K+X5)-VEyKAatUb{~{G(I4G6MBad@{77)Vi zcwt?HH<g0?2uh`Vpp?<s4D!DR=&T4wP#Q%YUjjuHD5zfMgM+F96gr^snF}C>NB3?} zd(xwOGRWD6-yp?VULiPwK)H(pdHfc{A>hO2LcHz<SqgGDbbJQn7}SO)w0;KnA3+fg zYi@$Z0YSb{hWLWPg@J*AzvVj%D8eH^91m<QW6l%&t(QStR=S%PC^IlPeq&%PVR!uI zz`|In3(_D9YI3oFMp2Xnz8zvL;RcU!7#`p}_*w)$l)`!NH9J%sF)sT35@`Arnt@&m zwH_$p^FSHr>=sdR0o^OqdZ|Rvwe@5vPxB*2!?&FmUV_IrplxG|?hKaZ=k?9sJ({1^ zcfR|6&DHQy>vvF>_$~j`0}3Y$-?o0|-}a+~)z$FQYjekrQy?Gg1T{oT*d0NmEe{!w zyQqLxATWRioP1O`92HNs{x9Kj<ou<0-cj+?YbL}0puq~T`(0Wul(KaG?flt!g7ZS> zdl%00CBmFPzF%_Wyy&R-^ZP~4vo4BfUo#oL?R@$DqT)gDpn)T3p!NT^gN*#G+ZY%a zTn%rxzIEiEde9Nn0eQga(cKLS*ly167eU>5#Z#bA<OJ&k&q^P6?EDWJNpbe*?%p8J zz|gJPUC7e>jPd)`&QISjDZT=`>)RnlM{r9NG!pco^P}Q<7tRAEe4NK!I1jog{!~1n zc>Xn$;Q`l<)13#uUu^w}81Dj2d!KjVyx)1qh4WU41m|^^&U@dlxp3Z7yw?1Yk@JM& zhwm30I}d%o?xJ|z;oEUW$etij>kZstD658c7<%*2I}ERLAsvS3YFLK>()7*&kEvwV zLHi4!waSoNbif_}_Y^|2ieC8Sfil|>&;?K&vY@#<Q@v_XdGjJT2UH@0QzIxbvVqfL zFru&IzycWnYW~Oy3eeVzC7k;}gExkkUUM2=0*xXb>b%x@z4<%i21d}}$N$!UrP7Aq zS}*ZWJy0U#&~c38!1rsNA78UWrA-+5w;gCbSds<zbYm6N(=&3>J^d{Q;_0+1u%}s| z;}SXGK2j<nKS$(3{S3)$2=9Ting^*_4di>U&mBQS{{LG~mDa&>U-xDUQwE0aZW~hu zhURaKo#($_Z~a%o2Fh)(C0b8HGiAy9<1Q*Jps)icvd(kguPK|TID9+CSi%JsaWy>o zn#a}fWa~*#f_CXV*?Erhm`mq*&f_J*oc}lvDgJ8y$mqg(Qt{&Vi;kTqzF%`uJO<K^ zmWT{1q2cL~gC3sCvLWFqRtXMI7ZnazA`<ZE<$YO8AQ7>H(n>Qp5jj8-(aQ>OBI3)! zTgZaSLr@|6=oh#v1S%^bT_LRFC5oWhvh)6nlbN9C+uZ>wOhNU-0}#WbdpEeh(><9( z9^A|Xt$KgqmkqBUB!nQn+Y(Ug3&bH%uO(;WbvnpWkkg^{1IRI0>j$Lq5+$hnIU(*B za0Q)2oN=r<1H|y?ZuUT%-v+Ixgx1d<y{7Zaq2oOh6u@=C_lvC@V84Krd30}fK&hia zEq+8DJsp2EfE)nw3v|2(<S@8jkle2fb-!l@IQ(aToDB;94<H6;;Sy*yWA|i`Lkz!x zSKz%kmkAGl0mO>N-rXP$0XIL+#2fw~OF?dihCj$La5qD%W-tY=pTXzncr?GU0C&Ou z?*pB~2CAd$)4^q&f*S*a2WS#7kDY<x|AUv&><kPq!$3qJi0}as?jXVmMA(7|3lL!p zBJ@CnCWuf05%M5H3Pgy42my9by<Y*k+!Iu;X@Ew5R+d8Z;em|*|GNuVKv&ZocToXN zzccLm_y7NYaHi)j;3*YvuHaxO<!Q87(8kEX-zLeyzyPl0T2Jw}9AX0vId1;p%D{l! zln3p-1lc5k)ajp*3GVbubi1g?z&iaBuui|sw?oYQEue-YsGrY>=;xORqxJCvKu2A5 zcZ0H7H)E%ZibS_3Xfu`!XgwEy3n-{M4>f;+j1>5&h;W|bZ#fS-!|G7;CuXp*P{CJF zK>=jJ0MKbshnhbLAq#GW3JN0&UVsXMW>5t>p=KI_4ud+>{7DH}<pQXnGP2-qsGtF| zpd#ojrbEr2jF1J}pn}H8f(xO70my>v+zbpLzXu@;7D5Gskp+98f(giiZ=r%o$b#WK z5HpjJ1&g49ppi+q-|s>NxsX*l@j|q7BMZht1tpLL_dx}vkOfuwAljvo1#O{%8pwjX zp@Le-f@=H_?b^tKQ=x(u$bz7i7NDTBLKYMgfM~Zy7HohDMj#7Lg9=6=3x0<RMk5QR z2|~=wKo+cl3T7b-J_3z=e8>h(7J!r23H}y;A<)vD<_-~f(aYcB$PCVapmo!ri2%?J zs$SLBVo<&3)A{U$aPt5EhL?Ogzk@hz$)LVkjS3|H7sB#?3VJ;(mjbDW`wF4;uz*Kz zjtZy__JlQRuuhJ_nsZs~|G~q4S!FMZQ$VHk5rdaAnHU&ec7TXx5K#vrDnUdEh{y*K zSs)@6L?nO+(AfCPFc2#cMEHORcM#zOB5Xl~1&A;P5qcm(6GW(h2zd}81tLU2gaC-( z1`%u^f)PZ3&Xaukosogz<tGsF7DRwHxW0T0V%-A~H$lV|5OE$voB|O?LBs(Nu^U8e z0}&fR#2OH>97HSv5pzMr3=lCHMD&4(P7u)oBI-dz6^JMW5d|P38$_gmh(r((10upf z1nA7zm%bpD2Z(S65uma0mzE%w35d`K5n3QZ6+|e22x$-@1|kGO1P_P+O<%uc0<r!w zFfhCXO(DGe3}U?l5idamXvyr$`ykdW5OEbmTmTWE(Sn!9K&*oxVh@Pe4k9*zh_xUB zG)ez*F^Dw}M1U@tcnKP&eF++wdI`ES>}4xR0(5fI>$=x9ud7~Hye@lP^1A4C!Rx%& zIj^%`XS_~(o$@;Ab;9eo*D<f7UPrtRdmZvR=ykwrzt=vmy<U5~c6;se+Ud2!YrEGr zudQBNyf%An^4jRN!E3$OI<K`}YrIx_t@2vwwZdz;*D|lAUQ4_ddoA)>=(WIWzSlgj zxn6U;W_!)@n&~xzNB3?IHwK0mj`5%x-W~h&?XhN1r3tFx_x=Dk4#Aal^AQWsI5~9g z_Vw1+n_q8yz1|1XNd?UwD1dhv>;i2y01tRWE{p_sd3-vbXEFcZ3>rmvu`CIkIRwBH z>Yxc+4v${ZtHqE(Mh>tUJ3(f=kc|V6Y?W$z^lp~ez`(FyVgnb$F3`2vh9_T4hy&$n z-k;^5f`Avaw&$e-_&7q*Pq~;zU5bSmm4(eHSBO#TAVx9#`~UytSMbS+n6^qmlr}?@ z#{K#K|K%xI!@%%l>nZ-$r{Dkof4K-eOeM;J#Zw*-m+-fO?qUNS0}Aq3#Cn9sw#R}! zRsgZW>+k>n`#{n!(;-gsKv&-mQSSgz|MDA5y*WfZM!<zb)E_7V2b?*`x9ni0qKQSA z0XH)S5^&MjoXP_+>L|phy?<a<?*X5ii5Yr#V!&Q=g{YVQ{r~?<$Pt^O?+P)kmV;Q$ z-`WOh`(jA~y%6KRm4bcp4rCmteTdDaNf4#d5S#0M!CWeY!=*+L^|K*HpZ@j#|I0_9 z7Fe&S4;Gh}L?Z$TBfOtSgFPJpG3pA)mD9mSZN`YoJrJd(5T(xW@XP_L7rj}48Av~) zz*h6OPJjdwhM5@<GZi6b=KY5GTmoz+rW-6E>OYo%162y{h8Lil(|a-1b3oJ|gs6W8 zH-86My{Il0H@iaITpETgGwz522bUtmygX3IXMl~uOisNJrQeFdPAvq5tSwln=>B}n zkUAd;4Jlm7$qr)Na)@!kAe-NTP6ox|X<>-cGZ3ZWpJ9P|7@{5{4Lptnd&&o*p6|#1 z|1T$lm5Rz@aj7cArKMQ&+zg0Ofe@o)e!{Hw0Go#yNEs0I7mL7wbRJYXfzMOz6<w8w z8Az)lpn-&|bdrP^cL`#gCfxdypo3(wgw)Fju+JAk)PIAQPBS6uF+yr5M7<J3eK^Ru znP8=&%vhZJI~?p>a7bYol?O5EdLh{7yFo_DfsMjU#Lf_<e;`WZe!v3tGiXskujm9U zHA(}-YIr%0Vg37Xu+JkPW@dt{pAR+;)A}P2rL_>Ho^b0yjYMpv*%XL+Ux@n6-(k*m z0h=%SG6yqaFNPsP3Zsm0ftdHV031@TaPvTy3S-NoA`tbp5cSz0uYnJW?iDS=Vs#kA zYTV`c(J*jG=|PNp_4WV%m!S3`HlNReC=G@veeoXVb1(4hC1&c+f~dco5BAwikkL|L zrJ`4|F#~B$C?t@u7EJOGqdFi)9RwM59#ZCFME#dgu!mwHN-Mwo|Nn9cSgB|l7F*3A zw(_?^&PT^g9!nv{#X*eQ{RQgj*Adu4r~;zc5TckJmf>DwZA5uP6mQ7`2hW~&|Nrj; zwXu9Uzk75Z^6b2k#gN7Df(?{8nvV#){Ph*&Ezwn3m?2jb0tvZTY)*O_0@BAj4`Ry} zxcg^dQ@jJBxDcZF`&+1IUuJ;juzE#Ju~_~!7-D$>HuEwd<|#tVD~4JAQUYuqW?)-D z)PKwc2gy{p{VyO*y1kj0o-c*i4=)NZ^4F7KZ~)AOm?;f+!xXTYm~PktQJ)J@?+90) z0#T2V-dZ5)-{yebJp1GS|1V9zN=3!6IQLi(p5U^C81)HalnlIPdkLE8#!|j>Lew9E zs4sm3i>{qu^`i4KFoVktVn2UtBREfBX4<Vm;NX&jn7Q%O|Nk#j!Ny@K?Sd%%oDKFl zCrGIk4yExBr6(XtKY^6;gO!RhVsYfcKu92g>R^oU7J(R53o*(TWYm#AAU9)1<;y^j zIPW5e(yC9e@R<o#FWQ%m89r(dt8pi%sSq>$AZA|q2s6_aY$j$Pr9#x7%K`_|{8#_~ zzZ8Wi#Ym}E5T&0XN_WA_*H^zm-VlABhUwh$06Zb}DgdO9HyC1G#fSg@U(Ny>g&9)& zAxbMCN;zJ^e3cJYFIs}d>OcMvtFdPMe294(5c7V(y&?}b57T~oi25Iy;7}@g3A6t_ zXnv$u^iL|L*K45m8(=GV-ur{Sz5-&N#Rr&q^C9MK!D5~cKJ(^7%!`1Sw-8iTgZqX4 z5c6`dn0Lny5<XbVet(F0J2Jrjo$&tu|Cj%Nf}AX>iN&ai_>5wK7?le#${l3X7Kl;L zQZPf!4WCgv{XkCORe~6G;oblLFEb%VEyiNhZ(lqf%!3$pJss>p3y@KA5Tg>X7_|YP zQOXdbdLTyGfQ-5f8X4&omBnIIDn6rb_<}v?2r-K3?f?HTJ0M2gO2!N;DSSrtLX7&B z2KL~TH~;^?w1pTo9g9)teei^p6U3<15Tmp~Mt%AYa;Rts7Nc6AMqy3&-+e&(csD?d zdiolc?w3Q%<H2H{2|n|dL(EHnm{$Zh57amC=oLMZgc)j2ydhr2>b`J@c>)mg=D}+$ zc8L3Gu$VUmY97{n#11j9AQc=7HlU!}{tXlaqLx^U^2BG<9&eC7UR8)uO0WO_f0+X@ z>RTeFFaLQ#e2LXng%G1|rGQ=K1u{wzV$^0VMs3Dtlp4gSeuz<tAfv89T7m^wjLN`g z)NL=Y2VEdWWxf3W|7ADCC|xW@$>K9=0>r4F$zTs|02$=~G3r$UW>{VF#1mGo5Tn*X zj7kF4k6*ul94fjTi&5=Rqp&8fU!EX+yqh6Lg~KbcRS@&yv6yF$&%9L-^O7OvwY-33 zrYMMc;#kak?g8;CR`*3g%oB!~*9SL`3u4~+c+8-ij?X+Uh<S&Tz_E}9H*eQxP&kOT zV=>PipLx4HK>B!#A?BGrhxs=PVxBz~^S--7{EIakWI@ckoCtQ`<rn||zm$R)^(PL~ zt84HXB@Z#G17cJa$f)z5K&}<tiN&Zyd`4Yy2kGOrg&4Ku+5i79TOdZ2VKGV!Y82K8 z?SvTR1TpFgJisg==ILQE@0c4Tz_6NU2{G?e0yx0>;O4#j2y(LMvslbfE5m2rOE-`{ z-bE1ePCSK0=uC)t^RSqwhR?j25c7f{=3ROQGtU!ZUKkehuDRmzuP4MjCWv|MaQFTE z0CKk|9~Sf4@R|416{L@MQ#?5Q%;4@@2{G?j3}*P5;WKX~#JnVkd6IDVMMBK0!(!eu z7d-xrgqSA;G0zch9w)>+Gc4v!!)G2R#JodsVE;0}&D;4N6b_>AqA~sJhR?j6E+Bop zMG*5+pTOcb6Jp*nEarW4#^c{ih<Qp7^X@%{nI{P`FAj@&%kY^e2{G?dEZD!?aQB^j z2XeQl7#8!w@R@hg8KjT531VLBBbfUtA?BTn!VEt)eCAa`%rk<RHwA8<Cd9lpEaq)< z!V`X)5c3|zfc-lQ9)369g4``?hsC@!eCFMB0_o$O1TpX0LzsU%A?AIH#PqKiKJz*u z<~c#kd-ecko+ZS*by&<h=7`6?mJstkMT7nOA8y{uHz0S5reQI!44-)~9YOkd7eUOk zfyc*8h<S2Y%u~Z>-b{#jK@jtPz{AfIV&1g~%<#MBfXBa{5c8NI=B<O9_wzN#-J*S1 z%xlAE-cJXRKHg1H;PAV99~K`gA?CSZG0zO2c`G62B|*$<hsQ@G#JqpunErickH^1} z5c7l}<{iBUa~~(fylq&_n}*LkPKbGjBEkMGgS&6%D^NIy=3z0<4WD^C?Lqo@iy-DP z!QGb$F;5MPdEe~t_%{<`o)W}7Ex37-5cBSZVTRu_sCif$RFV+$nj*mdEx7mp|I3pv zK~5H(jm4;Fd`6wK1L@;6hZrRWGO7+@R0I~I1fWJ?ZM8H*jIx0kwfPP#R*fO%31Bhr zfGwU-GlrP=CLHWbSGakPUx1t}dLk4v)C%yK_t+Msk9Q8lJT7=FOoo`(fW<roeCADt znCAmAZ{J;*f1M%bSzs~mf(;)3Iz!C+69)G0GPrr4pM%^j`XL0<zYS3Hu%?pFHXwbx zptJOSdU<Pa|NsATF~q2~Sd22oXVeOaQGyVssowqn|78Tks4Of-y|TvR%UFm}Vi2Ql zz+;scVxAHf^JYQK!|F?3h<Rn9;81hA_5c6Nz0W`%7rh^h8DReSj5=fu(#NX}F{%j^ zU_}t4=3p_31)ovn5ThQ1fL-<W=KudM)geYjVlir`6(qp0dQb;q)KrL33?NtCc?xo< zs2CQb@}Ndx&7lviK>B!RL5vE!4NI{TA?BS6!VEAueCADrnCAsC@5v3Ad7u`pN3Uob z7W2+oLcEIAeU1?Geg%U=?Z_>dc^{vE+%0N{#k@Lv=6$pT>Em4mF>l*Vn0X5!=6wsq z^sgS&JgiZ%5Mmw|#5^5PS__02wHb?1k1Zfx#cEU-#Hjs2V6UD9B@i}<Q3Y6xnt{(K zZirDO5TmL<M(utK^0=rj7NdNjMq!PB0~R2Cyp<56LaxICtN>!((*Vo>`)iKJmjw{> zZU%yVdHcry|1T9FMlHf()F!A=Se>Q{G3p+~s2+G)yZQ*^WYH)r<|RSR!x{ls%|ZHj z?I7mqUi<(5C8*)=(JLyB#VB#8QCOYU1u@DQV$_kVuu!vtIPJVYW~d!EgM=DZ^Q<7| zeGUMJ8viwzd9NOVoGjXo#k_KS=Djil>Em4tF>emseX}6u*<&$J9cmudpqm9Tj~Qa# z?koTQzx09_^~Vp>t9MKxUd3vZKg6gV{$Q`3IuDxvcmQ&(=uRv~O~hvu3&f~gh*80y z2;BlPstk)!ZupGaX$o=zuM)(lS64yv9}uIAu^9E+1dj*vAVyvH1A9;v6jpK&qdxdz zhSdgqMkzy#>VX(_`ttw(FE8H*IaG8l7Nb(}8Fj-1q>tATViYULgB=i~valE>h0my7 zh*95s!5+K>@}MomD0M7Goi~Pr71ng`1TpG2#Hi}auyp_F9>}$#_kAz}tRA0vpNv8J zcvnNr1BE?g5$7U^dDF3&r;pFPMG*6%A?96#yDtc0o<A1z?i)e;i#72FLCo9j0}i?c z7yti%3F>Qj^osIeF=`4vqu3!v6+n!Ny!8M7%k6hTz85{|jTvg5_>9_P1k%T=3Nh-) z1<?El#HcnbM*TCy<H16RQMbIo9z1#a|NobY5Tl&27_}LnQECvQ`XNTugFJZc4#=UR z3|NfHz-QELLy$gR7l=_!pkVKY7`4|6GpuBxMqy2{6Cg%;LX6sc5td>dAm)`~G4HGa zB*3tm=KwM9rx!TDR$qXb_u)3k$)dVg%&Wy`-UkDaKHilO^F-n9TL3ZdsVAm?b@7?E z0AgMw#JtDnVeSinm^T-Td3W{k_%{Gz9w)@SM7VjNR<1{{Xebu*dZFfF%~1>x^D;fb z;TL@J|Noa8Zh?Y8R0xYvPWX)4st?k~D+e)324qw!#HjNgn4$Jv58_L#uF8TKb=d># zs?VTGP6}dF4;G`=K#jthK;$7t-GCT110G=KZ-QJa>Vn0*7<}fP*8}O}?SPnf`z$O% z>mla-amVy34?gqiA?8^?%)4+7W}ZI8ye(MF+oKB!I;{THhnV-m9UOF_XJF>tzX5W$ zXbu+ha`2gVUl*j0cLv0~_~S71`XT12U@=bypLzWd^E@EtHNo9y4>9kK8)o>O(ZS<i zdx&{I+`#_50*{aP*Fo+Uor1-@8hqxx*8%C{T>&vK4{qLkh<QF(%+tYV-h7C85fJkj z;O6;5%wxe~-W_c`{`H5L#{n_#&nZ}Z{J#crx9A>M%<${MXWoBpkUriWuHf)ffXByr zh<PPg%(KB~-g=06p#8x<y}W-;!`v4SF;54Jd2h7v_%|M6o&>~w58&p38u=c*qEB2f z{W}MrdHfLbPPl;m+j<1%zWrB0;UGE(i+Miy%-gR8(#Kl?F>m`xn0fgS^Fpwg_eT?t zfAb;cX+X?lf%{h;Vjd3`^VZ-qPab044QH@__rTqE{tC$5qDP!D!!HJ(dFM4j`gl7a z<`tcQ`L`ZoUJVxWc<`B54>8XIV%`UM`JxXo&jgEkdo=KbpFYIA7fxXR9*4W{{$-H6 zMc+7K`ZoukdG|Fy`gmtR%nO2tUq8gWC0NXp!Dn7S#5@m(c^{9#!p|OLUN`D?QK6II zJAhEOi|U41Lbi*(d+|gAzFqX)i|ZQy|HC)6m8b}SH>Ba%)b<^|sqG>tH#8p+fNuxi z@t>Iibm+E#;=$&ROv)}QBA~M>S}&GLw;li;(gZqkqJ;mri;4`01wIt!H4A8yILHLh z!I2E0V@#BNR3yF~WGvwV9W4h^_*%I2KnXwcAu=v10-z&g1YAG|U%Pf*aOFI~-_pYX zI{E^90LEnS0T>?{JFk7e*7}iu$^p<RAO{r=g6sjEm&0IiqExWuWQizf3m@p%CeSuY z21n@m7~M503f&<pDxKFj|CKnqbkDwH!oc9tdH4HO!vo5zZ<sJJ@J~75+IpLR>OqBr z{M$|{9_Kt&qHK7e^)3ID1Dw|tk12jq{Qg?RvH2mRWAh`%*A~ss89_9Y;ib+C-!FjA z?l3&r`eQ%nxSCRy&g-4m92Gx0a{ek2bmhFr`Oii1n4{t+N5zjWouC6YT2GePdh~+r zg&dN>TjBsc9|L)BF6cZZ@V-OP-du&ytnwERL8%3NN(OWz@B&llM&Rul=o^7WH6R;- zTTS5`fgy*%{=sG!=*lj*T@H?6Sw*l!kyVDYB{%`%fPj~6$3RC_fzL;PomXW5J{sZQ zK2^|y=+65uQq({@BzJ?VP6qxK7B0{+1`O^X$?j&*83WxOEZ;9GbMS{B;MWA*)7lI^ zTe5pH=;*(0A!UJ15fzW_$q>P2u%H7Aw-d{^gP@ZGSom8uvoJ8U9w_nSW>Ep1wcgzg zQraEJ!Y!yAq5?W0mj!eXk01j>cOeV6q2jg95*3gLT$SP>uniESJy^Io6fbu2sCaZk z-O&xU(vw5k19XHvhX=%bk8X&12Oe%m9?<0%C1KnyDjcArs-Y^n19`XuIg~?GctB@= z!kxgwUC04;0}qNi#Y3GTDjYCVJ$Sf1K+f<12~7qa0tY&XK>&R4804_c{i^@}gG0<k zMFFOZLD>a-)FNEKfrT5CQ(aV4N?f=>2gSRnD1Z)*<_4Yk9HOG4cnWlMyhrzDuovrC zxa}0Lch;z=C?4$eK^Pmr!Yu$+i7=N#*+oSHbizK&Y6l)}(1{Q(Dmo=@+@O>9T~stc zCkk@=s7Q2&sOW(8>%eW|;jZHVTcrcGN&{w001tNn2Ux8JC_{tKu>ch+pzPG`qoUC5 zqN2jhqtfZ4BESv0sM$qDq(qU^MMa>SMdkYikPxV70;&9dk=qCA5s_}tp~x;OI@~@g zpeU5!23=t7q9RkG#0ffj!bODxtd~dGMMVar7OWTIG8vC<Nbq+;E{lc)zLN@a;6u`z zh)TDM3X8H}X9y_dz+&8vES;dRw}gc~JjNkuQw4N-4>u1y8o+71yNHF`Nb#WJM@STa z4eAb2;Q(Edq8tc1tw9573?$*{l-R%m94Zb^csii-%|V_9ho}xT6LlBya2IhvZ2$)| zD9<y%&eQ@09ypkNR0KMCRG<lnr9_dF1>{2ka8QXTyQr{$RDy#Fl3qZ3jc!OVgU+Pp zfTjo@a4>`1F9FsoqwJ!>gBHv@9=*E{=z%WkeGWal5Oh%-w<_pN6>#_?Qa<QN!9z;$ z@CThX4bI67#w?(_;o*F6+6RTdNC^ulg+TLjw*!YUB%eyaML=m6l!s-&DkZ?#3S1C@ zvju}OC|4o)V1q?K*U*<Rb0P_Y%mw8McqWGD2_CSy93H*9f9Qek9e?)n^RO<mzyau@ z0*VSy2zqpPgG#V&24zrzjV|iI0?8&KB|+SvfClS>Xn+>sBAp>BBJlDPR)m8@z{Y}9 zflE)A$=%R0+y}!24jjskpduO+^~f%R72-1BLL3x{5->-@3UQgv5EU7?BjANNNC@Hz zP+<>t2F&noL?JE#D$GD91%Yyz4zw@>pScey%oIQ+7r3YZ6=n*^g&C-f5CG>g5pYon z;)74$0p;sX9~BK~VWtDlWuW|rD9k|lUjnRG2CP>H95LV|3(7JuFLEk#fa^POQRC6w z4E7H!T386wt6;_W>s7E4Xq+I|t6*^~^(t5#79~93C;>$ptmcImZ?Jk5tiBK)B{=F; zuqs59aDbu&wSM6Nm2WKEJ}L^(`UO;;!0Hzl6$NnVr2?*CcvQf_$^s5nQ2hcb-gvlu zz*o+I>K7f*MF!v~0Y#PuSgQ`Se&GP?<pJxJffjroy(KCF(4y~!umZGr!-y)_)$*{W z3D{GJsDhS9$dT*7VGK_4pi&7%9NumLm5z|c7dY!dnl(tJDJY|Kg9|;>(i9>ND)m6I z15fqfQV*##g_U~99yF2%9oK>5X4GTNpvEESxQ?r!74V(SpoXJIGx(wz$9SYetwBd+ zg3d<u=xzfyBRRnLm0`bzh!dLhU)!`E@ab+op~t{bqUg~p%Ao_gX#uHjcZAgN7o`9H ze=XqI&EVPX!QlbA;|z3<PdAH7XY&WhQU5HR&0i)kFz|18QQ=7IZ2kdd^Q3h)|Cs<f z{cyK|KKSmO8xuhF+5>3hf{ybKmI5`1LHC$}+s!ZnyMLHL*D7IL-X!S(@dVO!1RNfn zJSwlXS`U<zdvs3*`Ov4knE~Vm<hBdce;=g&{|EbK#YFJM1uUJ-YbGLmvjNHm`DV*R zux~OzCN&<OFcIB1t&%X`ctGrXnGU)72YTMPXXiJM?zRiYpkt5rahNbLbc=VFvnUI6 zN3ggW9_akYd9#F%^AxuPx4Ggy#gB@gUNae<1YM+}cmaGG?+V6J9#_!0q>lVk54dz5 z>bw9tDANFxeZl=o&+fJk0|o~04HJ-Ke?>gI`@lk+-!F0=gop{awjL<a@P()awWT>g z*CYvmJ8a-H(j39$iyM;v|G!pgy;Q;jI?n}qv7-pg4p8e6bYBUmy$`xDsrdn;V`p2! zBybS&KzJ#W7#KWz_bt$8VDM}_<}nF0-T=O}5p)sqw-@0O;LwslIoTVM0_YZA8HO0) z1s1{<ULXlP;k7~nM|gqsf$zq`3@?Gn$l)b289BUuz`_e0_mCh{5yu{6bPF#JBaH9@ z3t<Z{kOZFasu0H!ULbuq!s`G^cpX6ruN9M_=?i>DA0)iKhz@XgX&7UK7gz{ec!4DF zgqMdHj_?BM!x3HuQ;^eF$rR-D6)^=CUZ7(wA>nmI1bcWv&U*|A_Go+qI_nB@r4PKO zx%jg4!o?Tp*WGcs8vY000EK+1-g}qMAD~P1Ku2?d$}JC23(%vxJHUW}VaI>a)h2?9 zhq^sMt%(p7P-Wu-QU$sLg~6k{+W?eXkrX&|`lz^o6o4*`1}P8#B~K&;7M(sSHXsF{ zOA|l}K0p;HJAy_-3_4v@Oh8xrcz|vKaR7~zS%5D2DFEFWX8`VKL9WaIbqgJLf(}{+ z4+!~me$V1@49enh49?<l40*8@k}VBDv6leW2Ch1NIzPQo5(Qn33%YmjKjexNkZZvA z_Tln1_^LjTD)1N{7GHzfWZ;W_p*JCQqx%}v<&*)L0In50y1P+*4ZdCi<ZBI(uN6QZ zmH@dt0OV`PzzSAhBY8RO#V#>$N-=P4{a>Qu(fJ%yha`Xu2tfEBTEX@U|NsA5x%Dli zB1!P*_EE`r%>}Ad0zm8tP&9ME+Y|~@!AX{-vsq;-q88SGvO%@5&Qx$MtRV_+Q*ca0 zuZ8~$g05aa0!pZ$W6cn!-a_gY5D9Nz9A^RdMLfE<fezsG=zNa25#q&m(f|LuAuVCh zH4r>1kUJq*nt!sCinSgn74m?bbPUgXueCkU?{VQ#=?>rlHCwpg*#k6K0~&n+b^Srz z@8*taU?1~zHup>e`|JY5X9d%s85DGLJgBjx2J#r_nD@i5@kIsC&hH-GZ6LQpZ^i-L z9tJ-=8g!C4=;Aqr(*`$7xWM(uOVCMnptJ$Kcy2o=7lV=j2e{Y-AMfVV`TfN%5%66Y z;Cta9_n2@%?ls{79hcsE0de&i;zBq6ZKvTTcOC;>Uj3;Qdg)w=3eu%>Y@qS(?h?pA zPUk1iQ=A7&xHwOJzsC6ieBs;y&;YsOMbPlLpa*yW9i#ztpq#+#K+o>}75WSe-GT>S zF*iQ~ovaEzG4&LL+j^4U<znjrP!F{v&!bm#yAotFLjaUCB{14JUj)Fu6acqZ+AXGo zBSHkivzZPmE%)C5w_c7bOov2<z%E#6`R~OvesCU8hz1|K4@%GyFT=nMKIr|U3Lc$b ziH;s{tLx=7&|ok;Rc-~vj%VjH)VProMoO5>ouGsXx)_iJG7kZZnUk+M4Nrnj3_sX; zihtW-*y-8e`<7Zil?t?;ED;7pJPUL}!0|XFUPM&7V^ml=FLEB_yivl%dGPxs&dZ92 zLH7W5-uQk&@x%(o5)sr`;duF;fq`L{kzr7%@zfQdv$=cMn1XJ0`hLyuK<g*)oj%|} z{8Ig0{%#Bm##1+d6z$ytQuOcpH54U0hL<?c^G`hljw|p<=iq>8{a4D;d7<+n=vdqm zb&u|;;6z|_@Eue0Gseym6^ZU3md<MsF6eI8PmtTo`CCATHv06ku2X;}1W-YRnGjy{ z{r}&3o4=(O6#TuS4N%q4E6PF159|tP(|9i*IN5MCKVa-^eE~@|pf+!3>znDI9K08l zXgnH^UVtVVNZv9$>CyS_MItXe(Zn8xU6U^HnhP~Z1zK<Ow-$p=vH@Lj`f?ih77S3y z1;J#bFCN3&U@yF!4)P*_6t)MHFJMI<mK0`q32YXQ6b71)I#(*tdWm`otecU6p?fbV zZMk$q64wt?6C?j{7tp!xpj#6@fUiXW*UqH|-L0Sm=F;5?N@2|(LR`Q(;{uWrUc-~1 z>9nZ_!Nm*sjAqEif2|k5BBeEr-$CgaWb}8isgQXrgB{=m3^u=eE68}zMFy=Gkd*Km z9&q72$3OJ|_!<PzDZGr}wA^|fbicu&&SRY)I8V5AUgtbtBEtCtRGM<0QvCJ(nj_~Y zN5xB^TP81or^FNw8Xov|kg=2-bhRYte1FHz11_BhIS-bodUW@KlfU4_SI9*mm<KKa zLFFIl=xu1?-zbYW@xSASB>p~7%IFnshN{Mq_z!R+CH@&Rz(pn`@z0roocJ4NKuSyw zaJ>Ub{3%>Wi67JtWe1m<SW|xyJoW$l3!hknB>xFyq<_ev|3sCq`*;Ui`TB@~fng^o zJsS6d@<8`gaQS)-T)ut;Cu?Z=x)Yo{dqK&+8&SR@E8#Ib$$6cB>OpXt>^$H6oe>;A z;PUlE=P6LRUm^udV1}^5)sqD>cpw8xRiHY`r<XNa8gHta!3jxKpks19dPQ}is&S;M zEKa0U<uMZ}Rr$<BPE`gop{WYeD0=rokOL`6wO)eU=Zw8PErX}1WoYFo(s}%9piIzt z|HV$Qxw{QOv$G|s$C^7p43F;S9ccFn{1AfQ;^Wb4>MstxPv8O4eaz~hfj{tB*PAPl z?-MXQiMUL_iwo~KAjo#mIA96L3!Tj%S0NwFkK}%JsQZ7igTiI^4v@1;;*K>7fVx_s zp)#;Tx<L-{=;rh==0K`=S`U_jF8w(GyVL>Xa1h6%8@IzJa^iJ3$XbxYt04{tIS1L{ z(EJP?zktq`gStnciv-LdUT^@poWF$|d>`Ko5a-1jP~@P-g(K*$MPUX84{*%{s<Ay< zIZ8p7Ol1f|?x^5zIROfr-rXPp#1#;8M41>~f-mIlZbrKGlfPvaNOgC!0r&#zZqO~N z{M!PUL8EKO4!)CUe#Qv8Nb5s4W49;E!54y^=MTOYY<|uNDt~_(Uif~2^Lz6HM$RAL z1J7$fvo_5IAm@Ru7{(gvAXhb4q%f4IdZ0NGJRXjmSY$cyhB+vVKw*9kbW~htGbsGf zZqP#wZ%vR#JMX_Z#tKd{CqO~W-?9*Vo0ftnNRpbNV1YLj44{z(4h0Yg9#I&fAkL0A z6hJNqg@Oen<$-(!4+T{JgRXG}rMF`&VE+ezyv*N{2fjOS1&HI(-3>b68XVQ21}Nlq zJaE+xx{qNm1LP2VCJ^Tyl*0_-NH9WVSU?=m`RE`$tRT)>s0<s3vj%kA=?8YubyYt= zhgfzuqu<{Kx(yUm^zt(?FdTfr-~52_CFtmP&=qpv(d6zE;B1YVpFtU5f-x)u%mSI- zyBj3n(Y+a@=Vcy9sJj_-SzWgWN4Epd!I%7?+nf%*;%|NgPU06pla9>~896V4CWBt* zBP<17>4dEiLA4Zggu4gaQjngPKOu<<YAO7dI*>0xcb+2OQYQkp4m630UR<Htv>RkB z#3tlq^Kv3cyt{b@B&ZZ585pp+8dUUwLI#`(ixDmcsekR|0g05C*Fl*IZWbglV+K2l zS)gJRWUxo~W{`TQ(?As%Qu)t<H!*{fAt*6tgAO+AYz8G!SYkFj;L+=&BGSqD@)EpO zLrveHi=IL0`xzrRecu2jY5o>daCvIr1qmBaN3$DrgF9%jg1PhD!FSA{67D3w%g4^| z&EFYYk0bh(;f4oVzwu8!aPSoqsMKSEm3p23n!hnZn$d<QTdzTyB;1DITK_xnPd(<? z`N5_05a*%J@0`a=L^yweI{BOj6@NN@zu>}oOz~XjN61vi3dRy{&@cjc@anZw>&X%= zA82^@ioWNEwmHDf7jRJz?UQLT{{R0PmRP_;G6JBY8g$uvck>FoWzGWRG6%$g#t?KS z61n7E%!D_ZK%ohWrX`?6(%B3OX;?HtYdQ!O0PBr=9B=ypYNUfAp?BX33DA(%u?I7u zLt2I>Ul{%W_dfzY-UFKB1KocJnm7l=s0af%MiW4h$lr1T9HTox9MHw%$_xykdvHF2 zR+NF;c#x~KKz)i(!~d<{9Qdam_voIyL4tvy+li&~*!OG7MxYC>O1L0FYWVFnDDn<K zBJZP1=PAx#ogX-Vl!$X4>IB^v_fzqp<M)d$oaYqJeZK~Z-qu5~9`x=2NqDo!r`Ohk z540i^e7hh#$%B@)Jo*3czen%x2NH0_D7gZp<RC;zf+%h!e4upQyW2t#tOS&9JbFzn z`I#7Ag6c5PG(PC+IndI&Ru2A_Mc}XoJJ6$h^8<abCeUb@;U!cDzHozB4RRf*1%$(q zFXSQ0KrZy?-h2axLtkWo;tJwQkM7L|5M?08LZ?IF0rSF+0dFD$MKCCl2|^MXDDpi( zqk`a3C`kH3Y7gpyyxV#I#mm3{{(Cg<egR4yp!=m9yg?G(yC;B4!^xm<fZZ>Z_y6Dj z)&t<CV2326>Hyz~1>z70vgZH){(E-goX!SW3UWI1ekqV+u(SqI?sL}zIkWTr3r&do z3qZ~;(L2_B0>tp>-7Nuj|K<u@vD5e$uZuv&fLyd1(n<gskHtku;i(UG&&)sI@caRC z2B>`<-~*EAZe9QyyzTA=B?DZQ%nVUzpn@s}5C>nfG5m+u{UB>W?uWLoLC(SNe$Y$^ zsOY%)8|?lDkh4qTk2T)_F+93=gIXRQ-IGD-6MARsi@3k=^uPeR#Rt?#)%EDz4dUQQ z%8*jz#fCq4Jpi&7<bf(k1c2Ow>45-`?lw?D?dCZ6k{Q$!<xv3@?uVd*z6bbSK#dfZ z&b}417#KRIt(gVtGVVJ7N*ayFCd>jCr_h1h7Y}}dN>oTbfsvr`bjJ|TtN`dvaGze& zdLGb)xHT#Q`#>v>!NaeH2YfpJ`*eQv?fmdU=ik5opxGi0@Fmb-lffhBj$jfz806FW z+_&>b7NcX>i#~ADJpx+g!~vQ^XpWc->)*0>Hpk2cId(V1v4<UIGcXul0vqGec@K1n zuE9@GL>-ZU&SijCeRy=YIhcah&$V&P0Zo#$d6+UVq;<9lKzKe7p2!?fUm2pZcOL_& za_Qan0b&6t8Na;x<KKU95Fn**Lr^jX^?$+E?&k0X-KS@GtT_S1@aWxb0m*2fg3F`V zG+Pv0u!BrPlwW+m@WwdEBv6d6fW$b+2J{#Y1w{quYHyG3wgaH+p*XrTSel<Qe!tlH z0y+kbv{e3O03!nfcvXvwiUFvd2J&flHz)~ra~gwIDj0ZlcXNP6o57;6bwVcK<%sBd zJUNWP3!E*`bwL*j*?@Iffac5;K*Pz9nL_ZC-wUIk{~(Kz!3&lgKy@nvc+D$<4_-h7 zT2RGOq6t|^idattT2};Gkqy=;`27-hAPaaU9g9bIGg!<4w3bu@vbGv30$Q^PT4cpj zqRr_7o>W0BvI4C>0<8iA>kZ@p8OQ@RkO$;?2anE2kcJefRCtm0```c8x253q9uA=C z7Kztt9=)Q)oRBt`1ZWP$0iqt%zlP=S@BjXHgVsPdAD9D9)-s*VN9KUj^bc?bJiG#& z4qa3vK$SaaA}jVAI7xd%$AVMygbCpI2Hhh_Tzs3u;u{>OkcdS@H)vrU*4So&tx`qS z0gG(VnmUL$G>JeX8@gf@n!G>@E5TvO09s-XStSPMgCi9bdm<&8pf$G03l~8vWI<~* z!3vQg71UY<i-98*wsZ_40*X{n<jH^|RRXlc2E1|(yfPcKqRykc8>|vJQe{AqY5+=- z(B(YfXzl-rh*kqov}%B(bq+fuS~Wn?YJd@~H@||TRim@HU@kaXbvm0%=7OWuKnm1M zJsdF?RJ^#TXuzVi?F%?sE#T1#E?YnZsC)%wX;}N!2wKR5d<E&)Jpoj<@VA@;w_jg? zI0M&yoeXkX@9qpKc)QT2*S3NgRLmiD1VAm*>7Z2HyL$mbB`6@^#UMyY2}H>aJW2u~ zN(7|g_Mw~dawEt|-OVr1Td_V6XL>-~;L*Lg0Eay<-hjfndou$LdC)@glV3qq+HR0j zJ$iS8oC9j=;n476HpD;+9P%IoE572b1whdZss*?qtyoYJK(u0gy4xH~7#JM889Lh@ zEC4YaSUTIDEC40HeGj-m$?w>S1)!SJ@Z?MWPtaNnl+qyBqr2^g3Alcoun?3;A-vvw z65JpYwpA<yX$80TUtaw9@Bajk?luNUed@3XtRBqk-4_5+udxWE-tgqhB_PEUCV<p) zK-7PLs0Z_U_jN$j-+-wvL8upis9&%cY(ALRyYB!*eaB*u`QXc4Upj)cfYxzB)CVj9 ztCs-rdiVW+sJB=GRu8%|{-q#DJ#;?A0JOmHxQhy?H^Ts1)6iR^!hy7^;o%o>zUJtT zQQ-kKMqE@lnjf=wf_NWTK-<3*kCupfbhFOn1uX}4QIYWIW^LtVVt8HP+uaAc>>D~= z?9t0x2U5~oqoM#_@&WB)f@%iC121lU`1il{c8N~w+fogmURGzQQUOrm1W^yJFQH3B zaz6e8cizD}czjeeKuba-Kt&a(%_QL1*~YLGTn33acDAuB1+{owR3y?IJKH#*92pRY zXDMjLeqR7+_eA5d7vPX{fv+X@>HPNM$$L<F171H1t9rl*2GqU;HGE+sU|f(9FfODK zFwg`DCulcRXWx#cpho7NrJ%I5uLHD?q4C%PklmdRKza8?-Fx&FCaCOF0Iiw=?e>E# zd1|xJXJFX*pPhlBTR`!YvIl5A68Q2_P#F%cY6U=dh%)Q~tvUj?2OW2EGcYiK8<$y( z|2KoiG+r2g275@rqr1BRv?_ve7ibZcav%$61O=Q7cK(-VVBii>k=X@elnSYW6fnD} zNT`DNod*psftK`w_GNW;XDnl2=$xFh4CJxh9tNOnb~s=eC;@_(ZGsm-f$HzM@4&Vy zL_@3Z383%;`NyNX544iYr5m&e)$!m9?#|-}-*bb;hYs+&{OD%v4rDp_f~WK1!IwPE z4;ex8^ru`H4}iwWe}a<_s2wi?+SA0+IZa|Y14Cz@%yN)p_BDVVbBtj*xG4_~Wr=r) zPzKo#vKZ7q0GZqg?;r3WM`35*36P=F&Oi*^0Wov~lA(v+f(-?k2l5ZdJZ_Z!1~;mC z6)QkVp=Jfxksl!DC9FU=vKV3>VmuBMFQB$FD0_lz0Ik3ffW$E<c0o&T>_7hd-<YBz z07@H;DJmi$+N1M1?$qGh`2mz5ei$$?yiocGjvDZGRdD=+ss+$`WpFV7PD>yHoIiJh zk^*Q$6?jt=hq8|fPp6Lx&kj(+;Pz2r0Vkb(AYLgivxrLLBM`ImqTx6A=vDXb0|pEX z+~q8g#KptR-}n%u$na9<iB1-kPSB>qW{s7gRHd^L6h^x>48f^NU?nt+;AzV94cOt3 z)xfau_vr2et@(qeCvi}E5+^S`-2geG?+(}*`x3y;ICcQ$48xNzPP_(3J-j>sSqzGQ zyy>Z96)66DR)PGsZwAEBf>ltz8J>Jm3^5euACP(CDCtQYH9c9Z2AOBG8f@MLh<OUD z5#}jF%p;VZKq~{mr3ENGy?;kudb(lA!0_S{B&*{{Phfk%>8b18zyH)oPYVnQrl%L6 zFq-@Z97el2jKJyX0xXQ+>FMW7Ea}Om+W|Di;dt-`b0_lPfk$^6coC&Vw+G9?_dJ~^ z4!+<4E!aEB?{d(E@p$udM$QZ1!DfD!^PN24zFTMCj5Q1loo#d0faA&m?2cm%YY=f& z{t}*`K=BW@fCEzU;Vhp#)`H^SXD!&w3W%8oYY}EDL(Bx32P!?fJ&*@Pcu@QV&U}48 zzy|(-7`Opq-~%KBFTDUcl2CdAEs_L>EGRwAe*;ZV{0t1>@`)eR(t5s+53~r37qn6V zG-iUdTozhB?J#0sc+mvO<~Y(5+@2R!Z}6lidj<wb`DD+)u<yS;0|TslvS(mGE1w#S zpy>&u3Q|7VgBnjr<<p9Fpz>+WI#8JJegO`m!xPp)!+a;mL!dfu<#V+31YT52C_W?B zgW@x0J;>~R3ZVI?#$yiap=KMNd=dN{6#U@&6J{PSO8LNxT0U@W0GY?L0c>6b#Jmqk z<}pLegO2CyGY0h>I`6-D19E)t?hoMWv`triV`MPA1Zoc*frx{~bUb=Z*?xe;cY+3U zUd(z0ADM?Joe5D28g7LMbU*|^1O3pEbC8Ki5Pcl7pg;x*Btn!qzy$&z0v~)C7@)&G zFaN$mYr%v2i=Lg=KuxCJeLKL;wk`h-avrE##S1Y4bm{}hE&pEpdG_zW<FPi-ObVmn z0mynxa6t|wK;}Wm_duq0x1roQ4!**#^RrLyK9GqXFbh@rpcX!RG3VJo@U`RreLA1L z=zj(Zni>_3Py7O`Mqe2j()cw_yzqSS@Bco~LUr(9p-1QO7t5dj`~QhQj#d0CBf}?t zK^7Iy&PN`-ya7yD&NTonDh0Rfd^<m8IXDJo6(OB4@DJqO<|76OA3`RTV&EhGp!OxG zZ{6)@<J0YC(0S_MFZqM7q!~|jUOV`Y_24sR$8Ht_$L<mXmc~a97#J8dFM3>l;c@w0 z^8?1_XN(>PpDB279`oodQQ`3D-E089Y;yXAS}q1qTa@F)yl4OZw;U)j1PwxfmOM5` zFo9d+pdzxfIR>n}TEnC9umck))<H|X?!7n(3MJ6A1gMP|?9usop9wTE#y<sx-)_() z+#bEAvR^>4`oan#$N`#-?%mA53^EAZL3<(a0<2tsnSmkt<>eRPl+xYT15()C)&QDD zNBH*o!T+oWpEJWe*)3wx?P9@l`PJnY&5syCPM>DL0xGmkSil*`0c1$yG0?TCpeE%@ z(Pv=OCrs$>16`-?(cSieRP!Hz&3^(he*?t)6D%O#7@mB&^(ox^98jorw}EbkM~W@N z?w`O4a{m<YZMgdsK!!9Pt6+tiABr&l4oIrI?E(`614-sPuz}2X0pB6KZvx1W#$y_6 zQ1ib&fw~{EA0!l%r5fL4FoMdnZyue$_n9&<Fo3Fvn#ceCdp3jJ$H3nr4Z4fDbB+pV zMZV*)whB=7;M(n1;@Mr6;nI2H;1BtOFQi=<PjnuKL?5V)3|fQ2&@E!u{NRD3=0TUv zlb2t0hNyUe(rAH4XN^jPOSg+1i{s@Nofkmy>Z0P|(h2HFbh@bcICl4~VPIhJ?A`~u zcoSv;;|a&kLyiZ3u{s`n#0;_myuZS!+eEzi!2`{Mm)~`ks3?GpPH^gW5ohW2QOUUc zqVprDGzRU1h3=kHaqV6Qx>DY=d)*BN1_qbTmj{2!AABk8!uZm$^Ni!cKdg=ipMZ@A zwT&2@x@%M!njb!J)I96b`SSAn&Kebo&Y#T>89h4JsB|zeFgSJRsDkX007<{?d<n5m z#<hDM==d0y&YLjfZ#s6K2OD(S@Veu{|E!J&pEG-Q&l3P8F<7tCsawR_rSm2@s00kJ zH$P(Z=$xbS18hx<HMocA!g&;=;x*^p=EsbkF)AX4-#L#qKWFT$QQ>HQ%GjBs!c)S= z334ZRTQDRVKw|_C7&%{*avXP2@c{MkeLA<OfC>_LIDP|3cy!mOM3jIOfsdN;=yhlD z=xkAW0g8-XCoua1n4P1-;@KO|;?nJ+qTte9qN3v3dZ0wywe?%6kYn=$Mi<cdwqx@% z#+L`7-UA0FXsu{Lw+TBaHGpDE!lSzfY<M>dqf2Lx${BEkoJ7Q$L?<-Xkaxp`s3iDw zPEi3Z&2j9u5d!T-0mq8~D6Bwn>d`$%1?0?b8R5&X!I1@8_tJS25;Y<qFN1qlKHWXw zDD>!j<=m~q1Bt*K6%LQiDJr07aq1S~y8H?jr5v3XAqMb(3;->0WAN#o0*)N_ZXR)$ z&YO;xU%G&{u^WB``3vL{r*0EL7sIolGj_l-oQFVh_m1;ZGkDFrj^SU<TaZXIfUE;H zDPiNh+L@zb0I~xdX04Y>1-o5T44NM>f+GgxZ3d7mxD)!#MMZ+qr5h4-;MfDX38V%T z2p}hTbguz>2CNz6EAY`r-Jr8n&be?N163KFhg>*6fd&vtq&Yz+%5XS-zu?Mw%u(^$ z_luk-92Jkbbe5>_fDY~WexcPxg@eDv1sbaXkfD$em4a>-aZs$j=yXvD0Qnx2WV%HJ zAz3>BBn4gR90GEC1x#OpV>b&V20=P2Ji50)VopR1qO;;MR9g*58>n_>@aUcbjy1<_ z77<XCfiyaFhlnyjbU46tAnz-KM3W=fkvA`cbXatY2tzbjz%<x+^nx4@I(MhHM#TWM zD8~XcwC>>3>!VV!54430JPc=e;KkdA|Nb}EsF*PLbVIUHDK9vVe(>|R$%DdX2Plt$ zFlY=+0~8maveUD7AGk*8Em6^U30hqa@)WofgPG&mJq=VNc5^`&bfmR@Ea3;aO95ua zYsuCFFm{PBND6*3)Nuxoji94UKsmDW1H^wm-!FC^|9)NZJSVg$^Z?lkPFoJ&jx(09 zgUpb44cg}eHcke11{T~n(By>-Xtzo0fl|=QE>Ic=EnqtiY7fAQuI?h1ZY$33hL;-u z|NqaxQ0mkA4I~9}QHjlQ7Zni@3p_^j+OYG`_gk$8_+2l6TmsHXp55C({suKyG{F6| z7r*ZR`~ULrzyJS1hi8G*fwOGqweQ!IOH>5F%Usw&W04%M1ws21Kuq`!rQ=K>%er$^ zIJ!Yc7QN#<*IA;X(RrQocxR1@PKg-jKM)_3xD|hVzsmVa@j|DIiVo<!7jOdCD9Q7L zv`o4=AX(m^+kpiVnkGnbXkd8hh4Y<%|2?{$H9T4mln8iqJ2-$C?8hA>Ky@Uvt^|#e z@BQ)rzh`F~q{2S}s&PTn!LgtQVC-Sv-gTg5gFc-(Dha;5`yyBv81|o7!Nss^1-Lr? z_rexrOJ@r>-J{6(bk?X8ypX%|@4si~5l|?BQxn|vAZI@W?I;5szymsz!x3C-yJa9X zDWDBZkY;d^(rshV2yJ6Riq&ox1D4A#AXSV2Bosv8HFO)ehDJ2tkW2$d7`ka3$fkk! z-?*soc=YC|NPzMibZt2(?7*#X!vh|j?_bp4`}g0oci#)p74e-lDjA?<T?)`H%Zp8S z!IBD~1{<hZ0o~aG+Nm@B?!W)AeKNhGmh6ymPz_hmR@wJHozHwaKfbtp_uqez*Fh6) z44&Q7c7QAaWq-qOpxGi&I(qT`?!W&&ozGuPzxD4wO6b2>dh6eRkIthY1HeTgG#P_d z76gFH3h<NwNU!$YfB&0PR08;$Q&d9u@kax=m_rXZ2jqZrfJB1}G0_0tT8nO)1+r-t z5YudYdTUfFK+ymx_Rv;Ny!dek)Q1AM>3zC$R5E-zL4o+f5F!i;DM$s>`R|479eBw5 z^tPx(FfuTJLcKc$RCPc1=zQnVE4q>u)YSa=A`q0ekGH6RdJdp!0Uil^z(PpzkPcGW z`Thm}P2_m+=q^zycp-fglm(7^9B)wp^-CZIfqNI-9*o@%tj&;Y&;yq3Y*D$w0GgBn zHDR%5h8C40ATvOR^`Yd4Jz#M}=t20P0BlhKtxhK#BP}X>aF`4dM>ZM6hnRc-RMPgY zQ30)!@aXLUn*a(}kQ<Ss36xs`Z-cs9TfiQLW)h#y_b<9`fnyI8O`zC|@acRH$|J?M zP+|(yHUz~~=X0OVe;&Q8EZ}T)2$WM+g3|i&78P(M18M}qGRq#Y5K=ttzXi%K|6inE z$Bd`q>+pEm0u2Uert#?AqXH6wjHQEW+ZW$%{`=p&1T4zmyhH`mzs8$&T2w&Y29U9+ z>3t7a964w~>L5Y80h|z^{SBO@S&Is2i~*a;AaP`qL41hGpu*ducZ~|TliJ$@HUSiA zAiL2cZO%<_?0`lQe7aLWdF#1H?-sDnUtG8WmIKEeB+~ZXK#4R9SoX>RWv};;nDYX4 z{W`%h_x=W`jB8N=x9O2%ZVgxn5p$sA!*ml8d8@91k`ZV<PA7{BsATX!ZUKS(0ZIry zo&P;LU;6a+fb;5$t2h4rckJ$i^sm}L%};C<O^XU>w+6Pr1c@UDCWsFSOi(rB*xd)} zQ+al`f!eXyO`ZZW7E7)Li6ff~;zLZH0nJ+mpmtktj|xZz<UNqx=+X4`Iyfr8(FD#S zFN`4~pvVE2exN)he;p-y5}?u3`5x332lW{t1t2JWfHGFVbx8DRfDA!Ik5BI&6_5}j zdO(x%$som@?_Y3V0atsV<|KFo?Pbw-<UMxa@ftIb&pPkFSbrH5mAfJ7&@}fEc)X?y zB3J?T_2w6hpb>(d{0s~XFA}bSl{YYf#%q+XgGUTd#tT4R@a+8N(%lCdhVkic(_jI0 zq))<nx+fhwPdgs`&FXmYF*CTwd(yevM#8x}M1lp>5qN+3m1FZyxjIwFUS|%+ZWD%` zphk;}=E=*?IR82JI`cSo%P?Gi%z3%<2595@&5NKuHE65OiO#RD#XGMxKV$B^2oe0o z?{cp5U+3k{zhJe^kHPyLZg8G16}|Y*@N4r^M$XsZ6I#KQ%j<lwy$TR}SrGO<;5-ho zmxbZ-1I|OB5ec{z2l!o1bpE^e4lD>8%QgH5>A-Ptf=-<Tb-2O(Cg}JY=Y{4EpyS~< zJbR;AU}q6Jc2E1m3>w{rgc9S$&hrQVv%2)E`~{`h<{A|a2H);=AD9^!_*+0*P(W=8 zaC^+9^MPY`2`j_F=d7B)Ixk)Zb({q}noqDecIU7%Tz=b`qaxD$OQufCvDZa~!?D|i z72+|?i<cj8egN%Wa%?`r<J|3{3i2GN?<3Njq9Oolh=}lmYymgGox4q#L6HM8UBjtc zgc%Y^ogpeZbsAU#3}mo|WAkAi=WZ5>%MUnVEoco;l)0#AG^eQOF!Q%CgGwQk&XC1R zQIJ??j7ot|XNigf*n2swpfMKBQ=JztzXkP}DnR}NjXZ#QO|IP`tPGBqUp4=dap}C= z`L0d{>eCX0PoHtV0}1jtcE_+XTz>4rdD*A)c=Ka^@Q(Kn;2wqHw-x-3`#^yWI)v$4 z>upE=smEM8KQ@14bnX1$`2B+8c1D-hgQdByt=}B^ryd7|Da<C6Fg*&j$%U2S@&iZC zLoS?Go1gMG|K;bOa=`K1A%5_IyN(>kJ5RfCd@hkQ{MP)J-+_P1G5&3b`L~^P<@oN( z`QiID&U1>#TojM_beE_&cy|8q=?+mTaOB^1{QI@m5Bx2jplk{1KY`o}8aAnL>Ne4U z$9{JUcr4DTn?>XDi{@W4oh~XOpakFpN&q#y@C5Lj^LuBFiU1@5gs3uHe#8knn^gp~ zCmnQnYUiQmNBo^3D&S`QspdzFhTobW@^|{EfL6S5@VkOG;q!QQw`~BOnCubIT*Ju0 zz~7R@0v?-#G?hbCI1Imm1Ng=F3!r7j{4J+A!SWzYpr$&<>tvJ;AGkpZ>gid4WCb94 zxi5hh8=+WKV(Zzx4`zu4%#uk^OHxrSv4FPwUo>6%_y469Cun%sMaAN!0w)85bGHsS zZNGKywqd&b9#jZ){_A{Q2Q3I>Ai)JHfF5(ch7<%Q44}dY)XOa8Zhp+@2<ogel$tv> z|CFzRYC|bMjzYA7%a7xn@0*`9^0&G&GcYuNXLRfYcQC-cA!tnMfMODqaXCETF=?W4 z`5h=GOH_D3F^QR#K{=NL5|fau49bE$%_%AzpsdWp56{8Q-6Bko+zay|ic^mv<yuHl z*9;zv(ts6ipgix<%j<fF5j=eW?hI%k*Mu+LT>uY%*f4;HJuI4kF!Q(RgT{zaa=L(H zcOR(8^X#4mD%KFgxShu!a~hz+2UPSkblVs~hHyc{mI~cDMl9fBO{Mv#Or2`48%MVc z(+-eA%@dsmFF)q|-5H~z(0q)iTg2${BTmq;vPyG`iUKGYRQMeswUTG|K2WWMWa-g^ ze_0PcWd>W+ZKB^DqR(>q-Q`!Hnn<?Sm7`mR9ode1%}05<ZS*fc=llgJ`N3h7fD}e4 z9=*Jhw;^Ga0U9StKnbH$=fPo=0|}!Ha2T0^M&(h$2y}jqhp~@}0OY6u&?u6Rv5Shx zchI1?OY0B*mj96UDZzjQ59pu-qy#)5W$*-~1WG{4G^eOYfC5s6wgDM{6p$evy}UPW zLIN@Z6p#TgK@&QlVJVK639O)gS{taL;oIE@j?#nB8uTD)Geh%)bGMA7b9aa&OXtDM zuP?u9{vp?St@Cc3ykoC7hhw)6C%B!VdGPX6&byAi#XOGPCWe=vab9!yc8sZ%yZI@T zBX|vMsZH|_`5LGu6S$^loS%_2eFB%;oVUOyHt@HCh9;UnF*<f$^X+wJ@#qdwap>*> zMVU|cByieC43J!fBtuZz2NwyB-6mSij~-}V1Xt`Fj@>a@ESFz{m-GFUsZ;L_<p2$G z?*b=(Q2hug(m9%sg50ll`5EWE&Jq=rVnBu)<bUUG5e{$|!2FNu6-do332*LPd<~gS z&;a`g)Y<}V$k6fWWwpHli5d&g$N*|N@azmYjiZzU4?zPcC}~^(RO&f&cYwmfqk954 zJfL$%j3;PX7C<cqB_5CN9pJ=+u$Z#);Nm+-shNNhUMW7ktgP1|;gtajFVr$&+i7rk zp_B>Wc|MfzB2XrL04F{EmJ&u#&LCXE4wZrd7NP+tAsXV-%ewn2Bt#=XAsT>E%BGwG zhiD9>l#KwFvcEyy9+VI@Kx@L37$UVS3}7t_ixZIM3pZ#ww0j?{`C{;L;djve7%nOX zFE4?+XDF={{+4ZEL1;@wl<fv11I)x{#~~(y4*2kdnP~dtIwQkN-|zqbqqK^^ZGBLy zNC4C-;()Y@zMlB^|K-*nXiC9zdLX49Af*ZrrKdnj*Zo9O3Le%3DK!8om4GOn4^sLP zv~0VVHT*gw19+4hI_%cT1sXGc!06fC2WonCI<goZ@Mt^&>hd8CSQB=+HOLO;KWNUu z>T&^)QV!H3Vo>^<H^3c+&z_xs_L+m?w)6grJ4Zl^d3MhLjTG~@RB(cZ5|@An;Epwa z010+`mw0psXCUf-$Ij!hSy#{?;RH~_nt_4Aty{(l+$3<k{Mw~+jtWQ|H2(+coV#_q zSg~~WsDOuvF28Vr%pmrF)wn=rCLzNG-QA!u9gptKpiv5>aS5cdJ^^H(OSgy~xU6@) z{K};>L?ywabBoFiMg|6#ZXZ36O`xGm@GK&9sxiT(^CV;jBBi?<G^zsHRslMn08yVn zR~&%q6Yvmpw}}PV3UGB2(D?~Ghuora0@R`C+@k_=p-Xp;1!yigpc68Z=y>_93v8A% zq`Mn5#)1g9&g0<VaWTAi@IPp2hDUcZX!HeSA2>F<MJ&M6)gFe|z*g;10S~Bjwx}Ec z9r;uPw#mbl^Jr%ecmVJ6dq>W{kjVrem+s9WAm4X>fGr{T;0Rg-@W4^?nB&18td0jC zFne@Q1`SGr>;rckoVr<<Tsl8OCh-i9H9uekMHgrs#Hl-k3ABO7;_@p;&a065R2!G> z*`SpsE}b`ER@`vxJOW;na2P(>-#r;T`E=Yx1w8cb)Xky<nx_S|xE<hDfJzjn?hqxA z6%I&NxVRWzbLBh>sSY9>o1ZeebmpkUl(4&Sf;!U?$f>Q{MI{0>J<j=}l>2xKI3a-s zeLOm2ATz$;RPqff0h*o$MHgtc4-}^08C=j{5`$0Y9+d~6QVKdhzXBY&Yg8_P=-yfu z&)z~7kKSw+-`)Tg-`*S*7MJcCm4t2=l@!<3|DZJI0nSl-R6y%}N<>=^lnOdFKWB95 ztWg2Y1-#4#NgsDn0VgQXd@Cq7fM#_;mV)Nq7l174T%$4r6vaA%-H<#2ZnOvZbgogc zU}9kK>0YA(a+o7%Zuau4&M7LOK!&BW0N89gcs>}Ml0X)LG6TpWkWXB?!6rlU#9L6H zdUT73gH37yry9_>P^XWI2h1q&QKR5Q0x}Ae;vKtfK#l_qq=V<OEV@O+F28~;39x`^ zv;n0qaMXKt-U7`*gOaXGw}^2!B+WvztAinU1P|=#5>o~j!|R}nb3hr{;qp6fi2q<y z`{3E<2vDX64f=tSr(?H?1}Nb|5=D293Mde}bu>X@Zw<dg(+}q>$a;Vnkdq2v`ax;W zvD-uklqx~`3qWB63S`gDQ{6h6Fx>?p`L{45N?Z)zb5BtLg%u>fWkB*oP6-?5b?`hI zEQ(t}YYco;GMXPk));`M8Nh1{!140UMJ0d{Ju&)rUInK|P~K$dE>QtBT6{V`xp4mK z3{kP@JP)2~wE-<g0C^J>2B2Uok$}iMe!uR*`9tx?_iLOV6;E`!sMvJ7sMvrfUoH4s zKxd>Nd4GxuB>A;~(|k9J04%wI@@D}kx<JXS8@6Pi05+dr0x}~55`<I0#Vn||4N6%M zGe8LoT#RIZ%m8HtxEUERGjc$=7c~0<GX|2XSm3GZ1*o6{RZ87kR6w~3YD9|)NE5`A zDc~t1kU^kY6lM@8iFLCGLsQsgkU1b}P-cOQOM`eY13;HXfeZi*hrtYhq$w5wQ2GJs z2FW>giwHq<gLp9AEg<(DcTs_^x|pH@Nlu{U6OhFa72rGy(&(aswBn-IMWp~V8Ycki zie-RU93H(8pMg$$1vM+cO|lBma5>0ApI*p<nth;+O}jvZ;ei(i51=*C?D^YxLFEU? z6`(l;29U`P9^El220q=8O5CG&H+ZJJw?xI^<z$dzkgP}dWYBUxki7}uuG&seo_#T4 z&%giOJ}L>_Au1`LzB*%P3wRL!`$f=^7>egO!Ideb+6E;FaH$3w+JUUB2!L4%T3G>O zLsnLVfHDZEfQB!H2xvW7BHrzy5`tI?0h)__32NaXInM*hc^CJChWA}mzy(vci;55E z+!SRW6%WwysFt9hwRr7}(x3wQiUAxR9^IQ^K6Ze#-5&4z_y6V9fB*lt9)P$Jw6+2? z0mJ}xV~I*Yw~tClcaDk$C{K010x#`x=seDOzOzQfr9=#z10BFQ@8|ccoG-xn&!yW% z#RZ)I97^&$x|>0^f}4e%J}MEA7GbB0N(@rGL>OLr;kFwzXy>AWef0yRB?emjknrvQ ze~->)P;1Sj@d&6nht!tz=$%~vs&7CGXgqpXTmUbAsDmzk08OVj>;_G;L*{k&pFooF z?0ok^87v8&fd^Nfpe~<*M|T6r1N_@K__sNL7Uv~&x~QaprMf*zz_drV1CB)y;4%+& z{;)>H12K10qv8R{DL!C>x;r3kKhR8BcLS)tMrr^et%iU^KgwKk4Je8bYb!uiFQ_Pl z8VhOeL5#&UlLl?Dpt~09S^=Nl9F+i256S^F#$o|l)Z~FU{Qz`0!uuDZdqJyNTvQBv zdUqs%rha=%R1yp??PLe9L-`MCcYu8O!gdc-ok#DE86b6?kY*qB-~*q|cQ2&&z$d{x zdPUy|LKa^{xEh}H?7RzFsRwFRdvx9h&4qRQs2IHP1etl<MFm>vfjvF}wAvSx-wP14 zU@sCt3OnDw;MfV8UWTOypU%&qHI1OE0<>zKdnag~`4}j_L#qI=fzWi}!N|YOfwj{| zC8N_tB?l7V1QG^h@eQc(MGfse;6*uz<N@J>Qb>ymxY!1zYvK|KWKbWQ6`;Zu*$NOJ zVg<Nthgd;ef&o_os9va1sX!QBqf!Af*+r!WG<OZE#XxBWvxo=Hfr8J?fUMEEy$dvH zSfY}FNG&hKcY$Y1LESN*Zb$<00nHb`d+~QCJaK^P4$vZJ@T!CNKAqn@dRg~@H>4Z_ zwJ8i<7=lbb-l76p)eZ_9aBO*WLzBiHusBlcaM}f$zyAK>)i&hR0onlaV;eL{w5Ut~ z73!c`5fpOp(!zs@f13kaXAf8!REdBq9v2k@LWM?)3TPS?<T%uT-2)a!ge`;*3f>l# z8o1#^6(B7t1yDDmTLBVBwgSY5SOFR#0*6+22Qg`*MFq4h4Vy1O;>cEj_z){{K)&c* zqjCaNg7@}-O#sCx$Pu8{04Q9L3mMP~gAF@CNo5N-l%c5w)Wrc6ETD639&SgZn$G{e zoqs`fz?tnR3FZJ3bV1P`aOWI+uFE%%UeQ)w(8+M1(&YDcP_5gdq5(>Mh?s__8jv_r zs^QrINi|!xpro4aE#S82i@jUmNv8uE4d8%9Bpqh{Z4T_6Jt`pS&K4C=pA)QtaMA(y z=&-C<0Er_9I*1Pm^b}B_gHkTB#R_Qs6}ET<i6dJ9;zO*6L0Cat(gAlFu=oNbj%)>p z53vH&^9L0%JD^DiBm+u1AV+|b4#>agNvCuhB<X-c8J2W9@4r~L6)DMpmo?4VijrP7 zK+{X-e{caK8p{n!CzrQE(g~=!2?`=`q#>0qAaSI0@?<L{o%C!%PAA~5F1U((_hQl} zP*OP#H3wGTfEpq^ojod`WucudDl#BHgJY0jtpo00VF_4}IC8*(_>h40LBt&~O_LVz z0ws{&(MuN4f)QjZKzxW5;Pu~N_Y+yNfLo|od;t<iwgSY5SYZS5MeiDw6`-K%?NI^A zfRYEu5uoG&@-KSw2-^Zl9-vT0N*?{2;mHG3(16BLAPtWfrJGTb$pUCH>D~e@R=z{l zQt5GmHb_G2qlKFxDMkQC$pR8bN->)@gHp`@7f~BfQcUN&7a1EsDdi|yO5x<+=D^$8 zqrw5&_|u}o1M)sNqzR@J&;llG(FhVp4r34>62>N=Fa{e=WE})rkA%$%kT|jxAU?zj z9fTFc6(q1lYUqg(B#vwah!3$s1!P6<8WnK&qPGXUrVW%*K#l;V6p(+>Q;N<eNJ;^P zGBl-tnkb+;2(-#7b|WIifSaf<yf>nx6wt;haEs-;4|p*v4`}uq+>CA72uU6cIFbiQ z94UEB-Uvz_?_XH2LrEUciU-t^bzBGP{v1Y2CS3g69QZnWR6y&-J6lvByWNOts(?0! zV2eYLIC21k_>cew4G4p+C$_!;4G?3q0wj)X1&9x^0<=2-Y6Wr01iYXNOMwCsN45gQ zhgiV_O(q?n90gsl2uda(M}U$E$iL{xgmnWXnSeqWmP|VTzpz^mPadFD11eCU4O^A< zD9Hr0h7OcWy4OGpl=mK;_dI$<?}GYF;N%j#9+F%@{Z&wqfdc`l{sD<2C6^SC^3MA& zc-EjK7tpnIF)9WxMAv|dlw;5iJpVQiZvJf!{GB~2p!I#7Eh=w7<F%lf5ofHOU&P{G z^xOv;r$G)@5FZk(EFdGH^B>Ki{DZCg+XCJu1@a%d!Jxfu$OeP>5QG1KVi(7x2fSb1 zq5|6Jh0S1)II_VYKE&WJpypuj8WqqsM$lqvkPIjxfUE{31d!j*6T+Xhkc0pVM`%Lu z=-vaKO?hFk7Lght-5a^JD5(H6ya7rDp!M>gR_c8w(0<G79-TK|gn-OF-l789kPGsK zNB3k%mv;?V2odw3hI9H_P+9T)1^+6LW1xd`3ZNl5P~QkNiY5W#fSR@-jsS=QYQKOu z;E_8})dU*3^Xc6Jo_p8_T7j?&M1Z>g-@z++TU0<v4jc|l3=A)r*Z%ttnG%9d{9un> z&~`77`_ZEpB#s;lAU-4(-hiVQ+z9M$236+R4F>H|#AYx^9NAzHA7U`5{OIn6G{Bp| zQx1s9GNg0^8dAY#Fi0HPU=SZ-@EvHn$$%ChAQ@1)0a*<SFOc8R)6J39;Isi6KY^te zP?`RB6<8QlMSz4s1qi5@^K2DLx&iGihNK(N_&I2}+N1NGPv<XC!jWDLDK`Q@W`G<9 zNjRXG1qmS%4rn*89!PQL`xn=igAxvSXce?*_}fdXH_%1H;Pt|wAu3Q0tRA8aG{Ef9 zYihd<yk0m8A_&R=9=)4E>DBPkPJ7ULVFPe--2DT*UikAW%=N;c*afc_p0|btG%vJo z0eHPI@{HU8*mNIcX3o7^gx$G2gq;PvtMV0SHQR65I(x@n2hf6HcJM+T7tNcOpSf^e zbL@2htr%v9tr%wSym{~?6KK}-{zcG2JkVN}6Z|eeUMqqIhuJ}A7lCE3qsY2+UhBN< z+nc}wT1d=}SV+wIyHvjO!@(C!h954zGd$M(jFIzm2`_Am+)IDZB8=wWvUQ3Ox3M7H z23i^laT^OeY?%%F!B<SMl~1rUc$~X!EI{)%?>lW&oV!hIF28eZ{w>>CqQX+Aj%g_8 zk4_d9Bx6B~09hOlzGCW@0bltGnxkg#<Waf!y7L%#MTM*3NysT-EROsxA3@_`(DlA7 zU|T_RC>qY)Ha4Jjk-ud@`{O|CeLX-6rugCE{FL)(XO4;nlD(j75kNcUG`f9MbUKeW zKWFc(QPFTQyli+Flv~a>KW7BpxdxJSQIT-uclp^_qap)YV-&!74ZH&{q=b$0b7zc7 z0C$N>!1rsA88C_CE-EshjOo}ttpv0e|H47=_HxDxod*y8WbM^C3zBTEQIP<xm(E}T zt(OMft^)EIcx1ox1!TGOLssy5V$gJ10BAX}V|NWJ19%oNr1_UboqDe;w#Cu`;DuE# zx(t^efUkZC;os(>5&+uO3SxwGx~PCBEJ0-^gL5|`3^|;;MQkAH6tt5T7KSE>Fnk8t zZ0p#30JLTrlq5iFra{|kIUo_l(VU{f!w#MPLYW-#c&P~L4ZL&gjxl8bO~)VVym<LF zXd)NXEAr_40P-JbxiqMA<l5~6S}y&v`Im%C=ef@NbqZjg)*u#3Kj*yP>&gQTB~T7J z=h2y?65-RiL<Q7R1})0YaNyr|3^aKHo^vpK>(KJu5qt?4Xyx>!)&q|GQxCaxUIee4 zzT)`(isLp$m)3`+C9bWPK#QtD!K>=gU7`}v>xLf2hkM<4z-!b&OQ#RIaGvJhcDnH= zXptlTl*5kS4*ut#dO+cZBgehY!!8_`OB4;?LS!BIryS+qcA9_N0auP|uAEoCU*$Zi zc-BSnDR{e#BmcHz-><g5;BNs9O@WdEc-0eVz7G^aPTlae(-I&tP%y#PPJ?bpm+|P% zQHiKi@Ac(y?8aC=EdfpvA-b^D(=wpLQoDUrWcas%*2qbK(mQ1BbmK#iM>>5}WI9<? zAgiZYJiA*|Kr7F>;mfBbK{NN=dsM&`edi<CVxgUjA&Z6H@qt!P?*VJ_=zR8a6CVQu z%JdL;f&w%s4qpBf0FI*<r<Z`HV!%5wU^bOld3NsuThbe%;sLWJ2x?6`*c$L6S<uoT z57_!?r=|b?zh(tZOL>4NfIPb)M{Xe21%Zrw>B`5z;NEQlDnlGEzXJs-DDgOT%UE1~ z4JyCt>>y>A38FxJ=EC{S5nNc?uwQ=8dB5`qDBb^r?BoD%v-tX2(WCRh%QL(T4B%q8 z6KU^<OXs)F`!1bd!Mb1vh;g1Tm4t2dcwfQ?JJ}Evo3Bg3&cIqOAA>jpTrMBRX4A!Y zU{P2#;9~d<R5+QaaGvgrQDFh6mJ(LZdz~gK-7G52pBW8Lu3+SMIo-(v+KUa^x(1#- z2dBYq7L^t7P1eF27+VgMV%uiz+1sK5svSYO7_`sLw|9#QsG0<cgNi{=8!iIG0hM?l zPDVHA&OA_3JHh#ZzeNqS?DDva3b=3wMF%9^+kjS@gH}~*fW#o_9<tJ0qO(Lr2U{r! zD%mx_Ngh%Pf@&BYP~itUv{(bg0G(B=1IlEWr66cs0wf`bSb{3GSD;8By+G^+on&{Y z`4M|(hzdvNDF}n7`2jQN3QNc|NoS7=c<!Tj4R|YrPcQ3~wUA|kNV8hdV;4a~wxB>| z$YKh8ad07M>DUp0<{A|r2GCZ>4Dc#;kLDlj{B1fs3=By3DS^s;P!A8J8Pqe2=;rY3 z4rM@E9fh=%9X$VtvI08+z5+X?`KJV~rR)hPE3i{QAq<+YOaL)bKogga-Ta>2V%RN( zPUj%4pRa&hTGRYf7MG<JD3;cAyQoxj`>51_HiUozy#f~KHSj<O&DVAIfVU)qhHXJy zpI%n+HIP7eK(u_Z1-j1yc%ZvL)@nL{!}~etC|IPrt_9qs0;MhRG+;M`Z|tHHu!6Bv zyc@C&)7V8NWCbJmEECW?0|RL5O!FhgZXXp1MbH&D3f(0tGMyzVDy`p2^g$(=0_R6X z&;~6P#fz_HKmsbD>|COv(EN}Q7QNt<(8<y5qM`zx2Up;40i9MrFxEkhX4DM~7VrqL z!4vBiC=p--ifoHc7ZnQ-!=}?k#RgxjgBOdUBsBrJr6PD@T>!;W5#((^pja1x#kvSI z)?HK#I(<}3K>PCze0o`pR)HdW4|ts={`iiW2aWGN;6q&)N(H(h`=i0JK9vJCm$iUr zSukr#&|X?kSWVdrSqB8G$GYY~s>}WCNY!O8WWMHQ9Xn`kG`nv%8>rC;I^KuzpkwDL z*x^CV4<BfraPQ_3aPAHfVCg*Qc=;u0sl05RvtzFpsO8H6Zux??3b=5db?hwyt+h7* z?G@<!4r=Lsgmf@k|AS5weE(V<)X@e_JiioTXJ7zrP=xm~T0uJ$T{_QpUUTU@-}$-u zF+1o|p4<E`pE=K!3LbpRWC-e_aQ*{V;-xvwKV(6Bc0g`0fxF?EBj-mXH+;fo!MTI) zm^!aFKW7K^F8=eoTz4@%2TJ26D&QT8EG4X**E&s9nm;ibp8Uq5!pQG(suN3P1zM5< zI{gPU76!^z5};;I=Q+-6{4Ito3=F=#UM!$V*@$jV-|krCbE)7RYiJGR*sWs>S%wPQ z=A_}+U1Q7wZhPy1vV?kX7>8rGj4)zX!(&d+mIsaIW1x^W2JO89RZsled{jWUX={KO zI-Nc$I>?KloV!h!Izh)iZ2{K+&fOx6;8^UO0<IbAbRm&x0}n{h)`>k}wMfBvt#b=l zd3O(3rW?ZR1lKuJz(Sy|<oD+1jE0w*pRq$#gO1$gclp&>qrwAn5@_5D)GYyRFzY;c z`8{-@t#h{t<K=hFKV&<%fOmhxoTLMH(o@dAoom2lo@4VNP`^L`ylE3s&OuAR?jEq_ z&SMbkL3SN{!31h`f?~b-8MvbbRpX+P!0!UuwFlaX(7X(kU29Z4Kr{FWpamaD*IgJM zc%eQUyfVuNQXoSrz|VZBSrc?34rtXXzKRdLUJ0c%a)6gcE};0vv4_h6r8IH@m3$7J zE-DTn2IvGS7sqaPP{jw|@Y8t?vT+QYf4gOjK(>JPy7=_Ev0Q!&$~@A&ejMF4T(Io> zobz{Y9Z$E7)#c}$e?d!6K|OoW*3;&v;CudFcIK#nmkB~jaUHSFgWyH17LMIE0+-)| zVy;HTrcN1TstnvzNMZ#S;4DVaej;cAqeZumiVds=wSdOaDM*(ew6T&MbeVmpi;4oj z%eBrB6&0Ue)*DMeQM3m<It+>>1yC#%Aj($511}n9{`=nyIT`^{jDl)ja4}j0n(aoa zJY7^OK#37l5`hvUs7{C1oGvOg&}tL3@ED{7)F=We2c230QvMB63Nw~~6o3Rl3c71l zBtW%k_Zo1a4?SqA^OYiKnWsSKX>k8tq(lQ$sS0r3;{3<?Qt_iAsFf_Dc=0v(<RTHs zs#5__HUd?zBH-#(fWJi&G>%3nZGks1prkDWP^^Pv$plZ@GC)aNCZHr`(CMOL0AiSQ zx~Q1YC~eIF4@kq4*BX^Cpm9z}!h$ENI&d;YPEdD2&IKo?<KPa?!M9AHb--)Dr=uNw z55A!dbOLwh9u?5QsZTHKvPF=T0~)mkjbejFA3>v#9~c-IP|}a%ba46s4W~oW4@d_% z{eWk|k<t%jX(whiT#Vdd?VSQ%+6t?NnWuuP;Sw8AH4W<Kf=;afEgFJ#CqGa7_aEAi zoC5CgA~u-xK={xdCZIt=q*Z~g-SWQOY^cZQA=lrInx~z+WgrzesH5>3GWNpXcNw%_ zveyYzi^KNy-h}M<a{|>Z4B%S)1E}W-tHr@x&-X7kf%a7(4yJ<S22jQT9ZUksFraR- z1-PPq5j*wY|Cc`KTX+H>s%t>Y>`GLi=U5p{{rCUnj?X9?VIZwqh-%0r0?6zHh}n!F z4Zptp{|{<afP(Vno`3)UV^;c|cRR0j-UprX#SR|m1C4Wj;5=6<eDE#P#rKeQ#dolg zrTL&<7^q<Z3KsZg;G35pa2|H-1qBOu-!gbJFrwuG4wf4SUoe5KzW5rlE$bV<%QeHp zpm97IMAPDOrwo}*ixZp|d^^ALw*)f7S{E)V5#1c1R(FO+w*gY0=kUSbtOp;14`u}S zal3WoKqFz0@>-+2Mh>Zcq1x-r(apk!XkI+v1dnqzALi+1k%N}l`1`abOrTT+8axDz z>@h-87px<T5saWdG6zx(4j$P9ZOvu}kLiJmS<sR_P>h2{=s|2yj6-hL2KSdh!}RQv z!N=WzWSN_nfLkTZ9=*DI=7Dm0jS6PJ7Buz`>Y6fu4`>d3@oW;b)eY*}BK2yQf@Y_Y zGP;XOfN$puP#`11S^z1m>DH;mVJVe5waqCi6`%;HVFyJ3sM>@@0Ei8WfC^9-j{zP5 zZ4<!}0Fnho0O&L#Py`syg+u^m9~V6WQYXSA0HvGjkGAm}QUGA@=K6NNBde#Y1ge9P zd%ED-R|Qi0f_l2Bom*efbU38;1to+Gk8T{10cjc`@6aDQ9bC{sC!iD{!VU^+a9aX& zUjukEqyTE%clCo0Xft4LPEj!d#k%WkP^{zV?4m~eOYV>V|2uZG`*!mo_cxIb!NIaS zU6$D0>5QeU%}*Ii^_zdl5!{!~d8_#mBY!JDxVHw{iVj-8&k5R*p5fCigfzzr-<!w+ zI<uVtc^kTk3St*J3#g5yhIJRZjS6^_&;+&#orQlJk4h(x3aGONT67P-!UQ~6?c8kw z+LI0{O+8@y(q%hCRD3`)T%f)AHt=ospgvlSiU;(J3ef&^P+98J?E>9l1RWFvFTsZl zx<jU!d_Y5WkajibJb{jxpvbFH(Ezol1CZN8o}DLN==Fg+K01(&j|R9gl!wvrkpSfj zf(0aa3>2k-$^mbn@_+&lN9TtF)Z&95V8H`wmx3-3;{Y*0_lEJ{8~-8D<H9-Wht}ij zc2RNY_EB+x^;tk$SwKBs@G&r;-ik{nq@)4`>=bY>)T5VIX9gpKPcN(N3`h_sfLfiP zPAPIIzc}3k4&oF@5GR0x*aWS=0vX5!<xEI{58)fTs7OEu`#=M{#x5!{(7`^?Vqym5 zqWqhSN(H390xGh>{goDQSE?H_P6_fCV`qp;L8p&ON$W}e7IsDk2F?@B4;euMpdPe~ zO2Nxds0}~Zw#Q!7c3f8XzyB{ken4r*!M0RE&P^;)f$q_<2PrN3grXGEwg%Ndpyni~ zSqG|r48ZNP7knTMEniSH;Bdy{u7Ceu+N16Whr|)gY*18y%!W26H-R)v`uYEV^Cxgn z9CuME0V(ZvQ7M7$L@EFc`*1sg_bj;@{(sHmYWN>K$#>kf^PfxS2N%vC{4Ly|2?k>7 z3(!dwr~{%3-7!XxGc8o$8A1o?L>JH?NDk78F1J9PV^BU&0C$$Rxu}3H>`(#CV1QaX z_=X~|4UEDpM+}T&T8<bP1zC<cFbYa5$i<CFCuBGik}*K%R(tgF3Qq;)h&|xJOi-o( zb)u0o1*quR+zIZDffnXMdSjrT1vq!OqYZ_-s8|qH0D)^Ylmf^A9^Qx^GNzXiJ!FuV zvGkCU!@CxF&I8&M2RFaFP2{^n<XIpm>B!c}f?D1>NGIt$<$MQfb=%0pPSODlfObMo zc?OMDf^UAE0`4Vy^zxpZ3@%zuO@;&{sHX}FNQ^#hZU;CNvGi$mKudLzn!1pD2P!l` z9b|CAhX@(Fs93CEES2hpob+kzqGGdx5xgrKq!3z`fS8Vo$6Q<gmvFms{&Q43=BRl5 zHM6VXf0xc5-!Hhdekei8H_S-c1#|%d5z*HI-YpMy7NUg(*(w8##5v%@5<&S!8*~y9 zdOWTH8vw6MZgoxptLNVaF}V{$fw-Xa3_Br5FVZw-!3%RAfe+%l&^bi~vO1Ud`$R~T zYA}K}g7ttG>7wV2>22Ux1uYqd<PDHwaNcM~>%g=?&aNk196`3yV}vj0lm~eDf)0Gd z6TTp|DB%lI4+>C_L?^@o5Emp2+B5`SjMV}@>i|?zQ9b8?)~h1r9PrB7Uf%u*knjbK z+=H?VNELecnzw?(7qpxg622hC;P8#c=%0WVw{^FufGA@~Xs=)d6}}9m!rd(@prvre zEh?a;cHon$Q1ZxeN5x~{JmUEMx@+q{{uWc>x*Etk?BMg6knMEP7S$T?j=JWb5<cCK z0Imb)3G~y!=76{4!Q%{c^Z;ll9w?GPo2sGbXoI*QVbE?J(3lZMWZ^s@2vp1wIxq}c z%z@UDB1INxv8+cg@2fsYWPuhZgCYy03N5l;)=7bu%IyKCBT(0(^PO+EF9R*Q7fhh; z1yiXZaor2>Fc5!h>~Qa3Tzmnp^TFPQ4c?=5GL(Bk(FpEjxTv6YGETlY*$8d{qjWNk zjdn6r9I%Bf){e&EZcuB0cS|=Um=i#YZ9%PD<gD@{sR10!C>@OsYIihT4Znf=8sHMJ zMCdrAmjP`gfQE^nM^b=0Pv8!Ri%LoB0sa=ys5a+8P)c%9DS`Am3LHC+y#%e1L0QxV zUMmDz)Ft83`3%x4_)+)o|I3PxD7^wm7YS6Kfw~c(kwQ>YA9`BUagc_!sQnJ;xdR}R z!N+YvPm7uZQabl5n%SUk3CwH|8)P=Li;@k}u=N|72GG)Hm<A9VH2M!(%nb2>IY>`9 zdS3-prNH!n*dRTisuZG!8KkEfv%BJI_|2vBL-Pm5Ru`3m5^l(WoUVr7UNgIPes}5o z;R0F&B}_>l1?kjDoJ)1kPMxG)9|dMPqK|@Jsl})u`Y50yFERTl*zy(DeoA%+C_};e zDUgl~a-Q<+Jo$pV7Ti|A(ocz@Vm}4uZA3o>)7yxC3dq}}^iwFSz`>I$(4Gpo7647K zfLgSm89k3)UfFhV=_J<<2~0>|1+pInIWS)wtOkc9mcEKD4f-m_U0c6_`YPWY6=Cf# zP{slERes>gHXOud8%S3Ily#AMo6x=rBqG;<`yTMNG<salLG7!6+GU_l38*0kDl|y! zt57F)LA@1}*oF32+*={h3hIJ@>K%|e<Y)!uk>{1*n8ngxnL>;H3M`C49SuBT3@S@e z+P)z5pfCnWkl0_LP8fswA}C=D?X%o&hJ-Pw8v+VrkUI1*Zms}_F_u0{3dMaEq`U&_ z*MD>Te$BP@JAaGiNbIw~iabz%1SP_t{T8DpNQ6Q9EiK@CKhPrV<uP7*^;=j#{T7x| zBjWlkkYzaht%<|E<AQzN5pvhXr<XOO0Th!pDjJ|gM<`tv-_C<C_)5WTYLu?a4bYZV zq=7XT6$v87w_H?))%*zdu*K}W`1G=})q|S{-|HX&od8;+gVA|eS_}?ol+McxP;HD9 z(2yoS1+ydr(s{8i`uG2(0tuZLE|7*uqB<}43;+Fp*+D|*WgSSv64E*^RUkcHq;+20 zKzgdk?7SQT#XI2<O&1kx6D*LnL5>Pyf(5<tg>hv5;Oe|!&smt=7oT3%HPxUj1?j$k zauxbC3#bJ1DF8PcAl(<9ZpdP;<{#{3d8oTPEM9{8(1d#8dHMhTzvTOk*7*hL1hs~- z^u%pJ8a&ZYKL)R)K<|kQ<wK5ABRJ8FZJq}f?SrxZbnqP$XazcCss~d0BKM$tdRcE* zf{VyIm5}%Z^`JoUi80$#p9hXiNDm4WnIM&*$P_`2Ovtp(YaOJ>gb2an2yH}TW-eq0 zHE50pq@)|7nuK{Fr1m4xeXTU&a`~|8Yr#_js1t>n5<tBzpI+9R<&Y!*>TQ9N07xNn z!xEIGD|5i90Mgq6r2>#*EU5rA4)t0eB^7{#Kv4|JsUS9J$O+WrgbX=N$R;WWLS}kE z#-Mb6LA@&Y^aQA@H6*%b@F)TGxlp47)H(C%Wwk4VL<y*K28t4pLi8wkmIaOyNaqX` zB_PFEq69R|hZZFuAy8O?q6EYSMF}V<AyM)-^WT3`W}lF9B1TW{9o2hsRGf9fITK4+ z&l?`Spq?CR^n$u_KE15zC6MR^b>%?O3sQ(4z3iFb=mi~|!%zx}UXWr?^k$)!yP%UP z&{vI@Sb|oBgSHBL^iF}?MG3tn>S7vb#W;Tp+ok{iL26*D#zCgMJbDqb?itKEauKb@ z1&P8E4Tud&G@uzhNTNBB{_p?GlK&u6QMX)|n1k$rxJ5Lr3~@h$8`LS)7eSh!TdsRe zoy!;*URr})!fFm<Pe1{VVZk2FZzMcAKYMom+h+-y^X<I<!Yu`~{Bt*G4>|*X3qK<R z!?9-2InNBZ4(~_WHU;e`q29fV^|sva7g~>(M8obJz<K75iwblx462a=h{H{4AoqT` zsEBy<?gqszXuMzGbujqu1negS!TWQlhGKOmlA#=imtIIFgLbI9;W{fA6i%SCf=_|& zrRZ!1g`r3D5s7HWxWf}BOh659D`<FMOBxOD8Wj%6^b!wRc&|*PAiNRxZv?n>9(L?J z@7ejyv-7V<@A?QCHU^K*@155=Pq}m+^z3}`LLuSb|K=ZzC1t+7OMl3)F*r8w^^j#_ zU?`CW=SvsOpNuy>z-J%1bpCqrC<S~(m5b)@|A&1#pSyJa^XdH5dGp1tB(N!^2A+*a z6Fm7CKzG(|OaAvCbXhZ0m1E}(kLDvBh+QHtL%|siSqPL^CQO*%alB1{6;xD!?t$9} zKD4Is*bf#42E$8+Cttox1Rqj^l%qkpSpafzsYf^Z#ih_IHek16yMT`U=#H^qalHJ( z5p>$YV@8keX`q?DZYdYT!`(qFE}XYIuYbSp$UpTU=Vis;&5s%RryMZ+-+7bsq67cb z<1Pv(T==)0aP2(h$nmSh(y{pgqhs@DMpw?mE{gYCFM*=erPD`+r$oK=cB!%>|F%Oe zoabE?Z-NC~6mKa0=yXxxc+Ck{2)cK&v+V#Y14Flq3QuR-5mvCb!Dl};9$NvrXc=@j zB*^bC7R7<`%n^ZTXh=Z<!K1qkblOliM`vFF8v{eP150OH321<|w@$;O@mK^KB;*`- zq%bfryu61IevaXeVU8ie0nh@`8k#{(<3X0~762U<%-_<<04fkOKpf}^W!)Yu-!Hau zltlUT?gkwx1Uh?9FagvYbKrnpqz>K({-P%ilxmK6fUcYe`E4@jz*)p0M;@KWUw9_{ z`)~LS<VPRSv9S+ca3ucw|60PMyBTyK);9*mQa2BXEidfi@n#K>3qeIuz<=<u<sjF? z(p{Wm{NZm7ER3aANG^^C`LY|$#kXQnT`c-Fhmql>=l}ozLHhYy3jY89k7R~4HZ!K7 zo3S#Vk>Mrl|NsBN`4g0AVO5X~G{g_bf<qiMh{V9(a+?Vh;-DM17(BYWLE+Ht$kKV} z`z2*TaNcy>^&jM*LyY{bpx%+I;cf7`&jXP9%BOobsA-0@G33y}U#tfoF*|nKh^vAc z(wYYy!RG7*O@&{6YWR(R@p;fu_zd8Q@?uLz<s5Mqgg}XgM|U$goe6Y%urxnp{C=tP z#P<t|7gjKqaDs=t3{Sph>O8@D!nNaY=c(_PS`U@7cAj(WJn7PT(uMOTXesKA5=qX3 zE}gf&UvlBRrFh8k`$ZSdbBfo#UvupI<kEVvL<$<o$63Hbj~>0IHQ9^|FF_YL5~wm^ z`MX=hpu5I^<?^e`?>oWwyn?2kH!`{!UTVEis`c#<Bmb0xt=~ZB)EwkE*!rzR+<|}U zv2TYM9r>pm;5g{0@WIjGfGhvD3;f#-x^!OqehnN#jypgh-Fl3_buR-0gW@k&!;{|* zF@l3;Kd784DZzEh8d~Yotz*$0V!?9xE%<f^kM3qrxEX732e5Eng@!Je2io^>;rj)} z7ohW>82Mc<c3y4%$_NSu2Fn+vES(oSuXCOUg+6#2MC*@IR>#hhou@i4x^P}}={(`W zd7(s%^PnT=Cr8CY-!D0GUUXHw==lAjYv&1<)`R>lji7Cf;H!c>x+jDB21uy{x_t<m zLb_Rux<iauF2A_^s`&vU=#C)7y{6q@$Aj+572pm8T}dQT!Un#fQ~*5|OH>3vS=(_3 z=!#-j!;>#=#o*1upfnH4!~gz(^DrnsK${be@v(;|_;j~{jth0{X6S5F03Q|rD)Cf6 z({{bE>VktEQs}+Rk3_F7K<yDwS+~y?n(cg|z$dGL4p{N&HRZ|#9hn9?=uHJ84qCYm zK5^}ZFhl@!wi-l$2_o<VG%f(H+~QuYkHxSLoZlToK}9TDeOw`rULQ}00N148`dC5% zTpwpB;H-~>z-7!ajQUtB8f*%<KAsQ&sgKoS2-L?%V?d=3miidC`)4SjyZ=Hs*k-W% zEtJ9T?@-3+{z;&8(242(tSGQ4VD}#ghqym2nt=ORak<~)c-sn4y#<Qy-hH6x^k_Ub zff<@W=3yj|fNt=O@*dsjH_D^uW)X{S7Ymlo>zChO1}!+_Uwo>Fuk}C)7wmMn*Seht zn?EwP{)7mD%GuXatq1t09_(ZRt%_$U;o&&QzwKnFiwa9859m0e&b9~^a6^Elvn>WR zY16w8l-fKRk2!$u?d^O3%119|hyD8>foK8*5ZU(WriFVsAPpCm&Nd!M!v)%2`+(%$ z*P$rx1+_Oo)t`cA=Xa0pHc&Cr?ZE=7GL!|r9bzou2F;g%x-<;0BMlF<p5&i;z_Ys_ zbh2f4APcBaRyK6_c8sxv6I}Wko_x(|c(U~pC{#~%UI*V@#L;;Ud|(?-i3Io(Wsc6{ z->-8XSN#6{66Zz5pP=@cW4FJJZ?`*^U8>-v@s8al5{}&=641r*GIjFc`_63`5ckYJ z=ls`vn8&f(Mgp`vzWEtD=%k|(6@ktWP@gPDg#)x(O#*aZhrmA2u~NH01bDlm<4#a= z1Xr^@o!_$<gI~Oi0Ovgc(CK83o$V6r;6xzf*x4=vIwGZaKj_S2&&J~n;9?D|-KX=P zZ|8>>{UKnT3ek?B<_)+(7ywIu9^HMQ3fluxUUoAWGj_75cyv#L2=;*r(QZ!`ZZGhL zuM$?y6P+xOM0f&p6iVM2aEV%%;L&(&1IQJi)Cacug;WS~ga-Sd80pw;<p^p=cXK)_ z{_J*SaWy>P*m>BI^KyxZE9XteZYj>o+>DAh92E~cDjo!_HNO4*x?{H!3+M6X=lso& z_`w6s9^Gx=77vHw&u#}6&I_Q11Lt**?rC7rC>Cxh#ovlI6mL3q9_T#f!g<Q2^MDKI zjS^8#P@}??^R%Pl;qMn6IZwDM-T+x~pmSOU2k4&rwi?j!HIRmJ0tcuF0Q)HVWq3He z-1g~i16^tXu3t1bL5I<R>KE|l1bFcwzzNc8c=9EGFkBxv@Fz@|fV;fhp^9E!E(ijb zbl~zbLJeGAu292SUM7Wu+NGG~rBx`{6mWT|kpU?$&B6$jmsi8UDF>-b1}#!>yZ?p; zy89mlf^7!7zd{r2{u7!w-M=UdyZfs`z@~uRACV7ne_1F2_luJ5{vX=t?pHu^{|p_l z`(NnbbpNAJ?C#$cjBtNP8N~hDLI}7&G?e7<m(WLde?kD*W^nlL&<DGp!vLrIm2kNK z7bF#e!+%FD#Qoob3AleMq~swb{a6^GyMKW{!u>al!0y*D#_9f~5bWV^h2(yPK8X9x z2)X}iFv;N`VT$hl2Yv|m|1br+-ve}0cnQ|=lSRSU-CqSsh2ZcHm<n-!SrCEn7bV^O z6&C33S3q*VgeBPh8J0N1|4|Tj_wRzFLa_TA=0M!PEs%iwLxV^T{~6Zk?oaSVgujIi z*!>+gINh&=!~MS?sSxb`7i%Ey{}w>N{Zj);cK;4LboVdtLAXD{9_;=V_Bh?26o@_i zt&rR=u?6COGeYja8bGr9Z#bg6|A9Bc{S{7N_n&aW>Hb9l*xg?RNrm9>_t*__f0;jl z@E0ZB{XbmL-LHV;{u!=d_rGw(>HbIl*xkPik_y4@uQ&v8|297Y?ho}RIs7F&(A}Tl zg$Vy09$@!#c;a-w5)SwOf}}#Q`yX6_xc{3k0ryY!Bia2H-stXM;E8bm4R5gfHGFWo zKgkb!_*)^lU*HzR{bq#Rf7O?u`#l=pfO;pMonJgV|L(H`-xBovg^bs~|DMfzz=!-Z z@VDf1fG)6x?B#Sk)}jKsuZY31+ef9uv%5qE`L2e`h+}ZT=Z(5_^T;(ndZ2j`d~LK3 z<Vxuk44^BeUF1NG@&ZTD&DGFbq!XamR{N-=ICl4_fR@LArp7?)(y$#30o^>(ZK4mh z0(_;kg-7Qe70_U}M<?XuRhRA<eU{E1$RT!@Uw3|lY;ysf3=Cn}fUE*-74+<01Kv=G zWYvX(KUhJRJRf&a0k3cI?R@0YUBb!$w$JhMJD1K96$2O0sf&yt`#|F>pu=w~x<go5 zI%`x6I(xud0x!RUUFB=y+Py~wG$HNM`SajU(8-T3j6WSa&on=L;HY`t)$knb(r3@^ zU7%qrP(KlTo{&>Fi@HnaPw<7X8jgmLi>3E~kHmKB&QS;5`mEu?c?hHge#5SgYxgS9 z4F)cqFCd4MyD+|R>^ulQ!~Uq_!Jn*-2Ol!~bYA!EZUToG=-7DBV4rh03x`YROR!xM z5WAeab2vbDNL+@SF5_tU&4u%pWAigcP%tQfM)X2dR7yA;Ij?~QKyC*of^HWTh2{s0 zoEN}nqJl2YVgSVwXigWT2E6X*n~O>UBj_Fh(6S|;?hus<@cq4@V}cn#*D-<^9=*;i zpaY0O%P%~7OIbX7qgXt9YgAY~dmUL^x=U0nT)JaaY+PHvfmDIkUwCwG0pIynBJSFH zyHp6~Rtd-E$BZw9K?l6SViq*h4N8}w6N15Zb-wcGyxT1UI<~doGU)V21CX0RD+oYW zB7mIGZNq*Ubd(}!xew?(X3#jH0qA%%5W@uIGjPm-Oa=vSw+IvHR%HeT2JnUC8r?Q* zm*0cV{0Ax5fawDtTnyQ5)x8CL^_3%Ngc6#LK*vN$_;wxwB{onvx^%mkGB{p-0lDqn zM@0gjfn-4TgO}og0u{8M3vxm8PjD_#FuVcsD9AV6GMa`TKr5k2R1`p|=d}wr<T?~b z&Ksa}v|?0LKt{n1N)ZGl4Dd-Qpo_LaUI!Tj3Tvls89|sy9w1e(;U@SPUU2060htGM z0L>?Xk54RNci}t$I#3a0J~(^1g0A`oo%+%I0CLka$lc(xs=*=o%|*q45u7$a8*CwV z?@<AH0(5T{C_F%Z1tlPG+5tI=q1#7AquWJAr}LZ(=eN!h6^YJ6py{s=6`2xgPSByd z5}+mZoSz*PFMq$tdBRcguuEr*3g`@VneP``T~s9aTb6=WXuvLC2VLC_NhdAfJHJ48 zHT$R(fb)t2=%QwD%79(=>;N7G?+j4^-?t6D>2nIWeCl=)Wq_pzP_%+?c?L}@L$7Fd zQ9-@s8MOKYlsQ2T0F^V2-7G@g@MHm5{nITX3<_ij2V(UU@Lj{85Qg6NJq285ICg`s zSB4ZP5#1u<AZ;!x5fD8gD&XtA13=a}ce5~pA`|4q07O)aFhX<$faG35vVI8YgeM13 z`NsibWq_`70WBv4pYIs}8YPMV?Is3!WFKhqa~Fs(Jn+Ka9lXjIan7eaf15HW%s~|s zsE`2Pz^nke`xwLn-DU?`9p%}(2Yhc3XjOp1%cCF-$DuU>OsQx06!2xz-A*i^vqW0C zAXh3|z>I)gsSK&tuwPf~qhirrqGAI&#txKCQ@&pWjk779=Y&=x380V#r(K6{$D!w_ z8@v{5h2DN_0xDiWgQCYlE(aw>gKifUlh%_Z!iXE1L1p91v!J0{*a7L?MJ(M`oZk&E zHUIx#8ru2|Bn~pA#Pzs~3V4MXcwF$c71A;?=)zls*SCPZ4vGK;@D$Grch`UaU+(+& z|9^J~=%iW~6>u>F9&Id9(EyJ(vU~J~s7SmPY`p{ujt~_YP?Z3(`8X4Z?2b{9=nhel z>Ac5zuCqi%0Xi0`QX&SvFhc>9gA{*!zsz|{5p*mP=*AD|Y1Jh~pcOcv2nC<E*y*F< z0l&7{2RSA^3@^Rta|F$uxN3l=SOq+~9UMRm_Tvtqd-E7z?GMoGNyhj8|2;cfz|9no z#v>r(5wq`yL1)y1ZXN>Ny9+85JVDnAfv#`9u>pF0^NSWo(B);2<+mW|4A1~?XNgM1 z{)UY(H3?uf;47TLH5JSwi0hj{2V>hnn?o)r%^{=(G~f~p_5Pt6P|<|AU|9o_rF1~; z5lGVqa&it*dj!cmaO9zzCxN_r27L2_i;4`$JV^Vd1-*TPWFEM#KsQeT**s7>?Q~I5 z@#u|FF#v7MPypp=3D6N%8v8)Qz3}^X@4v`){`cRvcaI8aO#>){T0n250$+s+IaBh* zbf<s+K~Zl24)hw80_gS3pv!Qpo#0muf$k#{1D(p@qT&I*Zs<GsnxTg;wmX4V$hxS2 zPn!Z|^%LOfgc=nG#LWAPOCUv^?_X5e|ND=KRG;n=l?u=VShGDS0e~YHTsk8n7xfNi zLa_@jT+u@>0y*>|Ah8<*iVecC3od)n%?m&_F92d*2*^A_u?yMu$pD%YMY-X7j|ylp zGs08|A7pR~^vIhz;ET9GEAT)nLGc{`ith;Y_^t+Jl3vvNmA5;<Z;|rpu2CuQ0o^`! z@5KTKc+`S+)qy5|Tn$fx8dac73c7E*SM-etsKfE_#T5ro6t}2=E?osV9}&xYz+y-d z{Su_K^Zkp7wkQ$Z`R&DgTWB1&sDPT(5OZ3<r6d0~_@&Aqsm>M^(DG9Jk=+75^cG|a zYW(a0iz5dnXz4a2FhPgnf+C7g#6ylU!DcpSIRmoUAU?!wP~?Nm2GOA83tkgJ)jP%J zsH_0huDw0r;sX?EAlpH8Imn0TNudCgrFyr3!y1<BySIS*U!YS&7uq3G1tdZA+o2?g z1&r{^lR*groNC^A^s?R%Vqoy-Jmk~)@Woy`NU{h3RZ0w?1NNt=Kr;Ux6_6NGvbY3N z3d;Z1$jJh9`%lG-Hfwmo0MEsNO@b#3*p<m3sm>M^&@xj(2?Mm~6I<kg#E~Np#D_%Q z74Xq6u&yrd@*c7a9-G;q?Ip-&gZL1$&p^#4oG?I(Yp|IO5=S;0#D|!D1k_yVoue`X znlL~zpo9Uk9h5LYK15F#;h=ojyF~>Qps<9|`TfNN8+d~702LFU;=jfQB}stpLw7Yi z=?hwn_a9P1oDzVP5SwfuNdYwH4e|n_gqQ=q-5ik=Kv%~d0jchM|02f{v>w7m#R1et z_35oqNkGhB8Xf?71eA=xN$)1eJD~FC#dMp0|3MiI)O`nKG;oQ5HKT#&J+YLwAaUgI z1o0u^xd$3opzb=zY*2?0TfGlC*#qQJ^uh;p#2~WSAU?!w&;cMGy>q~Ke}hVLkPOI+ zAlvtWP72)xA`B0J0%)@}q)t{~0ZsmaCk}l&|Gju)1rY{K-9wMg21V0VD|j?{^ooKO zzk$pJU1|uesJrKYPn+}Tya&p*Y@qyh9C1}VD6T*YVL)dNgKkPe#1*KZkN~Ofy#L~W zImB+ztUGv3%FEkdq1OV!rXweS?*|0k_6PDqyg4XxcY{)dN3W@@8)&i13oD2qsJ8Lw zHJuR*65J^dx*t%$3alJ-&v*38%U0mIPQ?76N8=mN5^nJQfPFdO`vKb$!1n{5gpJ>v zbnHYvO4U*Gq;t28gfnPr-KF#7<@cb&nSaXFnL2{!*G*u@exC$gBjMQV%;VTC!*KaA z=jF~D%@3H7@09BN`dS=xx;1m>MaV5wpxZh+|8-vO{0mkKyZ?#vbg3xpnyJ?%T*qC& zS&ZRzzGL%GIncS<&K!>2@N>0KUVgxN9AYoxTy3}&;A6G_U3>=`m|%t-4P^*kXI7%Z z0luw+r-TjMbLIf8>jaPMG=BhfjyODfqgjr-sPKT2r(^dt6VUZ|7a^g<c(L>R!T+o- zy()h}vduLr9H9I0K#S}7Tke4h6WFRNm(B;^tKSYjXVv`GdGRu6tU&;Dft+J^4l4ur zV11G1Uov%Cj-WN8;Jex&*C|{CUrphnBH-A3gvYttMHO^M9;n+Y0y<`wzd1!kgdfyf z12@E-yG@uukpnVa!>L<@84^jIAu2kcyYVmr40M9F2K3ZdiOUZ-LERhh{eqw<b5YS~ zPEpZe=5JvJ4Jm=TA>i&Fs4HyoQWPWxy3_%5b)5s)dpWEOpgST?bzZ#u7Nn>G<Ui1u z6{x~<?G6E5koT(jmyAp2<<56?Do~%6Ag;)J#`z8;$m7@@!^&{^u?y#ApU&gWkNG=q ze7~Uh0o>g){I-JMaUUqKLAT<4YrPG+`p%{EWAjHw*Uk@)-!C|BXLM;jSeonF`puDl z>Tyt*!fbLu*z|z&DAXnwRtC_ec!yj#uQosBZ~n{AKjnbqw?q8=Qx7T}bmTbRdD?~J zbBUbcx8}e64*XM&@oziKzwM+e$9GrG58tnGo>M&LqIe9{@OJR*`~e!pDRAW9cKrLb z)(`wGo}g+3mI6Tgwkw<vcj5_vM$kYZ1G^JXrqe}51e5@LRIpu$2TcGWs<0dJM365M z;_nQB>??qsm&xDhqap%Yu?)EpkH@pS?FBOf1MHeQ{+1-rI-l-+pppvIJ?4PjEwJ1K zvQy*~C#VIo52Ojy)!}%ZjM6O!cN#(6aPR>~0^rDbvD*~X#YM5G#MTpGi3Q9OC#WT< zsFr~GQ=rDr3nR0C|6gixf)*XSs93yI;ACKM?$!aP?YE%oUO@L|{*>$d*ZCS&5Xc}3 zpvRoAAq9a6=-z>Updo-#?&imgkmHL=%^jP6%GW4B$}N=g<0wQMxcoTI3A!VQztxqQ zfuZ?3qhsfPP%Z=S7lFp44(OIQ&_z2Oh?s=j@+Q++qQV1;Nf%H|q9i*|&gDSP%AhRB z13I)Gl$Ck-;W^m3TZ9Rcdtp9Aaq2OoTnoNJfVue@V`qtq2CQ%c<#~@@-mmtc9Y-}P z7NAa!26DalVx9?jfXW7PSg=L&4`%*0ebCAwl$<W$*xd&z@;tkzfr_=x6Ob`?#uJ^; z1*f23f%dZD=LgoPC_s1Tfj39X;JObD?PRCs6cq(fFsSf5c7tmr&+dJoS_#QgoIAAS z>STKf9S;Z%qXeWdN&%gJY6l6U3{V&)poCGCF*uBJAYqgN4kOSi0pzV%kVCRPjD1uD z3=e!e$Oygyz{l7{MFf0Gqf6@#{ua;;mBa)jboc`)ASK`dDbxHDXFy6IojntxBGa6r zA^{3W8QKP908&7Pc=Ymi*g^s_0u+z|FD1YO7cMFsFB4cni?P~34GrJ!K5&%6uNOjX zW?(t7U9R(5=iNGa$6nBx<vQ>)%b#-Ib?hw$-7aDXx?RNK+cBn6?&haVkQ0?lZJK|` z6Fj#aTyAsT0-w6V-wG<~nm;i*c3$%ZP04hJs5o?YfzrND_at!IN3@FJ=eR?+W;u47 zXn|+Xz!f_O;v{z-P^78%hH^M|+Xx}9#(*5S&VhQAJ4!Ji13J6?HSFAWIhg-V;QoKc z`59UUfX-`&lmQoCL*_O#z&--6#{-oEKE14Otszlk0UF^#EeEC<fYUfiIq(p)FdDTS z0F`<U-5sFt@aUcZ4iEa21yGAYiN~XR2RQK{ET*hHfE>M3qLP3TUMW7ktXr)h;gtaj zFVr$2O&=UyC}qMOP-_S!yg)a$;VBb7fRi47O9|*IYa&Y6p;9owLNov+L_>UfS+gx6 zAsPV+(EyZERzwdRqA`$CHUeDA{sy(4Q9{%JtqD_Nh}5z$fVC`MXhWJW+~Dhz;LR6< zmkYoD{|}nLF?e|iJR*Y9O5tzW1{Q=iheWqoBlfFKgPI81HsaY0HPLj6H6z1I-|r}U zWucotL9HSIaGMFzDq5=Z@BhoKsE3-ksDP&)K}x|F3PUF|sz6HD{X{brJQD*_3cf-^ z0%EE^Na;(^zRg}%c56lk@C<}!_cTz04sqR6AD9ch?FrQ7Lz+oIY0rVTt%B@8cKK`V zfB#=Hqo1gO)#V33N;&?bbYW5Yo1nfwxW75i1++D;d!Gd>XkG8<ee~d)Kt}JQ$9W$; zXnR5@-uvhoSV!-pAH9!0W02oRe*m;W2;)9_@BlyJK6*`6Nc9D~j~=9n`1|Os)xiC4 zkS171AABD@*pl85#C`OKR3O$s@1qB4099D<`{*~RVcbU#G6Shz0dYs~qo=`r^q|@i zG-w7I#|F*ygQ`i;74)ED5HzY50pfs4JP>E}K6>zleW0t+K|^Uiy{s(ykY*<4y?vm8 zB~YM(@1r+V2CpF<y^o%!Tg2${BTmpM&*a}n->wS@bj-W@Py&6AB0SJhF6tY-j~)^M zL*qXBMcSaq#&Ja-N_?M@hsHOF_tAr@BUt^#-vYaj9#rFijx_?UQ-t;Qe#t`WG1z_d zAf2G|i9l*b@1q~RkDdW``TNMdkN&edD7)gmkAAugc<=?WPL-X%ZS+2R(7X=a?xXim z14R+u`{+MPflq}4EqY)m73hX6m;m1*HF_WYPn<W05WK}=sNY8~rUFSh;9Uc~J>a!^ zKD|BQ1(3)Km0oO=0H+_wX|AC31JVIbKe+Fs2UVtsY8ZAOJ**lAt&M_J!^L8tY8Y}K zJxCX*3I@wxf{axrOCa4x4>ADJk?a94aqEPRP=W>tkwz#7_kHwd#fZL-ei2A1DfiJA zf;5cYN3SyS?xQak0bhp>>du0$LkHc)3%VFvM*)=6iMfwHOa$8M9=(sgGeo6^<ooEq z34tR3w4M+Y0iY@u6akCnAQ6Ds#|5o31P?6uc7DiW41IA`2p$0_-Q3ap=m(~Q3tA{g z<bCwN1kmoI-zo!&bsU{t)QBIwkN#d~iOOKQkN$@gDDuEpMf#v$6?x*tJbrM;2j#w% z(fjB_REWEeevTyQ8UfZ`Nk|Z5zmMLU4;;iOH?0im`{-}+{3G^0`t=~Cq})ee2hu>? zee}T~rKH?PuLROCdLMmfj0)neNAyd*bCB+O1YORKvc8rbeSIxEczrEo0}IN1^vz<R z909o~1=JM-?Hxhxih=H<SLO!y#z6a~Aia6ecqO<uHhLd@FR!I2xM;Bwg#;w1rwR&4 z&{jR<fP8V66C8?I`n2F9-;fp$K`t2?y^kJp5&gj3N1rYXiBixR2B0VfohpDFrJ%gQ z&H;{9@P2UcNEb*kIB&G0El!4PD+DbMByf!n<m3R*rUA@LL}H9sF2C-a13pgxeu)Tr z(Xa-*UlhK^_Esn4`~foWcRUO^O$K~iWw(iZcZfX8<#(4~HU9)%aqi5~t;3EutMV!5 zyXM0@-8S-|qbfnWSJ*-8ydm2V;j3?_fcJNL^z!}}goH2X@CZ=&g7$KuhwpMWaQK3@ zdqK(`kYaH7j^0Pl;7G^&=%WN6kp<c~2#PGw<{Grf8oiJHd#8;G>MCivb}+Er?(Ea) zq7neA@<UWWi{2;mfua%I$-r_Sy(Kfa1&q?k7`=}^goOL(jd?+>0bUheNHAl+kNy%P zIG9m78pGp0`V5ADq}@kv4$?r}ee^sarKH?PfBXO6|1U|rkA68w4~h5D7lZVS-bc^T zjd`CW)$XIe%?Zj-uzm`pBZFG~oP1IJ@9+QSJ>V1TAZ-PBKV|ejdeHuaUfx~~aOu>? z0SQb<Uj=e7336b*F#HP+Ni2Pp(fjDZ_t%5k(xCIN2GxD^+u0z|3hIJ@&H%vdubg}l z{u>;#So$lY_t9Tye!vdd5d`V6AZ-eQ_gTDIAz=*ahJeBtv(Iw!#pj>kFvikn8NH95 z>U|bign{}aC=td2+Rom~yNDSQVUT{y=za7Ob!u4mcMfRB1^dm;$XyqoUe+6opqRvU zAAQ}ozyF(SR8YDuqxaE=s5oE`Tdeodmob2w2k8uufX03wz35kPK%;bCz*i@sj9`Km z1c3J1SAfT{T2w%MV;2>P6^y0g-7Vl{@Ww7GGAkIt$I*h0iD3W@c!H*}K{{LwzkPF2 zsbB<8RF?=HhpZq2E!XXCQ31_yclW4()OLankmw9iDd==jDQP{x-vU~a!+8*N=8lU> z3FK_y0>{o{FF}WxqU<09Z&3y9Ae8Xvd<I$fv-<O2((a?L0%;)bK6-zUQc~`tmjh`a z@jm)jpZ@-TN#cF<+dz7%M(?91qw|72XJNgMUgj_8a1coM1$6uq`ZNpZ^6EVw!OaH9 zGz;h|U1;}(y!+_yefUe-ee`QV8i>1(zUjl?|3nOAL(l6*8pW<rF@PTsVFDVzR_%4e z)@>xX5)yQV38-wK@&G9OPGr#R&B0epNMqJtK&P_zvU>jpor1~h^BWSMpdJ(`K0)eH zdQk7*gCi5tg91e+NM-X6_A-j@qvw48_dlqZ1RePYDkewoqi3LXN&t1DP*Vc*K6=le zkR$-=ZGn;iNFj0(0A=ZyZ^5Ym(%S;10+3=XsQ`48BiiNXAR$l`gDyV@u|bPeK|M}L zRmJd@s2qrIDk;Z^<?`FkHK<cb=p#6gqetOWNv|lIO2T>k1!yXX&~Z5I@XQJ7bD>5F z=)h2)Ue<NrAyESAoPnYQq!2wy!rp+R1kyPJMF~hTmM8(8;E5I`AR$<kfY_iY0Ue|b ziIUvce@E}5XFd3o89Gy_-yNclG*d`?^n!YFsL>1R%K7xNUjGV-UQkyK6ulsY=+RsL z3LL$lgF=bAj~=xC8@6g3avwct!8d5*7U=X$*otw-7k~eQT9>f<=s~(bu@6#1(S7t5 zFaIL$qX(G^cMJGFdWc&@uYG4=fH`H`bBI%5_tAqidGwlY{>}iukA69f4L_O@%s`xP zfqq|iK`i=xDFsjd!td)Ahy&l3k`RaUz7(UEfB$=8xnGm(IoK5NeJ=&v{NVe#*<Sp` ze_!{~7k~f5u2PN#-8L9Y@V>YS3Fz+M@EB|}_`WiOM6mlC5^=h}=mmE7dp!f20(Sob zA&C3ko)d8Y)8{0+e?v05`#(Ga+YEMpKnmFX3qXTM*zfz=^c=hUyPkqg0lWW#B*gt~ z&j`3*m2~%CNJDqO0h0R*(!uUOkd8C_KRv_l{!@@t2zEb%BE<d2o)U0>>NAqV|3fCa z`wJd|Lk=AN6SBbWe~^XK{YE(4&xPcE0}Y7#*$BCR=~I&3FOZAw{tXWh?%$9Lc0WTN zPWKl*#UB1%kX!@~{{(%A``w-p2>+)~NOr$L0lND?+()?oLIK$Q3WYe`zv&5f_jf^3 zA=v#B%pmS>drZLns-(L=pcvi#21xGzPz-jzLkUjze|n7F{ih(Q5bXW~wh;Fpdqlwf zsgFqx|AI1f_ZQqlgug&J*!>CRINfiA!~I-H?*HHdaX%X&_b+`!vim1gqPu^?U4;7$ zs=)4VsKV*~qDR=n-wTq9z~Qgp1989GLjvLd^dZUa-%x|@{ttH$?hmL1yMI9~PWNwm zh~52NkW>hEe?TzA{cR5jxL=iY_g|<-cfSFW`wJSt?my6g)BT?wV0Zs1NGb%ozaa|Z z{$uwExIgs)$>IN@3Elk#w-Mn#p&9J{2hBL$Z-m4BTuAQUkO*-<8zJ{Ey-%|H1=`Tv zzu^|b{TtfA?q_Jn>Hebo*u&ool8eCM{~!b6ez$uB!vE<#lHG66iSGUnHxcf?&<S?G zLKjZ=Z@P!w{auh$2zI|f0mS`ncL}&(m2~$9^q{-n0LlFydcf{?=*8*&Pj|7q{}dz@ zg5B>>4srjnI|SUHdY9zzFX%^if58nz_zO$`yFXz9PWKz(a6cE4`wQwI?q?(9{-t+F zcK?J)=<eTe9pQe1$zb<4OvdT{qC42b-wTq9z~R5372<xk+XTY@>1~qTzhNr6`#)Sm zxIbVT*!>Hp;dK9|+t}UT1xbZq_h0CNxWDZd0r#tt?*0oi(A{r<<o<%0VD}%GiPQa` zZee%-DM%^=yPshS#Qn!^5^#U&Et13k!)$c-7hFYz|AaYU_dl3})BQ#`+|PyNeuLQ% z_p=dl|I(WzyI){Fy8Aa=LAZaze6af&7T|P$(M{~(?*++4;P6ja1aZIH4Fci+^ajc9 zH&}%3{tuTC?!T}I?0$vCINiVL26p#%K~f>u{S#I~+~0PcfcsTRcYnZAboUz|x&Omb zu=^dB;dK9}>)73Y3X%%J?mw^r;{IdT2)IA>I?3T*umauv1(y)vFR&8q{)Ck{-EV}$ z{ai@y|F9k6el|kxUwVyX_fJ@j?*0uI5$-ow19pGI8l3Jgx`sXcy&$;=9R3RXA?|m( zN+A57UM1Q68`hz_|HB1@`vca4-M?TxPWNxRirxKPkW>hEf50(_``fM%aK9?)?!T}R z-Tekg?l0H`cK?A*INks03U>FOf}}#Q`y0+e+<)vc0r#g~Avyd%Y(aN_!FfdZPuL1} z|AVbK-EV}${ai@y-*5%uel|kxUwWBj_Y3SmcmIZS2={N;0d_ybPMq#9x{N*iy&$;= z9R3e(L)`Cni9q;2y+pG64R)iu|HD~?`!DPUyI)}sPWNxRgx&pJkW>hEzrbUN``a!O zaK9?)?hn|9?tTL#_kY+2cE7`ZobLa05xe_OK~f>u{SL1n?mu>cfcsM~k{td82hrVM za0U_n0*AovPdJ3r{YE(4&xPdvf{zgQvk`Lt(hDTJf5H)T_is3jaKFJ(u=^X1;&gw} z1?=JP1<6I=@L%u);(oXD1j7I6d6M0~;W)bcKb%6iKi~w|{R>XubpNLF*xla+Nrhnd zU-%Dkf7>|%?pGz<{TEK5yWarG{ROAN?muuEr~5yh!|wi5kW>hEKLfh}c>d_vSpx1) zJx6l*e>jWo{(_T;@SkuF?EVMmaJt_Jhx@sZ+;6}KaX%X&_b)w5vik)tqPu^?355GM zTm-wH;Sx^w7oEi({$7w=1P=cMQHcB9&JYOyr)NlZzrhuB_kTE!aQ}rXVD~Fr#p(V{ zXRy1!3z7=K?w=q7aev!s0`6BO-TeXA(cN!=<o*xW!R~jsfz$n;PGfifDM%^=yZ?YP z#Qn!k5paL%X_CXg;1;_33yvYeU*I;_{Ry{my59(g`?-+Z|3M4lel|kxUwVpU_fNQs z?*0u&5$-p*2X=qMJ)G_@I)y#_y&$;=9R3PM5cj*CBoO{jPm=8Z4G+-W|KSM2{Q(cb z?qBc_r~5aZ#P0qsNGb%oKfn^={<aeY+^<Ty`!763cfSFW`wO0c-GAT-PWOL0f!+P5 zAgK`S{ssq#`;Q$b;QrJTB!~ZpXXx%PIE)DY3D3dqfAAcq`;Bn8p9{(T8{8r8XCvhP zrN>EjzrZVW_is3aaQ}u^VD~e;#_9f|<JiOB3zCb#;s3x7;(oVd1j7I6F_PVH@D|<u z9}Xhif8j0I{R;1Jx_{F#?C$S^q(ZR!1;QZiZ#zoB{i>w9Ki~tp`wfuX|KS7J{SF^- zy8qKr?Cw7WNrhndJH$fVf9wbW_op5uIs6Mgqr1Q003!SazJT4I@CB#)jc~Z13(5Tj zDG>Lw5pw_1BP6?j!Z&pHZ`hA;zrlB~`y0OFbbrwi?BVYP$wlDsUyu!PzuRE~;s5k7 z$?o6q6W#qE_95IK@C)qz1;22*f74;??(c%6La_TU6hYkIc8GxcRY`aMg+J)-H$ZZK z!C$cZ5B$aH{!fRnyZ;m<6@uN*Pz7=Sv4aHMpL&So@c-~1-Tei75#c|9ft|szdG7-T zb_NFQ>#vM(xStEj{RWK?_p=dl|I&jbyI+8rox!8?d*`*zQ!bqcJv(2#*surT{te7v z_cO5Ibbrx7?BVYP$wlDsPw0TS-|YZ_@PB%MWcM4ep}YUXZiM?Uuz}sLz>d@Xn+{-i ze-|Vbg55u%AL9PD{RG^vO1k?4IMLm2faLxUoM87maN%_Sr~TO7e+rTc!R|jW4dVV| z`v|x{bwA1BU%-Rz{(@bI@E70(yFY;!r~8d?xStEj{U7E++|NeH{Y&?e?EVS-=<eUJ z6XAXX0kHcU1aP{)Xdm|Q_k!diaQG`Mg}C2sFM;rXx|d}4ZxBLv|A!q2_Xh}r-M>H> zr~5bU#qRztNGb%oKVS{S{cU>)xL=iY_g@f2cfSFW`wPUt?mr-g)BT_JV0Zs1NGb%o zzhN`P{l|6_aDVC^lEeRl1iJeRwj;uSf+X1e4<vEA-w22MxscqyVHd>xY=qpubT`TF z7mz`B|AuV{_ivB^yPrW8r~8X`V-J5XNG<}0|AT`N_q**P5dKehk?ej0d35)G*otuf z1$nUh6%=r~f734P?(c%6La_S<PD0$@wv&MSRY`Y$fD*d<4UpXbK?&@B2W6b@|Fjdk z`%ghqA=v#67a;CGwu6BCQ+JXa{spS&?l0Ja2!8=Ju=^9#aJt_Jhx@sZ++T1V;(j(l z?q9ltWcN?dKzIL!%?S4!XoB6}po!D{MLV#EzZWDIfx~~nJ&60=wi5{dr`t(({|0Sz z_kY-gaDRXf*!>H1aJqlfcI@u&f}}#Q`!76&xW8>10r#tt?*0pU=<YW_a({t7*!>4U zx3yz$KY!YW-TkK^sSxabhPM#+AKOa6{i)kX4*w5^=<YArhzS1)Mqu|pFv1!BMmXHh zh2(yNFA(>$5pw_1tt7i&z!cs68#W-^zrhsjeg-p~?l0PkJ^Z~Oxd<Ho3BMukciTcB z{GV<i+5H9<=<ffp9^w8A7GU=)SmJd5rY+dr-vvp9VE0d85(Lj5wQVNgepS-lA7G8{ zegh=;f3OC--@yi_`#){Q?*3DdR0wwe0Zxefk8L90{?yGRhkt<`y88>(A;Mq49_;=E zdz|h!!r^`{B=>(1fViKHko%WzBH8^D9MRpsVJ*V_22No2H#p&Rf6*rF;qL{>Md0vP zkbt<~Z6ks3f4Y%m_iu1PcmIbq2=@oLg5AHs6{q_*ZN%>WE=Vc_yFWl4;{LV`1l+Gm zy8AD<qr2Y#$^8W$VD}&J!0G-^8?d|o6eJab-QS=FasRRP1l*sxf#mT2;Dzq~g4Kxd zpWqF4{{wHF?l;2Wel8^UZ_tIfpN)|Fm#!z-{Q`dI?%%Kq;r<PNVD~fl<8*(~dhFrv z1<6I=@PA+ealhL-0^$F39m(!D2t;@Phm{ETUkC)dUm*ym`!}t_?*1-FDg?V<zy{*} zwzUM@uS&Z6147W<Z-C_f4<TUpJA~qN|EIOs-G2&_3c>DoaE7@5*ct-vPhCrL_!oqu zyT4!sBK!p+!0u0o!0CP?9PZ~ra({sr#Qki9+`n`U$?l&Jh3@_h%MtE3hz7gAAsVOq zi`HNde=kTb0*C*CK#2R@Ruc&Sr>jYJ|Ats}_kUQ1aDPA?*!>IQaJqlfYV7Xsf}}#Q z`!7U5+~2l}fcsTRcmIV1boUz|xxXM0?EV9ZINkqg6?XTZf}}#Q`xz1-?mxDYfcsNd zksSUXlF{8?uoMyg6H>tLe~^OH{YE(4&xPcEgLH`d*$BCR=}MB_FOZJz{tZhI?%$9O zc0WS~PWKnB#2)@$kX!@~|Aah<``uO$2>+)mNOr$L7P|XCEJnEhLKfKl3fVZ_zi9<_ z_jf^3A=v#BN+IrVTTa0Js-(L=AQ#>J21xGzkPCLdLmp1|e_D>+{ih(Q5bXW~H4yh7 zTSmbBsmn<Y|AGQ^_ZKWegug%`*!>BGINfiA!~I-H?*GsXaX%X&_b**Wvim0#qq~2@ zLWKJbO2F=KD8cFeqGi~_-wTq9z~QgZ4ROEQQUc-sbScU1-%y6`{tpWf?hhyjyMIAB zPWNwGirxKPkW>hEf50S&``eZfaK9?)?!Qop?tTL#_ZL)w-G878r~5xG!S4Q3kW>hE zf5S|O`;RRq;QrJlB!~Zp8g%y;%twU(gj%rsAJpP>zYz}ib0N8Z!vcu=*$BCR>0*-I zFVKMQ{tfdG?%&V=c0WTSPWKlr#vcA&kX!@~{|Cz<?sr>6ApD;$BH8^0&FJp`Fc;ze z3(a8nE41Kr|E5LQ-QNXCg<$s!tcSS2Z6N{otCH^ifHrjZ8z8y=LmSxr4xkHRaEyO0 z#P0r6kW>hEzr!|&`;RRk;QrKwB!_=NC%XF!<{-jfpbPB&gf5)nZ-m4BTuAOO*b8w# z8zJ{ET|lz?C-k7Zf5U8q`we=*?r-SD>HeYx*u&ool8eCMzu*YO{ciIKg#Xj|B)fk@ zKf3!r%tE+7U;^0v3nt)n|EBra-QNXCg<$tzI1O=s+dKm9S0&y37bc;*-vG(|1(U(< zKQI}m`#;UY?*3DdR0wuI!)1v3kIg0E{?vIShyRDE=<Y9=i3tA*)4=Y3Fb${sjc~Z1 z3(5Tkw;=9kBjo<2b4hl;z)W=aZ<v8_|Av`h_cP4G>Hea**u&ool8eCMpYRakez!RU z!vE<UlHG4G2i^T2rX$>cVGh{+3UhI~f72Z7?(c%6La_TMynwjBZ8ib-tCH^ifcfa| zH$Za#hxuUlJ1oHI{!g>9yZ;m<6@uM=;623s$7T_5f9h<K!@pn=y88>JA;Mo^G1&bH zi*dT&2#5Q*klg>_8^rx=gxtS$7Rm0PuoT_>8>S-MZ?FvP{)S~Z-Cr~dd-!`nauGQE z75+io?>3V__&=RVvimozKzIL#DG2umtOUD%!AhL&-!v1u`@0~i5bXW{Rw2mz*9-#g zS0&y37gnRY-vG(|1#7_WKd=U;`#;UV?*3DdR0wu|0}sUg$EFi-f9ed9!~erNboUob zMuh)_^<eiuSdY{FMmXHhh2;JX!Vvef5pw_1=_I>fU=zCgH%vmff5RrQ`x!Rlbbrxw z?BVYP$wlDse;@^MzuPnd;s10R$?iAUithdo6A|veuoding>5+9ziAqF_jf^3A=v!_ zN)Y$AO(o!dRnpxbumj!w21xGzumkLVhn+ax|7j|A_n(5KLa_TCG$HOkHidxuQ>T&~ z{sp_y-Cr;P5&i;u!0u1jgVX&+INZ;L<o*H!i2K<Hxqs;tlHEUHAG-TD^dsDFupjLH zhW$9*Uo-`K_<KQe5jgx8m_yv}Hkm;9Kb=gn`!^g!cmIbzg!=;yf!)905Ki}RnvC83 zU651=cK-!Ci2K_n5pcgM>F&R91l|1xNbWB<3U>d2qd49FX%cq#pMs=9u=^R@Anrdl zk%0SCCy^ZfAC9BDzn~Wp{u54s-T&YOPWKz(a6cE4`we^{?q?(9{-qO1cE7-BboX!Q zLAZazX|Vel&fs)^(M0Uw?*++4;P6iffw<po0)g;<I)P;O8=OOT|A%gb`!AdWyI<iv zPWNw`fZhFFkW>hE|Ac6W``h{nxL=iY_Xk`=cfSFW`#)R+yWimwPWON6$L{`9kW>hE z|A8ck`;YYzaDQq)$>Cpc1>OAxU5M}(xC(ZE!d0B^H^Sk5E+qGV$b`6`jgb47_L1!V z3D?oxzo8T1euEoe_cz?Y>HeZV?BVYP$wlDsS15$I->sKG_&@C>+5H=Cp}YS>2g3aU zx54gTa2u!lH}zt7e-|Vbg54ib0dap@4*~b9lJ5QschTK%faLyydtmn;xQEmIpL(#n z{}dz@g5BRx4{`soZUXL4?IAh*KRiHpe?dDU{3kpFyZ^yMobETm;eIY8_it!}xSx%X z`<Hf;?0$hK=<eUphH(FeCt&w8JjLn$qHgTr?*++4;P8LY3vs_&7lH79+C{Sa4W6UB z|3fRn{TH5t-LLQhr~5Z`VRwHQBo%_)FEAD2{<cm6?pGz<{Q<Ag-EV;8{tvIf?ss^N z)BT@1vAh2iBo%_)?=T1A{$m{k+@IP>a`+d#MR$Ke3nKgl-hthp@D8W@jc~Z13(5Tj ziy`i3Bjo<29VEMd!UuHsZ)iri-{2$I{S6;+y1%Fcd-!`nauGQE7p#J~->scM_&;qY z+5H<nqr3k@6T<xgU%>8P@CB#)H??DTe-|Vbg57^%BgFk}Z3Nt}O1k?md_#A?0h0R* zzJuL=;5$zDe`>?-{!@@t2zEciPKf)DwGwcDY8%Pn|KTUP`wJQo;XmOQ*!>TF;dH+d z4)=2*x!>Rb#Qki9+`qJyWcLgFMR)&(288=J`~|z8;U7--7qwy!e=kTb0*8OXaftif zS_p*y(-xB5Z@|ETwtx9UJ;MDL7&t)tuN4?MaP7a|)PmjpU651=cK?KP5cjt=6L7yO z>Fy6;Mt8pflKVd}gWd1Ig46w<nz6h86eJab-GAUJ#Qn#b2)IABndI;<U_*C*K^-Fe z1=zvvPhiLCej^<2=R$J-hdU7Wvk`Lt(k7DKKY<h7{Tpf#?l<59yT5@8r~8YVu!p}F zBo~3hU*QSF{ceo}!vAR_$?o64gYNzhH3;_y@PggHfETCxH#K5+e-|Vbg54kR8sh%8 z1_JI^CEfiO_|e^OfaLxH0kHcI2;g-8rv~iqKLtsJVD~qCg1G-!JpuQpHjo_tAB51| zUr>z*{|Uli_dgKE>3$;|?&m^s|AwCs_p=dl|I&Jr-7g@9?*0u`2={Lg1G}F=9H;w> z>amBv7bF*f!~X$;FnIpRt&TwWKdmF#{RWcg?*CAUaQ_8Ku=^FHaJqj}9d`G3K~f>u z{Q?{i_qWv&aK9?)?hlYbcfSFW`#;El-R~fa)BT@nvAh2iBo%_)@4ydn|FIeZ?oX{H zIs6Oc(cNEAfe3#A1+e=Q6mYuV2#5Q*klbG&25~<dA@?t>A=&*Cl+fM3p&a3U17)!L z8<cUnzo-U#_<KQe5jgx8$U@xjR!t!MpH`FX{tc?=?*CARaDRXr*!>ICaJqj}HFo!R zK~f>u{TEaq?r*Ci;C@xo-G4y?-Tekg?k~^;yZ?YDPWOMR!tVZ4kW>hEKZ6d${l_W^ zxIeXu<naHXjqd(}QbhPq&;h&ufeudh8{u$27n1u8j3Mr4Bjo<2l_a}gKp)-x8%hxF z-=Gh6KZ5~I_ZL-S4}UL6E&_*tf)&L5ZWRQ=|7iuu?l&+(cmIcCg!?ZTf!(iQjMM#_ zDzLl13z7=K?w{ZYaerGm0r#tt?*0H%boUz|x&MPH*!>P>INkrL9J~8ZK~f>u{RccC z?mt#W!2PM^B!_>21-knSiV)#1U<r1Af+bG(8{u$27n1uw_(R;!M#%k3%Sd+r1Z#Bn zZzx2#-@pd!{stSI?k_6C9{ygCTm%k(g>Z=b-AW0B|I<>E-M_&O-Tfa55bh7K2fKfP zJx=#;D#h;pE=Vc_yFVZf;{LW00`6BO-TfCF(cN!=<o*IDu=@`<;dK9}6723j1xbZq z_cx?M+<&Z?fcsNRNDluGF6izr$VY_#1Xr;8AGqRlzYz}ib0N8ZLk`6KY=qpuw3uY~ z3wWTre?uO^{Tn>M?q~4C>HeZ(?BVYP$wlDse^3l@zgrQ3@PArFvil9Z(cS+c7vcU3 z-eC7D_~3N^rXuX_?}DU4u=@q7A?|N0B;bBk(%m26hwgp@B=>*t1H0eBAE*026=HY) zDM%^=yWgP+;{Ia=1l*rmNOJfW1fsjYAO{it0zqK+Cj{YizYz}ib0N9EpcCSLHbU-S zT0pY<CxoE8e?vCH{RW|6_cw&%bbnC+_VD+D<RWnRFPH#vzgs?m@PC?5vimoLqr3k@ z7Q+1j5n%T(h`{OoP5Ic}-vvp9VE12`4sm~59s&2OlJ5QsQRwbBKyrUUG}!$IqH((a zQyzBrpMs=9u=^S2L)?EXmw@|I^GFW=53%U(FUUlM|AaWO`ya&NbiWY}_j4h+-(VTU z{cMEXzciO*_X{MVyMIFl!u=Z(!R}{B!s-5^T<qcR1<6I=@K0C^alcy*f$)EtL$dn~ zQqbN1AsylV3n^gtE2QFd|E3)5?(c%6La_TMY=OAHEt`P*RY`Y$Ksvhn4UpXbAsy^~ zhYXzV|CEj0{ih(Q5bXW~yCLpBmPNq*so5lle?b<y`wP+#;V+O4c7H-PPWKz(a6cE4 z`#&6lxSx%X`<G^s?EVS4=<eT;ig3R{9@za2c{tr)l!ZO~y&$;=9R3QYAntd|BoO{j zGf8&;h5~f=e@H>NKcEoo{so0N-M=XlyZgH!sSxb`fQu0Kw`CA;zbfhOzfg?segh=; z7nFeAf1m`X`#)u1cmF9!Dg?W~;ReM0$I=P7KQ)8o@c&ST?*4*gMEFlA2fP14IZpQ* z;c!0}lKVH@hq#}Oko%XWlk9$hDs=a6NJ6-OLlxNl4AnT@UzCnL{JkK#2ps+oo<ZF2 zmPR1_pQe%QeuG+c_kT!4xc@>e*!>E1INiS~4ZHihAgK`Set~xo_qU}IaK9?)?hj}{ zcfSFW`#&^*-S5zd)BT@PvAh2iBo%_)@9-7k{$nWw+@G3Ca`+cCqr1N#0TKQJEnxR2 zwBU5V5f1lrA-TWc55)a!gxtS0g=F_nXhV1ZhIoYg4cfu(Z)nHq{-PA@;qL{>Md0vX zz$^lp|4Jqh{!f!hcK?P>boYOVL%2Vn3+(;{T{zvpDH*%_yCA6$?EVW}5cjtw5pcgM z>F&SKgYJF<B=;Beg57_h7pMC_C1H2}DM%^=yPrV_;{Ica1l*sRL~{6l=tp;dK`bKt zCrkjl|G@;D?l;2Wel8^U8%RRj&qm1oOA|?UzrbX4_iu<nxPQZBu=^RN;B<dcBKGk2 zg5)A__$Mep-0zk^ApD;uknDbgY3T0%5RGvEg=t{-D@@1f{!Izk-QNXCg<$tjP=~m` zEuMh;RY`Y$z)W=a8z8y=!%VRI9cJNl|EGBD?mq=dg<$s|(1W=DSR4WOr^b^U{snW; z-Cq!e2!DaOVD~4?#p!+{9PZ~ra{mWYi2K<HxqoRK$?l&pAKm>MA`$L4SO9i^!vdV{ zFN(t+{$7w=1P*@%TZsGJVhM!*(^!(-zhM!&`#(e=+#j$Q?EVFdak_s~EOz&IK~f>u z{Q)iz_qW9maK9?)?!T}U-Tekg?k`vdcK?B8INkp#2D|%DK~f>u{SDp__aBQU;QrJY zlEeSQ3Uv1ugd@U#!b-6FAFRabej^<2=R$J-h9HRh*$BCRX*9|17g&St{taOW_itDO zc0a>fobE4*#vcA&kX!@~{|Au}_q#<A2>+*1B)i{WJ-Yipgd*I3VLjOW3L9{`e^V58 z_jf^3A=v!_i4ga<MG|noD(UVI*o5wW10?r<*aUXJ!)BcB{}hSc{ih(Q5bS=342b)W zMG$a*Y9z_wU$7P3{RJV2@E6zyc7MV)obETm;eIY8_ZQ?t+|NeH{YxWAcK?JO=<eST zjBvlfPO$qMcH(q@Q3Uqz_k!diaQH7MgSg)<oIv<L4JX<C8+N0+|3eVM{Q-Nx?q9G6 zr~5aBV|RZSBo%_)f1wuQ{<bgz?pGz<{TKG3yWarG{RR8M?mw^}r~5yJVR!#2NGb%o zpP?1v{$rs8+@Bgoa`=BZi0=M^Kt%XYI0Sb8gF`soZ-m4BTuAOW=z+MOjgb47hLY@l zfurc|-w=Rs|AwPr_cI*B>HeZn?BVYP$wlDsPnZmGzgq}_@P8UYvil8Apu7KrKf?VN zPJrF7a1y8cH-%t#e-|Vbg55u17R3E+!35l|O1k?4PNTcu0LlFyPJ`X=a0aLQKLulV z|0zf+1iSyhLWuj11rcz6YB0&+UvLiH{RMuA@E14_c7MWoobETm;eIY8_kUOcaX%X& z_b&}1+5HnPqPu^CFT(u>m%#3CxP;UFMM2oZ-wTq9z~Qg30pfnQKmy_aG>~NXZ@7Z) z{trF~_Xk`ByMMt|obKNgh~52NkW>hEf53K#``ZEtxL=iY_g}b<?tTL#_ZQp%yZ^uq zobLY=fZhG4AgK`S{)T-J_aE~o;QrJAlEeSQEp+!6cq77p!fmkoAKb?2ej^<2=R$J- zhNBSovk`LtQh$=&FK`dt{TsXx?%!|^?0$y(INe|5k3IaoAh`$}{twPT-0$W`ApD>D zk?ekhhv@GA;E8bmg@<7GD?GyK{!M<^-QNXCg<$s!T!Fa1&6j}tRY`Y$z!P-$8z8y= z!xOOk9iHNJ|0iGU?mq=dg<$tP+=jUSm=6K>r}~l{{sqs`-Cy8=2!DYWVD~4y!0CP? z9PZ~ra(}@ii2K<Hxqqn-$?l)<3f=u1+!5|Kcnx-c!)u)GFY>`2{$7w=1P=cNFCp%C z^Cl4fPrXTY|Ax2d?*HJ1aDTu%u=^Lh!|DD_-q_vW1xbZq_h0w`aetc^0r#tt?*0oO z(A{r<<o<$>VD}&Rh|~R_ys*3f6eJab-Oum?;{Icv1l*tMMRNFm_>AuU0#`)%Pxu0M z|AQ|$-EV}${ai@yH~0^6KN}(UFZCqZ{Q}?7-M_&F;r<QZ!R}}Hfz$m(p4h|R3zCb# z;h(@J3Yq`%AQ1jfJxF%H!7p_8e{e>)|H3b@`xSoUbpIv~?C$S^q(ZR!C-6et-{wxh z{i>w9Kj1IA`wfuX|KTs#{SN<dy8n|qcK4rxq(ZR!4~RhAf6R@5`%~RX4*voMPPF~k z1x|?Y7hvQB?Y~Z7<ixfA+6agHxscrdK^o$IHbU-S>PE8rCorSCe}f~!{RS*x_cyTM zbbpZ>_VD+D<RWnRD=0(U@8(J%{GYm#?EVdG=<fgEfN*~RJJ|gT*m1gllPh-jcR^Ai z*!=-o5cjva5OBXL>F&S4iSB*_B=;9^f!%+A3#a=(xnOtyDM%^=yT8E@;{IdK1l*tM zLUQ<j;6ZnPfjuJpC-8#Z|9}^#`;Bn8p9{(T8!RC1XCvhPrOqU~UqAre{Tu8M?%yB) zc0Yq4PWKl%V-J5XNG<}0{{wr7``w%fg#S|~lHG40jPCvqwg~rM5C*$nK?JA!H#uQ< ze-|Vbg559R4sm~*BLVlTlJ5QhF?9DEAi4j87})&|;yB&^$q~EzPeD>4*!>QE5ceN* zAmIK~N0P(8KoZ^k1vZHA7mxzGKS2to`;Bn8p9{(T1)&i4vk`LtQU{XVKS2iF{Tr+i z?l+JHyT3ser~8W>u!p}FBo~3he?bhy{ciRI!vCo~$?o4EkM8~tRtWb8D1hC+Kmn)w zH`!x%e-|Vbg57^18RGsnI|A-kCEfiOl+fL8faLxHWw84XDC2bhCp+x!KLtsJVD~d* zL)?GNmVo<H?MM#)531<yFR(;}{{%I#`yZ&`biWY}_j4h+-=GNMel|kxUusLT`vo-7 z-M_&C;r<PpVD~d<;dFnIE%xyDg5)A__$O3C-0x;XApD=&knDZ~9d!49Fh{umf)3dI z3c5JmzsUx>`@0~i5bXX54G{OYSrc%-D(UVI&_{Q_0h0Sa=!4zwV1U#8pRBRF{}dz@ zg57_h9pe6DRs`IiYE5$Z7Z{<tzrYL;{sP8e_a_+RbiWY}_j4h+|3e?d{cMEXztoCk z_fIfIcmD=cg!>K5!0vA_!|DDaE9~L#1<6I=@K=}yale}-f$)E7NwWJlSfIQAg9*a@ z0hVC*FR;Yv{!NzH-QNXCg<$sw%!RnW&4PgYRY`aM1#5Ko8z8yAzy|F812#C_|H%To z`%ghqA=v#5OCat)W=_EUsTL%M{|7sC_ZJu=!heE2*!>Ueak}3Khx@sZ+`nNp#Qki9 z+`rVEWcLd=p}T*B5yJf&oWSm9aK`EWB6IBF?*++4;P8L23F3Y?GXmlN)Qn{J8@Qso z|AQgI{TE!p?pJWb>HbY-*xla+Nrhnd3+#fpzs;0@`&CJIe}D(N`wfuX|G@+7eg{vS z?*C+p-TkK^sSxabhl3FJA2T7~{!~+v!@s~A-Tegyi0~Ki0lPoJ2dDduaJZif$^8W< zAns=)<o=~5B)fltAG-TD=p)>3;170xgFjC97nxuWe=kTb0*C*C^APvD850Qqr^Y0^ ze?uU;`#<O*+#e7GcK?DPobKObjNScRkW>hE|AlK1_qQ1laK9?)?!OR%?tTL#_ZNhM z-G3kyr~5w{VR!#2NGb%opWz<F{l^RmxIfj1<naFxj_&>fT}1d#hyc6)K?F|s8{u$2 z7n1u8o<iKuM#%k34M}#tKs37hH|QYTzabjzeufyF?k_UL9{ygCTm%mPgf|fPyBQD& z|EC5dyWb!V-Tfc55$?Yb2X?<gJWls-GQjTsE=Vc_yMMxGi2K|03AkUCboU1&qPyP! z$^9P^!R~iR!s-4``q<rn3X%%J?mzGg;{IcL1l*shPjdJdq@cUMKnoH60;yp4C#2$Z zzYz}ib0N9^1EUyt{)ml``<LpG?EVSq=<eU3iEzI`2H5=#893cvq=!BHy&$;=9R3QN z5cj+35(xjNx+J@QLl(OGKWHG_ACL`p|AK6s?%$+~-ThsVR0wu|fB?k(Z8`+puS&Z6 zFXW=T-vG(|1$kiiAIQV${!cpC-G2&_3c>Dg5Qn(`m^K0Tr|OU#{vQg^-Cv-N2>%I% zVD~>L#OZz`9PZ~ra{mT7i2K<HxqqoP$?g{@L3jTKHH7;&lz`pOP>R$2McUZI-wTq9 zz~TQu72<w3Edt^HREuQy8<eBF|AQ*R{TIr??pLV5>HbYx*xla+Nrhnd3+O`J-=;~x z{i>w9KcEWT{RT+x|4;>Xze6=n_kYsF?*3DdR0wvzg9*g_$217IKUI_D@Gq!EcYlEj zBK!sF!0u0|!|8q_9PZ~ra({s}#Qki9+`m+VWcN>KKzIKJWrX_;8o};wXvFFMA`R@} z?*++4;P7AI1aZHcI)U(is!p=|H#DQW|AP|3{Q)gt_b+I{>HbaX*xla+NrhndU+{#u zzfFyR`&CJI|AjVm_ZuL&zn~rL{sZke-Tz4qyZcW;QX$y=41o~$A5$gZ{!}%R!(U-P zy88<h5#fJeKiK^O2XMOI2#5Q*klcS_mN=ySL&*J0RY`Wg!y$C{Z%{zE|G^=!`wb4` zbbpa5_VD+D<RWnR|5yldzncny@PDd8vilQ`qPzctJi`49$H49nIEK^xn^ds7zYCHI z!S2^s32}d$G6DCilJ5S76X@<YKytsrNwE71PU3X`CuQvJKLtsJVE0FCfVlsd5&`$8 zDw7=k3r?fEzd#NV{tjor?w@c5r~8d?xStEj{T<sO?q?(9{-sJJyZ^vBboXzNMYuoV zJlOpk&f|1{krMXs_k!diaQN@o2XVifB7yLKsz|c?A6!It{|6a_`x`ES-GAW{PWNw8 z#P0qsNGb%o|HV;=``Z)<xL=iY_cL5YcfSFW`xjgVyZ^&gobLamfZhG4AgK`Seu=XX z_aBod;QmwvlEYu&2D<wTq!HnN;0D<J0ylBG-w22MxscrNaRuUjHbU-SDo?We9d4t$ ze}fdl{SR(~-EVLQr~8ZKv4_7GBo~3hzv4E;{cdsu!vCop$?i|MhwlClk_h)R+y}cq z;66_GZ<53A{w_!=1iOF5BZ&LkWC^%mm2~$vJVbZD0h0R_9)aCo@Cc{-KgnWu|0zf+ z1iSynONjfA$q;aVsw~Ojzu*bF`wJux;qUMi?EVQ)ak}3Khx@sZ+|Th5;(j(l?q4cH zvilD_M|b}QafJI5UVz=d;RR0j7s+4`e=kTb0*AlF4~YBSqzQ!oQ)!aj|KJt6`#*>w z+~4pT?EVX{ak_t#G<NrQK~f>u{Tcru?r)PK;C@xo-Oun2-Tekg?qBc@?EVk$aJv7K z6n6KYf}}#Q`)9C8fZNZ<Bnh}bRf^>BSNMqT{sK`%_#gNPcE7+UobETm;eIY8_n+W} zxSx%X`<F_R?0$zY=<eSjf^h$XFJSi@e8uVhB1!Dw?*++4;PC$;0&%~a1cC5>DnYXQ z6TYLn|AR2X{R}_A?hp8Z)BT$yu)Dttk_y4@*N}m@zfGKg`&CJIf5R_y_ZuL&U*R{{ z{RO{qy8n|ncK4rxq(ZR!Ba|WTKPE=N{i)(4hyQ}V=<Y8NLWIA=Kd}2J{KM&fBOLDM zLUMnH7R3E*gxtSWjAZv8VBkXAzpz0N;r;|hF3|pk4UAm4_AeBPVGn;VNG<}0{|-Zl z``ttdg#S}flHLD+8QuLK1Q70TU;(@T0t-&}ZxY4s{w_!=1iSx*1;qVrA_Uy8O1k?Q z*wNi@faLxK>|pnQV8`kHPa@dee+rTc!S0uEfVlsdFah_cijW-s3S8*!FW^Un{{b$r z`vtghy59(g`?-+Z@8J${KN}(UFBK-){SLh7?%%+NaQ_2du=@@8aJs)p7<>48L2?l| z{44w*?spR+5dKevNOpgM0J{4>@FLvLAP9DUfFMryZxX`p{w_!=1iODlD8&73f&|>J zO1k?Sgwfq^faHD!5wQCUL~y$QlOT5YpMs=9u={VsK-_;!fPni`1xXJ71!Cy#FW^Ch zzk@i~{S(A-y59(g`?-+Z&yfOgKN}(UFBKr!{Rbq`-M@hw;r;|Eu=_Vi;dFnI0QT_r zg5)A__*-N{-0#LuApD>5lkENnGU)FAz=d#sgDlwn7i4j|e-l4;_jf^3A=v#HMG*J5 z@ey#pD(UWLP(XLT0h0R{D1hDnK>?@xKk;FA|0zf+1iODmCB*&5cnP>am5=1`S5QWG ze*q^V{0}ID-7lbm)BQ#`+|PyN{u2!l_p=dl|59F(-S41=?*0uN2=_lw1H0cq9jE(? zc(I4S7bF*f!~aJ+#QknO1j7F*56SLN&_s9t2X=(}8MMIe575Ht{!KjC-QNXCg<$t< z^h4a=#!bNes-(NWK?mLa21xE#&;`4{Ko_U`KXGGs|0zf+1iL?C8pQp_xCppEl^aL+ z2YYmWZrFdFm4ShwM9^dZGDZdl9tH*m!*4H=*#7?SZg$XRU}%2u&!@XNK$C&Nv74j$ zAtUF(&Tf!+ce4vb*0I}z1u6~_@#x*HA;ZAn(Yskgmx00XlHti0sce7$d-U#>&}Cro z=-v!6Y8N8|1H(=b@xp@(EcrnitcO9DfdOhASgRI9>jxc#RwlSsUWnEm5Un?KP_@2i z1si+<Nh>p4>m^RGWCKL&1~jc(AX*n7X=Q<Hoet6J0nyrlrnM5HwE{^iD0m@$je%$t zfM`uX(`pCN8i1sg4Q{I<M5~27IG8NZv@%1qY9MK4hiiSt0ro2cM5_Rr))OqCm}byH zjcE@?Xq18^x|>-b(vIC8tWa@~h)3`46LMhpywHY5brlQPt{d8j_~L{+Bo^Y32@pL8 zv{B>B9-?&vl2$IbRwanm2#D4hXj)kyT04-ma>KPgX9qh;0iv}4P3uW!uxtX7R!|mz z#Mc^#))%s1PkNwf?Sp8wK+?(!x3v(Wbpu4J0-Dxfh*kk4t$c8;W)Q6v5UoG7P=iSV zqV<IqB82%tS_K#w7+(B_WS1W-U<Y47*18j<>cuT4uy+q2>6QoS24}^+5Zw+Ci&r4) zHaz)aJ`*UR%+NwhD6ldCB+=bG2O{m*?ZE?0C?FA!-rXD!LmRZ92_+EXkOCx!D8e0L z0&&O%NI4RL<`6N6Ru3etN^q?|Avs|NM5_Us*4vC=?<*i_RfcQb$NKj_wCwTd-JJk2 zfdlH`=$G>u|NftV3W8C~&tt6c@>7WsY?cJL*kovaz}VUBpa&{K9az8|7d=o6?KV&Z zQJXdNprK-orj4nySpuxhgXQ822>as8=7)@(-7*jZekgzqV1OC$iviVMmd@r6x*&T! zSPs5mf^ZJLWC9!U1ymmQ?mhrAqVezzXld=yc`y3qW(Kfd9m9e>n%{VMbbj{i{O7UX zf`NenRQeizd*Q_J_rFKCvq87FMHdT4hl`4XN9QA-&hIZW+5i6UX#T;-z~Iwctl`mk z_yHrxZ{YmoxT8aufx)NqyGQ4}7X?gz|L+3vcY+9rphxo&k7&oZmoCttb_@r(p!2iG zeoKVa$N&HNf2?@{69WU2Pj7L8Pw(yyCb$)@h6j8)zx#Cldm+H|7pjce@DkK|3oo$s zAnA{cFli>Z^on~h>1f9|$M~0Lq0WFuI><Fh;bDVtl+ph`|2?{!PcSerba!81U|>KE zm0&hdsCY1gLS^#-1_lO?#={28a92C-SkT4@3z%@mzyEiE_&Y%aYQShi;sxd)Q2028 z1P8z($R6RKh5!Ei_v~()az>KDv%7E38A%3@&h8(~3=AHf%^$$-*gb;*<ebGfAnpK_ zAK=jY@6-A0#U+NnAni*a+Sg!cH@vhHq``4Vh8!D%PbXN<Jcynx5IuWv>sg`A3Dc7W z(Q^c%=L~K=8d450J!TL+S0H-s;MS9&?hMnz1kv*ZqUQ~6Ju6JyV0zB|2M6I7h@L;V z^}KNOgz1?D(Zg~U9PB)2(SscxZW{hRFg<AyJt7c2GPw0*#Q4MXfYO_1cbf`Cj}C4< zD>8#%dj3I@gb75C4Q@Rz%0i)fJerSiL_5ZSbHo0BfBt{wm-`j$(fNC)D-)>P5!L<! zqD<dNGBCV|2W5fY-4&a-7+wVb`vYq6Z2+;||NZ$NeHf(EqxlVoN3ZEVkm^8?ismC6 zU<r_s9=+RlT<2o&=-uyeoQolgF^kc0Cj$cmgX0bm31;pBv0j9O?b%##8>D!51AfI? zAjQo`6g+x2Z@3B8umhq&z!6*_LQMzNjS$m+g51@+dBQEQ;tgl;I^_&VF~sx>H^3TR z;MXu4q@j0n0z|RGS-hs_ffPebcYwG(0>1`ZkcQsP43LnSfM2lyNHN581&C));MZ{f z@1Or3%|`?vaS4es(@)|I3@=haf!uljh2g*d|G}~K;xatWLqRnNN9S*k&aa?2YygL{ zN3Us!1SsX$f~Xf)fBpII(fO|P{tIo89lf?kK&IXYiT2t)0I{3@{(+io`RmXB(BLeF z0OZu+(aW3h|NnoFUeT$4L6m6-n6f<s(wh!4r}>D&{uEFPY3DDPN%<hVK<PUcoCd*( z6O<r9iEI>)hQMeDjE2By2#kinXb6mkz-R~%6#|Ul;Q^@9tRD_wR>xs5y#U5%_yFpr zGB7ywJ4^$uvJn6K|B~3>|6JmK{|ku!{SO+60_#H}U}70hLBo0s3=+>Ebj5QBt?>dv z-+<B`FCl!3R}lIIl%DY#!uNOsp?^T>6>lN@h<6a0<2{7l0i`oOK=>7(AoPSU5Zd7@ zg#G}f7kq>81HMCOh93}m1C&nq3E>O;g3t$`bVn>`^pAm|p&3HYSO}p#7C~r-6A;?K z7BnKtz>weyp#|I_w1F3do)8M5Kg2@l2k{U(APGXxD1gumMG*Q!350%80iltC9L$7; zJD9`3zyKP_2C@AEeEnTq6+H6_N=p=6gM$2n6s#0NGEx;F64Az12C)jNNeU(T3NFC` zCKj%S3YmGuC5d^-sS2vO3Yo<UnR#W2IhiR6i6siEdd6uAdBu7PkijL8`Tt>noq^#$ zI|G9dNRWYnK@v*C+yNTT2MIx}g}Ns^u_!MyFCEoIhD5ulJR>zvp|lueLSjitQD#zU zNouh|Xs~O%Z>Ud*f~^9G@(l2aL~(mSPHJLtszPyU2|{T}zCvndNk(dsf}g*it3rN} zf=i^Iqpzp49>~q02?Y=>2qG957(nCopzwxammp__a=2HV9fN`*ogIUnJ^d7t6Y~`E z^KvQ`l2R2)i&Ik+GV>HlGEx<iON)w9^GdJ>c^X(rK~ZX1W`1cgLQSzkL26N2eo-#i z!wMzk`3jlfXmxaP0XaE1)F~v$(K$pRzaX_Ju_QA;PX{E7)xKhd<ovv}%=FSCgrj^N zofT3mN>cMu6!Hsl^HWk4%+-?&4Gato3=EJ%kO7N-J^g}ReL$%N6s#rr3I>J-3gww4 z8PH?~4(*bBh1}AdlFWjf%w(|h6f`O-Dhvz_3^nx>LNY)}tym#3Pa!iWCpA4WM*-}F z#Jm)R+{8+S;*9)qg_P8?Oo+~;)ROYl)I5da%-qr(h)_{#acNEo$b=MyjKrdp^2DN4 zg{0Js#Inr%B0WSfBBgGmBu$2=f?VBU{xX1iAUUzLIJH=zG%q_ZzdTQ&EHS4vwOAoB ztt7Qbp(qs;Hu=fPrA5Vh3Z5n4&?-$&Pc1G<O#$V<(qdSy1et8BV5k62;K_-_$%!eT zIL}EeN>5ctOi4)vnSd?3B72B|VHGn2LjyAd18DdUlyqTvQv$?cU|^7f(lSt514@G{ z0R{$!04P5QN=HEHC@38Rr4yiZ5|jq@-a*U)5W&E}Pz0q*p>#Qvu7J{2P`U<6H$dqL zP<kqqo(`o!qoE+?Oc24qz%Uz1uYl4kq4a7fy$(u)Msq<-(4a4fz6v537#OZY=^Ie` zCX~Jnr5`})hfw-4lzsxGKS1fPQ2INR{s^T%L+Kw-`X`kB4W$`C1qo<87)lF3X(1>r z0i~s&v@DdChte8QS{F*|Lum&n?F6L*pmYS3j)l_kP&xrhCqd~{D4h<a3!ro<lrD$T z6;QesO4mc_1}NPGrCXr%1SmZTN>7E-)1mYXC_M{G&w<h_p!7N@eE>=yg3?Ez^aUt= z6-r-+(l?+qXr2QU^pBwY4^SF36#^1>0?nK-FfcSg=?PGJDwLiMrDs6tnNWH*lwJX) zS3>F4P<kDdJ^-aJK<TSc`Z|=p0i|z3>Dy5H0hE3Sr5{7-4^a9ml>QE-KSJrxQ2Gay z{t2ajLum$R<tzZDC7`q{l$M9m8c<pnO6x;u2Pho?r6ZtpER>Fi(g{#H6-uW==>jNS z3Z=`TbOn^Ih0^s<8nylbHD=(|zXG`S1=mKPLNq@QYq_6U3@f-*Q(#4LQEFjnCa5+n z$ydloEK5Z*DGAbID9Kka1eMjfi6zOf$}Py%T?bUL=NF}<7J(#F^NLH0QWZ)v5=+2U zIZ`DBs?%~5$}@9v6d*=`YG;hv3S?ShPL2YoMo3O9PA%4BAlPog--v^@ZeVS>{35W! z5P=2Kody<7EKw*fNKMX6%S?t<-k_QpOCu0mWy9J%pgKA!RUxG`6%+!A3b~oZ5D!9I zJP`MT;sjKqBP<4sz#4C$SSiWOONZBwMXAa8xw)x%DWDn>6r6aC$th2)ECw~TKoxCC zKG=)JiMgqedRR|^(m;fT9w?xE{M|jB6+mt4V3f8Is5uNOL;n8<kqit55Q-wJ5w*Gk zG?f-BAX0n)C<viGHUzg<S7kvhWnf^aD9+5)OUW$KFG-3|%Pb1b%PffpH;VMZGDWF5 z`N<&FU><ID#bqUx1*wG1OD-xaPASbTAY^ZDYA!=&ab|9AE<<iH$bQf)6{9q>^#maX zhCn6;hMtFi{vQ!yV0iQF&;K_<3=Dss{rSHJ#DD(hKZ`H}gUri6{~d%G7}mV}^S?%z zfnm<8KmT_KGccTa_2>T?ko@aE|6d3*Fyy@c^Pfe8f#J;SKmQd(7#MWk{P}Mq!oX1T z_Rs$g5e9~wcYpq00m;Ar^It)ffkEfPpZ_+Z3=BPA{`}7nWnjqp`se=!Q3eK{Z-4&( z5oKVQ^X<=n4>1M?o$r7CPZ48aIP?9_{|90W3^70c{MQj@V0iQ6&;JT>28NoSfBu8! zMtFYx`7a>Bz%b|6pZ_ru3=BHI|NLJe!NBn6_n-e?Bp4XZ{Q2|WL6U*t4#VI7OC%W> z)-eA4|3Q+0p@!-2e;X+V1|R0X|2w1@7-U%f{=Xu{!0?9U?|%hp28KPXfB)x5GceS! z{rwMGRb|8e_dkma1H&8kzyB>{7#Msw|NbwKVPKfU`S*VhNSyQU{}nO}3^rVU{~wWI zVCdoc`~QIq1H&DzzyJTpFfizF|NSo^%fL{>{rA6#ECYiN&)@%3WEmKEc>n(YBFn&V zhWGFP5IF{hHzI%k?~r3)_#^uFzl1yk!yd7}|10Df7;41-{=Xs5z+fZs_rHY#1H&JQ zzyD__Ffi<q{QDoY@}WlR@Bauz1_m4HzyEhAGBEs+{`+4-iGg8{%-{bNN(>A&vVZ^I zP-0-Pk^B4KLYaZ#kKEt?Gn5$^_Q?PJ|3jI9p+@2F{|FTZ1{=k{|97Y`F#J*c`(Hwp zfuTp~@Bb841_l}BzyCL=GBB)B{`>!rDg%QJ=pX<!28J^#fB#QWV_=9;{rmrc8Uw={ z)xZCB)EO9R)c*djP-kG^QUCk@h<q9QD8d1vD5KbTt0{kI`UY*rW0H{|XHT1{=-4 z|G$9vnt%U0XfiO^X#M@)qshRqN9*tZ8z8>+-~TFF3=Dg;|NaN9kg?JE`+pCJuk-gm zhc*L)jqcz7A=(TKdvyQ)UjX9k{r$fK#Mk@#|AICH!yUc9|KDgcF!1RA{SR8ewMYN& ze+3-|hCBLy|J&#=FvuAE{SR7Zw#VS_{{kHb1|Gw||9f;87;+5%{s%33J7f6w{}vqv z1|6fn|3T~LdW`=5zoWyz@W$xxf6!7qALGCOS#%i~))@c&4_ej7WAgXEjxGbk8<W5P zdvqBX=9vEd{|2;@&+PAiA3X*J9`nEd*XS`Y>@olQpGTj8A;;qH{~Ub=1{=%2|Ig?% zFz{IY{jX!dz_7>a@BbbH28JB#zyIGDFfhp2{Qd7^$iQ&M=I{SCh71ffwtxTg7%?#D z*!}&VW5mF4$L{a{Ge!&ybL{{A*D+>bh;jJ)zsHz?fyeRh|2M`A40{~^{`WCqVCZrB z`+toI1H&GtzyA-IFfhDv`uqQe2?K+U^WXm;K=RIi{|lHhFuZa8``^HnfkDUR?|&Cl z28JG&zyDKA85qvE{QX~H%D}+m`uBg2DFZ`|>)-!tK<Zup{yzg!@Amiq15*Zu9Jjy! zznC&Gta1DMpTmrS;f>qh|1xF_3^DG1|C^XGFr0D!``^cmfx*V(@Bb7t28J~rfB)B* zF)+w@{{272jDcZK;NSljK<a}2{ueN3VCV_{``^Nxfx#!_@BajI1_qwczyCYT85qul z{{6oJBp>$o{|$2nhBx7V|Fc*yFszCA`(MX`fgvaI@Ba`B1_qs|zyE727#Q9}{r$fH zBp?0v{|S(M%-{bXK=QGF|4UdhFuaNV``^KmfuSei@Bb1@1_qzRzyIf0GBEHY{r!K$ zl7S&7_3wWjD+Y!;>3{z(uwr1ClkxZe2`dH$o6NueKUgs^@Z|jcpJC0wa3=Tf|0&iC z40{Uy{=Z<&!0@K%?|&8>28K1ofB);)Ffi~`{{270hJoQs<=_7YY#11Ns{a0eVZ*@S zQ~me9fGq<<&Yr*jZ`d+0+&T95KaU*)!<yrN|LfQ>Fw~s*`#%80Kl%56jU5BSol}4R zudria;5qa6{}nq12Ai{g|1;P#Fz{UX`@hDXf#J-BzyIghGce>_`uqO^h=1kpe-;M@ zhBMdy{<m;oV34`>_kWH91H+wLfB#Q$U|^VY`|tlf4h#%DcmMu>0iy5y{V(9i!0_h5 z-~Tp_3=BPw{{GK!WMJ6y=<ojqkoc3o|F<|YFsym{_x~M71_qmFfB&;Mf$EdL|8<-g z81_8>`#;2qfkEcQ-~TyI3=C&p{QbWH#DDqs{}Crp{qpz!8z%;aIj{fzmv9Ev8-M@1 zI5RM;dH?r+g);-goA-bJ_c${!$b9(we}OXtgU^S*|F?kDefazT1W4V7zyI$zGcerw z@b~`*kh)KQ|Est#Fw}hd``^Zefg$Ge-~Smd3=DTZ|NY<K!oZ;O<?sI)Ao(wU|8H<% zU|93@@Bb$t@o#_s{{e}A`}<$Sm4V^Rx4-{&Tp1YteE<7D!Igob=EvXv6(I2+fB#Q# zWnhr``S<?{R|W>3Uw{AKaRt>sfB%1RWng&o>+gRaHwK0^zyJO>absZk^ZW1r1UCkT zJAeNE-{8i;Q1kci{|jym3}^oS{r|>|fkEfr-~SBm3=BR0{{9znXJB~q@9%#NcLoNZ z|9}76xHB;5@c;YY<IccP!~gI93Xr_)zyCkn85m-$|NZyyU|?8d{qKK@2LnS--M{}V zo(v3s>i_*W@MK`fY5ey;#*=|Tr|IASJ)R5<F-`yeUjWg~|NejQWMG)n{O>=D7Xt%N z>%adFptWEf|Nhr_F);LW{QEz_i-F-!$G`tOycihvbpHD<;myEM)AjFvg*OAkny!EU zd%PJKV!HqRU*gTcz|-^Z{~m7!hMeAi|9^NhFx=_=_g}z=fniPGzyCTu3=D7j{{43V z@%#V%U*N;QkTc=me+FL$2Azrj{wMe{Fua-g@BaZ`28K10{{2_*V_?Xc{O^B*9|MEV zlz;yp_%SfNney+ygFgeqnyLT(FYsqz$eH%<KWN}YXZpYY2>}cYZ>InIe;|N?Va<$x z{}lom7;0Ak`(F^qz;I^uzyCdf3=B5A{{6ob$iN`;_uv1TAO?mzfB*fz6U4wU=ik5o zHo*)GG5`PlpA*c$Aj9zg|DRw`JK_KTm=FerIgJ1R?+IaGh++EwUnZ1+L5BJN|C&$+ zhC9sv|KAB^V3@=5|G!Nb149h!|NnEs7#L*O{{R0I#=vlg?f?Ina0Z4s?EnAo31?u4 z;rRbwCW3)MhV%dbng|AlJDmUj--%#gn8Wq|zfB|qLk#!-|8pW47-V?<|Nj%oz;K7> z|NodM28KDj|NrlaVql2j`~P1int?%v|NsA*Xa<Hm{Qv*oiDqD!Bk=#fO$-A=jNt$O zb7B}6WQ6|z{}aQ&a7XC>|Cm??hB?Cj|L=)qV2Ba<|6eAKfk8&}|Noje28KJL|Nq~K zV_=vg_W!?4JOe|F`2YWN;u#oZB>w;Z6VJeKN8<ngm;?rfIg<bX?@3@_h>`mLUnY@( zK}P!j|C&SwhC9;#|KCYuV3;HG|G!NV14E4L|NnE67#L*a{{R1z#K3Sz?*IRoWCn&g z^8f$uNoHV(QTYE~CWV1PM)CjuniK|xJBt7R-$`L$n4|RnzfCFwLyYqO|8r6q7-Urb z|NoQ9z;H+9|NodY28KDR|NrkvV_=9;`~P1ioq<6{{r~@(bOwey>i_@WNoQb~qw)X0 zO$Gx)jOPFUb21nhWVHVO|C7PMa7XL^|Cme$hB?~*|L@6UV2IKA|6eAHfk8(1|NojS z28KJj|Nq~~Vqln~_y505HUmS9{{R1TvO(>D|NsAFGcepS`2Rm9hk;>^;s5`8au^t5 zjQ;<Z$z@=WG5-I*CYOQXj`9EhcXAmR=9v8dZ<EKs5M%oP|C~Gq1{t&e|NrDMFx)Zw z|34<5fnkpM|NndP85m+L{{NRLU|^83{QtkEfPvwT<^TV83K$sXSpENRQwYk(|NqY^ z1l8~V|NkjuV7O!R|9?yo1H&BK|Nr+CF)+l~{r@jh%)lUH|NnnYF$2RL`~Uy%6f-c) zarpn=ri6hZ#_|9EIVB7XGEV>h|0!W$xa0Kye@rO@!yM=T|M!$KFvPh0|1VR<z#!xL z|9?#x1H&EH|NrllF)++=`~Tmj98@3w|39akfkDRO|NlSb3=DTX{{N4uU|^Wz`Tzf( z3I>K4umAsLDj67Ly#N2NsbpZd<Ng2tok|9VIX?gY+f*?y#Q6UIKc|X;LB{X@|36g> z40rtg|BtC=V3_0o|Now928Nh`|Nmub7#L&%|NpP4VPLou`2YW%8U}_rLI3~T)G{!{ z1pog(r<Q?1CglJBKeY@DcS8REkEvr|m=pT{|DHMqhM2Jb|7GeK7-Yi#|F5ZMV7L?h z|NotO28KBi|Nq-GFfhbK{{KIxfq_9L>i_>g4Gau-qW=GnX=GrS6aD}Ho<;_Sn3(_n zWttcmWMcpSuW4dnxD)&T|D7fVhB<Nn|JyV(FvP_F|39ahfk7tW|NlSD3=DS?{{N3@ zVPKe(`2YW&76yixr2qeAS{WE*lK=m&X=Pxzll=exomK{hIVu1D+q5w-#H9ZJKc|g> zK_>10|37UE40qE0|Bq>BV3?Es|NowL28Ni7|Nmt=7#L(S|NpP)U|_hD`Tzf&4hDuf zS^xjrbTTl+WdHv^r;~v}Cg=bEKb;H=cXIy!kLhAyn3Mbe|DG-ehM2tn|7E%v7-aJQ z|F7w0V7Qb2|NotC28KBW|Nq<cFfhav{{KIxhk-$+=>PveJq!$YivItP>1AM;Q~dw` zo?Zrqn3DhhW%?KxWJ>@4ujyl8xKsN7|D8SthB;;b|J(F4FvOJq|39anfkCF?|NlSz z3=DTF{{N4ez`!u4^8f!m6Brm`s{a3%naIE(Q~m#c%|r%<JJtXH-<in3FsJ7Kf161R z3^BF;|IeAkz#voi|Noy!3=DVb{{N4e%)l_G{{R0ylNlId8vg&6nZm#z)A;{?%@hWP zJB|PU-<iU|FsJGNf19Zc3^C3B|IeAqz#!A||Noz<3=DT#{{N4e#=tPA_5c4p(-;_H z+W!BSna;o<)BgW|&2$EaJMI7f-<i(9FsI}Hf14Q$3^ASm|IeAhz#!B0|NoyE3=DU= z{{N4e$-pqD`~UwvGZ`3Sdj9{HnZ>{$)BFE_%`8y;^#A{zSquzw`u_j7na#iu)Bpef zoY@QvG86v)|1+C`;m(Br|6}GbFwB|w|Novj3=A=o{{NSm%fKKr`Tzf#xeN?<Cjb9` zXD$Q7oGJhR+stENh?)BT|D1UY3^LRH|Nk?Of#J@y|Nmp=Gce4V{{R1;`3wv(GyeaV zS-`*`GxPudngt9DcV_<oe`f&$!<<?F|Jy8NV2GLh|NoqY3=A@J{{R28kb&XOod5r0 z7BMi)nfw3$o<$4{G4uZams!lfAT$5}|C+@N40q=L|9@vO1H+sJ|Nq-8VPJ?^`2YW$ zB@7HQi~j%rvxI@+&Z7VSW0o>7%vt>Z|DL4`3^7ao|Cd?Dz#y~q|Nok03=DUc{{Mex z83V(dW&i)%EN5VdS^oe3oaGD*GAsW7|FfKd;m(Tx|6^7#Fw9x`|Nov93=A=={{NR* z$-p49`v3o$l?)7bR{#HhXC(u}oHhUd+pJ<>h*|sp|D06}3^MEf|Npa!f#J@&|NmoF zGce3q|NsA<)eH<V8~*>7S;N2}v+@7`nl%gzcQ*e2e`gH?!<<e3|J$r(V2IiL|Noq| z3=A?`{{R28mVx2UmjC}_)-f>5+4}$go^=ciG28zCms!uiAhZ4d|C;p-40pEw|9@va z1H+sh|Nq-;U|@*Z`Tzf%4Gau2yZ-<Gvw?x(&aVIeV>U7{%-Q|_|DKHu3^9BD|Cias zz#y~t|Nojz3=DVn{{Mex69dDXegFU4Y-V7H+5i9loXrdjG6(+u|FfBa;m(2o|6{f= zFw8ml|NovX3=A=c{{NTR%D^CV`2YW!tqcrz4*&muXDb84oFo7L+iYWCh&lTI|D0_M z3^K?5|NpZM)L#GpKV~}v!<^&)|L@t(zz}od|9_bs3=A?S|NpPq!N73m<p2M7b}%r^ zIraa)%}xe}nA89N&)LbqAamyb|35n!819_;|379I1H+uN|NrmV#lR4A?*D(8-3$ye z=l}n&+0DRk=luWwcXl%{%(?LYzs(*7hM0^0|IgXOz#wz!|NlRG7#Qwc`u{&>F9XAz z%m4rH*~`EXbLIbknSBflGFSiqui3}IaOdj(|9AE=FwD93|G&+C28NjH|Nqa~&%hva z<NyCZ`xzMS-1z@L<^Ti3oSXmu?>WH05OeGQf0=^}3^KR>|F1d7z!3A`|Nj{W85nFH z{{O$_AOpjmhyVZIImp1^^XUJ7jzbI#cOL!!Z*Yi#!T0I^|2c;k7}}ry|KD+lfnmYZ z|Nj>pVqge<{{KJ2VFrfk=l}o99A;n;0S!(uFfcGy1u-yI2rx?XuycUsFF@j;c{7hI zfBvh1)VQ#Nr`c2(7#K_#7#I$m`}02mH2KXZ;KnE6#m`;N(ZFCYWvykb0@?usHWxI1 z_T%!O{~RFwPz>6*QNqB$@Zidy|KNEgCq4luJ_#p21t&fYM?QmQwjS1AmOkcwCZ>CQ z8em}wuo4ai29Vwj3=9lcuKxMo4>HdYrq_{A!=3K{V>4?XOFwfP6H_(FECsN+0*-tj z`$2l&FfcG^TqE6HkjFuDP<O8V`49FS0|NtSj?spZf#JusKmWn=h>m;$%}h*QAj?2< zpgAa=>)7Q=7#SE6uK)QT4D~}Z6O%7YA1LgXV3G4+0F9k7Fub__=f68>J_j5qpm1{p z`PHDAwFeSv6G2?4*PZw{T=@)`rlATkfXo8T1--fP=l=_k8*rJGjH(f2Rw}9x*en+& z28IbY|NIZf=dLtVjUclwq6&e-6f_sL<Q8VwfaY%3FflN^xb^3M0%*Yk#LbR;3e8}D zGY7GNQjvfY9|waA0|NtSj!EYJpZ|A3{)49zCs2|yaOAUa<a20d?_ukOC78n?Ap@{9 zH0&JtI6&c~z|6p~<^G@l;5k`jbD(Jzk>KEI)e#)(jv%X0&B|eBVBmQ0=YJ2nn^4Wl zN7d=dXMn}59iWXfR5FW&g@Iwh1M2uOfQ5nK36<P6hlPPb;~{mz>jet~Lk^Y9GGS$4 z*gz$-8dw<^zEH`mGpq~@7LTYCUJ`5!3^kAb{6C66t*#&@t)_tX4nL;cEYLcP4Qvby zEsy{F=L3}i$obI;lugj{HS<$uY#G~?&%p(oIA}K<0|SEyI|GBkbIRQdDnAm~85p)u z$*eW(3=9@8sN=35><kQhsAQH42Lpq{OX|350tW-b5h|H=hl7E^<JF)4pxr(U$Y}+& zyq!Z#8rI-sVAw+ivq1BuC7cWl2Cx78Ukb`wPJ9B6d=j8~jJc1AX(EUTs>EFR6kK3z zP<v|yCj-Np*MI(l*Rr7Mc?(nL%BR2-2jf87C=WOp7_Pke^WPt27o?5i32STo;bdUo zc#B<5f{TH{28Wyp7Xw294!Hm>28Ja#<Z`$e7;fN@>)>Kw;CYAX7El{<2^Rx{!@EEK zk3jtZZr6d@i&*nK(`;;68&{SGna{$_z_8)npZ}YQGrx;e^HaDP7zEza#(Yqjc!ZmQ zp#U_$3tG5|oX)X_&p~YNcjR+mwqnC3!@vLvBMlw~2Aywz{<DMHc$jv$@CBf>r<Pzd z$&oLh8k;!C{0<%lhCAPA6GkBOU+^$6*nFp*`5wFs40FE!`M;mIG&BucIN(d8D|kV3 zA+&Qp2OngPpH_JwgO7n>&kx$UAG9o8<|pmKUxOdA=7Cn>)4|WcaOWrO!si7)1B1;k z+L`Ym0GflNUHGpMU|{$|L-%tCGBCvard{}C2r@A2p`rOF1Q{4){?N|-8bS;VH8e6G z)K2aY0?pC>`43(bja<K=w$+M>X{%ikVqj4Ci#|q#7+-?+*^7uVOF@`{A%#k2<p?t{ zte}!vptWFcsALvs{g}Z&>V#K-2m?b2mCTwW!oaYDN@l$fVPN<}C9_OK85kV?QzyI{ zL>U-bsASd|Q3i$+R5D9K40M3d-~ZJp?HSluBQyuaAr0U`M!j56MHs;2gDGMR3=Ry` zF>8Yu149Xw%=#n7z_5Z!W_gH%)-F)Tk5j}M7z7xpV;1Pl3KuGwr6a+>P(dZLDkMPT z+0^ml5eWu{2UKtuXwE}Gl7T^l>F@taXu4-A=i>m0Ye3hmB8!8@`9W)2pD_LXF9%iM z%oG9YUW4}}#ehziVfy<YHfI2uPbrXOU|?bX`+qr9y$hd0GZWJS&>#Q@$h--X3=C74 zv75I>l7V3c^WXo5AiWHrH8Tth2P7F7jxhiIKNlqC$R_|XZyMaZ2a*g7E-Zil`$FZL znV8%`4g}3<{E=i}s9^c~KN=**0NF<+AjQCN1Wimuih)6Z6;+*u6lm=Ys+f-y1H%e5 zbqP`o3}4X1N~9PV9N19xg4O`{Ac=wcY;&X-7;dor{eKVSKIAq5_I~YFQu|bYq!<_? z*#G`tMV$GKq?#Wi&A_mNhUV{)W?=Zi{`WulAREHrGm%vH%g8V=L~zh9d}?GE7*^2G z{5vuX3_m#j{y#xn{LUved~9SH7&16%XZ{>n28J7)v<v?~vJ4CwT(mPkMvj4@f`;br zkz-&uK|}Lp<QW(wxM>$YHS!D$88kHijyway4jP(oqrkwx!9%<9XO02`Lk11a|D(Xb zaDs<+@f)Maz#zd(JM;G_GB9ND(x&_YwGU*J7#MEwBHAyYc94M*1H%{IzyI~2Woj-T z2Y9WAhY|w=58vPaR#5Q@J`M&@dnZAOfx(3D@BdJ!IHY~z2X3E$<QtS27)o%+gWRw{ ziGg7Y-{1eXApOub&lV*Hh6j9q|AY5y!`nQ8U^78_t|&1uDDWfnfW%%XF);WbiGl3? zqr|{a!T<MvF34=SIcZ>XK=Lxm3=Ai5$b-zZQD$I}5J0sjK$(HT1x+kPnSr4IO{_v0 zw1)Waf6&35AnQS4+XJ#s;P3xzkbonf08=KU?YTsmfk8p=@Ba*#cp5}}k1_*81s3ru z$_xw_1ToEdqs+jdA%rRpS{K8j!obiV^!NXK&_Wr=x(X*g1z2CN2eQ7Rp986D2U=yp zzyNZ)g9-z~6RE%dIT;xk7$ABbK~q--&0uXzl^}zlD|kR_K8lg}ptVE|Dhv!;r2j(3 z%~9vIw!@~fK<ZYgFfjPY{DsWXpsHJqtnLEHJw&Mcp#s^H1s>1EW1fO41A~YhetSV{ zQ#?R>v*iB%2k$v|1SKH}7e0q(<~Ang5Js>AA?uJpekoC9V0a*p-%OCYIjRf{6$*sa z9Z_Xq5KzRgPJscmH;jQ{h2r1;TcGI(k{6eN9LwRzr@#QRQ$US@!9|I1d|0S4Fx*kX zZzssS1T_YR5@o{Xb*M2g@TlN74>WhWL5+c7iOS#qlR$gBA#Gl8dJ6!hw>Bo`d?r`| zbL8W2<O=|~;fWdpgMd0=H}I%4Fsx9=?*`D>4<_mi3=SH9|AY5?L)-vf{G$Qsm$>jX zFd|QeF*7jpbuc>eO<;85o5ASBw}8=!Zv~?h-v&lcz6QopY^_cPh5`oAo;?Nz3+=!E zvk`uU*yG4&;KDb75wungIubL5Zw6xq-vUNYz6p#uP+LIkY$Wk?z8Q>eP&G^^K+7c% z>+8UY6BJgUJ%T2>gu}{0gMs0U?%)5jKxqjz|4afWcPBm$&^o^a4F(1my}$ntg8Tp} zA0TB5XvPq>X6-v)gcDza6JLfCUx5=}g%e)`qbFZLJzs<mUqB9DL<V1iCtpB1UxXW& zQN$PF&KFS27ZD2OYB+*c4?+tW&>BC``Y#OzhB*d*|8IwuOOAXJE_?>f%zaGEYasa% zQig%cKTQS(1EatH-+}huqq?yGwyusjjS02<bL1-krAN@7$tR|N|AWt_fanFMM`-!S zEXN2-j}ZGnVO*lg!0^Bfe>ww|^>Z{C7%I&F{*Pt_9X^Y+))5?bkeFxgW#WrS<x6k` z2c9Qi0MlVqKC=QNssNKal1Je24mw;s#|FQfKyC*eW6okrIDLRlN}pqk-#pNKKIjy7 z6T83vB^{Cd2wsQ>TBqm2SAde0?y~SzIPx_xI`MTdI`K_lbc3h$0_F=$*s_u%UqLZn zg&R0Y6)-R5ge9*6W-(oacpf8PMH*iNqa)N@PriaGhzQIkP~HXQ9$4OW<J-aL#CL$v z6Os-<C-V2y{r#T?I=%`i9e~TFekSH{F4S}YYG2f7GccU!`1}7bC=bEgAA7*%F{r$r zqs_oj(fRlPSrqwQV0ln^4XSrQR~M*s{r#_qna;(K(>X{jXdkXd_uv02m}(`_)k=W& z-1ZQs)<uVb!JwD0TF`kopgq1OebDj%x$Jc0GjQkIfK;Y2vob=1*op51qZ8i+Mkl@- zj81$H7(MwmFfu*BCpizJbOVL=8yyCQjtPJNgU`u<hxbl!c!KgWk1hj)#>Bt>=Rw2A z2~?0NfU0qDTZXw6IWIf%Ie_$l?jM;l>F@s#Q27S&4><ojK<guE)cOdlr$m>5;lPx? z|3Bf-vjdBs6}k)zJ=6dG2d%Y*u4O{8hZ{`~D6ihpWnfq_^Y8yXp!9=UMsERm4YbY( zq>e?8fx%(c-~U@N)vbl81En7wJqCswv;O|igoY1NnHq<jen4hM=z;cB{DmA70kIoe z{xW?*HnTyGf#J)XzyEK6!U9Dd^Fbz<LPtIWko};2+bib({XZGg{tjgOL2c<9Ao~~o z{SS&GP<Z9>ae&XN_@KwYV6yP<e=VqeusDX5*NFH+l-DZy3=9T~@t0*FKl|u2Fq~NY z_kSy9IM%|v0#a83GH(g~@CK=y12S*P-~Zb%&07aE59HS)`V0&SOaJ}{pJN5;Hw5r; zfX=wOqtC#wV(H)iYoPie>!uv}G(brjR`&+*c{uR}c=9>aAyo?yzk~WX3I+@eBFp~% z_Xe54zyNL|niw!J1T6dezXK!&i8I*xwFa2GK=Bo0z`(F$+28-KK=B1@6DNS%#1A3y z1+IP=Kx#V-7#M0+{QZ9sS#1DV?FnSHp!nQkz`$Uz>hFI89C4@!&kG2Dyf9#3&{=~R zI|upgj{yTi#2RQ{7B>EE$iPst=I?(Ukl&zUDuxUU6VSvg3>g^Kpy>sj4{!oW4CK}X zLk5N?YySQ>h58GY-oW)j8xykzyi9?F1t>nJ7&0(?So`;X2*?ef_zdFX0EH3g+yRSq zfB%Ee?*f-!2H>p&7H)hFo_q#OW#EzwvZv#QAp^skb$|bZ&mIGrQ32^QelTQU2wDFZ zz8(;?^8jQghY<rq51Kg0{R&153`f@g{SQ8K3li6`d>q3Evv?;g)**I>7%?y$*nnv_ z=$r(NjhJ?~7%?y;poxR*o@2zoFk>TWc3&`JV3@M$FMQsTsS@Jv7e)*We>P#-&0x&H zV6yoyd=CecA0G$EZV6)shMdi$+3jP@z>u*8(~cBl28IP_;-LIpW6Z#CV++=DWjQQF zLGixCn1P{S>)-#NeGP~{HmHK>XR3nldSGDiVPIgmV9dZUW$WMncR*<e(hh;Ow;f<@ zS*9i6JnsZ<b-O_ujt+hp`5#ngDwr@ZsBFVMzvN)Tz_4T+_W7k469$GG+fe6u3P9#< zM-^)^VPGgg6Pp1#BLhhc<Tuc{85}$Q{=WvgBLPy^Li$6HewRDn0%nxPVi7y`2H^r` z$o`!_CJYQSj$^tVWS0c!tc~M;|EGeEJH=+#0n|R;XI|`ffzEIPnU?`NqvPt||Jkxw z+qv$16WCDPex4J%c@x+mZr=eq1LQNN+vkAxN0~A(IDG#5|B@39yBbi#se^;BgV~X9 z0<$My12c0rBQoz93Qvy%yQ><QnT?r|wUwi2dk^Bd@`2831f}N^GX{nR(|`YSL1iLJ znZld|DeEESHc0IPGX{nuW`xy(<_pi5F)(mg{G-Wy7^uF`F=t@Nu=w{Mc|O;{oPnXm z;@|%-p!^0dlR@*jZ^0!oNDt_&loZQ<|BXQM43Ir+CFTqa6D<Gz2cI{HoJT=xomhLo zjZLOIsM*nx&j7Oj&76VZh}FOU_MpBhJYB--bZDPYj~Pibq+S8J<&8N5!wK7e@cCm< z83Z!)i|xPvi6Hku;sREehr-GrP}pi%FfiEI(I#v`=66^yFwCKm`5?c)uwY>LV~2=4 zP@VV3f`LK8{vUiUo@ptht`M<gVAy~r4jL!Wv1DNIaQOE>8k%llbvd}L-_OL%!N}*~ z2yRPNp>*~@c4dIhu5tW_GKMPwI)l-Yf#HbbzyBve;SX7#e;77D4?6dz!U+*ypm5q? z$-uD0iFWZIV8y_|;!Hd93#=FzQfO%Y1uF)IBQ!MMz?y+U#f5g^Gr^jHp@oL#f3Rj? zctS(-18f)=TwG}vJ{xQp7?#k`e9+lXEN--Oe}OFnLkbPezhKM2aD;~D8`v>0sJPQ6 zd_e7_33dz&E$;vR9|9fb46ond<ALscA5hyaCvmh$Ko`q_%GU>W3=Aw$|1j1+f$aKY z$G~6_^^YoTJW#vK$DV;9BIDnnY<Gd`jyLuU3^P{IChkDy`#3N#{Gg%vYaAFDB39GR z{XC8g3_ECOevTspgTxxzx&MqK149K3%?F()cY}uJ_c$>ySgfU8_<+v2n?XbKeViE> ze$deTHO>qS5$k9dK0GcA3_ECOevS(RgT#8;x&Mp{149K3%?F)Fc!P%K_qZ}JSZttO z_`GptV3<Ke^L^YH7=F;u{55V23=tb?7d|}h3=BJHXnu}61B1jS+PVLXI|D-n4b9i_ zU|_gGL-Tt)7#J)z(=L48crY-`prQFbo(v2>XlVW#PX>mFEwl?C9xn!l9W*pQ$BThM zVk_<3f5wY}p@N3y>v%IT+@PWPpmSX<w$Uzp-gq-G%%Gw9K0XW#KWJ$F8XpFRi0!ls zA0A%@h8;9CKgXAWL1G8(+<(TGfuVwi=Ii(|Fx;S_`8|FN3>G_S7d~(N7#L>I(0m_% z28JIrG=Ggh14G0v+Jz5K00YAg8k(OIz`!7}n|AI$6TrYwK|}L(0vQ-?(9nF)dD#|w zXcs<j0vQ-)(9nFJAO?mXG&Fxr5CcQRUfP8ZPcQ?+4jP)D6U@LMv5$7{KNHNrP(efU zbwU^zZqU&Do)88Gi~Y0<pEn^43^QnGzE3Cv!w(vozb2G{A>sh-!iOh}fnf&?&CdyA zV30USJNKUnV_>MDq4_%D3=B7DXns#P1B1mO+J(=Xa0Z4MG&J8Qf`Q=&4b5K@!N3r4 zn0DdA6Uo4^gNEkkL^3c)9HE{2&qOjXRM60TohSx|8#FY(CyIf=;wbIH=S>s?!wedl z?-R|y@PmftuZd=0h&V>O@ZpJJVAw%J^K)Vt7$lC<&i!X%7#J#OXueJ?1H%m(n%@)4 zz+iELcH#3TmVsdg4bAt7V_^6}L-W_fF)&1&q+R&%#4|ALprQFW@eB+Sr)cN?Gw}=z z6*M$oCxL<C1`W;cNnl{GI8D3od6U4vFoTBX`y?_j{Gg%vYZ4h4BF@k*e0Y)=7<SOm z{G22P28pw@bN`tn28Ie6ny-`0z;J_x=JzBsFj$<UUHH67W?+~>L-T!77#M!g(EK$i z3=9$HX%{{`sSFG|XlQ;;Dg%SW1=_j)OezCI1r5#DNn>ERK|}L<(ij*lF48W1-lQ=w z%%Gw9KIse$KWJ$Fnsf$+h)c8!AD#>bh8;9CKPQ8MLE<v)+<zv6fuVwi=IdlKFx;S_ z`8}Bo3>H^t7d~$?85m~J(0rdP28JIrG=EJN14G1B+Jz5KHUq;B8k(Pz&A=dWjdt!o zlg+?TK|}L(au^tH(9ryz90mr9>$D4>H#rOpGiYeOPc8$)4;q@kCYOOB;s)))hbNDL zVFwM(&&gw8khn=Z_n*mQV5p#>`8xRw3^!<KeosCFgT*b{h0mLO28J0lG~cIyf#C-Y z&0kZ%zz}hpcHzTQ$iT3JhUVuKGB8Nop`H8B6f!VW(9nFHA_j&VG&H}bh=IZ4F73kS zO%Vgb3>up6Q_R5dgNEj>DP~}ZxJSG2;VEHY*g-?{b4nN(B<|DB{bx!T7%FIJzD_9v z!wnjm-&4xKVDW%<;q#`Hfnf#>&G#u|VE92p^VgIyFho40UHI^nGcfF+q4_!G3=9&F zXy^Vj<qQlJG&EnQf`Q=%4bAVVU|_I#OuO)TQ^CM6gNEk&R5CF9prQF|Dj66ep3p9Q zc&Zo}cF@rLoGJzeiKn!4|CuTVh6);*uT#yyaD#^C_f#`5SUjU$_`IoRV3<Ke^L=U< z7=F;u{53TU3=z+17d||-3=BJHXnsyD1B1j1+PVKsEdxUZ4b9i7V_>*JL-Tv;7#J*G z(k^`7)G;v3prQFb^$ZL@XlVYLdIpAwSF{Tso(2Yn9W*pQr-6Y%;x+Buf2M(fp@N3y z>ohVj+@PWPJ&g<u7H?=5K5rTs7-rDWe4i!;h95LEe@znuL&RI!g%3|N1H%p)nxE6m zz##FCcJ4pZ%)n4VL-Tc77#MEQ(EOej1_q1wv<sg%Ees4ZXlTAqD+9w18k)bRm4PAR z1MR|xr;UMO2Mx{7X=7lJ_((hVpJ`)YsGy<wI_(S$H)v>nPdfvH#V6W@&zp7zh8Z+8 z-=~9t;Rg-PU(><B5b>FI;ltC(z_5dc=I3-WFi3o%o%_#pGB8xo(0rXP28J6nG{2{d zfx+S{?ZW3x7X!l#8k+CZ&A{-3hUTy7W?+c;M!WFg>0w~lK|}L%dKefazSGYAXL=YI zDrjiFPA>z)4H}x?)62kM@q>2Z^QM=9VFnG&_vvF`_(4PS*Yq(kMEs;(`0(^IFzleA z`8oXz3=+R+=l(PO3=9=CG+$=|1H%m(n%^^lfx+T8?ZW5H1O|o~G&J95A_Kz@8k)am zA_GIjAKHZv&m;zh9W*pQXA%R0#9!LE|I8!?h6);*uQQo};RX%O@0rZNVDXQ3;qzuP z1H%j&n(s4(f#C-Y&0jNxfg$2Q?ZSs=Dg(m~8k(Oo6?At0f0~?64?2JK%v1)33I^Jl zuQQE-;RX%O@0rHHV8KW`_rICOz%YY`=KD-%VE92p^VdvgV2EI%UHI_KU|`rmL-TWH zFfd3k)6V^8W-u^R(9nFHnG6g!XlQ=VOa=xE7TSf+o0$v@GiYeO&nyOpA2c+7%`66n z2v*vK56^4{h8;9CKW8=rg9IDx+<#^^149K3&DWX3z;J_x=J(8DV6b4PUHH72!@w|u zhUWXsWnlP0L-W_nWnhTlpk4Uz%wu5KK|}L%<}olxaMI5GXXY_5RM60To%sw5H)v>n z&wK_33ohD)&zt!S3^QnGzRv;%h95LEf6W30h6rxjg%8g{28JCpG(Tq{1A_z)?c9H6 zAp=7N4b9hC#K3TahUWJyVqmb~rCs>ES;W9FgNEk&EM{Q%K|}M`EM{Pc;G<pm@GN0q z*g-?{bCxhLNbu9n{b!aiFjUace4V8X3^!<Ke$P?{1`7e&h0mL%3=A`9Xui)f28JIr zG=I%928IYh+Jz6#at4MSG&DbFIRk@)5bfN5W;p{x1r5#DS;4??gNEk!tYBcU5T;%D zyjj7(FoTBX`>bSO_(4PS*Q{h<h!CM&`0%V^VAw%J^K(`)Fi42f&i!XrF)&on(0rZM z3=B7DXnxOX1_lc;+J(=X)eH<XXlTCA8U}_RG&FzB8U}_4aoU9s&sqkC9W*pQXDtJR zgaqx}e`YNMLj?`Z*ICEFaD#^C_pD=Ju#lup_<-&Le6x;$VTR=Y|AwrPdy$)&n6+8? zI2b^39P1ev_Q?JJe;08N>vhmQte`t`Rn{{wG|2z|UjveZ+>z_Tm(a}I$HbHiyCWBL zSFz7}28J8*|Nl2b)j{ruh1}E4REoH$8)Rn1dIkm?h5!Fsp=urZBw%Ki!OR5RIlEvz z1H%%9|NjdScilto^G3QWm^qdS>Aqjc-N_(7-C57Ta8v33e=Cq3u6zQ`OeG*MF@Wx) z{jr{b;k(lR|B@g%4?ckaJ`M)Z9Fo8W28JI>|Nn0W-Ej-n6AW^=LN=d<6Q4mmNTGxi zA8Fip@NLMTxi1EWf(;A|-YWn9gTjjeY<?2hO(qNs3_Tkd7{XQl|6d7mlPjM<8<QiS zL^HDsp8}IV$b$w>pxdV%3i%Wwz(EAL*%+jF6px0$Xb6mkz-S1JhQMeDjE2C-34ww% zh+hq$G^p7I5;5flkqitlegGOj8_MU0C}Wro<?}%K3<(ehFN9*)k;V*Wf!m7=3=A)# zSipQ~Fv-Bc0i~s&^arTC43rO(|N8HLK1gT*#5e{}Qyj!U0kxP7#9?4yI02RCg7O)m z@=#}j+w34IQ4oQIq1qTEVj=DWHJd@wKiEMe1Gw!AVnMkK;vfzK0|Q4OgaH%30QD%$ z-4^B$c}@rgw;$Bbh6;hH-~S>0V}u6DKPZ0!)V%*tJ}h27K>4Sj2K|Kcona0Kn@T<6 z2Q*&LO*DhXw;PlWgVJeGx(rIULFs8wdKr}72BnWd>1$B>8I=A8rP&f8Hj6=NH7IQc zrQM)(7?e(f(q&M(4N6ag(#xRqHYj}zN?(K0&!F@-D9x4xwI52WL1{B6?FOa8pmZ9P zE`!o-P<k4aUIwMNLFr>q`Wlpe2Bp72X|`mj{ZLvBN}EAxHz*wjrPH8v8I*2=($k>y zGAO+bN*{yL*P!$>DE$pev!y`ohtg_L+6+p&LFq6kod%`LpmZCQo(83tLFsK!`WTeH z2Bn`t>2FY)Efs1%lvab%W>DG<N{2z|G$>sLr6IbBCpi)z<p8>l16dFefgA|UkPD#? z<UweIObDF-r8hun2B^LS7!6f_As$ll1SCM{2T-~o5yHO!?&vcxG_*m?eb5e}4LTrn z1C+i1r4>3M;ssFp0F>Sk2{G?O9E66bVqgeZ17QWMh0qsZ{B;n10aSbelrDgZ2dsz4 zgT@)atPNn2ApuGsfYJ&ZA>s{C`T>-7*aQ*Z05xyJaR@))0)$Su2%!}&LFflidckD~ zKj8|5Zny@a9j-%Yh8qyN;U<K3xCNmZZbRq;P+H+Wg#Q3aFL(gq7d(T|2G1e%1t`7X z6@;Jg20{mXfY1#eA+*CM2)*DtgiiPYp%s2Y=m$`m!5UJ6Z-{`<1(6WiAPPb+h=$TJ z5V|2ALI)&5=!Rqn?T`YYFF@%DsSy5#bO<ew1ECu#A+*9lh&uwHbOV$=0HqZULF5~t z^Z_WXa2O(<0Hqf|X@MgU@dhY;07^3)g@`*qX$TKNHbBKcK<R{I5V;Fb+5wsl6s|(V z55VH{4usF}1VR_MLj2|62B8JqA+&=Bgf8%e&<ngE^aXDSy}%DbC-_5XhCm3N5DcZm zAhZHByaUo8{0&e#0UEvz84z)UEC}t82ca9F^ad#X07?twL*yNxbODs!Pyi7ZD1^`- zpmaeIgns}^3lu~64kZx!0F)Leh42%g^n@}9U!WX9CqU^9Q2IdyMBD(HE(B^I`~)a{ z07@&=Lc|-ObU`(Q{{YHA&<o)=%z@A!c0y={zYu!DKL~BW1Zj{gV202N>=60@1BCtn zqZuLm2W${pfD=MHK<N)qdI1+ioPh^I7eHwTUI^bo96}3dL1;*7VPH@whO$Z^^a3bt zPzvG0$OBM*LK#Hl1C(wkhwud|AoKz#ZBPl}AAr&msv!J?Y6x9W51}0zAhbXugnj^} zH#9-`4dB6F28MtZ2wwnN?hAB5_z$4;f^G;up$9@YOoq@Cra<U`sSsLV8ic+8r6){> z@B?N*Xn~m!`T~@mFbl#Dm<^!?=0NBRP<p~#2tQyRgcg_&p)Ww`2@4>6g@q7$1C(}H z1mRzR(g}+p{0~sNVF`pUuoOZsfYJubAp8SRI$$}3e*sDdtbp>NbO3npmx18|lnz)0 zm50&+tD$@-y#QMOG;D^58*G8l51{mdtq^{|HVDnI9YSA#(hGJ#_yId1G{Y_ky#Y!m z?1u0K_CV+ZP`Y3*gm179LVtkL3-&|!0S6#712kVufaaF~4oG@#fTeRj2%mu;LLY$A z4FV9pgCK-v5Q5MLpmc*Ugzq2%p&3LW^Z_W{AO_)YsD{u5H4xgM7D9i3(i`d^{DOK2 zZO{OrKS1dXjSzl86NENshR`3N^oAA)zn~RD8?-^_4^VnTJA_}*0ig{#A@m0*y`c-j zFX)EQ20ak^1C-v-3*i^^L1=@12>k&{Z<qk#7fgiE29qH42PnN^GK60+1wtE4h0q_M z^oD5=e!+AIZ7>5ue}K{(W<vM{vmms=YzX}UN^h70;TOz>&<67$^am)tVLpUkumD0E zEQHV>p!9}C5Prd82yL(gLVtkL8<s-&1<N3`!Ey-w0ZMOJ0pS;{gwO`7AoK?)y<s(k zU$6#38?1%UAE5Mxbr62RdI)W>0YZO((i=8H_ywCFw83Tw{Q*jE*aG1fY=zJU+aUA@ zD7|4jgkP`&LL2Oa&>x`mhFuVT!EOj`um?hafYKZGLih#yAhf}L2>k&{Z#V$q7aWAp z28ST@2PnPaFoa)l1VS4eh0q_M^oC;)e!+1FZEylYe}K{(PD1zvry#V!X$buRN^dv= z;TN2R&<5uq^am)t;XH(2Z~;OaT!hdcp!9}I5PrdB2yJi$LVtkL8?Hk51=k?7!F34z z0ZMPU0pS<igwO`JAoK?)z2P>5UvLLP8{CD^AE5Mxdk}uXeF$yv078F&(i<K^_yvz3 zw83Kt{Q*jEcmm-UJcZB(&mi;%D81o1gkSIiLL0n<&>x`mhF1`N!D|R@@CHJEfYKY@ zLih#mAhf}I2>k&{Z}<S=7kq@!2A?4G2PnPaGlXC81wtEqh0q_M^oDN`e!+JLZSVs^ ze}K{(enR*KzaX^1ZwUPXN^kfB;TQac&<6h?^am)t;Xj05zyRs588AZV4^Vmo6NF#D z451BJAoK?)y@3_NFJObv2J8^}1C-vt0pS;LLTCdn2>k&{Z{UXT3wR*30WXC90HrtZ zLHGsy5ZXWhLVtkL8w4Tz0wD-(APk{DK<N!45PpFugf<X^&>x`m25|_#KmtM=NJ8ij zP<n$DgkK;Hp$%jp^am)tK^DR<kb}?$@(}t1l-{5K;TI@EXagk({Q*jEP=@deR3Nm0 zDun(3r8lTS_yy_^+CT$Be}K{(G$H%~EeLI(4WU0k=?yv%et|B8Hqe96AE5LGeF(q6 z074rWLg)`rdV>*!UtkQO4NM^P2PnP46v8hsgU|-%5c&g@-e3XY7g$1Q11kvq0ZMPM zhVTn)Ahdxkg#G}fH`qb=1@;iyzyU&kfYKWrA^ZX-2yNgDp+7+B4K5IVfh&YIaD&hv zp!5cJ2*1DsLK}EO=nqhOgBOHf;0>V-d?54(D80cK!Y}ZH&<6ex`U8~S5CGv91VU(o zAPD^dN^b~;@C!m9v_U9@{s5&nghBWP;Sky&0z!X)((caARtg%SNu_xur3!||dd7MN zx@M(du2G$liJpOpCR7;K-os9VdQmJ4AOEAvg2s~>8CV!<Al5P{KotlxFfd?KFUJ6C zQSvh!KpPJO>0@HxXZV07ZVXiqn;(E0&)~@bnj4U0@F;?ekAd6=k_!cki!#8*??GZ9 z3|jjFG9NbI01^XX&~Ozag9rm`J^&;J!l33oHur;uo3M%F@-L`)&d4Cl@WK(|PLSC! zjLjU#@PiP;lsagDLKTCCkr)}o;qwAeVTSi$|B5l7kBftbeS|>a32o;=y8{fIj0_AQ zaag$p6VDN3U{C<f3ZRWQN<r1b@(oPAHdGwe9|naN$W0bdao9LpG)RzvfdMp3A_Qe4 zQ=w4xu>K^p8^iz_P6D|TR_?>>1r0BN#9`wm^Pmym1T_aXJ_YM`_d&&B{STNq^P%Ff z@ksERDh39IHH-`lf(#N23ea%SfI4~$SUs}KA?zzS#6jI8kUL@h44A!=Opx%0)#osA zkb6PuVdGx55aSulq3U7f4otlVRNSEo5&$kx^?6`%C<loGbw5Dn!^ShA-8=?Rw*Vv# z>p#Q7AJqH?iNnT8pwkZwpk^^h95y}!i(gPP6(kND=Td<<iviSZ1c}4iYcTge1iO=; z0XFUd9Y$aPHHSdzVdDx4&<QP2GXx|KYtO>W0af!LaajKs+RbJFRjXie=(wUjG%P^X z97r72e?9>f2URB^aajEWGapoJgT<l!F_<`LxEv%78}Ed5&$F2!@e6Ag!PJAwN055h z_-ZCJd^(`&VdFG>&|+dbR2){W!^~L$6^He|Vd6WX;;?bRi%|2ALB(PH4Ve1tP;pqj z4ikR?6Nk2kVB$Za;;?=lOq`1a;$PUfvZNy<K*hk~5H>Oy1QzFKfc1}H<`f7*%$Wc! z|J9(HlA-Eh?L?UR5~w(=zY7y@g^I)4GcfUKP;uCJP(RfCWl(Y0`~WOHZ-$D)#_wVI zWj|CL*8hT;e*r8GH57^BV1@V#){lg#mxPML+C?yNEvPuG9S0M)hKj@bVK8wYs5q=& z3loopio?dKpu>O+xlnOfKL)104k`|7Z^Fd;q2jQ98BBZuR2(+$0TbT{6^D)Mz{C$h z#bM*vLC`Qd4;6>?M_}q7K*eF>hOlz!15_N=Zi1<2WP^k=Y@7imE(8^awdY~t%209G zc)20eJqA#5*mw<0y(3f{Htz-<=4bGRio?daVCrL_;;?xsSh~uDio^O>F!fbXaoD__ z64X5{P;pp05T<@ASRASdiP{1d=VyS8i^0?%g^I)apD^)jU~wb^pv-qT#F^P4;S8Hs zg5?W-s5oqV8djdmv4h$bP(zU@U8s84coWP$c2IHHxE)N~A1V$T-}i-@6%7@K&4;~% zHk;C+;;{Z9%$y3SIIN!y6YqqI!`l5Y@mXMTsAWjhNw7FSe4HFM{CWc_4jWH^ne!4R z4jm_giT{F%!^Y#G(@_kZ9FTB^_0wSLrNH7y4uLW)!Q%W3uz6ePuswqdR2(+%1FHuE zq2jRd5}5f(P;uD&RyL&j&yWumhgyb2HG{?Z8DR6n&|!0iKBzcs9tk=f!7v*n&LjX| zj{`GmAxIq5UWJZZ!rZe7Dh?YTfQcUli^Fsy=+|IzeufF@5HV1@9K>SegoGz-{1s-7 z5L6sCeghL%hKj@HBVgr^F;pDZe}t)bfr`WCBcapr41rK_*!VI`eG*h0HogKAFNTW4 z=A*uV9M8bO&;S*O%?rcwT@O?oHm?L;|Ifg{FdHfkn}>sy|0|&4u>K^>-knf!*til* z{1j9iHqQ+Uhig!ASpOHM{y9_}HlGK}r=OwXu=&(jX#0eL3lcA|c^#Pf0#I>KZxIv( zF!L3l;;{KRSieITEDlnMitTZT$KVhz0E_c8z{U??_BKMrVe2M>q1N<3#bNVruySuU zR2(+04l`#BR2(*b1ry&36^D&e!o<%(#bM*DF!B3PaoBnbf2ez2LB(P7v#@;k9V!kR ze}<XE!3_z2*f<YNToNn}H57?b1&i}Dz~;?h?I_TCJy81=Hva=N#|^3;Hctyn4?$3I z*nA=^-V>qXuz4VuIYm%$*nGYX)J^qJaoD`FJ~UnRK*bY49V-R~hEzU?hK*2h*tieO z{6kQ2*t`l%{4!J=Hhu{ce*zVU&4<9mze2@f^TW|l_b~B5!Wp(6!T?&X@<GL6<NPpl z<YD5_@k^Mv0aP5eegHO2XA2dFjlaRv`$5HF>os8E90e7J&1b>XXG6td^Ng_mQ8iQ? zHl7Sq-vbthge@|;8Z6Gw02}v$sow(?SAedgfw>2?eiD>^VDq;VpoZOms)vmm!_0XJ z6^G4#!Nh+-#bN78z<Y-n7#P@jA>j|3CxWS$fQrNB>tN!VQ1J`U4kfJow1kSo#&2Qj zy};s7M<Y=&U~zs1*t!OII}j=kTOR`JFPFi@q2uu|^V^}~u<=2d_zb8xY~2)Sd<|s% zVyHN5-U6n63s@Ya0u^5Xi}N$U=3!v!AHu|;^KUTmPf&5#{1Hr?nGX`qu=Q0i{|Z3G zVdJ+j^@>n&*m@z*cpS)Ox=?Z0ycbNp9aJ1Pz77-jhl<12J;2&gaZqvCcr;9XJ|FgW zX*X2;21iIk5~h9*SRCXsRJ<81&d&gwSB9xS0u_hNpTfkiLd9Y8?a<`K@DwTzTXzK; zX#EHkhs^`Q%wggOg)^#KKs+<BI6nhyUC=9N`Qr>02dO~C31D%42H3m_%=|*AIBeV- zCf)=Uhpi`r<=;N2IBdOE1k{?@{GjnPK?a5bNO(erHyBod)k75_QD?#8{0y*pewe-Y zpyIIgJh@PRy@HA}K-c-f(%W~aIBeY=%$>{vpm5`7fX&On%ol-*!`6Gi>NORxIFbXQ zOj{h{(O_|Y2H3g~Sh<l66^E@uftg<o7Ka*&M6Cgf^E1HKe;GjKc7nyB93<*4Se%~$ zHt!1a*E^^<Y#k1)-S`hG?yw6Ike8ewhN(cuVPNybFmp_y;;?mtY0!o3j!<#f`XpHU zCjdO23^5a#%o1c^5Wq6dTn;q{Hs1rYw*x8;Tek{pcTIwdKTn0EEAW0yP`ei_j%*`@ zy$>wT&j6cehnasKDh^wR2@9XwP;uD0xDbdj3@@PKu=Oi2bACd_Ve?`zaV{Z9dV{Se zfr(2)#bN6^q062a)S=?A^%OAm7BF$>JTFY#6Dkgy&xDCbLB(O~+hF4f=}>XlJQYlR z1ymfi?lBwc-&UwNY+V?vT%7_Fhpq>PE)Qjx4;6>4qn!`kfUp`S4jTAnV1O-CV%P%} zhpkJ4nSUB84qGPxbLSnXIBZ=qcwaFC1H*G61_qcPp-u-=Ou~@xhpm6q0gEy)@I%F6 z>qB7XE5O8|>keSzhEQ?Xx(JxK6I2|w?hBURgP`KD`COR#WT-f79TiNx1S$?&PXH5d zg^I)0%fQ5^fyJSoL83N;#rYXv^VKl*N1)=c^>VQC@CsBMw%!D${wY)(wjLBT{{*t; z6I2|w?pPO6d@%e2i-T04VtElr_`}v?!pd!JusEt(5YGWD&d&f_CkAs*AXFT--UlY0 z1QmzPKf}a}Vd7}x0G&{A*gQN;{Vb?BY~3L&d{#llVe5}!^D^7P;vg5J;<I3Jeg@b& zSXh7L7E~Ozo(pF0Yp^(~86cjrC?woq>&jv7(T9q|)>**Jae#`$)>*^U`#{BE^T9Cn zv0!nKO{lmSEY8mWTL%SG-vSkft%rr>wTV!1*m@(F`bAK2*m_i0zTOBGht2oH)E|P1 z!`2<b`W=^`;;?lNF!hhY;;3#1@&1Cv`59pA^I+=v#311bTQ3W%r(~hxuysu^^?G1& zkcp_+8!XPxkO18`0jrO~pyIH753v4tB2*l<{sv}#5mX$uo*I@;>Y?JWeH_qfNQO?R zIBeZ3EPiJ~#bN6TVCJuaio@3F!Nhk%#bN7VVB%+>;;?nFu=dFvs5oqW7)<?Js5opL z22A`POdK?Uh*Zw=i-W=+H7r3q2e3Fl18m(D%$z`|IBZ=FOgsrH4qGn_3x^`8IBcCV zY#Tr$R2;TH0G5CIpyIG~=>Z_efZ7k@3=D!y67Y2eP+^AUQ1!5Nn=tq6fQrM`m%zkN z!o;ELreNZ?pyCFhkai19{54D*x~>o={s$}$a|MEykpP7!KLc!CJgnWT2^L4FgRwlp z;`|J-^*}K5qoCpop!+<a%kCJ`pyD5(88-uJP%%^-ww@4XP772Vwk{ARJ{2YoUC#p( zUj`M2?c;&XzigI(gg^Servp&+uzez+bsiumorQ|S*7rlVF)-YOiU&aV^}zbYPoUzk z^?xw;d<BbxOhm=pl8|tQt<#5<8&Xhl*nR})vUCP@s5or@2drOj0u_g?zlGWB3KfU# zKY@jF5L6tt{tc!+87dB2M_vteZZT9`0JPu%X}oJDR2;VM8`j@k2^EK}ABTzWgo?w~ zt-|a*1r>*_4}*!{28%--fkeFoi}N$U_E*8u=Qo%*Xn_X<0|RWHf=LPz&am}&F!P0> z;;?nZFmV;AxC3<kIZWIXDh^xM4ik3;iz7J@%1j4~^D~&FK=LE_+!zK1hBBx)Y+X9c zoOY-<Y`r#2d<Ik;wlBvUYW`BFIBeemtX$m+6^E^(gqd>;CJtSn3=_W&6Nj#AhKav` zio@1{!Nh+;#S5V824Uh{(vWb5?PG(LpJGsP*g8a*dUdEcY(E_=9!;R)u=U(9^{!BH z*m_`?co<Y1wl4%`ZyHn_w$2`=z8oeFU4ID^?|_QK_SwPAnF1Aut%HZDUkVk6tv7{< zZ-a`%_MyPcIR+JntqX>!zYY_Ju7`$+zkrIv*4@Iye?r9*p!>L7pyR!4GNAB>CJrRZ z04&bW@BzAR5N3`eR2;Vd1eSgRq2jRpMX+)8Sg1H`{W{E?Jg7LV;|0s_bx?8GI&zr$ zewa9Pe;{<*2*YfcICR}CO#K?DIBXwkIJ94|4Jr=X7XVX#94Zc52MrUy0TqX>XN8Hs zgo#7<dBDVfLB(PFS3&FiK*7o?3kqj`2G~AQ=(Z>ZA*eWP9V*NmWvDo8zZI;UH-d`8 z_D{gnJ43}``)XnB2VbZ-Y@Y&5eH>K$0(74ctlZ9nio@1B!_?P9#bN7*Vd4{D;?R91 zF!6;@ao9dl=yn2zwNP=`zR+msfdxCE;;?->Fmp~p#bN8fVdA%;;vb;vQeon6pyIH7 zgr?AV{|Occ#RMuAl7qwxY+nk@9A&6DZ2dP(+ypF+Y6gf`fJ1y1Se%~$wm%ux4qplt zhwV>*nZFGx4%<Hg6F&|WhwUSRiQj;UL)S0E#9u<iVfz$d;=f?x(DnT=ac+6gI2dC6 zD#%YDEG`cXALxEf*m#8sR2;TG8D@?tRJ;MYFBQ5>jll^l4l)rHXM)A~;rr8I>97(i z4%-I^ZT2yAz{H{ZuweGi1dF4Z4dU$si}N$U_Qk-|pNERW_9?^4+uKla2WZ0wx}BNf zJyaaFp8{qMXn`?ko(Q&%9VRZQ00}qPesoy8%Rt3p`-@=eb;05w7o%bi9OAh+#4Et! z{0y-D05J1Aq2jRpjj(aWnNV@q{uG${RbX*cw}5ziz~cN2u>Ik%a617O2dO~C*Kmlx z1dH=CG(gW;fraybm^gI*COb6$@+(5Z6ShAL=1v8uIBXv_tUsj-6^HGcg|+9cpyIG~ z`7m?5q2jQ8!?5^@fQrNRdBD_Xg2hq&3gVT4#rYXv`}kn$+o9sH{W37|8DMdcPE>pb zEY8mWTh|X$e-|na+jj&Le+L#vH3P&GR)T~ZY#%4Ao>hj5!}dYJ%rSwA!}htt(uoUH z9Cm&JEPVW-;;?fBVCtj6;vg5G;$9r$$8d<>#UcI|hq$FO)W6XER4{*efyGhX0^;R> z#rYXv`^jPcs)UNe_I<+4>4J*G_Q~D@8N$H8FbgaW<sebJ!Q%W3u>II4p>ijn;;{X_ zuy)i9s5tCg3D|m)r%-X&{&ko;KS9M|=Lf*X$^S#eVdoFP@&%6yG<=}@++pTOLd9X{ z1t>!Eof=dewvQ1O4(3pC*#2r*Ip7WzhwUSRsgD4QLp_f~<$=Zd8DRU0Vd=9DDh}Jn z3p1x5Dh@mUVKUU6v!UX!a|=SC?b}>%zTjtgm<;Jp!RFsrK-I&}{eZ1g+6oni?T>`n zdkiWL+lK@bzYZ0L?JI+czkrIv_Q%4+e?rA!`#fRdT&mD`f$pP(iA#gUkpc|L^aP9Z zGr-QLfQ>(dLB(PFvS8+<L&ahHpJ3t@P;uBf0kCqi6)X<542hZn7UySx?LUT@vl1!} zJI?^R{fS{KR2;Vd52pSYR2;Tn5+;5fDh@mE1hhW{Wc_2P_yp+w0%-Gsfm00<Zm|8Z zFmt4!;;{WrFmY|DIBfqgOxy-44m;lg*01!0io?#yfVE@8pyIH7eK2#<)gbMt0O&p- zklR5x2de%8be}z}ol^r9hwYDpnbQjuhwVp&iO++I!}iO<#MeW`Vf(#d;s>DOu=7x$ z+xHpHK*eGEEn({KLd9YG+hF4FVB*kyVKDLkP;uBfA<%823_R+Pc!BL#g{hZ?io?!b zfsNa1LB(PFQeo<?q2jRp^)PWCs5tDL9$0@W5-JWmUjo){%m9mnVgeP{fW`S4VCN6O z%<qATL-*;!#OFfAVf(;g;_JZTsAhwBXTak846yyPF!gt#;;{38VCn4@R2+651gu{8 z1r;}d?&E}+!>s`gXXw5_n79m79Cq#m%p4u4IBb71Oua2s9CqFUtQ_`*io?!rfQiRK z#bNvMVdmt4#X&wt#XVqge$a)NU<p`#F#{?NJBI@%z5*%^J6~oESQi7sRt?DdjS0~G zXt4DghoS0W`)*<GxdIi3os$8}cTb?=uyaukLHAXCfQrNR&BM%L)P#gH>>L}|x@A77 z_y*{{RhW8ts5tCAtQS!CYl6k093;vPEY8mW+n)|I#~&sR9XE!J>&Ae^kqm$`+ri@e z46yT)VEKJAR2+8x7HoWAE>s+LJ`*hbS3<=vKsEp}z}gktpyIIeJYebJ7*rg#Zx!bL z>ripn`7p3?jQh}WS83=tC-gW!hG$^)P|qMy|G?t>46t)`vSlHXTw0KLft^FO9oilc zgNnn>t$?{l9V!mn9}W|@fQrM;xq%)B!{81ThwWp9sgHn)!_IMliDyE^Vdp5o#H+MG z^#bNRP9s!3Y=1RO{UoqB#E;123a~go1MFN7nEIVCap*p1nD{BEIP6>tnD}j&ICQ^0 zO#BU09Ja3<CjJ*H4m$?{CeEh~34hprW0<%+R2+658f-jJ8!V3OBM3VNEY8mWJC6Zo zPA*g&cJ2>sUqcO49CmILEI)Qa#bM{hL5~k&m;x4u*o90k0gLl9z|IYU*}D}g4m*zs zR*xKkio?#?f*$+Da1kmF+s_X(=Mh*O*+vNaKMrvP9Z+~e)F6|VU~zs1*!fqmcDox? z9CrQ#%-(RQIPAP1Sh<%D6^EUl15;lC6^EVw02A+oio?!NfQiq7io?#gfr+n%io?!_ zfr;;dio?!HgY8E+2^EL!n}?~t1r`4QJ^#uG8W_)^;;?h+pvM9-e1?j{&J}`{OU$~U z@Z@J;fSzkL18R;CR2+709<1DxgNnn>J%PDLA1V$zUjQcV02YTDibREg#rYXFK-YV~ z>fbD|IFdprvjK<rB(OL?1MFNMn7xak;;?hzpvN;YY=DZx&eedaKL{3wT82bj28;7E z!1n*c)IWiVL(jE=iGKx)BN+f?^5{Xr`2h4BL0EW7K*eF_Wx>QXz~WHNNR%~LoSy-9 zJ_XEPADB4wTpXBqELa@L04TE*EY8mWI~ND0z6~r6RfI&%1B>%Bz|OINsb3Ejhn<H7 zOIQ1$;;?gBVCpYG#bM{r!Sd-{usD*1P$r8$B-~)<Rl?NsL&ag|WWdZ<fQrM;d4mp9 zGU!6ZVdq-H%&~xq!_KROwYOcN;;{2|VCIKG#rdJ<6GM*;V~7TcgEkF7&z*%jpCJ(> z&cM$AI}Z#NK7~+m*tvQz_tZhfVdoQ`g&Nci6^ETa1#{0Fs5tB#A(;4Ds5tB#E|~Z} zs5tDrGMM-|s5tC=519CUs5tB#8JPG7s5tE09GEzx0VKX)=dr=^wIEa+cFrSgyhRQy z4)r7w<pdVzXMmj(0y94tDh@kO116pV6^ETi1rslYio?zyyaqjhx)CaV!2&W60$WeJ z3@nc15EwH!xv0cYFP|YkJ~1gXz9cc7A-SlexTG{KO)r@tCABCuJ+rtZwJ5$MH$FKh zKQFbIAwE7OKR!JtKPfRMKBXkTs5m~cw1OcyKer$!wInq~&j7oM__WNt%=pBjqQuJh z)Vz|SN`|ze#N5>Ql+xVXN{0A&Bwl=GUS<i3(vtjwoSd>;hKl0M+;~I1WQO>7w;)Gf z*LYVym-u*w_;`1}(0Er5sGx^S5JSAXkH3?nPrSdITd-?Le2Alyk83<byi25?qpznk zLrGC$UU6b_NoIatyrGGqIf{UhiLoK7fTg)PLuy58a%o9wypgeq34&u_V!)7@of%(T zl3Nm=pO=%Fmx`j*%m{^RWNe6JlA(zO+$2LY6BLz(24==^Nn;BmLx!}{yyTF?B(Qa5 zIr-`FrFq4f>3OLs@yUtBsqqz{C`c?yMaX9)=B4DM#;4^MC8x$0rRJo9lqKe+#HSQx zmZcWO7o`^G=ahoN0M%wABU2OsBSRxoxKoS_Oe|1jjSY-U8H!7j3X1a6<8$K;Q;f}x zO-$3wEsYXQEeuWKO-vFkEz>M4;tdflOU^Bb4|NGhO-WCU_X`g4FH0@TNi2ZdVQ7SE zg^{rlT+Y-S$t{K!D4~fKf|e$z{xC*0)!Z1xABN@@r~<}@NH!Umpc-y$h?Ydm&~zIb z8(YF1ZD@vSwUH5uqydUUOi@iXHZ-<GkvB9jGJu<GWN3<-cn!@BP<?G=hAv=ifo7nI z1(H)u%@FZaoSc!GQks(*kDOmXi7qiGzBnhpJifRfH90e_GCn0WC$Ta<B?Z+dhGrJ1 zelaq#Ky$4znt+ihx)w7tgl7$qgU#3sHCW6|jfr!4T4HfYd|rNhQEEDfN=#2jHOLGt zz>UmIQB#JIDVhpH3u82YnHivIF-KKkWMGUIO{Qo%3@r^&RTvsspa~dQq9g<~8x7Hw zTcT<=Hb7TkYJe79CI*Ixz&AuI%M6VT5XlC$m@_mmMaq~MUNu6}h#HB;h6a|1NGvGI zFGx&JEI~<ssBvY8mhFrTEQ}d4i!*bbiZVf^OL}TacuG=uQD#YM5yaq(l$`jY%;L<v z^mtJD1NWbyDXJe#j2YtN({uCl;)_cXi%R0-Q7tw>i$6mn1B90iEf58kp$S^d8>8to zGBiL{VQgSv4sk1}lut<o)vNKa5;ilhEI&CBRA|R%rhzNf;*8W36t|h86*dNF1%RQM z8EOGwidwcAnVLZ&0aOoXCgx;TrN);e7H7vJ?1qP$5u%bZGBiVtOhZegD$K~x*aG3H z+|r!*<ovwk#1e!_h~P6aG&f`b`whikhQ^j?N!-X1Da&I`E%CXfIVG6|IjIbpsi~>) z1@V@N=Ba6h$w{C9jYo}fLr{s2T+$gCpl5uvJZfZVf#iR5)rLlBrGk+Wa+P9ijL2Mu zCa69$MrvXhnxn>+u_0Q)U}R{F2s=Y#R8JWjAWNDfn`?{~?P$r+(h^Cru?ZxklZ#S8 z`7tFGR0}YGn<3y312s<KQ_E8GN|F<Ea*`60v%!&_k(yWlZq7lH5lVC#S(+ls4@1<N z!vrlQnHZslfsrwqfT1N?y<uo!im1Mk+7CvC=BUM>p_wI`*`}6|<e!_Elarr}mYK{^ z9cpM`jMRoRM^$WwTAUgh8X}d~C`BZwz<}lO-1x*~gS12gqcjss%Op$V)YSN-B$Gtb z#CY^N#1g$CH%80YMn-4?h9*d1Ze(nNly1;HW{zG=86#`ODAml25y5R}h8Dy|X6Pjx zT96x>K}x*h)ROq(viOq9g4Fo5%$(Htg2a-H_`Jki)K;~zff<s^(UJmYu0xM;W8^lZ z0a`23&=kF4Xk>}%dL#5Y$HW|xIl$SWG%vBJGCscuQLq_WAT?pobGnfsn(4*{mI%L@ zpr#-r10zV%1lt5^MVBS!Ah)2wc@fsHu{1ypWkXXFGyw~wI?Koa-7<3|Swr-MWR9-d z1kG?$)Qn<eW@5+??-}A7kLXo~_&S67lp%>pIjHe#WNBs!E<Zr&p(r`Nq$o2HRAH3n zm7o+MhDL^HJ~Bb8ZIKhLAzFSkGBiXAO{{I*q@4WZY*167EU_rQIJE>+up#APLsK(` zGEjp89PU`kK(IJ8KET-xS-{x95UBt(MXpIqEE(cG{o{*EQZn=6ON&!ez@Y(-bx@F$ zWE7<)rg)}+`gZZ9c}0nNDfzjmAp@#HVO>^ZBczhtz!;GlK@|tGi;N7+(Gr}w3B+cQ zCo}U>i%LKdQIwjMnp^^r05wtI9nqxBlKABO+$8i~un}6p2r3UCp2-9a8^jkB<tL{W z7staKY#5KFjSiDE0=3jYeQvM`(B=^+>>-UJc>4%7JS@<2wWSGCuhrZl%{0|GDKRnG z+`_;xIWgYIG%3;2(8M4fwT?72w?r!D(7IkGMvw#!8#F;l-tqA%i6x1Uwj_$njLeXX zv}7pG%q`7HEP-_6p|x#NPGWIMD!kubTvC*pmtK;AVvM0V(#V3L334Ju&$<Q{sGc%1 zLmL1wG%`U-m}os;Llg9B$Pg{(8yTYvml&fK1jYtPh8r0gp*B~L`wd7Hs}Wjzz!I%U zM{B1US|F97MkWmL@yQj5pavzlG3(&&<LTraZ=`3Whlorgj7rPY5;ZRvS{k9&1BMpp z10@!yUNtg7H^RUG)gwj*XyuimsUf5;L27%$(>ADSg%asTCWff(Mo<G2IYS$o8zExS z$j}^-EI?yV;7kO{*~uBH$=UHmiFxU%@%d@-nRzLx74i9q0U09;NZTD=MnW?zien57 z&~mw<DO$B}WCp6fu(ZQb1KP+0U7rDR`DF?jaRcZ6^wg60(v$+!o}7^(x<iajkp`dO zo<I($y!^a)cwrA2%>cD<6La9zxS=sxP#c*dwcpXoO=Cl38&gsfi$SJ=MjTLs%*+T; z*Bcp|pymZbbF`d>p3ltC<c-lfU`D1!kY*J)tWZknyi~M74<oel5xrGp0qp>!ROTh- zW`e3@#0V;=3PgkxB2>^r%+MUEjx$8+i=Z|ajSNtm(Z&X7ZZkANYhW4~qYI$LhoJ>} zMlnYdFf=tbhxBKPvDZlk*y<$YBxGcOJ|<{_-v2W|jbJlGb~G|DMM~p_7KRM*@gcs> z&;f2_YYdHz(6WmqnrDrS&{P;3q6rw9!ICE^_k+7_@t_2nm=j-+2pX0Ljg}zlTMKA2 z38Wa@Dlbbc$^<pqKnWT=KY)^|jZBeqh8bcg#K_P9H4hn@qxWDeFw!{k*rcU}DQI?~ zI6odTwhd2oMg}H`Rt=~D4|6=a`Ig8d2B4B7H?br+BQp;*Hy|~Uj6lO^Fw=|-(X*(D zAtJdO85*J{TqBH8VhiL(hgqtnxk<92fkCPfsK=KUpPZCrl4f9*9FH1G#%N7xWAvnJ zhB=4|$@j&ndBvF}nN_LC0fmSU^Z^?qW3(YcLlblX1GJjh*c4JTfJ+!qX$-0vKtnqv z@x>)YrO74n1tmoc<wc1F1*t{xpqeZmwT?72utcpA4ULVFO9@l7vH^1>9W}5F%`kdB zXv3Vw7$vzW(s-mHT64w7!~&_202)j|$&99GgC>R+Xq^m0v@t6~BXl2`Aa}FS#$*hQ z(S|jQjL-zoyN`yJXu}zX7U;8ChDakLM(~OXl)151jnIMtG~SPr&5aGveS*}jHbZM0 z8=9e22F6Bc>A)Omc)<{T2-6s8oDH?{YG{Jq8#h49^G2p<RvQ{3l_^Gu{A&mrkV1}K zBQsOf?j>5E*U-cOkv34XzM&~b;Gi01WQ;Z{Yh;3^!_e3Okr50{5H=a2l?R3fXj4W; zMrh@%F{t206k=vbc{VveuPn8wB)$YRACj1Z62peZXlcpF2s$(d&hA)K3(kgAe0~8^ zml3O8Xi5Y1{SXBfYOonvptTJR&C#lULnHKA3^Q~UmS_pW$j|^(-zF6oL;Gh)L4}&y zj15p`M2rc|m4T+1z}`VgR%lt+6s-U>G)1a!L0!N2vc#OyRLmM0*-%3>q``JjkE|>Q zG}>)$U}k1$oD3fAM)iu30b1fVGDR{0trRpgHG&MtfFmB>l7tSfS0aW2K%*1MrA0-l zc_s0nssPlhPsuOJP0WKtK4eT4C6yVOpm(FtBGAwhIv)YHJU2BLH1LIJIvJWEHSS9? zb0J-4kXmqCr5rT(gLU*DtpGwRM+{An=QcoD44x`LH578#8(AU`-WZ~_%8iVTAYHnm z)Ev;%4eC&rp%F&$Y-|W=h$iRf6_>;pWfa3h66OMeZBb(bG*b;NjUkB&6hWY66e*cS z@nGkGmOx~t#TTXKg6e40nIt1iv{KCitu8e}Dgcq@EKufpux53XVgi!yA&H1kH3Z5{ z@R7Lq;>@a4q}YdzjKnAB7a%1{=r9WU92U6y3mU(|>OzR4AdP-d2M3x7K?w}K31evi zX>NhiTs+h=Xpav{6{V&lN;FV62s!x}8XBUO07jOOQ8!RrB^Q+ygBHmYppS>c(t?pO zdXw24sfA~0fzqu&<QgLbOT_q!5vVGM8)%542ffZSMVpQ?v_$RH7@3$uQW`ikl1kGY zL2G{8K|@-|o(5HJ$b3Tsq)8e>q>{)8RG7isW^92rUSw#7*1j?_MHetKLu(-#qYId! zO{f`~n;=CfzSIF~6oHpLz#ND%pkri;X1I|V(yWUSsBI4^(NIREP(sGY4ADk0HAgGO z(Fe5+Es;B4M&?L^oVb_fpvIt~8CvJx*Z{pzYl2eXSs<k(P(uaT^+v{~#tiYPd1wU- zdO2c>W~HH}0a~nCpw|jUmWW^h%^#uIYKS)SW@L`0-Piz0yAgVm%E$nv@JE}SH8Mae z;tfG%J}e0sTB5aHO^v`s8*F4Z9y)o7>|SH^=CTobAI}sz{sPWb@Cq0**_4}^S6Yl( zry3d}+Kxt`IZ9;P&}RjWOwc@NY=CCH5k?aV&B4ZIsI@7^{HzJImWJmhSnHsmC^Nq( zv!oJUJ{qD;JQ`Xey7oqfrX~!2rMW@Ss?-xLSsA01Rz~Isy=Lg`EHkA3iV>*DK?x(| zg=UcY8yxhoDkeF%042l?EzFTdS`CaLB{)P2wpCQ{!N#&g#8e@u<p)bfh=D;+7Y!zA zWQf*p0yQpB+--^0WjBGk1XQs?MtVTQ$e@WVM2K4;<s|f}cVo1iX=H>ZV1gF&=p}%m zDcTIDp}C<ULwqV|J_IEQjZ7?&ie1QDQbA?BL3{;@GD9P@)M{jgHt=R>iZ(51gfZ)C zf~LaI5^adg*uVslgA9$Jfk;$q0kX6V)y)=Y<q=Y6*9cU2!Q&TFR)EtDbb$<V>>8S& zjrE~)<<VB985x6?(ZuIuCM84qHSxKLnR)Pf204kACxTYo=B0pIR`GeM6`;`@P>l_5 zB7v6vWT%1$a6r>+@T6m8XpUNWU```jpeislFhET(Mh0jp*2oC0a4|GQQ(*`yFX2IE zfVOhW$kGIno{fx=+ZAZTcgASLEy!ya&>PU^MyM5%kr}jE500y((lpOB18^$`+d?Bq z0RnR|wCGDMW&l<F1(on9F+{E04ULe?6!db@2(&N=9zn3|f|Bfv4bU5Fre;XZWk>>x zFHTO(K`w;QlaT>ZFBekbB%)X6Cg=?WGb1E>Kuf=iGvcA%MscAbXrKu03AFMFIprIf zqGeDcv@~I4fHVPWWM~d?G|uV<u`mo4D`*jJWQsf&X^gZ~8#$GL7Jwl;)6l}uk^z*> z7{JS(Q2c6afT)a&KnoXPHXDKFYf(%wMxSalN6Yty=+l+PXmbljW@tK$jL^(9GC-@; zK~q3*CmBH30iy?CYH@sGNj$h0RFztkkK#ciOSIWcV?#7M4NZ|3y_qAoPC-N9SQkA( z2dhB!R{_=qp$vM(mANH}Nep_$B}EWA1IEhCD@iRXV9?9UFG<x)&nwjf8If94Qi&v# zRGOKSqMMll6>@a))CFyX04vW(EY4uiOR3B&uFQqdB}EKinX=TP;>`R!6i$2*gI-Z; zP9jJHlvR*Z!k`D5%w^Ck$SKiF&o5!nD@m;=VbBBR3I@HRd~iLemzn|H0+EqY!~o|( zv_kemKy<*^(0MopJ&5+C;$jB9<ow*+)I8{xH`opjvS?}WeV(veZx|S$*AByJ88lO& z+i&5b%AmV0z;~uXgrL{!GQeoiJ*yBI2nju&8^U2=U@$||54}c~0Y<C9RYDlB{ooKD z1NdH6(3OplT`&yrdtpKMAA;1N+mEh4oPmMi+yDRhF#QW4$A~b%Xqf$MAj23K7|`7h zx~GyG$^R#y`e8H!CqyI2ji9iD>6d^AGh{L_fKP0N*$=vx1SAEc8F@fF1O}Ob;4v^1 zGB7awM{@rusKGEAc3%t3{V;oAbR7c&!#^bb6QCQlVYCC(GIaMtg&BIm_wFLn&jqM{ z7;Rw=Q3<mj-T%{}`k~hWFmMDy)Whf>Ad49o7(n{Pk-{J9O@@Wg^b3*)>xAuJgVLb% z4HkzI=<z=XO}_$EAB;|bo?i#m&L99~z-Z9<J)k&&+1~)YPZCDM{0r3vPd_km&@~ds z`eFA`!e|ZXz2`9fFneKq&^@5Y`gcJ0^}%Rfm_jIx?tjoZiy-~5^Z>gz14d7Q-eUyS z4);Hd4?6c4SwHL^VK@yvcLAmz-G0!uJjnVrCPFe0j6MPiAed&P_=gFB&WQmz5u%HM z0d}wQ3onRGuyBT{hw))F=-dX-mO7Yz*gei4h|~|dFAWs;F#WK5k$*t-D?kGlnvCJ` z4~s|8aWNqO!}LqULfkFk3!z^?_2Y8?UugP;>1Ti);2i+b?|~Nn=;a|ZBcx1&>4)8K z?U4l04+|%l{UEo(*dUsN5p*v$0|V^75Re!M!}M!|I7k?#ADKq;B3N|=)cy=-FqZ*T z4}tF1gSiKm|3Sqo%<T}B5c0uNi2IMCISghmL>1_)5lHz9<HP8s*C6@@(KMiO831rD BoNE98 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log index 209349b..76d4694 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log @@ -1,4 +1,7 @@ -Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 54637 +Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 39085 Design successfully loaded -Design Loading Memory Usage: 22124 KB (Peak: 22132 KB) -Design Loading CPU Usage: 20 ms +Design Loading Memory Usage: 20176 KB (Peak: 20752 KB) +Design Loading CPU Usage: 10 ms +Simulation completed +Simulation Memory Usage: 110112 KB (Peak: 159444 KB) +Simulation CPU Usage: 70 ms diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb index 2cd36717c37d471288591c5d76a402d9e27a149d..524354ce540f93f1efa0e06688d9ab3c5597414e 100644 GIT binary patch delta 813 zcmZp2p5`Dblq1i~&A_mLfq{X+;YpP|Gsm8<iW^1Q*_rozRopDk{(+f$&sW6^kTk>p z{LNC_+gSw}w0s#D7?>Cs7#JNI7#J9QCvOl?5N2fagNlNT0*U)gz93}E=r?)4peb7b zSZ?w*L0e%)haLt7hCr|Y*j%x|$shPd7y~EA3)wIRPF^4;BjFIwEWp6X7KBg)wkZf~ zV$kG$vPwb>3|gU3X^1mILBf+Yg(D}Iil_)^MW9GVOjZ;zfm$62m0@6D5Q_v^J$b9J zz~l=ehK!MuCrZgomJ?;4d`mcN@&SHXZ3YIdSg3MFhb0UQ3@{$p<qQlA|Nk>EI>dk^ zCfkdN3MNAp!@?kWa;lgCH%ye#B6;!xF<HiBkgbf#lNF`x7?UR_NU5Qym?)(zn1W_b z%H&=N@yUJSvfMBkMvD}%St($%Qov@VfXzZy0WvEU&8*bPy%HweFi}Q}RIoXzU~^Kz z=A=&EFK8m@aGgtl!4z2?$h0&x)6ynym(<~gi85NGflW&To0bMPEe-4{WECKD($UOG zpL|qGhZ`o!Xps&!Cmn1~I@p|aBv&D;1DOU&p`a8A3-^r4&!vsHVWNx{8DP^gz@}w@ qP0N_PPgVm(#Y8FP$suA2lX+xKCr=gYnS54Oa<YZE=4O7mVrBpw`kdnc delta 929 zcmbQ{(Bv#Clq1i~&A_mLfq{X+;YpP|Ge;kr+(uD$cIG}dxy|zIADFrO*yJ)m(hUDg zH%oDEXBB2-^I>3MU;>LVI5aRYF!)T45i(`;nY@u-M?lLTD#-|z@}ImxKtY(1EdVMC zvInFk0HkGdpP;FLRuEJQq9JH<zn~RwFjS0zfk7;I@&|qq#^A|v{ATP94+Iz(EP^K| zh|5Sg#4`&pFtUXpl!9#x0h!DgGFeMnY4UTSsLAsMRRpvmp^6}OM1m!aMIt6U2&?hO zpvc8cHWW4yW_0LbU|@)a%79!E3wA~9<cq=%jIop7ipfmY6JZyOL#O~-9tXBO4ipk1 z42*0EXwnH_>4eFK!lvfQP&r12B@7G<FdigS85sWmXJB*yxeQst;R2Vy<SJ>k$sD4J zldnqmOx`abIJrbdU(8_<0|P@A)IeAeXH8ZV7oV&oCd&<zVYJAaoFFdCm<9Gr*5q0- zJH{+f1PMA^=MrErMOOD#Oj$4+&BW}<isB~RFi}Q}Y_MtBVAHa}re#m=7c_yIhO7=` zS`M0NIg`C5bhu%nj21ay({jM3<$z7gL2?_iI*@6(Xr|>(j+E5lhKVv-<bqAh1)G)& zHZ2#)ZOH0CrsbiTmN&Ut%7_~#%4m@XHZ2cqS{~T6yvbV98Yn72=H#Q9lRtT)v<o*( el+hv|Y)(GdoP4l3`CxO9RlF6G+q_t&m>B>(;;P^P diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb index 7038570f515aa9f4c528854a26d6372e929efdc1..05e0ab7281ae3cfe00b0e1966fb470aea8bbb9ae 100644 GIT binary patch delta 1257 zcmbOi*c>D(lq1i~&A_mLfq{X+;YpP|Gsmg_iW^16m>8KSzh{$}e1XwjjCXNM--?b^ zEsGl#b@caE>Rxf2Zy~>ba|P2QM(K-O0t^n9K*VJbaRo$N1rgV{CYQ2knqS}&U|?_n zk*p5&3=9mC3=9mMAj_B>&VrPk;}T$CM3rH5IL|ftDoX&<d9KOotn)zBan@-}XSpWF zv$-;#`mZ>7Ioqkpvg{#~JK61--f(R`#a_jf{uX2rx5Imop&vlRM-cG|M0^GjUqHlH z5b+H}d<PLfK*UdwMu$oU1_m9N+Z^73Bp@bA>A^%97#-eoO<v9A!}Nh`@=q=wrjJ~c z-MM|3K5<Q+%I(7swk3p-fx)4Hfq}tj@<t(JK4T=kU$`b4^7t@)<(gd2<HPigYw}qh zAExhIlcjlmn0|0g&gAuB`pGqUJFh+1i$8hwc;0|q0SY>Y*Ibj0`7A+x&ErdBN)zH1 zU|`^O5aAYJa1aF%Vjw~sL`Z-LNf03gBBVit42X~g5pp1nV82?!T;?DQl7N^fWeXE! zU~~|fY$fQ+C_1@A(3eqc@-@LTjN+3Q3;8liO#Ue3%P2Y7Uf7pWYI3izFQfG2+rqw# zGLtn$d>LgYmy7svgY0ntg$1YkWCJ#l$&W=Af_$~PU34WQqw8ct@c`y?Tmq95#Kk7h z5^rR>$TeA3B8454j2L7hz&hqgl(T~p6N5}7n6D>U$Y?itfn+(mJ;)C-(O^*{sZ@3c zFh2&&-z1gF?g-|`g84?$q3ljzejJ#;NII0=8O)Cd^W9_wCa+NBnXD({%I*RdPXLSe z$uu)v<C-iiYtNW7IaoG;=^WSOrLv|>`?x0Gk+o(%#3eBKgRD9`DDgAMq=L1?$SE=% z=9*kDrvddx8d&&{oG#N5uE`(eOxQsggh3`9EbJh!$#j%!a<;q?qy6N0^6pH>xF$cB zH)C{|ET`bkbewB)x`G*_<K%e?_Dm<ZCf`@kV|1F#r)bY~l54WRq8_93<T^!Hrc+## zk1Colx=jA1=*xVXOJFjelGx+~B~^AiuvhZHHZ4#xW<J9uFnN}er6DL|GC1r5C3pq~ z1||nrP}Jve3otM{Ffj-)IIIRGxIG|35M+Xb;A9777f{4aZdbOE`UR4Z2Wgqlz`)=I zODc>Gc9ZWaJ2To(R#b830>uV61NcndD5N!6K!tVkTorCc$H^;IoEe=azg2N&be?Re z>dXZSZm_}tkU|+I2RD$(xgdMlq!eI=GcY<_<eGd$)rTLHI2`O?*-H_ey_ArYUFMpc bpmv(+3fE*^bswgyT$8KSPlMvnLn90TCdw-> delta 1362 zcmZn;ninW4lq1i~&A_mLfq{X+;YpP|Ge;kr+(uC`CSN87hxhx|$}vJHYbGYYY>o`i zq|_`2zwG{g_6;AHz8tb<;&XQO%ks-~^!D^}@N-S$H(%V+XVI~$WpTrzj{aVAoBqf5 zc}h?I+q{tJ5u>y-w*Z5K3y5$95pE#D9YlC=PoBr3Y3{@=z`)=DB3T{k85kHO85kHi zK`vu*um>q~;1*zDM3rH5aO9r+k0pS~k$Z9g>pT$kfpr>_J@@1eHdp38Ho3_c*iP}G z`epJHc2A}_?#=ofRZQveAUnAo5<%uCfrw-fkpd!8K|~seNCy!aAR-e)WPylmkVc0} z1_lNlm@^#`KoSrWrSxE;42%wm+>-^keVCHCC&zL7FeP(OUc>Ffl)^pv7q<^nD)(d$ z9v`MO?#a`5e3;U?CqLow;Ro9l!pOkj(7?dJU^@9Dk1?Mal7X4rlN)$_n6kJhpX2pm z%I2Od!)FinZ5*E-PaMb<pip#(<(@o)&k`)a!0nL7z`$TTS)N&Kay$q7W-fkxrnDB2 zC%7HjKswq%L<flI1QA^zq8mi?fQViY(FY>>LBs@*MzHU#Va{@B1xY|ml(L11GB7%{ zO`b34%h*2ot)MSs$7E}vGmM>+IfQ)~yC#PT`!aS<ULx$v54OV&=3!@$hk0F)%;=r$ zAmYo|H@Q#5m$85H9T8u~36r%%_k;Yr`HkpGM#k-vr-=tJIdD&YAwGj0l+YMt!Y3<A zh)kX>;mr<8a||*OU_PT{IXfujF~~%M`I99J**AcEBNGMY%S**FZk${zRnNF-@?WWX z#?6y!rSloLOnxh!&$xARtV}igHn8dhu<Fk;%}gHLlPhHH8ShQrAq#c^mz*h+0rzA_ zIcp{p?#Xp>8c_G7f^{5}Q)D*f7MQ$SPMyhxdorKA8?za=z+^>vV<uPb$vyH;Oy=B^ zFUT9QZv<PD0oEj|pvz>zJ=sUWjC~VWI1?;9S3#G_l6&$Q1vB=|VBsvVu&kmglNI-5 z7ezhxEnwknuyC)UDw8$$<SmMN>|4RYIbh+xirP#z+>^DGOc=LKj#u(!vgMw<PRWLG z!{paWzRY&q0+atMSsH@!DT9LnNFM_O1CzscP-NfZ7GPj@U}6wpa9GVHz~G<<5^M&k zacG{rRM`a-J(J%k+bHLNBqoB0`3wvUUa*K|bO41sIE{Nv{>Y;>xl~1f3*>LGkT+Op zr-}gMrpc#NoEbMy=2La%0{I9m?FW`_RTba@`35ZH4;DJ9D!{mH@-0<UsU092?}OaK zCZzzgl!4K~nR~LPnhz-W%hi0i!G#eh?QtrB1H?pKU^1_U?qqrOLVi$6aRAAI%~uAc VOFk7Oliax{KTtmnN&;&&!T=o#Gg|-v diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..cab88913091cf55618a3e92e942754b91fde32bc GIT binary patch literal 14817 zcmWg2k!R*+U|7Jwz`)?}q)MKd<J5n}3=ofzK|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu)?hZs}Xmv8rWp!=jG<-b&poj`J<#_d7%}Ffjaw0x-wRExSL<)qkgFwriGS zvS(7l0zWUe1s=&63$pwjUA;21JbOKpv;17Wdy}#jc=|f}_s-n8z|+&W*U!r>8zknI z;hM6*Ez2=!K~{o?tN*ISehV@@eG}Xk(AaoY1_lNuP(Uy^FfuS~U}j=uux4UzUc8XQ zE7{S@-!Us=g^g-^zE{L4h%j4%YgU?PmYg$p;s4_as-U1-D`(Bb;_2z>%C~Cu!i5eV zt}Y3F4qjOqkC(k?IJ{W#0W%{+mBageYvq`bIY{CVCe$GA=EVzb8(S82^sMMxwoK&$ zPpaN&hyt(~3=I4Z{tOHZicq(MoD0GX3@E}ZKFJ9wU;<qN<XEta85kTEGB7Z}0s%F) zK|Bk1+%qsZFfj-)FfedC<S{TXXh7{|Z*A;tZ?tWJ(jpG+%mNGy4lT?A3`|Un3>FMv z)tWHXi#rx}EV6B3htN1wYe7}>cC`2RG%Q@aqQ9@jwgtj7gz!WhW@EEQ8>*9gaaT+C z!sdqFcH0&(+YrpgVV@3E7w^J_9gCWq8y2^K?St?Hz&so}b<uP#YDCrv=Hbw(2i3{h zvtl9Gh8E7A6^39I4juYPI>5dG>j1NG=rDll;K|BN%F0aea!l}ZaD{L-fH_mK$Ce>f zpMa--f|rZGYet5rM?!LvLjp)(7LvdQ6#dK$CXm=Rg6sE7@^#Gc@=W#wWqpu-kiZVO zzy_391nXyH5MXdv&n3X%umMDD1QDA+#AXn&1w?EG5!*n-b`Y@xMC{}eU|?W&2x1ms z`0uck6-2T!2r&Ey2?j6=FgPq`6<}~+1{uB%q|^aKvO3fw<st?qht(jX)^G_hFrvyZ zI;;h0vQmP2jDb-P&IFeo3=9|o4h;+p4Ct!BnGQ^VO~k4OtrS7yGJyRkif-`#{|pQa zR>}zX!I?1kp$mZRMi&G-4NM^1hpq;>a6)D?fWuuBT{*;Muo8xWQ4h|9xeQ$Z>@svg zQ27SJkT?Q`ExHPLfemLcIDpcCD7r$Bix^?`AH#oBc%Uho!<dlDfDu$1fJ<C3fm8yM zP^6=4h4MjVshEQc0|SEv)KVT#KUeQ$&#Vkr7tdr6XEu!E5X}ZEc-a^o(ijC8nE(Gb zfH_ns%hf*<UgtWvc_cf6gb>v*Scrka;XEj1gVMaiIW7SPRhXU3Ogc;;42lXShYKJ@ zV1ff&(t|`D_!vPI1WW@<^V$W?U;-qGLyI_y7G@@PCJ+W`U<7*wY!Qgdz~I1+rk0C| znTZQrAtM_OauiepBOVQ)Z~_|+CWz1gG8e;11Z{v@gKUF_B{UxS7j-ON*wWdtsH>y5 z(RR^77~crYH!_5{4O~My+y>R8Hc*WMu=vjMc6G~eNX~!>Y=#JI-hrXj7OItBp}7y- zLR;LvqQ|yzA&f5z<_j8v{RpX*A$HoqG%BHKgz|A}w1;ZsUEIE6VaFn{`Hc%9Jfp?! zD`af3*yaG$#FOQhnc<o2<>lz$kpbas261NWz;K`=R12GLCMYPt)MiX&PEcinkfuU& z$D)qK?JZrlixxse4B;Y1n1SF7)y$Uxag>*%XR<?b29!Gy%-y^Loaq=Cz~N?I3f002 zZeT!221scEEgnEQ4U#Sx93F8AFmSQJ^nkex3=WUE1Q?jWg&a%<s-6MbEP^$US|D{G zsIbFOiQ4RH0auv}j1D~v3=HK^H!?6Vh#|4TmVm8A7sPJu0|5pG3v{K-oC=&E><|g6 zRO6Wi7#P_q5cYwMN8*F+geBu-NHRvGYq)^JEl~B!=rDtUfdO4Nqr+^hqD!!d{{PQF z4Kpmz-N4Mr&k4c~5g=bnvVj^1p&%xx{9tr|2vjjJFjPW=f)mC72LPzk1&Ty;LGF&u z<__D=zLte8i;&q2jNouYR}O7r!<yQVICogXBEZ1F0*QH$6ToFB1A`b68|(<Mk?4Zp zwlQ)$8OnA5H@Uzm09^|x_CXkt0+<})7#J9;p<V}h7Sxl1W(QDWXJ9sm$#S=MG&Qu_ zE^b-f@gJEDb|QwV)g66sRUkH46*tT*#ummFXy%jy%P=r9!sNidg>fK~3=AL*3=YYt zjs;6P)M63j2TL(9IOsDnFkn>ys)|6092mF-7##kAs?YzR)+1XDA}~0S*dVnGV5gxA z5}8LJ2?Jd-$X^gAfbtQzl3-+Dq^`wc=$2yj2Eru`pk5#-RMGXp!)zX-00TJVLmbRj zhZJT=Y($u$3lbe>AZMU!1{(`Xt0+N+t_ti)bU`*RPk$HJ3@8Pzxgha^t`O2=MeV=B zcn;v64Y(x%A{0RGF>i$i0S~l7a!B^@cSYggu4}}&L3Itx1P2h8fx$tX8&=oAWIz^y zF!n+pR7_#0#8cO_LtPB2YmnF=3m^#{T@bsq;F1PiDX5eIVMIy8$ku_d4;(j0e6XF+ zy4w+4cf+f47}wz;q@DpK2y~rb?F<YIj1Hg@uM=tyCyW7;2HT1*h+KFhv!Mk!x^lt= zc^A}rP_QDg!HxjC09_F4&;@iL!vWlN1_v>^Hc;?_Fme!gBkTrSg~SKjPka!g>jZ0u z2QjFr0;;UUU<{Zv*j98wm<PdQDlMoy2k@`}*n{ZWK%N6(WDoYDc@T*Yb^=iz6hqeq zb~U;n5k@&Az)DJV&G3?PE+eS>$6&q~>TveP#s=G-6$@cB?n1!~TqwZwIe@qf3=Zb- zLIEZN_BR6qo(3p}N<4+aQm8vYsTYY2wgenZ=z@3(1$3pL$OK{J2wjG-4{SUVA8aSM z^N^eYr5x@<3IR}K6I~^wv56@PYHXs5{{PQlfi4DWB!Vz{LlIJ_EQh+26UG3$4pa$& zid}R;<bnj54J}mAl@l&hRzR%>MGF!e><DnUp$npnO+rU09l)b+;Jk*e926}ej2ty9 z5q5&DK;nb#g~kb#LXH}Am5`{x6a_^Mx+o}W(8WN}0>ap%W);+(VlW2Sb+D*G7X$?i zc;FQ@1Pg02gGVI60fVj_6eu8!95Ab)7Q(DR;)AV*h6t2`1q>*ipsR%Rqft85P>#br zP)cWDFy9EZ464+@GdUp(+W$_5aBvqPQQ#s3W`YBV%fR3e4KG4qGGJHZEkZC<;weHl zLtP9?t4M6HCE$dFE{LZHL01Zj3J^w)jx7lLz{Vr-!FEDM6Bfcp6JX;D$RZ98K*0^^ z#iHwnq+U!>Q0he&1*KkeF;L0{VeF}QE7bLzFb3Gou%v}9h+LQ;v!MkGx^lt=%QmR> zpvXdEgB<}5O>{xX90baQ1eD_d9?}QrB6LllC<9?cv@x-5N7xLu2#F82orGxPMAwVe zKxhsE4O+oQu#htwBe-{oVG^jli7p83vLf{^(N)0vpP+0E?q)E7$9HxhJS~RA278zj zT>$J0bU}i?067?46Cc<D1_p4c0(K6g130446@nrOgb@+N$hH&VX0VHp_+Vp6h$u01 zy_6Y<Xn&!b3vYk*f`{M1?gUMLUIO*=FN26HAmS>BxCSDwgNPd-0yOXk?t+1vT;M{J z5j=hBa1m5SfJw+46Ko`(feAc!3*&;OIzSjYa|;s&&*p*&1_q=ljDyh7bpX$vfhq}@ z2B@PMKy$f>d3;nJ1DC@jq<IJiaDSWwHsa3!Nz-sH)I{)j2)ZCtj=>=hHe!OV0+yyZ z(Ivo<h%N~2VnZotngfmBpew}c8kk*(@f&n)aQA|`;9%o99Og4HFu;cL85kXIf}#@? zqHr;&CeREG%5)8!0}ek12Czx6v3&+c$WRB!B)Aw!Cc%dK85kXIa|tkT!ue2BzyXLZ z2n{(X1@<8%I?)w^0}x#hGXT+5K<#D#n+zs6pdN=!FfcGW+yOZk&WCCNI~QFL>P{#H zHV@)lbcJB&q6=a=7hMI^ZY<7)O=~bPI@|?07tV)j0Xr965b91Sh2&gxg<$8R3(CWc zh7T{ItAHxN;#}B-3Iij!H0Ff!p<2MsMHhs+6G|aD7hNIPx#)tRd0mj{h}?v(0wfE< zV3WZFEHS_)a~K%G4Ovb&AEX@COhFffx)Vx)&4VNcbcJB&q6=a=7hMI^ZY-e-n^a<8 z1UKn8;e4nTaOk27Lfr|akerLI5bRuZLCmy^t^#T|7U#mIu^1S^<692t3=9l#F}OBR z$%8J+zNn+KV{u;#j0TUUgPSnuD#4CM7lfM)FYeJ*z`X^UxCYgoix?OflAyH}EaH*) zU=v{zrsxx>Fh00UW?*16g=vH|xnVr884L^z0-Wd~;CMq9<ZSNf>ugzQ+q)RSVqgOM z7hS0>v_*hb5<El$@{$!NXhMb)R84_~w_wfzTaGS>uoA(7T8^&t4zlIwlIWJFAc7cd zKiG0~L4=hE7SwWdrE{6V#z1C4&?V6=PsL_Ax*)<z1Pf|8x>8d%B+Jny(JfEIW;wbb z!b$`SYB{=6BTgjC(IwF>2jx7BI7b&mSczakEk{?%&x2$+x+J>gpyiwxmZJ+ItVFP& zmZK~6g*N!XsU2Ms-SSLq!HzD7uoA(7T8^%?9a?gLEk~C`w>%4*<>-P4D-kTH<>*RL zEJv3_w>%r0<>-P4D-kTH<>*RLf*oBF-SQl4mZJ+ItVFP&mZK|0NpR?r=$7YVvm9Lz zVI_hEwH#e3N>)RcM7JEY1{EW<qYEOeM6jTiqbo%zWY8tiEzig1b96z3l?WEpa&)CA z#Vfiby5$AfEJqhaSczakEk{?1Qn8>*qFY{w&2n@>gp~*u)N*vCC{-%DB%0;mew6@t zwFMOk(1LhSA5Q`_g%4_;OhI@NTx}xp!KE>15iG{4SQG&V(2`UJ1}0OORz?dL3v2=d z0|RJ$1cbq3Bx2~YSnVZdE(tUq11>VrO@x-^3=UHn1sL$U3u&bb1A_x7K&GPw2ofLc z9oS;CUg%;lbUwJh3Tm&xG%|9+SYZ92MiZ!YiY`d>{603##Dvx@P`rbe@IZYEni7H* zD&QzU;)7kv4_zGx_bD15+|>p76sD1p6UGAThxrs;kf;_fWQ_s3R$}}K9>YNPCul_# zvOkgdV0S{6^Pw*8gYm%qV~`(Vni$1kEU;dfAJGNTQw2I7oJt%5K$D@M_{7kT7S!lb z0S<bH!{CuRaH^e$mTHmsV0RK20|ogIriD=q#scePU|`@x7XT+0bU`-Hbk_{eWGDq) z^M|g8U|2hVra;kkVYQEdm$2$#bIWq^_w<8O3=9sxm_gG!Yyu1p4?qiaB*DEI$RH^w zUeFx^ouGnJ;O@Oc86*}gKqYDnhyax$`_bY9i4P7{0Z-800F2E7NCFPvMg9zorZBCH zoG=#H1X#*L7ZgZN$nx;aMr_Q%5CCsOfaEW9%|s7OgUtXFptU^QQm_p&pcN(H{S0=X zF;P$uB4i-W=4@=~>}|JgUc3;&f^4jS$TKi7NWnJHfJ_5#jDVYlkb$U1n1NuSn+Drp z12PS~4hdu$Cqfir5;(n}3u1&Fh5$GWAR{&Cn!!~Qx*)Wrk2Dd2t^(p51_rRnV1ffC z#K0g0+w%kR40zch$TMKKBV-`T5dnf=p$83YFA&Hy@VXheX$TqOO@r+Z0+|M0qyje$ zAw#@rusuT{)4<d4aMKVn#G3}&PXsazJa-K@4Ix9kX|TOUAk)BecyQAYGQ^t(+kpf! z4b+%&2!UlDgbeYf!S*A8Oaql6VAD7eq7ak7Nd{dIBXwg4fYT!+xua_aCwFu~%;b)) R0^%GT$sHz%pdCP)I{~f=Y#9Im literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb index 7d960f0977c8810ab08941f81e0232f3ddd49a1f..9d7b37da0e7d042258150b04e4d745e44f4e261f 100644 GIT binary patch literal 7630 zcmWg2k!R*+U|7Jwz`)?}q)MKd<J5n}3=ofzK|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu$Vtx%y`&yLvk~XX#a_hqupP-RKa-z`*by3LL^27#NznSMe=w>9bhW(q-GU zn76&To40Y%Lf*xF4K2+p7I*M;ELz;&(#6xin75;+p^rzxg14iiho`-{dlg8mdtu8; z-tNT>{k)5N8(aF6mD+h1cJ%ai^U~66MFs{2Zjk>O92glGHn1=;GFUS)H!ohu;g#&@ z<?oo4vBE~RJ>M(h6hxRU!8I$*GfU2yyYT<<1XWOot(CK8V)69!bmd#Mdf`F`4_B82 zKL@X@jK|B~GaO#5_<)%aqRQd@zO`~p$Q&eb2oq`$ck|+fwv8=|I(k<0EnB8?fhSe( zG(-V2F8w4}0(J+)IBO=fXl7sB(zjw!OPBJ;J+7@vtOp=!8CV?r85kI3p<x9I9uQ_= zKoMr~Nlr)s6X+74zyXI41B1gt1_lOLpd%$J5OXIaeK9aNFfj;#;>3XwL^C@CF$*yK zcUZ~_B3T&(82&RbFgpY=3otk=W))y?U}g|taM;BqzyKjx9qN%B%fRHYolAhhVF#B0 z10$*oqr*;+CM!9pzZe+x;7pM93=9ky0uBuf3=HV1z<vW0U=y*bK}#HHTn4ZoMbQoZ z|DS<@!Ac(CJ~$KRK6C-F-ROc~r-2EC`_R=Or#WOc13278(Un7728&1rMm;za<}!2v zu*=W|LCFY&A#nr>TXYrhd<bVSIDpcCD7r$Bix^?08N+`ic%Uh&z?hIc&P3%*1kNM0 zFcWMrBr|Y2crq|Bs6ssg%cUS1RM;{=JO;_r4i`X)n$01Pfq_9CrlGa5x4qG}g&jhR zIJ7f^a!U)d00R>fBZC10ShWUB_2Q0&9gA#R*da6y)tXS%ydCZRJq-&Nujub<v2B6y z3?V!bhuPTd(Sqs}@^bZa%=Yw4@^#F}%1rWib#Mg>?FI|&fCx>+?pAH683JIn8D5^r zo>_jb-VO;6fgNyx4JdA4hWS+os$aCZrM+Pl#0$+Gi#k9Rlx;6q)Cw$W2p5$_aS6yI za8h@;2np}Ypi<@vh`0(Ou7QZ_AmRo{-k}mH{umrCfg~UrrC^c7z`*DLHpGsRfdQOb z5Het!7&seSI(yq~n-?!cupo|t$TKi7NWmfzWE$8taMKVn5Y-4X5G-`lV37<m4eTwD zX`BdAh)H0_q6-QnCuDheW+UoD3;}S=FgheNFfgENcBsW7$PclN!9gDsK-g436)=EJ z1``}GAqEC1Sh@pw1{^&g&w$;Ikbx*i1PFqK9yG8N2r>;E&moKq44ep2h)H1M(FHL= z0z&}oc1X~mYX%1mx*%rIpsRp5hXF^>z_J6#GobY90P+mj?Fbo&35Wneu+V}AUI-h& zN?rj(>(Rm0%_G?nBmk}MAp#5x;BpIGjXRv@5@68KhvrZYACCk-4+oD7C}YMBh;<I2 z(#zpC0|SGG0ZeI@XNG4oNGXJYMX4cFDIcWVfRr&F84xbC$eFPN9Q+JOHW)#*2_qF3 zkTR}uAy^DrSU|)C4KbW(3^hOyT&OIB7b=YlAtH!kM$i!1_LCt0nLss*Ar+YL0?s1? zEDS9);likX2N`1?0yTzH!4}%ggRme~2!jqx-r*~##A0yhWME)`i9sTtfx*F;k%2)E zLljhVqYHwYf?xvN_yVUUbTyzF4AkC)(F_i2L3XprghAa3w-;msx*)VVgH>xS4jVzm zDmInSv;$8>9vKerK^Dn?x-F3QKg1@mE71j^6(OubY;o8Gvj<%z2PENnWWX5?AHeoR zVDk^UAf)m{tx91$hs`iM(RD%6F0=-PC1p6*;Un1oNNo0_3xaE3EEO`Uki!<3OVIU$ za{{z7h2#Wi?m!cB_yl$nsQ-u&_UM9;`W&NzM-p(@3Ud#-UP#u$%xuUa4xhnpiN+Q_ z=z`#80n{(>91LkJV2C<wgSiUb6mWKh1}QwdLUS>uu)`OS`yknZ0aQGLGA9$bhvcvt zWW_tMJcxvJZ(wCE0~5G+2IGQS*&qz<o56&^`4dbqFd(&_W1+zT?ty{x1WW@Yn=mkd zI&9DZ0VE~^yTeV8qvkU(Fu)3M21amR1DgUDgBpXVLl7)T*=d2Ul$n#C6NDWiKpj7j z1+ZeDff1a^z!t#82wK4405StsA22Y2GYTi157iGg6kQOby2cOy7vYfl8(lNF{zezX ztiRD!K;45{e}laMtD6`Y!TAd8Vz?Mo8zOWOEND2RD+Pry2qVH7R(CNlf-?&zoDVe| zY$LiLMv!0#AcYaSW^fpx3u1;5x(cX!u!Iq;24!Fb=O?g>;bKs2h>$_BAYsJ7>ad7` zfx!ZrDL`Xqph^ab4>kf<CBwjI3RBAH(2QyjSfdzB8q6cnc!vujARRlH1sHTO%mFo$ z(FIwdwtxcxU6LuopDDxN;SeKe00!)9OEh02@xcbcYdSasoEsS!7)@bHnJr)}unq<W z22cV6VMrnag$cR}ELs#enK>0W9U?(KMOO|^G3bKAQ0u@xMVCbKDL7Kl<*_&bsQ}VN zSB}*IAVnZI5OM$m130K{(1IF?4>E;;wEC5S(G+F?B-z1uV6zw)7{t&8z|KS$B*{|b z#E5PXIE2szQ=pE)YBf?6K|{zMErgKxV1tNh3o$U7!nAQa%wb?)fbqa4FfcF(H7`N~ z%~*uM#TPi`I3OGaHUexYx}Z?=LR7G*8H*6uP+fGr;E+QXoX7~a3^G!HE{PO!U?)0a zb0WGR7EfXkf;bUfFW8Cbf{{!}PDGbPccK$EC!!02+_P}eqJ@a~0Y?p*5X6b-dcjUa z7t92ebD;7QRG_0vqC3$Un-kFmVNOK25$;4JA&3*v^@5#<E@&u-6pHAQ=uULO=0tQs zp=NLhE?fjpksv36T!$<KaU!~2uoKY*e<C{(T@u}iuGpN2E(ppSixwg|XCXMdpb0^o zh^`mxM0CM}LP(*AE{X0$H*8Ks7lb$wkrEd{ax^$-;iAnDC!*^GI}u&56Ix$^%R+QX zbSJuFb0WGRC@q4Wf)deS5u~_>I1ybh*oo+ZfuObqEW4mfqC3$8n-kFmL7@nC9mG|O zprME+1aTs|Ua%9<1tmq1A{t#1-HD)4b(AhBs6Id!1ZS6p$cYh>T~LJ}PDIxWb|Sjq bLu4nSOCmXufx+P$sCNhP5DMu4n#lzKa{l1H literal 7630 zcmWg2k!R*+U|7Jwz`)?}q)MKdqmNB41H@xwP*7lSc)#z=E{Al64O|R-&aQr0ewmKm zo?Z@qu4!CZuKt<HuHFvLS$Y-f;qCKRH#$TyFfjaw0*7!028QPDReXzE`YaZ;blEm7 z=5258=51WGkauxkLre3D#T`5yix#)Hbn)~r=I!Wd=;M*F;O*$>;c0K~UIh~CUf8md zw|j9zKkwq+#+E*1rFPzh9X-9>ytFi1k%57M2jqVS2Sx^l4J=HI4AxA{&5IXucqKb} z`8#H1tgumS&-aQr1rcUTaLr2d%#w5FF8qHyK@}8YYvrt&SUf#FUHMk6UbxV~!__6h z&%rAz<MFch42KshK44~qsB(C}Z><~?G6zW<!h{;c-Mo0AZDY%#j-C~L%a*BJ;7Qdx z4N<_1OFs#gfZYKx&YB4=n%Nh(^sQLb(xv=yk87(E>j8*b1{Mc@1_lOMXjp-Q2ZR|I zP=r~0k`q$E1iAz$aKItNz~Hcufq?-Q=tzkQ#M}u<UknTmObh~`IB{SE(aa7(%mNJm z9hS0!NLB^`hW`u<%nkv}0t^m|Sp^szm>C2Z9CmRDFhEFFhk7K(GB7!8=MrFW*uf>h zz=$ft=&%!{$x06DF9t?EI1^+&0|NtwfI|ZV0|UA$u;0K0*hH*q&=Ln4mjUcYQFMd< z|7T!eu#!i(56*<S4_yFkH@YC$X<!23K6Ew6X%3mq01kIibmb72!6K4@Q4h|9xeQ$Z z>@svgP%;8xNF0H}7F`8AAHo?74xlt3imnjkB1Twg#_(SW9%zaxFeW6AGf_Dcf%6D0 z%mf<@$qbwho(v2Os!)%>aw&)g6}Aizk3sUZ!v#>HW^>46U|>*(X=rWiZEv(~VTaHn z4(-gK+|t4<z`(@B$Y8(#R;>Y3y|`mx$0FMnb_k6_wI)<GZ%2E7Ps75+EBgCdY+E2a zLkLgAVKz2<w4geLyj=YpvpxNid>u2gGL!sW9bCaeyTL*`AVO2IyHy)%h5%S?hL>lu zXO^F<w?hI%U<X`a1Bx4%VSd$t>KAQpX>V8s@j`RQq7G06W!noDwE~M8!bN3KTmmu) zoYWmILc;qpsFb+^BCdjnYarq}h`0fgcc?^)KL&?OAPI;@DOe;iFfclR4Y6ZnU;yV9 zgbdgw2F}Ko&fa$0=EVyUEQq5Z@(c_NQm{w_nFe+Z+%$v?L^Z+;1Pk3XSR{i?1A7Z( z8Ye;&ViMS~=z;>t30WSV*@*fOLjW8zj1I{R3=HU+9cr-%@<VK6aL@+@5H=N11q@)5 z!2}0Ph=D;0mhM2F0Y?wWGhnwPWFX2B0fJzm2MsI*f=mO)a|j~?11CZhViMSRbU}=e zzz_ht9TGI?n!!PXE{GX4=qe!2VZaeIu<QWx3@E)ifII_sJ3<Cx0wO>VEVQ737s3Xx zl2-uHdUSAg^GJ3C2|%lRhyVivxZDC);|}M!1Q;~*p*fVp$0Nbd!@(m1%9ybOVx0r1 z^m4e(z`&qk08^Ufnc<lXQVL;UQECWP$_FVoAZ3h4280VOa%SuR2R{Rn4MtFH!brsh zq>O7^2o{4D77#H(LkuSxLk$oF7b*+kg-YW>hzO#X5i~@${UpeLCQ!{{NChUmfb+-z z3quP{xG<{ULB^PeK#k#4u!T1BAS_4~!k`0_clZh_u^1dW85kH~VvxvZU~n*IWMI(4 z5CzrT=z`#;AeaC*zQCyoT@9!P1GP6{G=sxhklk!DVNkci?FHF@E(op8VAWcS!$wfC zicKXn?Z6X}M~1_DkVP_}ZVROS53vdCN_0VJMF^`9TO2mQ>_Jz_0ZDis8E}Tf2e3U6 z*!+Vo2&p_#t5O)xVKdB5bX}0N3#~z6Ng2*{_z1Q?5}W<#g5cU0ONERo<gf+i5_J9G zoB*v%Avpn>JJ7@&K7ri?>OW$HJ-Q&IKF6rwkpvvJ!rX(d7m~FwGaIsq!)LHtqOpY! zx*)h&0QCzz2SXYQ7@`i_V6H+p1)N=>K?={V&|Hiu?C=HTK1jA;02R-m%*h1qAvvrD zS@8}m4<aGm8(5jkzy$7{!MLDSHV8xeW-wuJ{sa>Y3`ni#SZHv7dtl%^0n-4<CJYRq z4jXhp0Ex-K?r;<2sQC;G46p*6ff1b7z^1^(pvEBT5CjWSc3Pk-W#;7P1Yw5=P{$8s z0j$_(U<79}umx~2f)+41fXsl^2MmnhjKT@$L-m6VMHj@Vt}z6_ML4AXM%N6kztIIT z>u+=wQ1_tL-(WAm>Lvz8aJ~Y&7%m3Yh6r5*3mVSoN<m=^!iaE&)m;pX;LO4a=R*w# z+lVfR5hNG_NMVGo85~CFf|y~1t^(>FEMWwzK^Yjq`3dY|xENF$B4iLONEk7&IxJ#f zV6cE@3eeaYsFFeAgN?vd$uKaQ!jv*PG@}{>)+h#(2J=WX-r<4>NXHIl0R~+Rb3lz` zbU{|AE#N>vmt@NDXUgz*IK&7VfC2m363y30e6T_AnhwqY=SBtwMpKwlW(yb#tb>7p z0hGW%7?Q|9VS=s#ixve=W=;i8he(i5(UpT!47#8&)H<+F(It_53XT+Xc`Ob<Du8s+ zm1A`PND;^lgdD)Y01j#!w4g@fgG^x{t$t--G=&)eNp>(E*enJH1~GI2urtvGNwO3< zF`^p;4k2{G6sTjcT8$J%&=9gm3n3&v*dSusLJW+iFm2opa~K#HU_7u13=9lH&5O`L zGZrCm@dZvf4hTnqjQ|^pE-2Kz5EU$H#v%kZR2N+@IONa;Co+O9gNziQOCp6F*oltV zoQN)n#gkZsAWlTr3w9#9U?dZg6VWBno#=$kiRgkL_bgnrXdxngz)^!H1aTs|Ua%9< z1v5eA9H{&R73k=a=uULT=0tQsm=h6hggX&Q2;xL^y<jJz3mOU{g(A8nx)WWnIT2k@ zs2LoB3m3stB*=*%*C7i*oQSR$>_l|IpU6%`mqd4>D>f&h3xe{-qJ>D#SqRQ9XhIMt zqU!}a5nb@05K<_jOQJi`4Vx3u1tCsEq{Ky#91RXyxM(xPiRgO4PDB^%gw|K!vJhPo z-HGnloQN(6N{e8pphPrS1S#$zPDIxWb|Si9AgHYY%P#1W=uY&&=0tQsP$+_32XWOR zXegoyL7a%L7wklIK}k`hh(?!0cOqz19i<Bjst?cw!P#XYa$<yJ7gQmL6Vdg8oro^@ a5ZQ_7l1NTuU~u>b>fJ#+ghD!iW^w`3kKCmI diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx index f4d6768..c692e4e 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -6,12 +6,13 @@ May 22 2024 /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/TWICtl.vhd,1746792867,vhdl,,,,twictl;twiutils,,,,,,,, /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v,1746792867,verilog,,,,audioProc,,,,,,,, /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audio_init.v,1746792867,verilog,,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/debounce.v,,audio_init,,,,,,,, -/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd,1746798166,vhdl,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd,,,controlunit,,,,,,,, +/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd,1746798676,vhdl,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd,,,controlunit,,,,,,,, /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/debounce.v,1746792867,verilog,,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v,,debounce,,,,,,,, /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/fir.vhd,1746792867,vhdl,,,,fir,,,,,,,, /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd,1746792867,vhdl,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd,,,firunit,,,,,,,, /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/i2s_ctl.vhd,1746792867,vhdl,,,,i2s_ctl,,,,,,,, /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v,1746792867,verilog,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v,,\operativeUnit\,,,,,,,, +/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd,1747058630,vhdl,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd,,,operativeunit,,,,,,,, /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd,1746792867,vhdl,,,,tb_firunit,,,,,,,, /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/ip/clk_wiz_0/clk_wiz_0.v,1746792867,verilog,,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audio_init.v,,clk_wiz_0,,,,,,,, /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v,1746792867,verilog,,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/ip/clk_wiz_0/clk_wiz_0.v,,clk_wiz_0_clk_wiz,,,,,,,, diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log index e69de29..839bfed 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log @@ -0,0 +1,6 @@ +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb index b155e40f06a230303a04d2a77f07560e35c5dc93..23655c1f32e73dce22c237a8f6b4ac4d827fd2f9 100644 GIT binary patch literal 835 zcmd<u&&Z|Wn3tGSS(TZWt`O$o;-iq3nUkuZq*|<`keOGKuaJ|ORFqg$si0acz$(RT zXlAU%T~VBwTb5dsnU<Ms6vGvzpOK%NTCAUAWRjPeoL{0}kXVwTU!GZ<p<hy<Tb7ZM zqnn$WsvqjAo0piI3KC1p%qb~K)lDraP038mE7315O4iRv$<fa*NG(b%$t+6^&C4v& zE6YeR65!&i$jpgPNli;E%_+&rOp0PNG|)9PGtOWrV69-Cz{nuL#O2Q=nh5toYF<fZ zNu`3iYO%Tis}zfonWZ_ZgZa65QS6CgH#E>SHZ(9tHgYGW!4k$5LbYH?%Pb--Ks-qb z5Kb<3s0~<yVh^Q35y=%!wV)_Tiif(D_~hY3QfTmSaUm?h8X$aJT&{U33PGvK`9&!L Fi~wxZ0s;U4 literal 16 Xcmd<$<KlA7OHl|)P0lY$5nu!W9CHKI diff --git a/proj/AudioProc.runs/synth_1/tb_firUnit.dcp b/proj/AudioProc.srcs/utils_1/imports/synth_1/tb_firUnit.dcp old mode 100644 new mode 100755 similarity index 100% rename from proj/AudioProc.runs/synth_1/tb_firUnit.dcp rename to proj/AudioProc.srcs/utils_1/imports/synth_1/tb_firUnit.dcp diff --git a/proj/AudioProc.xpr b/proj/AudioProc.xpr index 94253f1..16d3300 100644 --- a/proj/AudioProc.xpr +++ b/proj/AudioProc.xpr @@ -60,7 +60,7 @@ <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/> <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/> <Option Name="EnableBDX" Val="FALSE"/> - <Option Name="WTXSimLaunchSim" Val="19"/> + <Option Name="WTXSimLaunchSim" Val="31"/> <Option Name="WTModelSimLaunchSim" Val="0"/> <Option Name="WTQuestaLaunchSim" Val="0"/> <Option Name="WTIesLaunchSim" Val="0"/> @@ -91,14 +91,13 @@ <FileSets Version="1" Minor="32"> <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> <Filter Type="Srcs"/> - <File Path="$PPRDIR/../src/hdl/operativeUnit.v"> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> <FileInfo> <Attr Name="UsedIn" Val="synthesis"/> - <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> <FileInfo> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="simulation"/> @@ -169,13 +168,6 @@ <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> - <FileInfo> - <Attr Name="UserDisabled" Val="1"/> - <Attr Name="UsedIn" Val="synthesis"/> - <Attr Name="UsedIn" Val="simulation"/> - </FileInfo> - </File> <Config> <Option Name="DesignMode" Val="RTL"/> <Option Name="TopModule" Val="tb_firUnit"/> @@ -194,6 +186,7 @@ </Config> </FileSet> <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1"> + <Filter Type="Srcs"/> <Config> <Option Name="DesignMode" Val="RTL"/> <Option Name="TopModule" Val="tb_firUnit"/> @@ -210,6 +203,14 @@ </FileSet> <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> <Filter Type="Utils"/> + <File Path="$PSRCDIR/utils_1/imports/synth_1/tb_firUnit.dcp"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedInSteps" Val="synth_1"/> + <Attr Name="AutoDcp" Val="1"/> + </FileInfo> + </File> <Config> <Option Name="TopAutoSet" Val="TRUE"/> </Config> @@ -237,11 +238,9 @@ </Simulator> </Simulators> <Runs Version="1" Minor="22"> - <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true"> + <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold" AutoIncrementalCheckpoint="true" IncrementalCheckpoint="$PSRCDIR/utils_1/imports/synth_1/tb_firUnit.dcp" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true"> <Strategy Version="1" Minor="2"> - <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"> - <Desc>Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold</Desc> - </StratHandle> + <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"/> <Step Id="synth_design"> <Option Id="FsmExtraction">1</Option> <Option Id="KeepEquivalentRegisters">1</Option> @@ -258,9 +257,7 @@ </Run> <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Vivado Implementation Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" LaunchOptions="-jobs 6 " AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true"> <Strategy Version="1" Minor="2"> - <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"> - <Desc>Vivado Implementation Defaults</Desc> - </StratHandle> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"/> <Step Id="init_design"/> <Step Id="opt_design"/> <Step Id="power_opt_design"/> diff --git a/src/hdl/operativeUnit.vhd b/src/hdl/operativeUnit.vhd index 4b88965..f2d7f8b 100644 --- a/src/hdl/operativeUnit.vhd +++ b/src/hdl/operativeUnit.vhd @@ -45,7 +45,7 @@ entity operativeUnit is I_incrAddress : in std_logic; -- Control signal to increment register read address I_initSum : in std_logic; -- Control signal to initialize the MAC register I_loadSum : in std_logic; -- Control signal to load the MAC register; - I_loadY : in std_logic; -- Control signal to load Y register + I_loadOutput : in std_logic; -- Control signal to load Y register O_processingDone : out std_logic; -- Indicate that processing is done O_filteredSample : out std_logic_vector(15 downto 0) -- filtered sample ); @@ -114,37 +114,45 @@ begin ); -- Process to describe the shift register storing the input samples - shift : process (I_reset, I_loadShift) is + shift : process(I_reset, I_clock) is begin -- process shift if I_reset = '1' then -- asynchronous reset (active high) SR_shiftRegister <= (others => (others => '0')); - elsif (I_loadShift = '1') then - SR_shiftRegister - + elsif rising_edge(I_clock) then + if (I_loadShift = '1') then + for i in 15 downto 1 loop + SR_shiftRegister(i) <= SR_shiftRegister(i - 1); + end loop; + SR_shiftRegister(0) <= signed(I_inputSample); + end if; end if; end process shift; -- Process to describe the counter providing the selection adresses -- of the multiplexers - incr_address : process (_BLANK_) is + incr_address : process (I_reset, I_clock)is begin if I_reset = '1' then -- asynchronous reset (active high) SR_readAddress <= 0; - elsif _BLANK_ - + elsif rising_edge(I_clock) then + if I_initAddress = '1' then + SR_readAddress <= 0; + elsif I_incrAddress = '1' then + SR_readAddress <= SR_readAddress + 1; + end if; end if; end process incr_address; -- Signal detecting that the next cycle will be the one -- providing the last product used to compute the convolution - O_processingDone <= '1' when _BLANK_; + O_processingDone <= '1' when SR_readAddress = 14 else '0'; -- Signals connected with multiplexers (SIMPLY inferred with table indices) - SC_multOperand1 <= _BLANK_; -- 16 bits - SC_multOperand2 <= _BLANK_; -- 16 bits + SC_multOperand1 <= SR_shiftRegister(SR_readAddress); -- 16 bits + SC_multOperand2 <= SR_coefRegister(SR_readAddress); -- 16 bits -- Multiplication of the operands - SC_MultResult <= _BLANK_; -- 32 bits + SC_MultResult <= SC_multOperand1*SC_multOperand2; -- 32 bits -- Sum of the multiplication result and the accumulated value SC_addResult <= resize(SC_MultResult, SC_addResult'length) + SR_sum; @@ -152,19 +160,32 @@ begin -- Register to store the accumulated value if the loadSum is active -- It also reduces the width of the sum to fit to the input and output -- signal widths (be careful with truncating/rounding) - sum_acc : process (_BLANK_) is + sum_acc : process (I_reset, I_clock) is begin if I_reset = '1' then -- asynchronous reset (active high) SR_sum <= (others => '0'); - elsif _BLANK_ + elsif rising_edge(I_clock) then + if I_initSum = '1' then + SR_sum <= (others => '0'); + elsif I_loadSum = '1' then + SR_sum <= SC_addResult; + end if; end if; end process sum_acc; -- Register to store the final result if the loadOuput is active - store_result : process (_BLANK_) is + store_result : process (I_reset, I_clock) is begin - _BLANK_ - + if I_reset = '1' then -- asynchronous reset (active high) + SR_filteredSample <= (others => '0'); + elsif rising_edge(I_clock) then + if I_loadOutput = '1' then + SR_filteredSample <= SC_addResult(30 downto 15); + if SC_addResult(14) = '1' then + SR_filteredSample <= SR_filteredSample + 1; + end if; + end if; + end if; end process store_result; O_filteredSample <= std_logic_vector(SR_filteredSample); diff --git a/vivado.jou b/vivado.jou index 0cee594..b0e8ba9 100644 --- a/vivado.jou +++ b/vivado.jou @@ -90,3 +90,4 @@ run 10 us run 10 us launch_runs impl_1 -to_step write_bitstream -jobs 6 wait_on_run impl_1 +close_sim diff --git a/vivado.log b/vivado.log index 506c20f..36507b1 100644 --- a/vivado.log +++ b/vivado.log @@ -1054,3 +1054,7 @@ launch_runs impl_1 -to_step write_bitstream -jobs 6 Run output will be captured here: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/runme.log [Fri May 9 16:03:06 2025] Launched impl_1... Run output will be captured here: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/runme.log +close_sim +INFO: [Simtcl 6-16] Simulation closed +exit +INFO: [Common 17-206] Exiting Vivado at Fri May 9 16:37:46 2025... diff --git a/vivado_pid88932.str b/vivado_pid88932.str deleted file mode 100644 index dc27553..0000000 --- a/vivado_pid88932.str +++ /dev/null @@ -1,4534 +0,0 @@ -/* - -AMD Vivado v2024.1 (64-bit) [Major: 2024, Minor: 1] -SW Build: 5076996 on Wed May 22 18:36:09 MDT 2024 -IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024 -IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024 - -Process ID (PID): 88932 -License: Customer -Mode: GUI Mode - -Current time: Fri May 09 14:20:51 CEST 2025 -Time zone: Central European Standard Time (Europe/Paris) - -OS: Ubuntu -OS Version: 6.8.0-59-generic -OS Architecture: amd64 -Available processors (cores): 12 -LSB Release Description: DISTRIB_ID=Ubuntu - -Display: 0 -Screen size: 1680x1050 -Local screen bounds: x = 66, y = 32, width = 1614, height = 1018 -Screen resolution (DPI): 100 -Available screens: 1 -Default font: family=Dialog,name=Dialog,style=plain,size=12 -Scale size: 12 -OS font scaling: 100% -Anti-Alias Enabled: false - -Java version: 21.0.1 64-bit -JavaFX version: 21.0.1 -Java home: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12 -Java executable: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12/bin/java -Java arguments: [-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Dsun.java2d.uiScale.enabled=false, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, -XX:+UseStringDeduplication, -XX:MaxGCPauseMillis=200, -XX:+ParallelRefProcEnabled, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/java.awt.event=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.base/java.nio=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.table=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.desktop/sun.awt.shell=ALL-UNNAMED, --add-exports=java.base/sun.security.action=ALL-UNNAMED, --add-exports=java.desktop/sun.font=ALL-UNNAMED, --add-opens=java.desktop/sun.awt.X11=ALL-UNNAMED, -XX:NewSize=80m, -XX:MaxNewSize=80m, -Xms512m, -Xmx4072m, -Xss10m, -Xrs] -Java initial memory (-Xms): 512 MB -Java maximum memory (-Xmx): 3 GB - -User name: l24nicot -User home directory: /homes/l24nicot -User working directory: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant -User country: US -User language: en -User locale: en_US - -RDI_BASEROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado -HDI_APPROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1 -RDI_DATADIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data -RDI_BINDIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin - -Vivado preferences file: /homes/l24nicot/.Xilinx/Vivado/2024.1/vivado.xml -Vivado preferences directory: /homes/l24nicot/.Xilinx/Vivado/2024.1/ -Vivado layouts directory: /homes/l24nicot/.Xilinx/Vivado/2024.1/data/layouts -PlanAhead jar file: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/classes/planAhead.jar -Vivado log file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/vivado.log -Vivado journal file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/vivado.jou -Engine tmp dir: ./.Xil/Vivado-88932-fl-tp-br-515 -Non-Default Parameters: [] - -Xilinx & AMD Environment Variables --------------------------------------------------------------------------------------------- -GNOME_SHELL_SESSION_MODE: ubuntu -RDI_APPROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1 -RDI_BASEROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado -RDI_BINROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin -RDI_BUILD: yes -RDI_DATADIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data -RDI_INSTALLROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1 -RDI_INSTALLVER: 2024.1 -RDI_JAVA_PLATFORM: -RDI_JAVA_VERSION: 21.0.1_12 -RDI_LIBDIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/Ubuntu:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o -RDI_OPT_EXT: .o -RDI_PATCHROOT: -RDI_PLATFORM: lnx64 -RDI_PREPEND_PATH: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64 -RDI_PROG: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/vivado -RDI_SESSION_INFO: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant:fl-tp-br-515_1746793104_88863 -RDI_SHARED_DATA: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data -RDI_TPS_ROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64 -RDI_USE_JDK21: True -SHELL: /bin/bash -XILINX: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE -XILINXD_LICENSE_FILE: 27007@licence-01.imta.fr -XILINX_DSP: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE -XILINX_HLS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis_HLS/2024.1 -XILINX_PLANAHEAD: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1 -XILINX_SDK: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1 -XILINX_VITIS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1 -XILINX_VIVADO: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1 -XILINX_VIVADO_HLS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1 - - -GUI allocated memory: 512 MB -GUI max memory: 4,072 MB -Engine allocated memory: 1,501 MB - -Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. - -*/ - -// TclEventType: START_GUI -// Tcl Message: start_gui -// HMemoryUtils.trashcanNow. Engine heap size: 1,525 MB. GUI used memory: 72 MB. Current time: 5/9/25, 2:20:52 PM CEST -// HMemoryUtils.trashcanNow. Engine heap size: 1,681 MB. GUI used memory: 70 MB. Current time: 5/9/25, 2:21:12 PM CEST -selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 95, 17); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR) -typeControlKey(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, "RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR", 'v'); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR) -// Tcl (Dont Echo) Command: 'rdi::info_commands bd::match_path' -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "cd tp-filtre-etudiant-$USER/proj", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) -// Tcl Command: 'cd tp-filtre-etudiant-$USER/proj' -// HMemoryUtils.trashcanNow. Engine heap size: 1,847 MB. GUI used memory: 71 MB. Current time: 5/9/25, 2:21:32 PM CEST -// Tcl Message: cd tp-filtre-etudiant-$USER/proj -// Tcl Message: can't read "USER": no such variable -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "ls", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) -// Tcl Command: 'ls' -// Tcl Message: ls -// Tcl Message: docs proj README.md src vivado.jou vivado.log vivado_pid88932.str -// Tcl (Dont Echo) Command: 'rdi::info_commands {cd*}' -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "cd proj", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) -// Tcl Command: 'cd proj' -// Tcl Message: cd proj -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "ls", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) -// Tcl Command: 'ls' -// Tcl Message: ls -// Tcl Message: cleanup.cmd cleanup.sh create_project.tcl -// [GUI Memory]: 99 MB (+100813kb) [00:01:38] -// [Engine Memory]: 1,858 MB (+1796753kb) [00:01:38] -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, (String) null); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, (String) null); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) -// Tcl (Dont Echo) Command: 'rdi::info_commands bd::match_path' -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./create_project.tcl", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) -// Tcl Command: 'source ./create_project.tcl' -// TclEventType: DEBUG_PROBE_SET_CHANGE -// TclEventType: FLOW_ADDED -// Tcl Message: source ./create_project.tcl -// Tcl Message: # if {[info exists ::create_path]} { # set dest_dir $::create_path # } else { # set dest_dir [pwd] # } # puts "INFO: Creating new project in $dest_dir" -// Tcl Message: INFO: Creating new project in /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj -// Tcl Message: # set proj_name "AudioProc" # set origin_dir ".." # set orig_proj_dir "[file normalize "$origin_dir/proj"]" # set src_dir $origin_dir/src # set repo_dir $origin_dir/repo # set part_num "xc7a200tsbg484-1" # create_project $proj_name $dest_dir -// TclEventType: FILE_SET_NEW -// TclEventType: RUN_ADD -// TclEventType: RUN_CURRENT -// TclEventType: PROJECT_DASHBOARD_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_NEW -// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified -// TclEventType: PROJECT_NEW -// [GUI Memory]: 140 MB (+37802kb) [00:01:57] -// [Engine Memory]: 1,966 MB (+15308kb) [00:01:58] -// WARNING: HEventQueue.dispatchEvent() is taking 3632 ms. -// TclEventType: FILE_SET_OPTIONS_CHANGE -// Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: RUN_MODIFY -// HMemoryUtils.trashcanNow. Engine heap size: 2,051 MB. GUI used memory: 78 MB. Current time: 5/9/25, 2:22:07 PM CEST -// TclEventType: RUN_MODIFY -// TclEventType: CREATE_IP_CATALOG -// TclEventType: PART_MODIFIED -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: COMPOSITE_FILE_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_OPTIONS_MODIFIED -// TclEventType: RUN_MODIFY -// TclEventType: RUN_OPTIONS_MODIFIED -// TclEventType: RUN_MODIFY -// Tcl Message: create_project: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 8148.336 ; gain = 197.250 ; free physical = 6602 ; free virtual = 16113 -// TclEventType: RUN_OPTIONS_MODIFIED -// TclEventType: RUN_MODIFY -// [GUI Memory]: 154 MB (+7545kb) [00:02:02] -// TclEventType: RUN_MODIFY -// TclEventType: RUN_OPTIONS_MODIFIED -// Tcl Message: # set obj [get_runs impl_1] # set_property "part" "$part_num" $obj # set_property "steps.write_bitstream.args.bin_file" "1" $obj # current_run -implementation [get_runs impl_1] -// Tcl Message: impl_1 -// Elapsed time: 10 seconds -dismissDialog("Tcl Command"); // bj (Tcl Command Progress) -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// Tcl Message: update_compile_order -fileset sources_1 -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, (String) null); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// [Engine Memory]: 2,083 MB (+19804kb) [00:02:11] -// Tcl Message: update_compile_order -fileset sources_1 -// Elapsed time: 21 seconds -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, (String) null); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, controlUnit.vhd]", 2, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, controlUnit.vhd]", 2, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click -// HMemoryUtils.trashcanNow. Engine heap size: 2,137 MB. GUI used memory: 80 MB. Current time: 5/9/25, 2:22:47 PM CEST -selectCodeEditor("controlUnit.vhd", 96, 255); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 96, 255, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 219, 269); // ac (controlUnit.vhd) -// Elapsed time: 12 seconds -selectCodeEditor("controlUnit.vhd", 128, 358); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 241, 294); // ac (controlUnit.vhd) -// Elapsed time: 56 seconds -selectCodeEditor("controlUnit.vhd", 108, 235); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 108, 235, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 174, 246); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 12, 235); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 217, 268); // ac (controlUnit.vhd) -// Elapsed time: 16 seconds -selectCodeEditor("controlUnit.vhd", 76, 266); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 76, 266, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 91, 186); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 203, 233); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 75, 262); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 100, 353); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 244, 186); // ac (controlUnit.vhd) -// Elapsed time: 14 seconds -selectCodeEditor("controlUnit.vhd", 128, 143); // ac (controlUnit.vhd) -// Elapsed time: 15 seconds -typeControlKey(null, null, 'z'); -selectCodeEditor("controlUnit.vhd", 449, 272); // ac (controlUnit.vhd) -// Elapsed time: 175 seconds -selectCodeEditor("controlUnit.vhd", 186, 144); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 255, 219); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 105, 97); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 105, 97, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 167, 136); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 33, 126); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 199, 118); // ac (controlUnit.vhd) -// Elapsed time: 13 seconds -selectCodeEditor("controlUnit.vhd", 74, 161); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 73, 174); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 73, 174, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 114, 182); // ac (controlUnit.vhd) -// Elapsed time: 32 seconds -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, operativeUnit.vhd]", 3, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, operativeUnit.vhd]", 3, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click -// [Engine Memory]: 2,189 MB (+2638kb) [00:08:55] -// HMemoryUtils.trashcanNow. Engine heap size: 2,199 MB. GUI used memory: 80 MB. Current time: 5/9/25, 2:29:02 PM CEST -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// Elapsed time: 84 seconds -selectCodeEditor("controlUnit.vhd", 44, 233); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 257, 245); // ac (controlUnit.vhd) -// Elapsed time: 66 seconds -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 5, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 5, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 5, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node -// HMemoryUtils.trashcanNow. Engine heap size: 2,230 MB. GUI used memory: 80 MB. Current time: 5/9/25, 2:31:47 PM CEST -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// Elapsed time: 48 seconds -selectCodeEditor("controlUnit.vhd", 157, 136); // ac (controlUnit.vhd) -// Elapsed time: 91 seconds -selectCodeEditor("controlUnit.vhd", 101, 51); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 101, 50, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 116, 222); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 116, 222, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -// Elapsed time: 13 seconds -selectCodeEditor("controlUnit.vhd", 292, 311); // ac (controlUnit.vhd) -// Elapsed time: 38 seconds -selectCodeEditor("controlUnit.vhd", 124, 271); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 123, 271, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 197, 96); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 197, 96, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -// Elapsed time: 46 seconds -selectCodeEditor("controlUnit.vhd", 232, 101); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 232, 101, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 92, 56); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 75, 128); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 48, 98); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 257, 110); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 258, 98); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 71, 126); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 71, 126, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 203, 132); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 203, 132, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 266, 175); // ac (controlUnit.vhd) -// Elapsed time: 34 seconds -selectCodeEditor("controlUnit.vhd", 117, 173); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 117, 173, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 200, 350); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 200, 350, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 271, 367); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 115, 310); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 115, 310, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 162, 343); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 115, 302); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 115, 302, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 217, 312); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 217, 312, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 95, 310); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 95, 310, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 81, 383); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 81, 383, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 240, 362); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 118, 380); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 126, 378); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -typeControlKey(null, null, 'z'); -typeControlKey(null, null, 'z'); -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 81, 321); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 81, 321, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 83, 296); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 83, 296, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 114, 284); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 114, 284, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 83, 296); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 83, 296, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 291, 249); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 291, 249, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 76, 291); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 76, 291, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 132, 307); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 132, 307, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 421, 111); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 421, 111, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 74, 322); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 74, 322, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 102, 409); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 255, 96); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 46, 115); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 244, 152); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 26, 127); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 268, 159); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 46, 131); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 306, 149); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 254, 165); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 233, 142); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 233, 142, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 240, 168); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 265, 147); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 227, 146); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 227, 146, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 206, 278); // ac (controlUnit.vhd) -// Elapsed time: 44 seconds -selectCodeEditor("controlUnit.vhd", 206, 278, false, false, false, true, false); // ac (controlUnit.vhd) - Popup Trigger -selectCodeEditor("controlUnit.vhd", 203, 269); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 43, 352); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 42, 249); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 53, 283); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 21, 279); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 54, 308); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 55, 316); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 58, 296); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 59, 263); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 218, 102); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 218, 102, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 60, 265); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 60, 264); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -// Elapsed time: 11 seconds -selectCodeEditor("controlUnit.vhd", 67, 264); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 219, 266); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 213, 266); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 58, 291); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -// Elapsed time: 75 seconds -selectCodeEditor("controlUnit.vhd", 101, 336); // ac (controlUnit.vhd) -typeControlKey(null, null, 'z'); -typeControlKey(null, null, 'z'); -typeControlKey(null, null, 'z'); -selectCodeEditor("controlUnit.vhd", 406, 159); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 406, 159, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 75, 367); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 75, 367, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 125, 369); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 143, 371); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 52, 381); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 79, 367); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 79, 367, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 146, 386); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 146, 386, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 476, 154); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 476, 154, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 82, 394); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 82, 394, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 250, 377); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 42, 235); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 39, 247); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 124, 210); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 125, 209); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 145, 230); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 133, 211); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 133, 211, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 145, 206); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 145, 206, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 178, 217); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 130, 206); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 130, 206, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 211, 155); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 300, 343); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 379, 367); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 179, 374); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 199, 281); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 42, 236); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 47, 250); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 77, 266); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 193, 282); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 231, 265); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 222, 143); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 222, 143, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 237, 282); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 10, 236); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 301, 240); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 100, 220); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 100, 220, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 218, 236); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 130, 216); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 130, 216, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 115, 251); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 115, 251, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 61, 262); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 243, 242); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 118, 218); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 118, 218, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 96, 277); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 96, 277, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 60, 293); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 291, 266); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 184, 246); // ac (controlUnit.vhd) -// Elapsed time: 116 seconds -selectCodeEditor("controlUnit.vhd", 90, 145); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 90, 145, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -// Elapsed time: 69 seconds -selectCodeEditor("controlUnit.vhd", 194, 342); // ac (controlUnit.vhd) -// Elapsed time: 36 seconds -selectCodeEditor("controlUnit.vhd", 19, 235); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 96, 227); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 104, 223); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 100, 233); // ac (controlUnit.vhd) -// Elapsed time: 79 seconds -selectCodeEditor("controlUnit.vhd", 135, 261); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 135, 261, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 71, 296); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 71, 296, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 128, 267); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 128, 267, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -// Elapsed time: 10 seconds -selectCodeEditor("controlUnit.vhd", 75, 295); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 75, 295, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 118, 268); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 118, 268, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 177, 320); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 177, 320, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 143, 264); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 149, 267); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 142, 266); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 236, 283); // ac (controlUnit.vhd) -// Elapsed time: 22 seconds -selectCodeEditor("controlUnit.vhd", 129, 293); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 129, 293, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 117, 330); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 117, 330, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 116, 323); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 116, 323, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 118, 299); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 118, 299, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -// Elapsed time: 14 seconds -selectCodeEditor("controlUnit.vhd", 45, 299); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 45, 319); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 58, 333); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 85, 321); // ac (controlUnit.vhd) -// Elapsed time: 14 seconds -selectCodeEditor("controlUnit.vhd", 270, 323); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 80, 322); // ac (controlUnit.vhd) -// Elapsed time: 15 seconds -selectCodeEditor("controlUnit.vhd", 158, 176); // ac (controlUnit.vhd) -// Elapsed time: 31 seconds -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 197, 291); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -// Elapsed time: 13 seconds -selectCodeEditor("controlUnit.vhd", 80, 367); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -// Elapsed time: 11 seconds -selectCodeEditor("controlUnit.vhd", 338, 369); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 263, 385); // ac (controlUnit.vhd) -// Elapsed time: 15 seconds -selectCodeEditor("controlUnit.vhd", 99, 189); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 99, 189, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 215, 296); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 61, 277); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 59, 322); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 82, 324); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 230, 343); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 142, 268); // ac (controlUnit.vhd) -// Elapsed time: 14 seconds -selectCodeEditor("controlUnit.vhd", 256, 231); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 260, 252); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 283, 281); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 268, 321); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 283, 354); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 305, 306); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 191, 269); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 266, 247); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 291, 293); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 261, 353); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 246, 392); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 332, 157); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 295, 241); // ac (controlUnit.vhd) -// Elapsed time: 33 seconds -selectCodeEditor("controlUnit.vhd", 167, 400); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 178, 400); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 189, 401); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 187, 398); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 88, 335); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 189, 355); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 81, 339); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 55, 381); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 191, 400); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 173, 383); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 56, 429); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 58, 389); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 56, 428); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 180, 400); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 61, 385); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 58, 427); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 42, 201); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 308, 317); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 267, 114); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 282, 142); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 163, 189); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 259, 280); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 112, 294); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 260, 311); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 291, 363); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 64, 367); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 157, 356); // ac (controlUnit.vhd) -// Elapsed time: 10 seconds -selectCodeEditor("controlUnit.vhd", 205, 325); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 205, 325, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 114, 355); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 114, 355, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -// Elapsed time: 32 seconds -selectCodeEditor("controlUnit.vhd", 301, 231); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 269, 265); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 244, 311); // ac (controlUnit.vhd) -// Elapsed time: 25 seconds -selectCodeEditor("controlUnit.vhd", 129, 233); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 129, 233, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 100, 123); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 100, 123, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 118, 112); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 118, 112, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 211, 267); // ac (controlUnit.vhd) -// Elapsed time: 27 seconds -selectCodeEditor("controlUnit.vhd", 295, 341); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 154, 379); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 245, 264); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 239, 258); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 309, 396); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 83, 350); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 41, 353); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 49, 275); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 68, 292); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 138, 324); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 213, 280); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 130, 128); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 130, 128, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 248, 307); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 248, 307, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 215, 344); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 85, 289); // ac (controlUnit.vhd) -// Elapsed time: 209 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// Elapsed time: 10 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_firUnit.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// Elapsed time: 13 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// HMemoryUtils.trashcanNow. Engine heap size: 2,233 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:01:47 PM CEST -// Elapsed time: 287 seconds -selectCodeEditor("controlUnit.vhd", 121, 366); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 121, 366, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 226, 399); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 226, 399, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -// Elapsed time: 14 seconds -selectCodeEditor("controlUnit.vhd", 286, 193); // ac (controlUnit.vhd) -// Elapsed time: 58 seconds -selectCodeEditor("controlUnit.vhd", 246, 188); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 87, 293); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 321, 307); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 376, 268); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 355, 320); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 17, 306); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 377, 400); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 52, 308); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 52, 308, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 254, 307); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 254, 307, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 275, 311); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 260, 310); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 254, 310); // ac (controlUnit.vhd) -// Elapsed time: 19 seconds -selectCodeEditor("controlUnit.vhd", 265, 315); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 274, 313); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 274, 313, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -// Elapsed time: 21 seconds -selectCodeEditor("controlUnit.vhd", 58, 330); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 16, 311); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 225, 325); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 221, 307); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 256, 311); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 256, 311, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -// Elapsed time: 35 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// Elapsed time: 126 seconds -selectCodeEditor("controlUnit.vhd", 84, 159); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 268, 128); // ac (controlUnit.vhd) -// Elapsed time: 141 seconds -selectCodeEditor("controlUnit.vhd", 99, 338); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 99, 338, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 219, 312); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 126, 275); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 126, 275, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -// Elapsed time: 10 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// Elapsed time: 23 seconds -selectCodeEditor("controlUnit.vhd", 121, 116); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 121, 116, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 278, 277); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 278, 277, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 454, 231); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 257, 281); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 254, 279); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 278, 293); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 278, 293, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 269, 310); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 269, 310, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 328, 145); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 328, 145, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 399, 307); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 399, 307, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 260, 305); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 306, 318); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 255, 309); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 272, 323); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 272, 323, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 394, 297); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 394, 297, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 412, 324); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 412, 324, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 485, 309); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 284, 338); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 284, 338, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 283, 354); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 283, 354, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 400, 353); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 400, 353, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -// Elapsed time: 49 seconds -selectCodeEditor("controlUnit.vhd", 277, 345); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 277, 345, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 270, 371); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 278, 372); // ac (controlUnit.vhd) -// Elapsed time: 92 seconds -selectCodeEditor("controlUnit.vhd", 132, 250); // ac (controlUnit.vhd) -// Elapsed time: 60 seconds -selectCodeEditor("controlUnit.vhd", 25, 198); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 157, 229); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 18, 190); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 18, 356); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 171, 301); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 114, 298); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 204, 277); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 112, 116); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 112, 116, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 100, 81); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 324, 84); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 140, 281); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 140, 281, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 324, 83); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 361, 119); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 97, 115); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 97, 115, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 347, 133); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 411, 39); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 411, 39, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 348, 212); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 386, 28); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 386, 29, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 391, 37); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 391, 37, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 368, 199); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 82, 42); // ac (controlUnit.vhd) -// Elapsed time: 12 seconds -selectCodeEditor("controlUnit.vhd", 302, 314); // ac (controlUnit.vhd) -// Elapsed time: 240 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab((HResource) null, "PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 2, true, false); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) - Popup Trigger -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, operativeUnit.vhd]", 3, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, operativeUnit.vhd]", 3, false, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger -selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) -selectMenuItem(PAResourceCommand.PACommandNames_SRC_DISABLE, "Disable File"); // ap (PAResourceCommand.PACommandNames_SRC_DISABLE, src_disable_menu) -// Run Command: PAResourceCommand.PACommandNames_SRC_DISABLE -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: set_property is_enabled false [get_files /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd] -// Elapsed Time for: 'L.f': 01h:00m:54s -// HMemoryUtils.trashcanNow. Engine heap size: 2,262 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:23:02 PM CEST -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Elapsed Time for: 'L.f': 01h:00m:58s -// Tcl Message: update_compile_order -fileset sources_1 -// Elapsed Time for: 'L.f': 01h:01m:00s -// Elapsed Time for: 'L.f': 01h:01m:04s -// Elapsed time: 19 seconds -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 4, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 4, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 5, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 5, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node -selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) -selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) -selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu) -// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP -// TclEventType: FILE_SET_CHANGE -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: DG_GRAPH_STALE -// Tcl Message: set_property top firUnit [current_fileset] -// TclEventType: DG_GRAPH_STALE -// Elapsed Time for: 'L.f': 01h:01m:18s -// [Engine Memory]: 2,306 MB (+7326kb) [01:03:18] -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Elapsed Time for: 'L.f': 01h:01m:20s -// Tcl Message: update_compile_order -fileset sources_1 -// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:23:27 PM CEST -// Elapsed Time for: 'L.f': 01h:01m:22s -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 4, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node -// Elapsed Time for: 'L.f': 01h:01m:24s -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 4, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node -selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) -selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) -selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) -selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu) -// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP -// TclEventType: FILE_SET_CHANGE -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: DG_GRAPH_STALE -// Tcl Message: set_property top tb_firUnit [current_fileset] -// TclEventType: DG_GRAPH_STALE -// Elapsed Time for: 'L.f': 01h:01m:26s -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Elapsed Time for: 'L.f': 01h:01m:28s -// Tcl Message: update_compile_order -fileset sources_1 -// Elapsed Time for: 'L.f': 01h:01m:30s -// Elapsed Time for: 'L.f': 01h:01m:34s -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Disabled Sources]", 6); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Disabled Sources]", 6); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Disabled Sources]", 6); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL -selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save", "Save Project"); // a (PAResourceQtoS.SaveProjectUtils_SAVE) -// TclEventType: DG_GRAPH_STALE -dismissDialog("Save Project"); // Z.d (dialog0) -// TclEventType: LAUNCH_SIM -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: LAUNCH_SIM -// TclEventType: FILE_SET_CHANGE -// Tcl Message: launch_simulation -// Tcl Message: Command: launch_simulation -// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'audioProc' -// TclEventType: FILE_SET_OPTIONS_CHANGE -// Tcl Message: INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' -// Tcl Message: INFO: [USF-XSim-7] Finding pre-compiled libraries... INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-54] Inspecting design source files for 'audioProc' in fileset 'sim_1'... -// TclEventType: LOAD_FEATURE -// TclEventType: PACKAGER_MESSAGE_RESET -// TclEventType: PACKAGER_UNLOAD_CORE -// Tcl Message: INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-98] Fetching design files from 'sim_1'... -// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design -// Tcl Message: INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xvlog --incr --relax -prj audioProc_vlog.prj -// Tcl Message: INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" into library xil_defaultlib INFO: [VRFC 10-311] analyzing module clk_wiz_0_clk_wiz -// TclEventType: LAUNCH_SIM_LOG -// Tcl Message: execute_script: Time (s): cpu = 00:00:03 ; elapsed = 00:00:12 . Memory (MB): peak = 8459.359 ; gain = 0.000 ; free physical = 5850 ; free virtual = 15540 -// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '12' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log -// Tcl Message: Vivado Simulator v2024.1 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log Using 8 slave threads. Starting static elaboration -// Tcl Message: Starting simulation data flow analysis Completed simulation data flow analysis Time Resolution for simulation is 1ps Compiling package std.standard Compiling package std.textio Compiling package ieee.std_logic_1164 Compiling package ieee.std_logic_arith Compiling package ieee.std_logic_unsigned Compiling package ieee.math_real Compiling package ieee.numeric_std Compiling module unisims_ver.IBUF Compiling module unisims_ver.MMCME2_ADV(CLKFBOUT_MULT_F=6.0,C... -// HMemoryUtils.trashcanNow. Engine heap size: 2,349 MB. GUI used memory: 83 MB. Current time: 5/9/25, 3:24:26 PM CEST -// TclEventType: LAUNCH_SIM -// Tcl Message: Built simulation snapshot audioProc_behav -// Tcl Message: execute_script: Time (s): cpu = 00:00:08 ; elapsed = 00:00:13 . Memory (MB): peak = 8459.359 ; gain = 0.000 ; free physical = 5732 ; free virtual = 15477 -// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '12' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim -// Tcl Message: with args "audioProc_behav -key {Behavioral:sim_1:Functional:audioProc} -tclbatch {audioProc.tcl} -log {simulate.log}" -// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature -// TclEventType: LOAD_FEATURE -// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// Elapsed time: 34 seconds -closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary) -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_OPEN_WCFG -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// Tcl Message: Time resolution is 1 ps -// TclEventType: WAVEFORM_OPEN_WCFG -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MODEL_EVENT -// Tcl Message: source audioProc.tcl -// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// HMemoryUtils.trashcanNow. Engine heap size: 2,432 MB. GUI used memory: 90 MB. Current time: 5/9/25, 3:24:31 PM CEST -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// [Engine Memory]: 2,432 MB (+11766kb) [01:04:25] -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: # run 1000ns -// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'audioProc_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns -// Tcl Message: launch_simulation: Time (s): cpu = 00:00:21 ; elapsed = 00:00:36 . Memory (MB): peak = 8549.523 ; gain = 99.164 ; free physical = 5741 ; free virtual = 15522 -// Elapsed Time for: 'h': 42s -// 'd' command handler elapsed time: 42 seconds -dismissDialog("Run Simulation"); // e (Run Simulation Progress) -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// Elapsed Time for: 'L.f': 01h:02m:28s -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:24:43 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:24:44 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:24:45 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 89 MB. Current time: 5/9/25, 3:24:48 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,457 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:24:57 PM CEST -// Elapsed time: 25 seconds -maximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // L (PAResourceOtoP.PAViews_BASE_WORKSPACE, PlanAheadTabBaseWorkspace) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// [Engine Memory]: 3,457 MB (+946876kb) [01:04:51] -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:04 PM CEST -selectMenu("Waveform Style"); // am (Waveform Style) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:09 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:09 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:10 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:10 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:12 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:12 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:14 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:15 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// Elapsed time: 27 seconds -expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, outputRightSample[23:0]]", 21); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, outputRightSample[23:0]]", 21); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:34 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, outputLeftSample[23:0]]", 20); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, outputLeftSample[23:0]]", 20); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, inputRightSample[23:0]]", 19); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:36 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, inputRightSample[23:0]]", 19); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, inputLeftSample[23:0]]", 18); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, inputLeftSample[23:0]]", 18); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// HMemoryUtils.trashcanNow. Engine heap size: 2,432 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:37 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,432 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:43 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,432 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:43 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) -unMinimizeFrame(PAResourceOtoP.PAViews_TCL_CONSOLE, "Tcl Console"); // R (PAResourceOtoP.PAViews_TCL_CONSOLE, Tcl Console) -// HMemoryUtils.trashcanNow. Engine heap size: 3,476 MB. GUI used memory: 90 MB. Current time: 5/9/25, 3:25:49 PM CEST -// Elapsed time: 31 seconds -selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH -// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: SIMULATION_MODEL_RELOADED -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: LAUNCH_SIM -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PACKAGER_MESSAGE_RESET -// TclEventType: PACKAGER_UNLOAD_CORE -// Tcl Message: relaunch_sim -// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral -// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xvlog --incr --relax -prj audioProc_vlog.prj -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// Tcl Message: xvhdl --incr --relax -prj audioProc_vhdl.prj -// TclEventType: LAUNCH_SIM -// TclEventType: FILE_SET_OPTIONS_CHANGE -// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. -// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds -// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral -// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'audioProc' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: SIMULATION_MODEL_RELOADED -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 90 MB. Current time: 5/9/25, 3:26:27 PM CEST -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 8549.523 ; gain = 0.000 ; free physical = 5660 ; free virtual = 15430 -// 'a' command handler elapsed time: 7 seconds -dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress) -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 90 MB. Current time: 5/9/25, 3:26:32 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 78 MB. Current time: 5/9/25, 3:26:38 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 77 MB. Current time: 5/9/25, 3:26:47 PM CEST -// Elapsed time: 22 seconds -expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, out_audioR[23:0]]", 6); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 77 MB. Current time: 5/9/25, 3:26:51 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 72, 269); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -/********** leftMouseClick (827, 531, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 77 MB. Current time: 5/9/25, 3:26:53 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 3,474 MB. GUI used memory: 77 MB. Current time: 5/9/25, 3:26:55 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 77 MB. Current time: 5/9/25, 3:26:58 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 76 MB. Current time: 5/9/25, 3:26:58 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, out_audioR[23:0]]", 9); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 77 MB. Current time: 5/9/25, 3:27:49 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 73 MB. Current time: 5/9/25, 3:27:51 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 73 MB. Current time: 5/9/25, 3:27:51 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_CLOSE_WCFG -// Elapsed time: 72 seconds -closeView(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 1"); // t (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -unMinimizeFrame(PAResourceOtoP.PAViews_SOURCES, "Sources"); // R (PAResourceOtoP.PAViews_SOURCES, Sources) -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_firUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL -selectButton("OptionPane.button", "Yes", "Simulation is Already Running"); // JButton (OptionPane.button) -// TclEventType: SIMULATION_CLOSE_SIMULATION -// Tcl Message: close_sim -// Tcl Message: INFO: [Simtcl 6-16] Simulation closed -dismissDialog("Close"); // bj (Close Progress) -// TclEventType: LAUNCH_SIM -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PACKAGER_MESSAGE_RESET -// TclEventType: PACKAGER_UNLOAD_CORE -// Tcl Message: launch_simulation -// Tcl Message: Command: launch_simulation -// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xvlog --incr --relax -prj audioProc_vlog.prj -// Tcl Message: xvhdl --incr --relax -prj audioProc_vhdl.prj -// TclEventType: LAUNCH_SIM_LOG -// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. -// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log -// TclEventType: LAUNCH_SIM -// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim -// Tcl Message: with args "audioProc_behav -key {Behavioral:sim_1:Functional:audioProc} -tclbatch {audioProc.tcl} -log {simulate.log}" -// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature -// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary) -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_OPEN_WCFG -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: WAVEFORM_OPEN_WCFG -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// HMemoryUtils.trashcanNow. Engine heap size: 2,441 MB. GUI used memory: 75 MB. Current time: 5/9/25, 3:28:42 PM CEST -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_TITLE -// Tcl Message: Time resolution is 1 ps -// Tcl Message: source audioProc.tcl -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: # run 1000ns -// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'audioProc_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns -// Tcl Message: launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 8556.098 ; gain = 6.574 ; free physical = 5679 ; free virtual = 15450 -// 'd' command handler elapsed time: 10 seconds -dismissDialog("Run Simulation"); // e (Run Simulation Progress) -// HMemoryUtils.trashcanNow. Engine heap size: 3,485 MB. GUI used memory: 76 MB. Current time: 5/9/25, 3:28:44 PM CEST -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// Elapsed time: 11 seconds -selectTab((HResource) null, (HResource) null, "Sources", 1); // aa -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 7); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 8); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 10, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 10, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node -selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) -selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) -selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu) -// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP -// TclEventType: FILE_SET_CHANGE -// TclEventType: FILE_SET_OPTIONS_CHANGE -// Tcl Message: set_property top tb_firUnit [get_filesets sim_1] -// TclEventType: FILE_SET_CHANGE -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: DG_GRAPH_STALE -// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] -// TclEventType: DG_GRAPH_STALE -// Elapsed Time for: 'L.f': 01h:07m:00s -// Elapsed Time for: 'L.f': 01h:07m:02s -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL -// TclEventType: LAUNCH_SIM -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PACKAGER_MESSAGE_RESET -// TclEventType: PACKAGER_UNLOAD_CORE -// Tcl Message: launch_simulation -// Tcl Message: Command: launch_simulation -// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj -// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj -// TclEventType: LAUNCH_SIM_LOG -// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. -// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log -// TclEventType: LAUNCH_SIM -// Tcl Message: Built simulation snapshot tb_firUnit_behav -// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim -// Tcl Message: with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" -// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature -// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_OPEN_WCFG -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// HMemoryUtils.trashcanNow. Engine heap size: 2,494 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:19 PM CEST -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: Time resolution is 1 ps -// Tcl Message: source tb_firUnit.tcl -// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 1000ns -// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns -// Tcl Message: launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 8615.930 ; gain = 45.684 ; free physical = 5636 ; free virtual = 15426 -// 'd' command handler elapsed time: 9 seconds -dismissDialog("Run Simulation"); // e (Run Simulation Progress) -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:25 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:25 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:25 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_filteredSample[15:0]]", 4, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectMenu("Waveform Style"); // am (Waveform Style) -selectMenu("Signal Color"); // am (Signal Color) -selectMenu("Radix"); // am (Radix) -// TclEventType: WAVEFORM_UPDATE_COMMANDS -selectMenu("Radix"); // am (Radix) -selectMenuItem((HResource) null, "Signed Decimal"); // ad (Signed Decimal) -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:37 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:39 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:39 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,529 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:39 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:40 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,529 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,529 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,879 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,529 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:41 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,401 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:41 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,618 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:41 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:41 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,529 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:41 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,401 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:41 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,140 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:41 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 221, 144); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -/********** leftMouseClick (1500, 432, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:45 PM CEST -maximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // L (PAResourceOtoP.PAViews_BASE_WORKSPACE, PlanAheadTabBaseWorkspace) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:29:50 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:29:51 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:29:52 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:29:53 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:29:56 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:29:58 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:29:59 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:00 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:30:02 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:03 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:30:03 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:04 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:04 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:30:05 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 3,529 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:14 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// Elapsed time: 30 seconds -selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:19 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:21 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:24 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectCodeEditor("controlUnit.vhd", 384, 156); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 220, 145); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 407, 568); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 407, 568, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 236, 284); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 236, 284, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 409, 566); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 409, 566, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 722, 522); // ac (controlUnit.vhd) -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// [GUI Memory]: 162 MB (+675kb) [01:10:36] -// Elapsed Time for: 'L.f': 01h:08m:38s -selectCodeEditor("controlUnit.vhd", 94, 266); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 94, 266, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -// Elapsed Time for: 'L.f': 01h:08m:42s -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 608, 494); // ac (controlUnit.vhd) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH -// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: SIMULATION_MODEL_RELOADED -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: LAUNCH_SIM -// TclEventType: FILE_SET_OPTIONS_CHANGE -// Tcl Message: relaunch_sim -// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral -// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj -// TclEventType: LAUNCH_SIM -// TclEventType: FILE_SET_OPTIONS_CHANGE -// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'controlUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'firUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. -// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds -// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral -// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: Built simulation snapshot tb_firUnit_behav -// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: SIMULATION_MODEL_RELOADED -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// HMemoryUtils.trashcanNow. Engine heap size: 2,511 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:31:05 PM CEST -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5502 ; free virtual = 15300 -// 'a' command handler elapsed time: 9 seconds -dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress) -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_CLOSE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,556 MB. GUI used memory: 86 MB. Current time: 5/9/25, 3:31:12 PM CEST -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectButton(RDIResource.BaseDialog_OK, "OK", "Confirm Close"); // a (RDIResource.BaseDialog_OK) -dismissDialog("Confirm Close"); // t (dialog1) -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_CLOSE_WCFG -selectButton("OptionPane.button", "Discard", "Save Waveform Configuration"); // JButton (OptionPane.button) -// TclEventType: SIMULATION_CLOSE_SIMULATION -// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 83 MB. Current time: 5/9/25, 3:31:17 PM CEST -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// Tcl Message: close_sim -// Tcl Message: INFO: [Simtcl 6-16] Simulation closed -dismissDialog("Close"); // bj (Close Progress) -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,467 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:31:18 PM CEST -selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // j (PAResourceTtoZ.TaskBanner_CLOSE) -closeTask("Simulation", "Behavioral Simulation - Functional - sim_1 - audioProc", "DesignTask.SIMULATION"); -// TclEventType: WAVEFORM_CLOSE_WCFG -// TclEventType: SIMULATION_CLOSE_SIMULATION -// Tcl Message: close_sim -// Tcl Message: INFO: [Simtcl 6-16] Simulation closed -dismissDialog("Close"); // bj (Close Progress) -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL -// TclEventType: LAUNCH_SIM -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PACKAGER_MESSAGE_RESET -// TclEventType: PACKAGER_UNLOAD_CORE -// Tcl Message: launch_simulation -// Tcl Message: Command: launch_simulation -// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj -// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj -// TclEventType: LAUNCH_SIM_LOG -// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. -// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log -// TclEventType: LAUNCH_SIM -// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim -// Tcl Message: with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" -// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature -// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary) -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_OPEN_WCFG -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: WAVEFORM_OPEN_WCFG -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// HMemoryUtils.trashcanNow. Engine heap size: 2,469 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:31:33 PM CEST -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: Time resolution is 1 ps -// Tcl Message: source tb_firUnit.tcl -// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 1000ns -// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns -// Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5547 ; free virtual = 15350 -// 'd' command handler elapsed time: 6 seconds -dismissDialog("Run Simulation"); // e (Run Simulation Progress) -// HMemoryUtils.trashcanNow. Engine heap size: 2,469 MB. GUI used memory: 83 MB. Current time: 5/9/25, 3:31:34 PM CEST -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -maximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // L (PAResourceOtoP.PAViews_BASE_WORKSPACE, PlanAheadTabBaseWorkspace) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,469 MB. GUI used memory: 86 MB. Current time: 5/9/25, 3:31:37 PM CEST -selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_filteredSample[15:0]]", 4, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node -selectMenu("Name"); // am (Name) -selectMenu("Waveform Style"); // am (Waveform Style) -selectMenu("Signal Color"); // am (Signal Color) -selectMenu("Radix"); // am (Radix) -selectMenuItem((HResource) null, "Signed Decimal"); // ad (Signed Decimal) -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// HMemoryUtils.trashcanNow. Engine heap size: 2,459 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:31:44 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 153, 477); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -/********** leftMouseClick (908, 740, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectCodeEditor("controlUnit.vhd", 435, 560); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 435, 560, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 643, 536); // ac (controlUnit.vhd) -// Elapsed time: 53 seconds -selectCodeEditor("controlUnit.vhd", 77, 173); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 76, 295); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 85, 415); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 342, 371); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 178, 416); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 178, 416, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -// Elapsed time: 11 seconds -selectCodeEditor("controlUnit.vhd", 248, 174); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -// Elapsed time: 10 seconds -selectCodeEditor("controlUnit.vhd", 246, 411); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 163, 292); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 163, 292, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 232, 292); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 248, 414); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 252, 414); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 403, 369); // ac (controlUnit.vhd) -// Elapsed time: 15 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -unMinimizeFrame(PAResourceOtoP.PAViews_SCOPE, "Scopes"); // R (PAResourceOtoP.PAViews_SCOPE, Scope) -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,460 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:34:09 PM CEST -selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "tb_firUnit ; tb_firUnit(archi_tb_firUnit) ; VHDL Entity", 0, "tb_firUnit(archi_tb_firUnit)", 1, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node -// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "firUnit_1 ; firUnit(archi_firUnit) ; VHDL Entity", 1, "firUnit(archi_firUnit)", 1, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node -// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "firUnit_1 ; firUnit(archi_firUnit) ; VHDL Entity", 1, "firUnit_1", 0, true, false, false, false, false, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Double Click - Node -// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_OPEN_SOURCE -selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "controlUnit_1 ; controlUnit(archi_operativeUnit) ; VHDL Entity", 2, "controlUnit_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) -// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -unMinimizeFrame(PAResourceOtoP.PAViews_OBJECTS, "Objects"); // R (PAResourceOtoP.PAViews_OBJECTS, Objects) -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "SR_currentState ; PROCESSING_LOOP ; Enumeration", 11, "SR_currentState", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE) -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH -selectButton(RDIResource.BaseDialog_OK, "OK", "Save Simulation Sources"); // a (RDIResource.BaseDialog_OK) -// TclEventType: DG_GRAPH_STALE -dismissDialog("Save Simulation Sources"); // c (dialog2) -// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE -// TclEventType: DG_GRAPH_STALE -// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE -// TclEventType: FILE_SET_CHANGE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: SIMULATION_MODEL_RELOADED -// HMemoryUtils.trashcanNow. Engine heap size: 2,490 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:34:41 PM CEST -// TclEventType: SIMULATION_MODEL_RELOADED -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: LAUNCH_SIM -// Tcl Message: relaunch_sim -// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral -// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// Tcl Message: INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj -// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj -// TclEventType: LAUNCH_SIM -// TclEventType: FILE_SET_OPTIONS_CHANGE -// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'controlUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'firUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. -// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds -// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral -// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design -// Tcl Message: INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: Built simulation snapshot tb_firUnit_behav -// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds -// Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5602 ; free virtual = 15329 -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: SIMULATION_MODEL_RELOADED -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5618 ; free virtual = 15351 -// 'a' command handler elapsed time: 14 seconds -// Elapsed time: 12 seconds -dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress) -// Elapsed Time for: 'L.f': 01h:12m:48s -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// Elapsed time: 11 seconds -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 176, 182); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -/********** leftMouseClick (1485, 459, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:35:05 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:35:09 PM CEST -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:35:12 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:35:13 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:35:16 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:35:18 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:35:18 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:35:19 PM CEST -// Elapsed time: 18 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// Elapsed time: 10 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:35:42 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// Elapsed time: 14 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectCodeEditor("controlUnit.vhd", 113, 294); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 210, 390); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 210, 390, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 208, 382); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 208, 382, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 222, 445); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 222, 503); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 222, 503, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 132, 548); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 132, 548, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -// Elapsed time: 22 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 20, 182); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -/********** leftMouseClick (1341, 473, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:45 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:45 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:46 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:36:46 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:49 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:52 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:55 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:57 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:58 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:36:58 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:59 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:37:00 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:01 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:37:02 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:02 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:02 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:02 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:03 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:03 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// Elapsed time: 23 seconds -selectMenu("Waveform Style"); // am (Waveform Style) -selectMenu("Signal Color"); // am (Signal Color) -selectMenu("Radix"); // am (Radix) -selectMenu("Signal Color"); // am (Signal Color) -selectMenu("Waveform Style"); // am (Waveform Style) -selectMenu("Signal Color"); // am (Signal Color) -selectMenu("Waveform Style"); // am (Waveform Style) -selectMenu("Signal Color"); // am (Signal Color) -selectMenu("Radix"); // am (Radix) -selectMenu("Radix"); // am (Radix) -// TclEventType: WAVEFORM_UPDATE_COMMANDS -selectMenu("Radix"); // am (Radix) -selectMenuItem((HResource) null, "Signed Decimal"); // ad (Signed Decimal) -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:37:18 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:20 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:21 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:21 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:22 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// Elapsed time: 12 seconds -selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:37:31 PM CEST -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:37:34 PM CEST -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:37:36 PM CEST -// Elapsed time: 12 seconds -selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 18, 198); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -/********** leftMouseClick (929, 476, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:37:42 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:37:43 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:37:44 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 89 MB. Current time: 5/9/25, 3:37:48 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 89 MB. Current time: 5/9/25, 3:37:49 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:37:50 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:37:51 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:37:51 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:37:52 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:37:53 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:37:59 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:38:00 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// Elapsed time: 52 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_firUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectCodeEditor("controlUnit.vhd", 501, 310); // ac (controlUnit.vhd) -// Elapsed time: 43 seconds -selectCodeEditor("controlUnit.vhd", 252, 185); // ac (controlUnit.vhd) -// Elapsed time: 46 seconds -selectCodeEditor("controlUnit.vhd", 288, 430); // ac (controlUnit.vhd) -// Elapsed time: 11 seconds -selectCodeEditor("controlUnit.vhd", 295, 428); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 102, 462); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 134, 400); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 134, 400, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 246, 462); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 246, 462, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 63, 443); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 265, 308); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 144, 277); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 144, 277, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 253, 341); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 253, 341, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 320, 337); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 249, 188); // ac (controlUnit.vhd) -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 134, 157); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 134, 157, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 235, 213); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 235, 213, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 350, 249); // ac (controlUnit.vhd) -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Elapsed Time for: 'L.f': 01h:18m:46s -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "firUnit.vhd", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH -// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: SIMULATION_MODEL_RELOADED -// HMemoryUtils.trashcanNow. Engine heap size: 2,490 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:40:56 PM CEST -// TclEventType: SIMULATION_MODEL_RELOADED -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: LAUNCH_SIM -// TclEventType: FILE_SET_OPTIONS_CHANGE -// Tcl Message: relaunch_sim -// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral -// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj -// TclEventType: LAUNCH_SIM -// TclEventType: FILE_SET_OPTIONS_CHANGE -// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'controlUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'firUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. -// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds -// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral -// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: Built simulation snapshot tb_firUnit_behav -// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: SIMULATION_MODEL_RELOADED -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5583 ; free virtual = 15336 -// 'a' command handler elapsed time: 8 seconds -dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress) -// Elapsed Time for: 'L.f': 01h:19m:00s -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// Elapsed time: 12 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectCodeEditor("controlUnit.vhd", 451, 286); // ac (controlUnit.vhd) -// Elapsed time: 23 seconds -selectCodeEditor("controlUnit.vhd", 316, 475); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 316, 474, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 294, 346); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 148, 307); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 148, 307, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 330, 480); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 330, 480, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 334, 473); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 334, 473, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 333, 507); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 333, 507, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 334, 524); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 334, 524, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 336, 537); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 336, 537, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 338, 557); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 338, 557, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 337, 550); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 337, 550, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 400, 390); // ac (controlUnit.vhd) -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Elapsed Time for: 'L.f': 01h:19m:44s -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH -// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: SIMULATION_MODEL_RELOADED -// HMemoryUtils.trashcanNow. Engine heap size: 2,520 MB. GUI used memory: 89 MB. Current time: 5/9/25, 3:41:52 PM CEST -// TclEventType: SIMULATION_MODEL_RELOADED -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: LAUNCH_SIM -// TclEventType: FILE_SET_OPTIONS_CHANGE -// Tcl Message: relaunch_sim -// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral -// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj -// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: LAUNCH_SIM -// TclEventType: FILE_SET_OPTIONS_CHANGE -// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'controlUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'firUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. -// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds -// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral -// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: Built simulation snapshot tb_firUnit_behav -// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: SIMULATION_MODEL_RELOADED -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 8649.246 ; gain = 0.000 ; free physical = 5672 ; free virtual = 15422 -// 'a' command handler elapsed time: 9 seconds -dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress) -// Elapsed Time for: 'L.f': 01h:19m:56s -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // j (PAResourceTtoZ.TaskBanner_CLOSE) -closeTask("Simulation", "Behavioral Simulation - Functional - sim_1 - tb_firUnit", "DesignTask.SIMULATION"); -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_CLOSE_WCFG -selectButton("OptionPane.button", "Discard", "Save Waveform Configuration"); // JButton (OptionPane.button) -// TclEventType: SIMULATION_CLOSE_SIMULATION -// Tcl Message: close_sim -// Tcl Message: INFO: [Simtcl 6-16] Simulation closed -dismissDialog("Close"); // bj (Close Progress) -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL -// TclEventType: LAUNCH_SIM -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PACKAGER_MESSAGE_RESET -// TclEventType: PACKAGER_UNLOAD_CORE -// Tcl Message: launch_simulation -// Tcl Message: Command: launch_simulation -// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj -// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj -// TclEventType: LAUNCH_SIM_LOG -// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. -// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log -// TclEventType: LAUNCH_SIM -// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim -// Tcl Message: with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" -// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature -// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary) -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_OPEN_WCFG -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: WAVEFORM_OPEN_WCFG -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// HMemoryUtils.trashcanNow. Engine heap size: 2,549 MB. GUI used memory: 89 MB. Current time: 5/9/25, 3:42:21 PM CEST -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: Time resolution is 1 ps -// Tcl Message: source tb_firUnit.tcl -// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 1000ns -// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns -// Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 8658.109 ; gain = 8.863 ; free physical = 5678 ; free virtual = 15432 -// 'd' command handler elapsed time: 7 seconds -dismissDialog("Run Simulation"); // e (Run Simulation Progress) -// HMemoryUtils.trashcanNow. Engine heap size: 2,549 MB. GUI used memory: 89 MB. Current time: 5/9/25, 3:42:23 PM CEST -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -maximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // L (PAResourceOtoP.PAViews_BASE_WORKSPACE, PlanAheadTabBaseWorkspace) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,549 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:42:26 PM CEST -selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_filteredSample[15:0]]", 4, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectCodeEditor("controlUnit.vhd", 123, 33); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 123, 33, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 302, 566); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 302, 566, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 307, 578); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 307, 578, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 309, 596); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 309, 596, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 315, 616); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 315, 616, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -// [GUI Memory]: 174 MB (+4045kb) [01:22:36] -selectCodeEditor("controlUnit.vhd", 316, 609); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 316, 609, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 318, 640); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 318, 640, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 315, 653); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 315, 653, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 344, 402); // ac (controlUnit.vhd) -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Elapsed Time for: 'L.f': 01h:20m:40s -// Elapsed Time for: 'L.f': 01h:20m:42s -// TclEventType: SIMULATION_ADD_BREAKPOINT -// Tcl Message: add_bp {/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd} 96 -// TclEventType: SIMULATION_DELETE_BREAKPOINT -// Tcl Message: remove_bps -file {/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd} -line 96 -selectCodeEditor("controlUnit.vhd", 233, 396); // ac (controlUnit.vhd) -// Elapsed time: 22 seconds -selectCodeEditor("controlUnit.vhd", 261, 222); // ac (controlUnit.vhd) -// Elapsed time: 23 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "firUnit.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_firUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 5", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH -// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: SIMULATION_MODEL_RELOADED -// HMemoryUtils.trashcanNow. Engine heap size: 2,527 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:43:39 PM CEST -// TclEventType: SIMULATION_MODEL_RELOADED -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: LAUNCH_SIM -// TclEventType: FILE_SET_OPTIONS_CHANGE -// Tcl Message: relaunch_sim -// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral -// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj -// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'controlUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'firUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' -// TclEventType: LAUNCH_SIM -// TclEventType: FILE_SET_OPTIONS_CHANGE -// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. -// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '4' seconds -// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral -// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: Built simulation snapshot tb_firUnit_behav -// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: SIMULATION_MODEL_RELOADED -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 8676.250 ; gain = 0.000 ; free physical = 5699 ; free virtual = 15449 -// 'a' command handler elapsed time: 10 seconds -// Elapsed time: 10 seconds -dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress) -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// Elapsed time: 34 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// Elapsed time: 118 seconds -selectCodeEditor("controlUnit.vhd", 466, 231); // ac (controlUnit.vhd) -// Elapsed time: 16 seconds -unMinimizeFrame(PAResourceOtoP.PAViews_SOURCES, "Sources"); // R (PAResourceOtoP.PAViews_SOURCES, Sources) -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Utility Sources]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Utility Sources, utils_1]", 13, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 6); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, audioProc (audioProc.v)]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 11); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd), controlUnit_1 : controlUnit(archi_operativeUnit) (controlUnit.vhd)]", 13, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd), operativeUnit_1 : operativeUnit (operativeUnit.v)]", 14, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 11); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v)]", 3); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v)]", 3); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Disabled Sources]", 4); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Disabled Sources]", 4); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 1); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 1); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -// Elapsed time: 25 seconds -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 11); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -// Elapsed time: 18 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// Elapsed time: 20 seconds -selectCodeEditor("controlUnit.vhd", 138, 325); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 138, 325, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -selectCodeEditor("controlUnit.vhd", 139, 352); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 139, 352, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click -// Elapsed time: 21 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.v", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 5", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:48:39 PM CEST -// Elapsed time: 12 seconds -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_filteredSample[15:0]]", 4, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node -selectMenu("Name"); // am (Name) -selectMenu("Waveform Style"); // am (Waveform Style) -selectMenu("Signal Color"); // am (Signal Color) -selectMenu("Radix"); // am (Radix) -selectMenu("Signal Color"); // am (Signal Color) -selectMenu("Waveform Style"); // am (Waveform Style) -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 223, 379); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -/********** leftMouseClick (1270, 639, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_filteredSample[15:0]]", 4, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectMenu("Name"); // am (Name) -selectMenu("Waveform Style"); // am (Waveform Style) -selectMenu("Signal Color"); // am (Signal Color) -selectMenu("Radix"); // am (Radix) -selectMenu("Radix"); // am (Radix) -selectMenuItem((HResource) null, "Signed Decimal"); // ad (Signed Decimal) -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:06 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 228, 468); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -/********** leftMouseClick (1275, 721, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// Elapsed time: 13 seconds -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 434, 344); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -/********** leftMouseClick (1463, 607, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:49:21 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:21 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:22 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:22 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,642 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:22 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// [Engine Memory]: 3,642 MB (+12449kb) [01:29:15] -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,642 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:22 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:23 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:23 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,642 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:24 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,642 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:24 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,514 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:24 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:24 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:24 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:49:24 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL, "simulation_live_run_all"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL, simulation_live_run_all) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// Tcl Message: run all -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK, "simulation_live_break"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK, simulation_live_break) -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART, "simulation_live_restart"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART, simulation_live_restart) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// HMemoryUtils.trashcanNow. Engine heap size: 3,660 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:49:35 PM CEST -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// Tcl Message: restart -// Tcl Message: INFO: [Wavedata 42-604] Simulation restarted -dismissDialog("Restart"); // bj (Restart Progress) -selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH -// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: SIMULATION_MODEL_RELOADED -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: LAUNCH_SIM -// TclEventType: FILE_SET_OPTIONS_CHANGE -// Tcl Message: relaunch_sim -// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral -// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj -// TclEventType: LAUNCH_SIM -// TclEventType: FILE_SET_OPTIONS_CHANGE -// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. -// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds -// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral -// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' -// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: SIMULATION_MODEL_RELOADED -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:10 ; elapsed = 00:00:06 . Memory (MB): peak = 8723.930 ; gain = 0.000 ; free physical = 5641 ; free virtual = 15424 -// 'a' command handler elapsed time: 6 seconds -dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress) -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: run 10 ns -selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:49:51 PM CEST -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: run 10 ns -selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: run 10 ns -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: run 10 ns -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:49:52 PM CEST -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: run 10 ns -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: run 10 ns -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: run 10 ns -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:49:53 PM CEST -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time) -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: run 10 ns -selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: run 10 ns -selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: run 10 ns -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:49:54 PM CEST -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time) -// Tcl Message: run 10 ns -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: run 10 ns -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -selectComboBox(PAResourceQtoS.SimulationLiveRunForComp_SPECIFY_TIME_AND_UNITS, "ms", 1); // b (PAResourceQtoS.SimulationLiveRunForComp_SPECIFY_TIME_AND_UNITS) -selectComboBox(PAResourceQtoS.SimulationLiveRunForComp_SPECIFY_TIME_AND_UNITS, "us", 2); // b (PAResourceQtoS.SimulationLiveRunForComp_SPECIFY_TIME_AND_UNITS) -selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: run 10 us -selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time) -// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// TclEventType: SIMULATION_CLEAR_CURRENT_LINE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:01 PM CEST -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: SIMULATION_OBJECT_TREE_RESTORED -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: SIMULATION_UPDATE_LATEST_TIME -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED -// TclEventType: SIMULATION_CURRENT_STACK_CHANGED -// TclEventType: SIMULATION_UPDATE_STACK_FRAMES -// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED -// TclEventType: SIMULATION_UPDATE_LOCALS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_OBJECT_TREE -// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE -// Tcl Message: run 10 us -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 393, 144); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -/********** leftMouseClick (1419, 428, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:06 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:07 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 3,650 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:07 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:07 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:08 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:08 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 3,650 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:09 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:09 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:10 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:10 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:11 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:11 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 3,650 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:11 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// Elapsed time: 11 seconds -selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// Elapsed time: 14 seconds -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 10, 145); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -/********** leftMouseClick (1075, 425, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:31 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 95 MB. Current time: 5/9/25, 3:50:37 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:42 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:44 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:44 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:44 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 95 MB. Current time: 5/9/25, 3:50:49 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:50 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:53 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:55 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 3,650 MB. GUI used memory: 95 MB. Current time: 5/9/25, 3:50:58 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:51:01 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// Elapsed time: 34 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectCodeEditor("controlUnit.vhd", 320, 283); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 283, 127); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 333, 368); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 343, 380); // ac (controlUnit.vhd) -selectCodeEditor("controlUnit.vhd", 365, 326); // ac (controlUnit.vhd) -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Elapsed Time for: 'L.f': 01h:29m:11s -// Elapsed Time for: 'L.f': 01h:29m:14s -// Elapsed time: 140 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.v", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 5*", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:53:41 PM CEST -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 437, 249); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -/********** leftMouseClick (1316, 512, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 21, 260); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -/********** startDrag (909, 523); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:53:44 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:53:45 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 98 MB. Current time: 5/9/25, 3:53:45 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,538 MB. GUI used memory: 98 MB. Current time: 5/9/25, 3:53:45 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:53:45 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:53:45 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:53:45 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:53:46 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -/********** endDrag (1020, 522); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 110, 305); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -/********** leftMouseClick (994, 567, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:53:46 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -typeControlKey(null, null, 'z'); -typeControlKey(null, null, 'z'); -typeControlKey(null, null, 'z'); -typeControlKey(null, null, 'z'); -selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) -selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 476, 435); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -/********** startDrag (1365, 696); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:53:52 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:53:52 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -/********** endDrag (1462, 686); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 714, 713); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -/********** leftMouseClick (1599, 976, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -typeControlKey(null, null, 'z'); -typeControlKey(null, null, 'z'); -selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:53:56 PM CEST -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 177, 232); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -/********** leftMouseClick (1051, 501, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:02 PM CEST -selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:06 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,538 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:07 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 98 MB. Current time: 5/9/25, 3:54:07 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,538 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,536 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,277 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,016 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,624 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,538 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:07 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:08 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:08 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:08 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 98 MB. Current time: 5/9/25, 3:54:08 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:08 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:10 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:10 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:11 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:11 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,755 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:11 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:11 PM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:13 PM CEST -// Elapsed time: 11 seconds -floatFrame(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 5*"); // R (RDIResource.RDIViews_WAVEFORM_VIEWER, Untitled 5) -// RDIResource.RDIViews_WAVEFORM_VIEWER: Untitled 5*: float view -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:15 PM CEST -maximizeFrame(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 5*"); // R (RDIResource.RDIViews_WAVEFORM_VIEWER, Untitled 5) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 628, 364); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -/********** leftMouseClick (1107, 464, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 100 MB. Current time: 5/9/25, 3:54:18 PM CEST -selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MOVE_CURSOR -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 299, 426); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -/********** startDrag (767, 523); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 99 MB. Current time: 5/9/25, 3:54:31 PM CEST -/********** endDrag (767, 523); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// Elapsed time: 162 seconds -selectCodeEditor("operativeUnit.v", 303, 361); // ac (operativeUnit.v) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// Elapsed time: 303 seconds -closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.v", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode) -selectCodeEditor("operativeUnit.v", 249, 475); // ac (operativeUnit.v) -// Elapsed time: 11 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.v", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectCodeEditor("operativeUnit.v", 21, 345); // ac (operativeUnit.v) -selectCodeEditor("operativeUnit.v", 307, 339); // ac (operativeUnit.v) -closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -// Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN -selectButton(RDIResource.BaseDialog_YES, "Yes", "No Implementation Results Available"); // a (RDIResource.BaseDialog_YES) -dismissDialog("No Implementation Results Available"); // t (dialog3) -selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK) -// 'cI' command handler elapsed time: 5 seconds -dismissDialog("Launch Runs"); // cL (dialog4) -// Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 6 -// TclEventType: RUN_LAUNCH -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_MODIFY -// Tcl Message: [Fri May 9 16:03:06 2025] Launched synth_1... Run output will be captured here: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/runme.log [Fri May 9 16:03:06 2025] Launched impl_1... Run output will be captured here: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/runme.log -dismissDialog("Generate Bitstream"); // bj (Generate Bitstream Progress) -// TclEventType: RUN_STATUS_CHANGE -// Elapsed time: 77 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// Elapsed time: 29 seconds -selectCodeEditor("operativeUnit.vhd", 158, 383); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 472, 420); // ac (operativeUnit.vhd) -// Elapsed time: 18 seconds -selectCodeEditor("operativeUnit.vhd", 51, 120); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 51, 120, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click -selectCodeEditor("operativeUnit.vhd", 53, 109); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 53, 109, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click -selectCodeEditor("operativeUnit.vhd", 350, 293); // ac (operativeUnit.vhd) -// Elapsed time: 11 seconds -selectCodeEditor("operativeUnit.vhd", 204, 96); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 326, 122); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 54, 112); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 54, 112, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click -selectCodeEditor("operativeUnit.vhd", 129, 173); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 129, 173, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click -selectCodeEditor("operativeUnit.vhd", 105, 326); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 105, 326, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click -typeControlKey((HResource) null, "operativeUnit.vhd", 'c'); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 127, 504); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 127, 504, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click -typeControlKey((HResource) null, "operativeUnit.vhd", 'v'); // ac (operativeUnit.vhd) -typeControlKey((HResource) null, "operativeUnit.vhd", 'v'); // ac (operativeUnit.vhd) -// TclEventType: RUN_STEP_COMPLETED -// Elapsed time: 15 seconds -selectCodeEditor("operativeUnit.vhd", 99, 504); // ac (operativeUnit.vhd) -// TclEventType: RUN_FAILED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -selectButton(RDIResource.BaseDialog_OK, "OK", "Implementation Failed"); // a (RDIResource.BaseDialog_OK) -// Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW -dismissDialog("Implementation Failed"); // Q.a (dialog5) -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -// TclEventType: SIMULATION_UPDATE_SCOPE_TREE -// TclEventType: SIMULATION_UPDATE_STACKS -selectCodeEditor("operativeUnit.vhd", 261, 510); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 264, 503); // ac (operativeUnit.vhd) -// Elapsed time: 46 seconds -selectCodeEditor("operativeUnit.vhd", 157, 173); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 158, 173, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click -typeControlKey((HResource) null, "operativeUnit.vhd", 'c'); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 252, 415); // ac (operativeUnit.vhd) -typeControlKey((HResource) null, "operativeUnit.vhd", 'v'); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 62, 430); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 56, 433); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 166, 356); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 166, 356, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click -selectCodeEditor("operativeUnit.vhd", 105, 379); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 105, 379, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click -typeControlKey((HResource) null, "operativeUnit.vhd", 'c'); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 172, 353); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 172, 353, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click -typeControlKey((HResource) null, "operativeUnit.vhd", 'v'); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 144, 412); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 143, 412, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click -typeControlKey((HResource) null, "operativeUnit.vhd", 'c'); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 199, 356); // ac (operativeUnit.vhd) -typeControlKey((HResource) null, "operativeUnit.vhd", 'v'); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 57, 424); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 220, 431); // ac (operativeUnit.vhd) -// Elapsed time: 32 seconds -selectCodeEditor("operativeUnit.vhd", 375, 401); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 461, 426); // ac (operativeUnit.vhd) -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Elapsed time: 18 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// Elapsed Time for: 'L.f': 01h:46m:21s -selectCodeEditor("controlUnit.vhd", 335, 304); // ac (controlUnit.vhd) -// Elapsed Time for: 'L.f': 01h:46m:23s -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectCodeEditor("operativeUnit.vhd", 165, 434); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 265, 433); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 238, 419); // ac (operativeUnit.vhd) -selectCodeEditor("operativeUnit.vhd", 389, 331); // ac (operativeUnit.vhd) -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// Elapsed Time for: 'L.f': 01h:46m:31s -// Elapsed Time for: 'L.f': 01h:46m:33s -- GitLab